From c48b35e5dead4900bec37c40d716461e099dd5f9 Mon Sep 17 00:00:00 2001 From: dwf1m12 <d.w.flynn@soton.ac.uk> Date: Sun, 23 Jul 2023 16:44:47 +0100 Subject: [PATCH] Update the build and simulations enviroment closer to nanosoc --- .../systems/cortex_m0_mcu/rtl_sim/makefile | 330 +- .../cortex_m0_mcu/rtl_sim/tarmac0.log_ref | 206821 --------------- .../cortex_m0_mcu/rtl_sim/uart2.log_ref | 8 - .../systems/cortex_m0_mcu/v2html_doc.tgz | Bin 499478 -> 0 bytes .../cortex_m0_mcu/verilog/cmsdk_mcu_chip.v | 2 +- .../cortex_m0_mcu/verilog/tb_cmsdk_mcu.v | 10 +- .../cortex_m0_mcu/verilog/tbench_M0.flist | 143 + .../cortex_m0_mcu/verilog/v2html_M0.vc | 30 +- GetStarted.md | 36 +- README.md | 14 +- html/top/v2html_doc.tgz | Bin 0 -> 312519 bytes set_env.sh | 30 + socsim.sh | 33 + 13 files changed, 418 insertions(+), 207039 deletions(-) delete mode 100644 Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/rtl_sim/tarmac0.log_ref delete mode 100644 Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/rtl_sim/uart2.log_ref delete mode 100644 Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/v2html_doc.tgz create mode 100644 Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/verilog/tbench_M0.flist create mode 100644 html/top/v2html_doc.tgz create mode 100644 set_env.sh create mode 100755 socsim.sh diff --git a/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/rtl_sim/makefile b/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/rtl_sim/makefile index cdae0a1..b97bd94 100644 --- a/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/rtl_sim/makefile +++ b/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/rtl_sim/makefile @@ -9,6 +9,39 @@ # Copyright © 2021, SoC Labs (www.soclabs.org) #----------------------------------------------------------------------------- +#------------------------------------- +# - Directory Setups +#------------------------------------- +# Directory of Testcodes +TESTCODES_DIR := $(ARM_CMSDK_SW_DIR)/testcodes + +# Project System Directory +FPGA_IMP_DIR := $(SOCLABS_PROJECT_DIR)/imp/fpga +PROJ_SYS_DIR := $(SOCLABS_PROJECT_DIR)/system +PROJ_SW_DIR ?= $(PROJ_SYS_DIR)/testcodes + +# Directory to put simulation files +SIM_TOP_DIR ?= $(SOCLABS_PROJECT_DIR)/simulate/sim +SIM_DIR = $(SIM_TOP_DIR)/$(TESTNAME) + +#------------------------------------- +# - Test List Variables +#------------------------------------- +# List of all tests (this is used when running 'make all/clean') +TEST_LIST_FILE ?= $(TESTCODES_DIR)/software_list.txt +TEST_LIST_FILE += $(PROJ_SW_DIR)/software_list.txt +TEST_LIST = $(shell cat $(TEST_LIST_FILE) | while read line || [ -n "$$line" ]; do echo $$line; done) + +# List of Tests to Exclude from Regression +EXCLUDE_LIST_FLIE = $(PROJ_SW_DIR)/regression_exclude.txt + +#------------------------------------- +# - Verilog Defines and Filelists +#------------------------------------- +# Simulator/Lint Defines +DEFINES_VC += +define+CORTEX_M0 +define+USE_TARMAC + + #----------------------------------------------------------------------------- # The confidential and proprietary information contained in this file may # only be used by a person authorised under and to the extent permitted @@ -77,7 +110,7 @@ endif # Select Verilog Command File based on CPU type ifeq ($(CPU_PRODUCT),CORTEX_M0) # For Cortex-M0 product users - TBENCH_VC = ../verilog/tbench_M0.vc + TBENCH_VC = $(ARM_CMSDK_MCU_DIR)/verilog/tbench_M0.flist endif ifeq ($(CPU_PRODUCT),CORTEX_M0_DS) @@ -85,9 +118,10 @@ ifeq ($(CPU_PRODUCT),CORTEX_M0_DS) TBENCH_VC = ../verilog/tbench_M0_DS.vc endif +TB_TOP ?= tb_cmsdk_mcu -# Simulator type (mti/vcs/nc) +# Simulator type (mti/vcs/nc/xm) SIMULATOR = mti # MTI option @@ -104,6 +138,10 @@ VCS_VC_OPTIONS = -f $(TBENCH_VC) NCSIM_OPTIONS = -unbuffered -status -LICQUEUE -f ncsim.args -cdslib cds.lib -hdlvar hdl.var -NBASYNC NC_VC_OPTIONS = -f $(TBENCH_VC) +# XM verilog options +XMSIM_OPTIONS = -unbuffered -64bit -status -LICQUEUE -f xmsim.args -cdslib cds.lib -hdlvar hdl.var -NBASYNC +XM_VC_OPTIONS = -f $(TBENCH_VC) + # Boot Loader image BOOTLOADER = bootloader # Debug Tester image @@ -137,8 +175,8 @@ compile_vcs : # Run simulation in batch mode run_vcs : code - @if [ ! -d logs ] ; then \ - mkdir logs; \ + @if [ ! -d $(SIM_DIR)/logs ] ; then \ + mkdir -p $(SIM_DIR)/logs; \ fi @echo quit > quit.do ./simv $(VCS_SIM_OPTION) < quit.do | tee logs/run_$(TESTNAME).log ; @@ -149,8 +187,8 @@ sim_vcs : code # Compile RTL, and run all tests in batch mode all_vcs : compile_vcs bootrom debugtester - @if [ ! -d logs ] ; then \ - mkdir logs; \ + @if [ ! -d $(SIM_DIR)/logs ] ; then \ + mkdir -p $(SIM_DIR)/logs; \ fi @echo quit > quit.do @echo Run tests ... @@ -169,72 +207,116 @@ all_vcs : compile_vcs bootrom debugtester # Compile RTL compile_nc : - ncprep +overwrite $(NC_VC_OPTIONS) +debug | tee compile_nc.log - ncvlog -work worklib -f ncvlog.args | tee -a compile_nc.log - ncelab -mess -f ncelab.args -access +r | tee -a compile_nc.log + @if [ ! -d $(SIM_DIR)/logs ] ; then \ + mkdir -p $(SIM_DIR)/logs; \ + fi + cd $(SIM_DIR); ncprep +overwrite $(NC_VC_OPTIONS) +debug | tee logs/compile_nc.log + cd $(SIM_DIR); ncvlog -work worklib -f ncvlog.args | tee -a logs/compile_nc.log + cd $(SIM_DIR); ncelab -mess -f ncelab.args -access +r | tee -a logs/compile_nc.log # Note : If coverage is required, you can add -coverage all to ncelab # Run simulation in batch mode run_nc : code - @if [ ! -d logs ] ; then \ - mkdir logs; \ + @if [ ! -d $(SIM_DIR)/logs ] ; then \ + mkdir -p $(SIM_DIR)/logs; \ fi - @echo run > run.tcl.tmp - @echo exit >> run.tcl.tmp - @mv run.tcl.tmp run.tcl - ncsim $(NCSIM_OPTIONS) -input run.tcl | tee logs/run_$(TESTNAME).log ; + @echo run > $(SIM_DIR)/run.tcl.tmp + @echo exit >> $(SIM_DIR)/run.tcl.tmp + @mv $(SIM_DIR)/run.tcl.tmp $(SIM_DIR)/run.tcl + cd $(SIM_DIR); ncsim $(NCSIM_OPTIONS) -input run.tcl | tee logs/run_$(TESTNAME).log ; # Run simulation in interactive mode sim_nc : code - ncsim -gui $(NCSIM_OPTIONS) + cd $(SIM_DIR); ncsim -gui $(NCSIM_OPTIONS) # Compile RTL, and run all tests in batch mode all_nc : compile_nc bootrom debugtester - @if [ ! -d logs ] ; then \ - mkdir logs; \ + @if [ ! -d $(SIM_DIR)/logs ] ; then \ + mkdir -p $(SIM_DIR)/logs; \ fi - @echo run > run.tcl.tmp - @echo exit >> run.tcl.tmp - @mv run.tcl.tmp run.tcl + @echo run > $(SIM_DIR)/run.tcl.tmp + @echo exit >> $(SIM_DIR)/run.tcl.tmp + @mv $(SIM_DIR)/run.tcl.tmp $(SIM_DIR)/run.tcl @echo Run tests ... for thistest in $(TEST_LIST) ; do \ echo $$thistest ; \ make testcode TESTNAME=$$thistest ;\ if [ -e image.hex ] ; then \ - ncsim $(NCSIM_OPTIONS) -input run.tcl | tee logs/run_$$thistest.log ;\ + cd $(SIM_DIR); ncsim $(NCSIM_OPTIONS) -input run.tcl | tee logs/run_$$thistest.log ;\ else \ echo Cannot read image.hex ;\ exit 1; \ fi ; \ done +# ------- XM ----------- + +# Compile RTL +compile_xm : bootrom + @if [ ! -d $(SIM_DIR)/logs ] ; then \ + mkdir -p $(SIM_DIR)/logs; \ + fi + cd $(SIM_DIR); xmprep +overwrite $(XM_VC_OPTIONS) $(DEFINES_VC) +debug -timescale 1ns/1ps -top $(TB_TOP) | tee logs/compile_xm.log + cd $(SIM_DIR); xmvlog -work worklib -f xmelab.args | tee -a logs/compile_xm.log + cd $(SIM_DIR); xmelab -mess -f xmelab.args -access +r | tee -a logs/compile_xm.log + +# Note : If coverage is required, you can add -coverage all to xmelab + +# Run simulation in batch mode +run_xm : code compile_xm + @if [ ! -d $(SIM_DIR)/logs ] ; then \ + mkdir -p $(SIM_DIR)/logs; \ + fi + @echo run > $(SIM_DIR)/run.tcl.tmp + @echo exit >> $(SIM_DIR)/run.tcl.tmp + @mv $(SIM_DIR)/run.tcl.tmp $(SIM_DIR)/run.tcl + cd $(SIM_DIR); xmsim $(XMSIM_OPTIONS) -input run.tcl | tee logs/run_$(TESTNAME).log ; + +# Run simulation in interactive mode +sim_xm : code compile_xm + cd $(SIM_DIR); xmsim -gui $(XMSIM_OPTIONS) + + # ------- MTI ----------- # Compile RTL -compile_mti : +compile_mti : bootrom + @mkdir -p $(SIM_DIR)/logs + @cd $(SIM_DIR) @if [ -d work ] ; then \ true ; \ else \ - vlib work; \ + cd $(SIM_DIR); vlib work; \ fi - vlog -incr -lint +v2k $(MTI_OPTIONS) $(MTI_VC_OPTIONS) | tee compile_mti.log + cd $(SIM_DIR); vlog -incr -lint +v2k $(MTI_OPTIONS) $(MTI_VC_OPTIONS) | tee logs/compile_mti.log # Run simulation in batch mode -run_mti : code - @if [ ! -d logs ] ; then \ - mkdir logs; \ +run_mti : code compile_mti + @if [ ! -d $(SIM_DIR)/logs ] ; then \ + mkdir -p $(SIM_DIR)/logs; \ fi - vsim $(MTI_OPTIONS) -c tb_cmsdk_mcu -do "radix hex;run -all;quit -f" | tee logs/run_$(TESTNAME).log ; + @echo "run -all" > $(SIM_DIR)/run.tcl.tmp + @echo "quit -f" >> $(SIM_DIR)/run.tcl.tmp + @mv $(SIM_DIR)/run.tcl.tmp $(SIM_DIR)/run.tcl + cd $(SIM_DIR); vsim $(MTI_OPTIONS) -c $(TB_TOP) -do run.tcl | tee $(SIM_DIR)/logs/run_$(TESTNAME).log ; + +run_mti_to: + timeout 2s $(MAKE) run_mti >> /dev/null 2>&1 + +run_mti_wrap: + $(MAKE) run_mti_to # Run simulation in interactive mode -sim_mti : code - vsim $(MTI_OPTIONS) -gui tb_cmsdk_mcu & +sim_mti : code compile_mti + cd $(SIM_DIR); vsim $(MTI_OPTIONS) -gui $(TB_TOP) & # Create work directory lib_mti : vlib work + + # Compile RTL, and run all tests in batch mode all_mti : compile_mti bootrom debugtester @if [ ! -d logs ] ; then \ @@ -261,13 +343,13 @@ code : testcode bootrom debugtester # Compile bootloader # Note : The use of ls after compile allows the computing server to sync up bootrom: - @(cd ../testcodes/$(BOOTLOADER) ;\ + @(cd $(ARM_CMSDK_MCU_DIR)/testcodes/$(BOOTLOADER) ;\ make all $(SW_MAKE_OPTIONS) ;\ echo Compile done ;\ ls > /dev/null ;\ echo Copy $(BOOTLOADER).hex ;\ if [ -e $(BOOTLOADER).hex ] ; then \ - cp $(BOOTLOADER).hex ../../rtl_sim/$(BOOTLOADER).hex ;\ + cp $(BOOTLOADER).hex $(SIM_DIR)/$(BOOTLOADER).hex ;\ else \ while [ ! -e $(BOOTLOADER).hex ] ; do \ echo Wait for hex file ...; \ @@ -275,14 +357,14 @@ bootrom: sleep 5; \ done; \ if [ -e $(BOOTLOADER).hex ] ; then \ - cp $(BOOTLOADER).hex ../../rtl_sim/$(BOOTLOADER).hex ;\ + cp $(BOOTLOADER).hex $(SIM_DIR)/$(BOOTLOADER).hex ;\ else \ echo Problem reading hex file ;\ exit 1; \ fi ;\ fi ;\ - cp $(BOOTLOADER).hex ../../rtl_sim/$(BOOTLOADER).hex ;\ - cd ../../rtl_sim ) + cp $(BOOTLOADER).hex $(SIM_DIR)/$(BOOTLOADER).hex ;\ + cd $(SIM_DIR) ) # Compile test code # Note : The use of ls after compile allows the computing server to sync up @@ -290,14 +372,14 @@ testcode: ifeq ($(TESTNAME),) $(error Please specify TESTNAME on the make command line) endif - @(if [ -d "../testcodes/$(TESTNAME)" ] ; then \ - cd ../testcodes/$(TESTNAME) ;\ + @(if [ -d "$(ARM_CMSDK_MCU_DIR)/testcodes/$(TESTNAME)" ] ; then \ + cd $(ARM_CMSDK_MCU_DIR)/testcodes/$(TESTNAME) ;\ make all $(SW_MAKE_OPTIONS) ; \ echo Compile done ;\ ls > /dev/null ;\ echo Copy $(TESTNAME).hex ;\ if [ -e $(TESTNAME).hex ] ; then \ - cp $(TESTNAME).hex ../../rtl_sim/image.hex ; \ + cp $(TESTNAME).hex $(SIM_DIR)/image.hex ; \ else \ while [ ! -e $(TESTNAME).hex ] ; do \ echo Wait for $(TESTNAME).hex file ...; \ @@ -305,13 +387,18 @@ endif sleep 5 ; \ done; \ if [ -e $(TESTNAME).hex ] ; then \ - cp $(TESTNAME).hex ../../rtl_sim/image.hex ; \ + cp $(TESTNAME).hex $(SIM_DIR)/image.hex ; \ else \ echo Problem reading hex file ;\ exit 1; \ fi ;\ fi ;\ - cd ../../rtl_sim ;\ + cd $(SIM_DIR) ;\ + if [ -e $(ARM_CMSDK_MCU_DIR)/rtl_sim/adp.cmd ] ; then \ + cp -p $(ARM_CMSDK_MCU_DIR)/rtl_sim/adp.cmd $(SIM_DIR)/adp.cmd ; \ + else \ + echo No adp.cmd file found ;\ + fi ;\ else \ echo "ERROR: invalid TESTNAME value ( $(TESTNAME) )" ;\ exit 1 ;\ @@ -322,13 +409,13 @@ endif # Compile debugtester # Note : The use of ls after compile allows the computing server to sync up debugtester: - @(cd ../../../software/debug_tester ;\ + @(cd $(ARM_CMSDK_SW_DIR)/debug_tester ;\ make all $(SW_MAKE_OPTIONS) ;\ echo Compile done ;\ ls > /dev/null ;\ echo Copy $(DEBUGTESTER)_le.hex ;\ if [ -e $(DEBUGTESTER)_le.hex ] ; then \ - cp $(DEBUGTESTER)_le.hex ../../systems/cortex_m0_mcu/rtl_sim/$(DEBUGTESTER)_le.hex ;\ + cp $(DEBUGTESTER)_le.hex $(SIM_DIR)/$(DEBUGTESTER)_le.hex ;\ else \ while [ ! -e $(DEBUGTESTER)_le.hex ] ; do \ echo Wait for hex file ...; \ @@ -336,12 +423,12 @@ debugtester: sleep 5 ; \ done; \ if [ -e $(DEBUGTESTER)_le.hex ] ; then \ - cp $(DEBUGTESTER)_le.hex ../../systems/cortex_m0_mcu/rtl_sim/$(DEBUGTESTER)_le.hex ;\ + cp $(DEBUGTESTER)_le.hex $(SIM_DIR)/$(DEBUGTESTER)_le.hex ;\ fi ;\ fi ;\ echo Copy $(DEBUGTESTER)_be.hex ;\ if [ -e $(DEBUGTESTER)_be.hex ] ; then \ - cp $(DEBUGTESTER)_be.hex ../../systems/cortex_m0_mcu/rtl_sim/$(DEBUGTESTER)_be.hex ;\ + cp $(DEBUGTESTER)_be.hex $(SIM_DIR)/$(DEBUGTESTER)_be.hex ;\ else \ while [ ! -e $(DEBUGTESTER)_be.hex ] ; do \ echo Wait for hex file ...;\ @@ -349,14 +436,14 @@ debugtester: sleep 5 ; \ done; \ if [ -e $(DEBUGTESTER)_be.hex ] ; then \ - cp $(DEBUGTESTER)_be.hex ../../systems/cortex_m0_mcu/rtl_sim/$(DEBUGTESTER)_be.hex ;\ + cp $(DEBUGTESTER)_be.hex $(SIM_DIR)/$(DEBUGTESTER)_be.hex ;\ fi ;\ fi ;\ if [ ! -e $(DEBUGTESTER)_le.hex ] && [ ! -e $(DEBUGTESTER)_be.hex ] ; then \ echo Problem reading hex file ;\ exit 1 ;\ fi ;\ - cd ../../systems/cortex_m0_mcu/rtl_sim ) + cd $(SIM_DIR) ) # Compile all software including boot ROM compile_all_code: bootrom debugtester @@ -382,21 +469,25 @@ compile_all_code: bootrom debugtester v2html: echo building HTML tree - @if [ ! -d ../v2html_doc ] ; then \ - mkdir ../v2html_doc; \ + @if [ ! -d $(SOCLABS_PROJECT_DIR)/html/cmsdk/build ] ; then \ + mkdir $(SOCLABS_PROJECT_DIR)/html; \ + mkdir $(SOCLABS_PROJECT_DIR)/html/cmsdk; \ + mkdir $(SOCLABS_PROJECT_DIR)/html/cmsdk/build; \ fi - @(cd ../v2html_doc; \ + @(cd $(SOCLABS_PROJECT_DIR)/html/cmsdk/build; ) rm *.html; rm *.gif; rm *.gz; \ - ~/tools/v2html -f ../verilog/v2html_M0.vc -ht cmsdk_mcu_system ; \ + cd $(ARM_CMSDK_MCU_DIR)/rtl_sim ; \ + ~/tools/v2html -o $(SOCLABS_PROJECT_DIR)/html/cmsdk/build -f ../verilog/v2html_M0.vc -ht cmsdk_mcu_system ; + @(cd $(SOCLABS_PROJECT_DIR)/html/cmsdk/build; ) cp -p tb_cmsdk_mcu.v.html hierarchy.html ; \ - cd ../rtl_sim ; ) - gtar zcvf ../v2html_doc.tgz ../v2html_doc + gtar zcvf $(SOCLABS_PROJECT_DIR)/html/cmsdk/v2html_doc.tgz $(SOCLABS_PROJECT_DIR)/html/cmsdk/build + cd $(ARM_CMSDK_MCU_DIR)/rtl_sim ; # Remove all software compilation results clean_all_code: - @(cd ../../../software/debug_tester ; make clean; cd ../../systems/cortex_m0_mcu/rtl_sim; ) - @(cd ../testcodes/$(BOOTLOADER) ; make clean; cd ../../rtl_sim; ) + @(cd $(ARM_CMSDK_SW_DIR)/debug_tester ; make clean; cd $(ARM_CMSDK_MCU_DIR)/rtl_sim; ) + @(cd $(ARM_CMSDK_MCU_DIR)/testcodes/bootloader ; make clean; cd $(ARM_CMSDK_MCU_DIR)/rtl_sim; ) for thistest in $(TEST_LIST) ; do \ echo Cleaning $$thistest ... ; \ cd ../testcodes/$$thistest ; \ @@ -406,133 +497,16 @@ clean_all_code: # Remove only bootloader and default selected test clean_code: - @(cd ../testcodes/$(BOOTLOADER) ; make clean; cd ../../rtl_sim; ) - @(cd ../testcodes/$(TESTNAME) ; make clean; cd ../../rtl_sim; ) + @(cd $(ARM_CMSDK_MCU_DIR)/testcodes/$(BOOTLOADER) ; make clean; cd $(ARM_CMSDK_MCU_DIR)/rtl_sim; ) + @(cd $(ARM_CMSDK_MCU_DIR)/testcodes/$(TESTNAME) ; make clean; cd $(ARM_CMSDK_MCU_DIR)/rtl_sim; ) # ------- clean ----------- # Remove RTL compile files, log files, software compile files clean : clean_all_code - @if [ -d work ] ; then \ - rm -rf work ; \ - fi - @if [ -e tarmac0.log ] ; then \ - rm tarmac0.log ; \ - fi - @if [ -e tarmac1.log ] ; then \ - rm tarmac1.log ; \ - fi - @if [ -e tarmac0track.log ] ; then \ - rm tarmac0track.log ; \ - fi - @if [ -e uart.log ] ; then \ - rm uart.log ; \ - fi - @if [ -e uart0.log ] ; then \ - rm uart0.log ; \ - fi - @if [ -e uart1.log ] ; then \ - rm uart1.log ; \ - fi - @if [ -e uart2.log ] ; then \ - rm uart2.log ; \ - fi - @if [ -e uart3.log ] ; then \ - rm uart3.log ; \ - fi - @if [ -e vsim.wlf ] ; then \ - rm vsim.wlf ; \ - fi - @if [ -e image.hex ] ; then \ - rm image.hex ; \ - fi - @if [ -e bootloader.hex ] ; then \ - rm bootloader.hex ; \ - fi - @if [ -e debugtester_le.hex ] ; then \ - rm debugtester_le.hex ; \ - fi - @if [ -e debugtester_be.hex ] ; then \ - rm debugtester_be.hex ; \ - fi - @if [ -e compile_mti.log ] ; then \ - rm compile_mti.log ; \ - fi - @if [ -e compile_vcs.log ] ; then \ - rm compile_vcs.log ; \ - fi - @if [ -e compile_nc.log ] ; then \ - rm compile_nc.log ; \ - fi - @if [ -e transcript ] ; then \ - rm transcript ; \ - fi - @if [ -e simv ] ; then \ - rm simv ; \ - fi - @if [ -d csrc ] ; then \ - rm -rf csrc ; \ - fi - @if [ -d simv.daidir ] ; then \ - rm -rf simv.daidir ; \ - fi - @if [ -e inter.vpd ] ; then \ - rm -rf inter.vpd ; \ - fi - @if [ -e quit.do ] ; then \ - rm -rf quit.do ; \ - fi - @if [ -e ucli.key ] ; then \ - rm -rf ucli.key ; \ - fi - @if [ -d DVEfiles ] ; then \ - rm -rf DVEfiles ; \ - fi - @if [ -d INCA_libs ] ; then \ - rm -rf INCA_libs ; \ - fi - @if [ -d cov_work ] ; then \ - rm -rf cov_work ; \ - fi - @if [ -e hdl.var ] ; then \ - rm -rf hdl.var ; \ - fi - @if [ -e ncelab.args ] ; then \ - rm -rf ncelab.args ; \ - fi - @if [ -e ncvlog.args ] ; then \ - rm -rf ncvlog.args ; \ - fi - @if [ -e ncsim.args ] ; then \ - rm -rf ncsim.args ; \ - fi - @if [ -e ncprep.log ] ; then \ - rm -rf ncprep.log ; \ - fi - @if [ -e ncelab.log ] ; then \ - rm -rf ncelab.log ; \ - fi - @if [ -e ncvlog.log ] ; then \ - rm -rf ncvlog.log ; \ - fi - @if [ -e ncsim.log ] ; then \ - rm -rf ncsim.log ; \ - fi - @if [ -e run.tcl ] ; then \ - rm -rf run.tcl ; \ - fi - @if [ -e RUN_NC ] ; then \ - rm -rf RUN_NC ; \ - fi - @if [ -e ncsim.key ] ; then \ - rm -rf ncsim.key ; \ - fi - @if [ -e cds.lib ] ; then \ - rm -rf cds.lib ; \ - fi - @if [ -e logs ] ; then \ - rm -rf logs ; \ + @if [ -e $(SIM_DIR) ] ; then \ + rm -rf $(SIM_DIR) ; \ fi - @if [ -e ../v2html_doc ] ; then \ - rm -rf ../v2html_doc ; \ + @if [ -e $(SOCLABS_PROJECT_DIR)/html/cmsdk/build ] ; then \ + rm -rf $(SOCLABS_PROJECT_DIR)/html/cmsdk/build ; \ fi diff --git a/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/rtl_sim/tarmac0.log_ref b/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/rtl_sim/tarmac0.log_ref deleted file mode 100644 index 33661eb..0000000 --- a/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/rtl_sim/tarmac0.log_ref +++ /dev/null @@ -1,206821 +0,0 @@ - 0 ns E CORTEXM0_TARMAC_LOG tb_cmsdk_mcu.u_tarmac_track - 250 ns E RESET - 370 ns MR4_D 00000000 20000368 - 370 ns R r13 20000368 (MSP) - 390 ns MR4_D 00000004 010002e1 - 410 ns R psr c1000203 - 430 ns R psr c1000200 - 430 ns MR4_I 010002e0 47804807 - 430 ns E LEAVE_ATOMIC - 430 ns R psr c1000200 - 450 ns IT 010002e0 4807 LDR r0,[pc,#28] ; [0x1000300] - 470 ns MR4_I 010002e4 47004807 - 490 ns MR4_D 01000300 010002d1 - 490 ns R r0 010002d1 - 490 ns IT 010002e2 4780 BLX r0 - 530 ns R psr c1000200 - 530 ns MR4_I 010002d0 48014902 - 530 ns R r14 010002e5 - 550 ns IT 010002d0 4902 LDR r1,[pc,#8] ; [0x10002dc] - 570 ns MR4_I 010002d4 47706008 - 590 ns MR4_D 010002dc 20000000 - 590 ns R r1 20000000 - 590 ns IT 010002d2 4801 LDR r0,[pc,#4] ; [0x10002d8] - 630 ns MR4_D 010002d8 05f5e100 - 630 ns R r0 05f5e100 - 630 ns IT 010002d4 6008 STR r0,[r1,#0] - 650 ns MR4_I 010002d8 05f5e100 - 670 ns MW4_D 20000000 05f5e100 - 670 ns IT 010002d6 4770 BX lr - 710 ns R psr c1000200 - 710 ns MR4_I 010002e4 47004807 - 730 ns IT 010002e4 4807 LDR r0,[pc,#28] ; [0x1000304] - 750 ns MR4_I 010002e8 e7fee7fe - 770 ns MR4_D 01000304 010000c1 - 770 ns R r0 010000c1 - 770 ns IT 010002e6 4700 BX r0 - 810 ns R psr c1000200 - 810 ns MR4_I 010000c0 f802f000 - 830 ns IT 010000c0 f000f802 BL {pc} + 0x8 ; 0x10000c8 - 850 ns MR4_I 010000c4 f83ef000 - 870 ns R r14 010000c5 - 890 ns MR4_I 010000c8 c830a00c - 910 ns IT 010000c8 a00c ADR r0,{pc}+0x34 ; 0x10000fc - 930 ns MR4_I 010000cc 18243808 - 930 ns R r0 010000fc - 930 ns IT 010000ca c830 LDM r0!,{r4,r5} - 950 ns R r0 01000104 - 970 ns MR4_D 010000fc 00000288 - 970 ns R r4 00000288 - 990 ns MR4_D 01000100 000002a8 - 990 ns R r5 000002a8 - 990 ns IT 010000cc 3808 SUBS r0,r0,#8 - 1010 ns MR4_I 010000d0 46a2182d - 1010 ns R r0 010000fc - 1010 ns IT 010000ce 1824 ADDS r4,r4,r0 - 1030 ns R psr 21000200 - 1030 ns R r4 01000384 - 1030 ns IT 010000d0 182d ADDS r5,r5,r0 - 1050 ns R psr 01000200 - 1050 ns MR4_I 010000d4 46ab1e67 - 1050 ns R r5 010003a4 - 1050 ns IT 010000d2 46a2 MOV r10,r4 - 1070 ns R psr 01000200 - 1070 ns R r10 01000384 - 1070 ns IT 010000d4 1e67 SUBS r7,r4,#1 - 1090 ns MR4_I 010000d8 465d4654 - 1090 ns R r7 01000383 - 1090 ns IT 010000d6 46ab MOV r11,r5 - 1110 ns R psr 21000200 - 1110 ns R r11 010003a4 - 1110 ns IT 010000d8 4654 MOV r4,r10 - 1130 ns MR4_I 010000dc d10142ac - 1130 ns R r4 01000384 - 1130 ns IT 010000da 465d MOV r5,r11 - 1150 ns R r5 010003a4 - 1150 ns IT 010000dc 42ac CMP r4,r5 - 1170 ns MR4_I 010000e0 f830f000 - 1170 ns IT 010000de d101 BNE {pc} + 0x6 ; 0x10000e4 - 1190 ns R psr 81000200 - 1210 ns MR4_I 010000e4 3e0f467e - 1230 ns IT 010000e4 467e MOV r6,pc - 1250 ns MR4_I 010000e8 46b6cc0f - 1250 ns R r6 010000e8 - 1250 ns IT 010000e6 3e0f SUBS r6,r6,#0xf - 1270 ns R r6 010000d9 - 1270 ns IT 010000e8 cc0f LDM r4!,{r0-r3} - 1290 ns R psr 21000200 - 1290 ns MR4_I 010000ec 42332601 - 1290 ns R r4 01000394 - 1310 ns MR4_D 01000384 010003a4 - 1310 ns R r0 010003a4 - 1330 ns MR4_D 01000388 20000000 - 1330 ns R r1 20000000 - 1350 ns MR4_D 0100038c 00000004 - 1350 ns R r2 00000004 - 1370 ns MR4_D 01000390 01000104 - 1370 ns R r3 01000104 - 1370 ns IT 010000ea 46b6 MOV lr,r6 - 1390 ns R r14 010000d9 - 1390 ns IT 010000ec 2601 MOVS r6,#1 - 1410 ns MR4_I 010000f0 1afbd000 - 1410 ns R r6 00000001 - 1410 ns IT 010000ee 4233 TST r3,r6 - 1430 ns R psr 21000200 - 1430 ns IT 010000f0 d000 BEQ {pc} + 0x4 ; 0x10000f4 - 1450 ns R psr 61000200 - 1450 ns MR4_I 010000f4 46ab46a2 - 1470 ns MR4_I 010000f4 46ab46a2 - 1490 ns IT 010000f4 46a2 MOV r10,r4 - 1510 ns MR4_I 010000f8 47184333 - 1510 ns R r10 01000394 - 1510 ns IT 010000f6 46ab MOV r11,r5 - 1530 ns R r11 010003a4 - 1530 ns IT 010000f8 4333 ORRS r3,r3,r6 - 1550 ns MR4_I 010000fc 00000288 - 1550 ns R r3 01000105 - 1550 ns IT 010000fa 4718 BX r3 - 1570 ns R psr 21000200 - 1590 ns R psr 21000200 - 1590 ns MR4_I 01000104 d3023a10 - 1610 ns IT 01000104 3a10 SUBS r2,r2,#0x10 - 1630 ns MR4_I 01000108 c178c878 - 1630 ns R r2 fffffff4 - 1630 ns IT 01000106 d302 BCC {pc} + 0x8 ; 0x100010e - 1650 ns R psr 81000200 - 1670 ns MR4_I 0100010c 0752d8fa - 1690 ns MR4_I 01000110 c830d301 - 1690 ns IT 0100010e 0752 LSLS r2,r2,#29 - 1710 ns R r2 80000000 - 1710 ns IT 01000110 d301 BCC {pc} + 0x6 ; 0x1000116 - 1730 ns R psr 81000200 - 1730 ns MR4_I 01000114 d501c130 - 1750 ns MR4_I 01000114 d501c130 - 1770 ns MR4_I 01000118 600c6804 - 1770 ns IS 01000116 d501 BPL {pc} + 0x6 ; 0x100011c - 1790 ns IT 01000118 6804 LDR r4,[r0,#0] - 1810 ns MR4_I 0100011c 00004770 - 1830 ns MR4_D 010003a4 05f5e100 - 1830 ns R r4 05f5e100 - 1830 ns IT 0100011a 600c STR r4,[r1,#0] - 1870 ns MW4_D 20000000 05f5e100 - 1870 ns IT 0100011c 4770 BX lr - 1890 ns MR4_I 01000120 24002300 - 1910 ns R psr 81000200 - 1910 ns MR4_I 010000d8 465d4654 - 1930 ns IT 010000d8 4654 MOV r4,r10 - 1950 ns MR4_I 010000dc d10142ac - 1950 ns R r4 01000394 - 1950 ns IT 010000da 465d MOV r5,r11 - 1970 ns R r5 010003a4 - 1970 ns IT 010000dc 42ac CMP r4,r5 - 1990 ns MR4_I 010000e0 f830f000 - 1990 ns IT 010000de d101 BNE {pc} + 0x6 ; 0x10000e4 - 2010 ns R psr 81000200 - 2030 ns MR4_I 010000e4 3e0f467e - 2050 ns IT 010000e4 467e MOV r6,pc - 2070 ns MR4_I 010000e8 46b6cc0f - 2070 ns R r6 010000e8 - 2070 ns IT 010000e6 3e0f SUBS r6,r6,#0xf - 2090 ns R r6 010000d9 - 2090 ns IT 010000e8 cc0f LDM r4!,{r0-r3} - 2110 ns R psr 21000200 - 2110 ns MR4_I 010000ec 42332601 - 2110 ns R r4 010003a4 - 2130 ns MR4_D 01000394 010003a8 - 2130 ns R r0 010003a8 - 2150 ns MR4_D 01000398 20000004 - 2150 ns R r1 20000004 - 2170 ns MR4_D 0100039c 00000364 - 2170 ns R r2 00000364 - 2190 ns MR4_D 010003a0 01000120 - 2190 ns R r3 01000120 - 2190 ns IT 010000ea 46b6 MOV lr,r6 - 2210 ns R r14 010000d9 - 2210 ns IT 010000ec 2601 MOVS r6,#1 - 2230 ns MR4_I 010000f0 1afbd000 - 2230 ns R r6 00000001 - 2230 ns IT 010000ee 4233 TST r3,r6 - 2250 ns R psr 21000200 - 2250 ns IT 010000f0 d000 BEQ {pc} + 0x4 ; 0x10000f4 - 2270 ns R psr 61000200 - 2270 ns MR4_I 010000f4 46ab46a2 - 2290 ns MR4_I 010000f4 46ab46a2 - 2310 ns IT 010000f4 46a2 MOV r10,r4 - 2330 ns MR4_I 010000f8 47184333 - 2330 ns R r10 010003a4 - 2330 ns IT 010000f6 46ab MOV r11,r5 - 2350 ns R r11 010003a4 - 2350 ns IT 010000f8 4333 ORRS r3,r3,r6 - 2370 ns MR4_I 010000fc 00000288 - 2370 ns R r3 01000121 - 2370 ns IT 010000fa 4718 BX r3 - 2390 ns R psr 21000200 - 2410 ns R psr 21000200 - 2410 ns MR4_I 01000120 24002300 - 2430 ns IT 01000120 2300 MOVS r3,#0 - 2450 ns MR4_I 01000124 26002500 - 2450 ns R r3 00000000 - 2450 ns IT 01000122 2400 MOVS r4,#0 - 2470 ns R psr 61000200 - 2470 ns R r4 00000000 - 2470 ns IT 01000124 2500 MOVS r5,#0 - 2490 ns R psr 61000200 - 2490 ns MR4_I 01000128 d3013a10 - 2490 ns R r5 00000000 - 2490 ns IT 01000126 2600 MOVS r6,#0 - 2510 ns R psr 61000200 - 2510 ns R r6 00000000 - 2510 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 2530 ns R psr 61000200 - 2530 ns MR4_I 0100012c d8fbc178 - 2530 ns R r2 00000354 - 2530 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 2550 ns R psr 21000200 - 2550 ns IT 0100012c c178 STM r1!,{r3-r6} - 2570 ns MR4_I 01000130 d3000752 - 2590 ns MW4_D 20000004 00000000 - 2610 ns MW4_D 20000008 00000000 - 2630 ns MW4_D 2000000c 00000000 - 2650 ns MW4_D 20000010 00000000 - 2650 ns R r1 20000014 - 2650 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 2690 ns MR4_I 01000128 d3013a10 - 2710 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 2730 ns MR4_I 0100012c d8fbc178 - 2730 ns R r2 00000344 - 2730 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 2750 ns R psr 21000200 - 2750 ns IT 0100012c c178 STM r1!,{r3-r6} - 2770 ns MR4_I 01000130 d3000752 - 2790 ns MW4_D 20000014 00000000 - 2810 ns MW4_D 20000018 00000000 - 2830 ns MW4_D 2000001c 00000000 - 2850 ns MW4_D 20000020 00000000 - 2850 ns R r1 20000024 - 2850 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 2890 ns MR4_I 01000128 d3013a10 - 2910 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 2930 ns MR4_I 0100012c d8fbc178 - 2930 ns R r2 00000334 - 2930 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 2950 ns R psr 21000200 - 2950 ns IT 0100012c c178 STM r1!,{r3-r6} - 2970 ns MR4_I 01000130 d3000752 - 2990 ns MW4_D 20000024 00000000 - 3010 ns MW4_D 20000028 00000000 - 3030 ns MW4_D 2000002c 00000000 - 3050 ns MW4_D 20000030 00000000 - 3050 ns R r1 20000034 - 3050 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 3090 ns MR4_I 01000128 d3013a10 - 3110 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 3130 ns MR4_I 0100012c d8fbc178 - 3130 ns R r2 00000324 - 3130 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 3150 ns R psr 21000200 - 3150 ns IT 0100012c c178 STM r1!,{r3-r6} - 3170 ns MR4_I 01000130 d3000752 - 3190 ns MW4_D 20000034 00000000 - 3210 ns MW4_D 20000038 00000000 - 3230 ns MW4_D 2000003c 00000000 - 3250 ns MW4_D 20000040 00000000 - 3250 ns R r1 20000044 - 3250 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 3290 ns MR4_I 01000128 d3013a10 - 3310 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 3330 ns MR4_I 0100012c d8fbc178 - 3330 ns R r2 00000314 - 3330 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 3350 ns R psr 21000200 - 3350 ns IT 0100012c c178 STM r1!,{r3-r6} - 3370 ns MR4_I 01000130 d3000752 - 3390 ns MW4_D 20000044 00000000 - 3410 ns MW4_D 20000048 00000000 - 3430 ns MW4_D 2000004c 00000000 - 3450 ns MW4_D 20000050 00000000 - 3450 ns R r1 20000054 - 3450 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 3490 ns MR4_I 01000128 d3013a10 - 3510 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 3530 ns MR4_I 0100012c d8fbc178 - 3530 ns R r2 00000304 - 3530 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 3550 ns R psr 21000200 - 3550 ns IT 0100012c c178 STM r1!,{r3-r6} - 3570 ns MR4_I 01000130 d3000752 - 3590 ns MW4_D 20000054 00000000 - 3610 ns MW4_D 20000058 00000000 - 3630 ns MW4_D 2000005c 00000000 - 3650 ns MW4_D 20000060 00000000 - 3650 ns R r1 20000064 - 3650 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 3690 ns MR4_I 01000128 d3013a10 - 3710 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 3730 ns MR4_I 0100012c d8fbc178 - 3730 ns R r2 000002f4 - 3730 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 3750 ns R psr 21000200 - 3750 ns IT 0100012c c178 STM r1!,{r3-r6} - 3770 ns MR4_I 01000130 d3000752 - 3790 ns MW4_D 20000064 00000000 - 3810 ns MW4_D 20000068 00000000 - 3830 ns MW4_D 2000006c 00000000 - 3850 ns MW4_D 20000070 00000000 - 3850 ns R r1 20000074 - 3850 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 3890 ns MR4_I 01000128 d3013a10 - 3910 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 3930 ns MR4_I 0100012c d8fbc178 - 3930 ns R r2 000002e4 - 3930 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 3950 ns R psr 21000200 - 3950 ns IT 0100012c c178 STM r1!,{r3-r6} - 3970 ns MR4_I 01000130 d3000752 - 3990 ns MW4_D 20000074 00000000 - 4010 ns MW4_D 20000078 00000000 - 4030 ns MW4_D 2000007c 00000000 - 4050 ns MW4_D 20000080 00000000 - 4050 ns R r1 20000084 - 4050 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 4090 ns MR4_I 01000128 d3013a10 - 4110 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 4130 ns MR4_I 0100012c d8fbc178 - 4130 ns R r2 000002d4 - 4130 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 4150 ns R psr 21000200 - 4150 ns IT 0100012c c178 STM r1!,{r3-r6} - 4170 ns MR4_I 01000130 d3000752 - 4190 ns MW4_D 20000084 00000000 - 4210 ns MW4_D 20000088 00000000 - 4230 ns MW4_D 2000008c 00000000 - 4250 ns MW4_D 20000090 00000000 - 4250 ns R r1 20000094 - 4250 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 4290 ns MR4_I 01000128 d3013a10 - 4310 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 4330 ns MR4_I 0100012c d8fbc178 - 4330 ns R r2 000002c4 - 4330 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 4350 ns R psr 21000200 - 4350 ns IT 0100012c c178 STM r1!,{r3-r6} - 4370 ns MR4_I 01000130 d3000752 - 4390 ns MW4_D 20000094 00000000 - 4410 ns MW4_D 20000098 00000000 - 4430 ns MW4_D 2000009c 00000000 - 4450 ns MW4_D 200000a0 00000000 - 4450 ns R r1 200000a4 - 4450 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 4490 ns MR4_I 01000128 d3013a10 - 4510 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 4530 ns MR4_I 0100012c d8fbc178 - 4530 ns R r2 000002b4 - 4530 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 4550 ns R psr 21000200 - 4550 ns IT 0100012c c178 STM r1!,{r3-r6} - 4570 ns MR4_I 01000130 d3000752 - 4590 ns MW4_D 200000a4 00000000 - 4610 ns MW4_D 200000a8 00000000 - 4630 ns MW4_D 200000ac 00000000 - 4650 ns MW4_D 200000b0 00000000 - 4650 ns R r1 200000b4 - 4650 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 4690 ns MR4_I 01000128 d3013a10 - 4710 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 4730 ns MR4_I 0100012c d8fbc178 - 4730 ns R r2 000002a4 - 4730 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 4750 ns R psr 21000200 - 4750 ns IT 0100012c c178 STM r1!,{r3-r6} - 4770 ns MR4_I 01000130 d3000752 - 4790 ns MW4_D 200000b4 00000000 - 4810 ns MW4_D 200000b8 00000000 - 4830 ns MW4_D 200000bc 00000000 - 4850 ns MW4_D 200000c0 00000000 - 4850 ns R r1 200000c4 - 4850 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 4890 ns MR4_I 01000128 d3013a10 - 4910 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 4930 ns MR4_I 0100012c d8fbc178 - 4930 ns R r2 00000294 - 4930 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 4950 ns R psr 21000200 - 4950 ns IT 0100012c c178 STM r1!,{r3-r6} - 4970 ns MR4_I 01000130 d3000752 - 4990 ns MW4_D 200000c4 00000000 - 5010 ns MW4_D 200000c8 00000000 - 5030 ns MW4_D 200000cc 00000000 - 5050 ns MW4_D 200000d0 00000000 - 5050 ns R r1 200000d4 - 5050 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 5090 ns MR4_I 01000128 d3013a10 - 5110 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 5130 ns MR4_I 0100012c d8fbc178 - 5130 ns R r2 00000284 - 5130 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 5150 ns R psr 21000200 - 5150 ns IT 0100012c c178 STM r1!,{r3-r6} - 5170 ns MR4_I 01000130 d3000752 - 5190 ns MW4_D 200000d4 00000000 - 5210 ns MW4_D 200000d8 00000000 - 5230 ns MW4_D 200000dc 00000000 - 5250 ns MW4_D 200000e0 00000000 - 5250 ns R r1 200000e4 - 5250 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 5290 ns MR4_I 01000128 d3013a10 - 5310 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 5330 ns MR4_I 0100012c d8fbc178 - 5330 ns R r2 00000274 - 5330 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 5350 ns R psr 21000200 - 5350 ns IT 0100012c c178 STM r1!,{r3-r6} - 5370 ns MR4_I 01000130 d3000752 - 5390 ns MW4_D 200000e4 00000000 - 5410 ns MW4_D 200000e8 00000000 - 5430 ns MW4_D 200000ec 00000000 - 5450 ns MW4_D 200000f0 00000000 - 5450 ns R r1 200000f4 - 5450 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 5490 ns MR4_I 01000128 d3013a10 - 5510 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 5530 ns MR4_I 0100012c d8fbc178 - 5530 ns R r2 00000264 - 5530 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 5550 ns R psr 21000200 - 5550 ns IT 0100012c c178 STM r1!,{r3-r6} - 5570 ns MR4_I 01000130 d3000752 - 5590 ns MW4_D 200000f4 00000000 - 5610 ns MW4_D 200000f8 00000000 - 5630 ns MW4_D 200000fc 00000000 - 5650 ns MW4_D 20000100 00000000 - 5650 ns R r1 20000104 - 5650 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 5690 ns MR4_I 01000128 d3013a10 - 5710 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 5730 ns MR4_I 0100012c d8fbc178 - 5730 ns R r2 00000254 - 5730 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 5750 ns R psr 21000200 - 5750 ns IT 0100012c c178 STM r1!,{r3-r6} - 5770 ns MR4_I 01000130 d3000752 - 5790 ns MW4_D 20000104 00000000 - 5810 ns MW4_D 20000108 00000000 - 5830 ns MW4_D 2000010c 00000000 - 5850 ns MW4_D 20000110 00000000 - 5850 ns R r1 20000114 - 5850 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 5890 ns MR4_I 01000128 d3013a10 - 5910 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 5930 ns MR4_I 0100012c d8fbc178 - 5930 ns R r2 00000244 - 5930 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 5950 ns R psr 21000200 - 5950 ns IT 0100012c c178 STM r1!,{r3-r6} - 5970 ns MR4_I 01000130 d3000752 - 5990 ns MW4_D 20000114 00000000 - 6010 ns MW4_D 20000118 00000000 - 6030 ns MW4_D 2000011c 00000000 - 6050 ns MW4_D 20000120 00000000 - 6050 ns R r1 20000124 - 6050 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 6090 ns MR4_I 01000128 d3013a10 - 6110 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 6130 ns MR4_I 0100012c d8fbc178 - 6130 ns R r2 00000234 - 6130 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 6150 ns R psr 21000200 - 6150 ns IT 0100012c c178 STM r1!,{r3-r6} - 6170 ns MR4_I 01000130 d3000752 - 6190 ns MW4_D 20000124 00000000 - 6210 ns MW4_D 20000128 00000000 - 6230 ns MW4_D 2000012c 00000000 - 6250 ns MW4_D 20000130 00000000 - 6250 ns R r1 20000134 - 6250 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 6290 ns MR4_I 01000128 d3013a10 - 6310 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 6330 ns MR4_I 0100012c d8fbc178 - 6330 ns R r2 00000224 - 6330 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 6350 ns R psr 21000200 - 6350 ns IT 0100012c c178 STM r1!,{r3-r6} - 6370 ns MR4_I 01000130 d3000752 - 6390 ns MW4_D 20000134 00000000 - 6410 ns MW4_D 20000138 00000000 - 6430 ns MW4_D 2000013c 00000000 - 6450 ns MW4_D 20000140 00000000 - 6450 ns R r1 20000144 - 6450 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 6490 ns MR4_I 01000128 d3013a10 - 6510 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 6530 ns MR4_I 0100012c d8fbc178 - 6530 ns R r2 00000214 - 6530 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 6550 ns R psr 21000200 - 6550 ns IT 0100012c c178 STM r1!,{r3-r6} - 6570 ns MR4_I 01000130 d3000752 - 6590 ns MW4_D 20000144 00000000 - 6610 ns MW4_D 20000148 00000000 - 6630 ns MW4_D 2000014c 00000000 - 6650 ns MW4_D 20000150 00000000 - 6650 ns R r1 20000154 - 6650 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 6690 ns MR4_I 01000128 d3013a10 - 6710 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 6730 ns MR4_I 0100012c d8fbc178 - 6730 ns R r2 00000204 - 6730 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 6750 ns R psr 21000200 - 6750 ns IT 0100012c c178 STM r1!,{r3-r6} - 6770 ns MR4_I 01000130 d3000752 - 6790 ns MW4_D 20000154 00000000 - 6810 ns MW4_D 20000158 00000000 - 6830 ns MW4_D 2000015c 00000000 - 6850 ns MW4_D 20000160 00000000 - 6850 ns R r1 20000164 - 6850 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 6890 ns MR4_I 01000128 d3013a10 - 6910 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 6930 ns MR4_I 0100012c d8fbc178 - 6930 ns R r2 000001f4 - 6930 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 6950 ns R psr 21000200 - 6950 ns IT 0100012c c178 STM r1!,{r3-r6} - 6970 ns MR4_I 01000130 d3000752 - 6990 ns MW4_D 20000164 00000000 - 7010 ns MW4_D 20000168 00000000 - 7030 ns MW4_D 2000016c 00000000 - 7050 ns MW4_D 20000170 00000000 - 7050 ns R r1 20000174 - 7050 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 7090 ns MR4_I 01000128 d3013a10 - 7110 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 7130 ns MR4_I 0100012c d8fbc178 - 7130 ns R r2 000001e4 - 7130 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 7150 ns R psr 21000200 - 7150 ns IT 0100012c c178 STM r1!,{r3-r6} - 7170 ns MR4_I 01000130 d3000752 - 7190 ns MW4_D 20000174 00000000 - 7210 ns MW4_D 20000178 00000000 - 7230 ns MW4_D 2000017c 00000000 - 7250 ns MW4_D 20000180 00000000 - 7250 ns R r1 20000184 - 7250 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 7290 ns MR4_I 01000128 d3013a10 - 7310 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 7330 ns MR4_I 0100012c d8fbc178 - 7330 ns R r2 000001d4 - 7330 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 7350 ns R psr 21000200 - 7350 ns IT 0100012c c178 STM r1!,{r3-r6} - 7370 ns MR4_I 01000130 d3000752 - 7390 ns MW4_D 20000184 00000000 - 7410 ns MW4_D 20000188 00000000 - 7430 ns MW4_D 2000018c 00000000 - 7450 ns MW4_D 20000190 00000000 - 7450 ns R r1 20000194 - 7450 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 7490 ns MR4_I 01000128 d3013a10 - 7510 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 7530 ns MR4_I 0100012c d8fbc178 - 7530 ns R r2 000001c4 - 7530 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 7550 ns R psr 21000200 - 7550 ns IT 0100012c c178 STM r1!,{r3-r6} - 7570 ns MR4_I 01000130 d3000752 - 7590 ns MW4_D 20000194 00000000 - 7610 ns MW4_D 20000198 00000000 - 7630 ns MW4_D 2000019c 00000000 - 7650 ns MW4_D 200001a0 00000000 - 7650 ns R r1 200001a4 - 7650 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 7690 ns MR4_I 01000128 d3013a10 - 7710 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 7730 ns MR4_I 0100012c d8fbc178 - 7730 ns R r2 000001b4 - 7730 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 7750 ns R psr 21000200 - 7750 ns IT 0100012c c178 STM r1!,{r3-r6} - 7770 ns MR4_I 01000130 d3000752 - 7790 ns MW4_D 200001a4 00000000 - 7810 ns MW4_D 200001a8 00000000 - 7830 ns MW4_D 200001ac 00000000 - 7850 ns MW4_D 200001b0 00000000 - 7850 ns R r1 200001b4 - 7850 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 7890 ns MR4_I 01000128 d3013a10 - 7910 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 7930 ns MR4_I 0100012c d8fbc178 - 7930 ns R r2 000001a4 - 7930 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 7950 ns R psr 21000200 - 7950 ns IT 0100012c c178 STM r1!,{r3-r6} - 7970 ns MR4_I 01000130 d3000752 - 7990 ns MW4_D 200001b4 00000000 - 8010 ns MW4_D 200001b8 00000000 - 8030 ns MW4_D 200001bc 00000000 - 8050 ns MW4_D 200001c0 00000000 - 8050 ns R r1 200001c4 - 8050 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 8090 ns MR4_I 01000128 d3013a10 - 8110 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 8130 ns MR4_I 0100012c d8fbc178 - 8130 ns R r2 00000194 - 8130 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 8150 ns R psr 21000200 - 8150 ns IT 0100012c c178 STM r1!,{r3-r6} - 8170 ns MR4_I 01000130 d3000752 - 8190 ns MW4_D 200001c4 00000000 - 8210 ns MW4_D 200001c8 00000000 - 8230 ns MW4_D 200001cc 00000000 - 8250 ns MW4_D 200001d0 00000000 - 8250 ns R r1 200001d4 - 8250 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 8290 ns MR4_I 01000128 d3013a10 - 8310 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 8330 ns MR4_I 0100012c d8fbc178 - 8330 ns R r2 00000184 - 8330 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 8350 ns R psr 21000200 - 8350 ns IT 0100012c c178 STM r1!,{r3-r6} - 8370 ns MR4_I 01000130 d3000752 - 8390 ns MW4_D 200001d4 00000000 - 8410 ns MW4_D 200001d8 00000000 - 8430 ns MW4_D 200001dc 00000000 - 8450 ns MW4_D 200001e0 00000000 - 8450 ns R r1 200001e4 - 8450 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 8490 ns MR4_I 01000128 d3013a10 - 8510 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 8530 ns MR4_I 0100012c d8fbc178 - 8530 ns R r2 00000174 - 8530 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 8550 ns R psr 21000200 - 8550 ns IT 0100012c c178 STM r1!,{r3-r6} - 8570 ns MR4_I 01000130 d3000752 - 8590 ns MW4_D 200001e4 00000000 - 8610 ns MW4_D 200001e8 00000000 - 8630 ns MW4_D 200001ec 00000000 - 8650 ns MW4_D 200001f0 00000000 - 8650 ns R r1 200001f4 - 8650 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 8690 ns MR4_I 01000128 d3013a10 - 8710 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 8730 ns MR4_I 0100012c d8fbc178 - 8730 ns R r2 00000164 - 8730 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 8750 ns R psr 21000200 - 8750 ns IT 0100012c c178 STM r1!,{r3-r6} - 8770 ns MR4_I 01000130 d3000752 - 8790 ns MW4_D 200001f4 00000000 - 8810 ns MW4_D 200001f8 00000000 - 8830 ns MW4_D 200001fc 00000000 - 8850 ns MW4_D 20000200 00000000 - 8850 ns R r1 20000204 - 8850 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 8890 ns MR4_I 01000128 d3013a10 - 8910 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 8930 ns MR4_I 0100012c d8fbc178 - 8930 ns R r2 00000154 - 8930 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 8950 ns R psr 21000200 - 8950 ns IT 0100012c c178 STM r1!,{r3-r6} - 8970 ns MR4_I 01000130 d3000752 - 8990 ns MW4_D 20000204 00000000 - 9010 ns MW4_D 20000208 00000000 - 9030 ns MW4_D 2000020c 00000000 - 9050 ns MW4_D 20000210 00000000 - 9050 ns R r1 20000214 - 9050 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 9090 ns MR4_I 01000128 d3013a10 - 9110 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 9130 ns MR4_I 0100012c d8fbc178 - 9130 ns R r2 00000144 - 9130 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 9150 ns R psr 21000200 - 9150 ns IT 0100012c c178 STM r1!,{r3-r6} - 9170 ns MR4_I 01000130 d3000752 - 9190 ns MW4_D 20000214 00000000 - 9210 ns MW4_D 20000218 00000000 - 9230 ns MW4_D 2000021c 00000000 - 9250 ns MW4_D 20000220 00000000 - 9250 ns R r1 20000224 - 9250 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 9290 ns MR4_I 01000128 d3013a10 - 9310 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 9330 ns MR4_I 0100012c d8fbc178 - 9330 ns R r2 00000134 - 9330 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 9350 ns R psr 21000200 - 9350 ns IT 0100012c c178 STM r1!,{r3-r6} - 9370 ns MR4_I 01000130 d3000752 - 9390 ns MW4_D 20000224 00000000 - 9410 ns MW4_D 20000228 00000000 - 9430 ns MW4_D 2000022c 00000000 - 9450 ns MW4_D 20000230 00000000 - 9450 ns R r1 20000234 - 9450 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 9490 ns MR4_I 01000128 d3013a10 - 9510 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 9530 ns MR4_I 0100012c d8fbc178 - 9530 ns R r2 00000124 - 9530 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 9550 ns R psr 21000200 - 9550 ns IT 0100012c c178 STM r1!,{r3-r6} - 9570 ns MR4_I 01000130 d3000752 - 9590 ns MW4_D 20000234 00000000 - 9610 ns MW4_D 20000238 00000000 - 9630 ns MW4_D 2000023c 00000000 - 9650 ns MW4_D 20000240 00000000 - 9650 ns R r1 20000244 - 9650 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 9690 ns MR4_I 01000128 d3013a10 - 9710 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 9730 ns MR4_I 0100012c d8fbc178 - 9730 ns R r2 00000114 - 9730 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 9750 ns R psr 21000200 - 9750 ns IT 0100012c c178 STM r1!,{r3-r6} - 9770 ns MR4_I 01000130 d3000752 - 9790 ns MW4_D 20000244 00000000 - 9810 ns MW4_D 20000248 00000000 - 9830 ns MW4_D 2000024c 00000000 - 9850 ns MW4_D 20000250 00000000 - 9850 ns R r1 20000254 - 9850 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 9890 ns MR4_I 01000128 d3013a10 - 9910 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 9930 ns MR4_I 0100012c d8fbc178 - 9930 ns R r2 00000104 - 9930 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 9950 ns R psr 21000200 - 9950 ns IT 0100012c c178 STM r1!,{r3-r6} - 9970 ns MR4_I 01000130 d3000752 - 9990 ns MW4_D 20000254 00000000 - 10010 ns MW4_D 20000258 00000000 - 10030 ns MW4_D 2000025c 00000000 - 10050 ns MW4_D 20000260 00000000 - 10050 ns R r1 20000264 - 10050 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 10090 ns MR4_I 01000128 d3013a10 - 10110 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 10130 ns MR4_I 0100012c d8fbc178 - 10130 ns R r2 000000f4 - 10130 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 10150 ns R psr 21000200 - 10150 ns IT 0100012c c178 STM r1!,{r3-r6} - 10170 ns MR4_I 01000130 d3000752 - 10190 ns MW4_D 20000264 00000000 - 10210 ns MW4_D 20000268 00000000 - 10230 ns MW4_D 2000026c 00000000 - 10250 ns MW4_D 20000270 00000000 - 10250 ns R r1 20000274 - 10250 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 10290 ns MR4_I 01000128 d3013a10 - 10310 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 10330 ns MR4_I 0100012c d8fbc178 - 10330 ns R r2 000000e4 - 10330 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 10350 ns R psr 21000200 - 10350 ns IT 0100012c c178 STM r1!,{r3-r6} - 10370 ns MR4_I 01000130 d3000752 - 10390 ns MW4_D 20000274 00000000 - 10410 ns MW4_D 20000278 00000000 - 10430 ns MW4_D 2000027c 00000000 - 10450 ns MW4_D 20000280 00000000 - 10450 ns R r1 20000284 - 10450 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 10490 ns MR4_I 01000128 d3013a10 - 10510 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 10530 ns MR4_I 0100012c d8fbc178 - 10530 ns R r2 000000d4 - 10530 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 10550 ns R psr 21000200 - 10550 ns IT 0100012c c178 STM r1!,{r3-r6} - 10570 ns MR4_I 01000130 d3000752 - 10590 ns MW4_D 20000284 00000000 - 10610 ns MW4_D 20000288 00000000 - 10630 ns MW4_D 2000028c 00000000 - 10650 ns MW4_D 20000290 00000000 - 10650 ns R r1 20000294 - 10650 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 10690 ns MR4_I 01000128 d3013a10 - 10710 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 10730 ns MR4_I 0100012c d8fbc178 - 10730 ns R r2 000000c4 - 10730 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 10750 ns R psr 21000200 - 10750 ns IT 0100012c c178 STM r1!,{r3-r6} - 10770 ns MR4_I 01000130 d3000752 - 10790 ns MW4_D 20000294 00000000 - 10810 ns MW4_D 20000298 00000000 - 10830 ns MW4_D 2000029c 00000000 - 10850 ns MW4_D 200002a0 00000000 - 10850 ns R r1 200002a4 - 10850 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 10890 ns MR4_I 01000128 d3013a10 - 10910 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 10930 ns MR4_I 0100012c d8fbc178 - 10930 ns R r2 000000b4 - 10930 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 10950 ns R psr 21000200 - 10950 ns IT 0100012c c178 STM r1!,{r3-r6} - 10970 ns MR4_I 01000130 d3000752 - 10990 ns MW4_D 200002a4 00000000 - 11010 ns MW4_D 200002a8 00000000 - 11030 ns MW4_D 200002ac 00000000 - 11050 ns MW4_D 200002b0 00000000 - 11050 ns R r1 200002b4 - 11050 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 11090 ns MR4_I 01000128 d3013a10 - 11110 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 11130 ns MR4_I 0100012c d8fbc178 - 11130 ns R r2 000000a4 - 11130 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 11150 ns R psr 21000200 - 11150 ns IT 0100012c c178 STM r1!,{r3-r6} - 11170 ns MR4_I 01000130 d3000752 - 11190 ns MW4_D 200002b4 00000000 - 11210 ns MW4_D 200002b8 00000000 - 11230 ns MW4_D 200002bc 00000000 - 11250 ns MW4_D 200002c0 00000000 - 11250 ns R r1 200002c4 - 11250 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 11290 ns MR4_I 01000128 d3013a10 - 11310 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 11330 ns MR4_I 0100012c d8fbc178 - 11330 ns R r2 00000094 - 11330 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 11350 ns R psr 21000200 - 11350 ns IT 0100012c c178 STM r1!,{r3-r6} - 11370 ns MR4_I 01000130 d3000752 - 11390 ns MW4_D 200002c4 00000000 - 11410 ns MW4_D 200002c8 00000000 - 11430 ns MW4_D 200002cc 00000000 - 11450 ns MW4_D 200002d0 00000000 - 11450 ns R r1 200002d4 - 11450 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 11490 ns MR4_I 01000128 d3013a10 - 11510 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 11530 ns MR4_I 0100012c d8fbc178 - 11530 ns R r2 00000084 - 11530 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 11550 ns R psr 21000200 - 11550 ns IT 0100012c c178 STM r1!,{r3-r6} - 11570 ns MR4_I 01000130 d3000752 - 11590 ns MW4_D 200002d4 00000000 - 11610 ns MW4_D 200002d8 00000000 - 11630 ns MW4_D 200002dc 00000000 - 11650 ns MW4_D 200002e0 00000000 - 11650 ns R r1 200002e4 - 11650 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 11690 ns MR4_I 01000128 d3013a10 - 11710 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 11730 ns MR4_I 0100012c d8fbc178 - 11730 ns R r2 00000074 - 11730 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 11750 ns R psr 21000200 - 11750 ns IT 0100012c c178 STM r1!,{r3-r6} - 11770 ns MR4_I 01000130 d3000752 - 11790 ns MW4_D 200002e4 00000000 - 11810 ns MW4_D 200002e8 00000000 - 11830 ns MW4_D 200002ec 00000000 - 11850 ns MW4_D 200002f0 00000000 - 11850 ns R r1 200002f4 - 11850 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 11890 ns MR4_I 01000128 d3013a10 - 11910 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 11930 ns MR4_I 0100012c d8fbc178 - 11930 ns R r2 00000064 - 11930 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 11950 ns R psr 21000200 - 11950 ns IT 0100012c c178 STM r1!,{r3-r6} - 11970 ns MR4_I 01000130 d3000752 - 11990 ns MW4_D 200002f4 00000000 - 12010 ns MW4_D 200002f8 00000000 - 12030 ns MW4_D 200002fc 00000000 - 12050 ns MW4_D 20000300 00000000 - 12050 ns R r1 20000304 - 12050 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 12090 ns MR4_I 01000128 d3013a10 - 12110 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 12130 ns MR4_I 0100012c d8fbc178 - 12130 ns R r2 00000054 - 12130 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 12150 ns R psr 21000200 - 12150 ns IT 0100012c c178 STM r1!,{r3-r6} - 12170 ns MR4_I 01000130 d3000752 - 12190 ns MW4_D 20000304 00000000 - 12210 ns MW4_D 20000308 00000000 - 12230 ns MW4_D 2000030c 00000000 - 12250 ns MW4_D 20000310 00000000 - 12250 ns R r1 20000314 - 12250 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 12290 ns MR4_I 01000128 d3013a10 - 12310 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 12330 ns MR4_I 0100012c d8fbc178 - 12330 ns R r2 00000044 - 12330 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 12350 ns R psr 21000200 - 12350 ns IT 0100012c c178 STM r1!,{r3-r6} - 12370 ns MR4_I 01000130 d3000752 - 12390 ns MW4_D 20000314 00000000 - 12410 ns MW4_D 20000318 00000000 - 12430 ns MW4_D 2000031c 00000000 - 12450 ns MW4_D 20000320 00000000 - 12450 ns R r1 20000324 - 12450 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 12490 ns MR4_I 01000128 d3013a10 - 12510 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 12530 ns MR4_I 0100012c d8fbc178 - 12530 ns R r2 00000034 - 12530 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 12550 ns R psr 21000200 - 12550 ns IT 0100012c c178 STM r1!,{r3-r6} - 12570 ns MR4_I 01000130 d3000752 - 12590 ns MW4_D 20000324 00000000 - 12610 ns MW4_D 20000328 00000000 - 12630 ns MW4_D 2000032c 00000000 - 12650 ns MW4_D 20000330 00000000 - 12650 ns R r1 20000334 - 12650 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 12690 ns MR4_I 01000128 d3013a10 - 12710 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 12730 ns MR4_I 0100012c d8fbc178 - 12730 ns R r2 00000024 - 12730 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 12750 ns R psr 21000200 - 12750 ns IT 0100012c c178 STM r1!,{r3-r6} - 12770 ns MR4_I 01000130 d3000752 - 12790 ns MW4_D 20000334 00000000 - 12810 ns MW4_D 20000338 00000000 - 12830 ns MW4_D 2000033c 00000000 - 12850 ns MW4_D 20000340 00000000 - 12850 ns R r1 20000344 - 12850 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 12890 ns MR4_I 01000128 d3013a10 - 12910 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 12930 ns MR4_I 0100012c d8fbc178 - 12930 ns R r2 00000014 - 12930 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 12950 ns R psr 21000200 - 12950 ns IT 0100012c c178 STM r1!,{r3-r6} - 12970 ns MR4_I 01000130 d3000752 - 12990 ns MW4_D 20000344 00000000 - 13010 ns MW4_D 20000348 00000000 - 13030 ns MW4_D 2000034c 00000000 - 13050 ns MW4_D 20000350 00000000 - 13050 ns R r1 20000354 - 13050 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 13090 ns MR4_I 01000128 d3013a10 - 13110 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 13130 ns MR4_I 0100012c d8fbc178 - 13130 ns R r2 00000004 - 13130 ns IS 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 13150 ns R psr 21000200 - 13150 ns IT 0100012c c178 STM r1!,{r3-r6} - 13170 ns MR4_I 01000130 d3000752 - 13190 ns MW4_D 20000354 00000000 - 13210 ns MW4_D 20000358 00000000 - 13230 ns MW4_D 2000035c 00000000 - 13250 ns MW4_D 20000360 00000000 - 13250 ns R r1 20000364 - 13250 ns IT 0100012e d8fb BHI {pc} - 0x6 ; 0x1000128 - 13290 ns MR4_I 01000128 d3013a10 - 13310 ns IT 01000128 3a10 SUBS r2,r2,#0x10 - 13330 ns MR4_I 0100012c d8fbc178 - 13330 ns R r2 fffffff4 - 13330 ns IT 0100012a d301 BCC {pc} + 0x6 ; 0x1000130 - 13350 ns R psr 81000200 - 13370 ns MR4_I 01000130 d3000752 - 13390 ns IT 01000130 0752 LSLS r2,r2,#29 - 13410 ns MR4_I 01000134 d500c130 - 13410 ns R r2 80000000 - 13410 ns IT 01000132 d300 BCC {pc} + 0x4 ; 0x1000136 - 13430 ns R psr 81000200 - 13450 ns MR4_I 01000134 d500c130 - 13470 ns MR4_I 01000138 4770600b - 13470 ns IS 01000136 d500 BPL {pc} + 0x4 ; 0x100013a - 13490 ns IT 01000138 600b STR r3,[r1,#0] - 13510 ns MR4_I 0100013c bd1fb51f - 13530 ns MW4_D 20000364 00000000 - 13530 ns IT 0100013a 4770 BX lr - 13570 ns R psr 81000200 - 13570 ns MR4_I 010000d8 465d4654 - 13590 ns IT 010000d8 4654 MOV r4,r10 - 13610 ns MR4_I 010000dc d10142ac - 13610 ns R r4 010003a4 - 13610 ns IT 010000da 465d MOV r5,r11 - 13630 ns R r5 010003a4 - 13630 ns IT 010000dc 42ac CMP r4,r5 - 13650 ns MR4_I 010000e0 f830f000 - 13650 ns IS 010000de d101 BNE {pc} + 0x6 ; 0x10000e4 - 13670 ns R psr 61000200 - 13670 ns IT 010000e0 f000f830 BL {pc} + 0x64 ; 0x1000144 - 13690 ns MR4_I 010000e4 3e0f467e - 13710 ns R r14 010000e5 - 13730 ns MR4_I 01000144 f8ebf000 - 13750 ns IT 01000144 f000f8eb BL {pc} + 0x1da ; 0x100031e - 13770 ns MR4_I 01000148 f7ff4611 - 13790 ns R r14 01000149 - 13810 ns MR4_I 0100031c 46754770 - 13830 ns MR4_I 01000320 f824f000 - 13830 ns IT 0100031e 4675 MOV r5,lr - 13850 ns R r5 01000149 - 13850 ns IT 01000320 f000f824 BL {pc} + 0x4c ; 0x100036c - 13870 ns MR4_I 01000324 000546ae - 13890 ns R r14 01000325 - 13910 ns MR4_I 0100036c 47704800 - 13930 ns IT 0100036c 4800 LDR r0,[pc,#0] ; [0x1000370] - 13950 ns MR4_I 01000370 20000004 - 13970 ns MR4_D 01000370 20000004 - 13970 ns R r0 20000004 - 13970 ns IT 0100036e 4770 BX lr - 14010 ns R psr 61000200 - 14010 ns MR4_I 01000324 000546ae - 14030 ns IT 01000324 46ae MOV lr,r5 - 14050 ns MR4_I 01000328 46534669 - 14050 ns R r14 01000149 - 14050 ns IT 01000326 0005 MOVS r5,r0 - 14070 ns R r5 20000004 - 14070 ns IT 01000328 4669 MOV r1,sp - 14090 ns R psr 21000200 - 14090 ns MR4_I 0100032c 00c008c0 - 14090 ns R r1 20000368 - 14090 ns IT 0100032a 4653 MOV r3,r10 - 14110 ns R r3 010003a4 - 14110 ns IT 0100032c 08c0 LSRS r0,r0,#3 - 14130 ns MR4_I 01000330 b0184685 - 14130 ns R r0 04000000 - 14130 ns IT 0100032e 00c0 LSLS r0,r0,#3 - 14150 ns R psr 21000200 - 14150 ns R r0 20000000 - 14150 ns IT 01000330 4685 MOV sp,r0 - 14170 ns R psr 01000200 - 14170 ns MR4_I 01000334 f7ffb520 - 14170 ns R r13 20000000 (MSP) - 14170 ns IT 01000332 b018 ADD sp,sp,#0x60 - 14190 ns R r13 20000060 (MSP) - 14190 ns IT 01000334 b520 PUSH {r5,lr} - 14210 ns MR4_I 01000338 bc60ffdd - 14230 ns MW4_D 20000058 20000004 - 14250 ns MW4_D 2000005c 01000149 - 14250 ns R r13 20000058 (MSP) - 14250 ns IT 01000336 f7ffffdd BL {pc} - 0x42 ; 0x10002f4 - 14290 ns R r14 0100033b - 14310 ns MR4_I 010002f4 49054804 - 14330 ns IT 010002f4 4804 LDR r0,[pc,#16] ; [0x1000308] - 14350 ns MR4_I 010002f8 4b064a05 - 14370 ns MR4_D 01000308 20000068 - 14370 ns R r0 20000068 - 14370 ns IT 010002f6 4905 LDR r1,[pc,#20] ; [0x100030c] - 14410 ns MR4_D 0100030c 20000368 - 14410 ns R r1 20000368 - 14410 ns IT 010002f8 4a05 LDR r2,[pc,#20] ; [0x1000310] - 14430 ns MR4_I 010002fc 00004770 - 14450 ns MR4_D 01000310 20000168 - 14450 ns R r2 20000168 - 14450 ns IT 010002fa 4b06 LDR r3,[pc,#24] ; [0x1000314] - 14490 ns MR4_D 01000314 20000168 - 14490 ns R r3 20000168 - 14490 ns IT 010002fc 4770 BX lr - 14510 ns MR4_I 01000300 010002d1 - 14530 ns R psr 01000200 - 14530 ns MR4_I 01000338 bc60ffdd - 14550 ns MR4_I 0100033c 08492700 - 14550 ns IT 0100033a bc60 POP {r5,r6} - 14570 ns R r13 20000060 (MSP) - 14590 ns MR4_D 20000058 20000004 - 14590 ns R r5 20000004 - 14610 ns MR4_D 2000005c 01000149 - 14610 ns R r6 01000149 - 14610 ns IT 0100033c 2700 MOVS r7,#0 - 14630 ns MR4_I 01000340 260046b6 - 14630 ns R r7 00000000 - 14630 ns IT 0100033e 0849 LSRS r1,r1,#1 - 14650 ns R psr 41000200 - 14650 ns R r1 100001b4 - 14650 ns IT 01000340 46b6 MOV lr,r6 - 14670 ns R psr 01000200 - 14670 ns MR4_I 01000344 c5c0c5c0 - 14670 ns R r14 01000149 - 14670 ns IT 01000342 2600 MOVS r6,#0 - 14690 ns R r6 00000000 - 14690 ns IT 01000344 c5c0 STM r5!,{r6,r7} - 14710 ns R psr 41000200 - 14710 ns MR4_I 01000348 c5c0c5c0 - 14730 ns MW4_D 20000004 00000000 - 14750 ns MW4_D 20000008 00000000 - 14750 ns R r5 2000000c - 14750 ns IT 01000346 c5c0 STM r5!,{r6,r7} - 14790 ns MW4_D 2000000c 00000000 - 14810 ns MW4_D 20000010 00000000 - 14810 ns R r5 20000014 - 14810 ns IT 01000348 c5c0 STM r5!,{r6,r7} - 14830 ns MR4_I 0100034c c5c0c5c0 - 14850 ns MW4_D 20000014 00000000 - 14870 ns MW4_D 20000018 00000000 - 14870 ns R r5 2000001c - 14870 ns IT 0100034a c5c0 STM r5!,{r6,r7} - 14910 ns MW4_D 2000001c 00000000 - 14930 ns MW4_D 20000020 00000000 - 14930 ns R r5 20000024 - 14930 ns IT 0100034c c5c0 STM r5!,{r6,r7} - 14950 ns MR4_I 01000350 c5c0c5c0 - 14970 ns MW4_D 20000024 00000000 - 14990 ns MW4_D 20000028 00000000 - 14990 ns R r5 2000002c - 14990 ns IT 0100034e c5c0 STM r5!,{r6,r7} - 15030 ns MW4_D 2000002c 00000000 - 15050 ns MW4_D 20000030 00000000 - 15050 ns R r5 20000034 - 15050 ns IT 01000350 c5c0 STM r5!,{r6,r7} - 15070 ns MR4_I 01000354 00493d40 - 15090 ns MW4_D 20000034 00000000 - 15110 ns MW4_D 20000038 00000000 - 15110 ns R r5 2000003c - 15110 ns IT 01000352 c5c0 STM r5!,{r6,r7} - 15150 ns MW4_D 2000003c 00000000 - 15170 ns MW4_D 20000040 00000000 - 15170 ns R r5 20000044 - 15170 ns IT 01000354 3d40 SUBS r5,r5,#0x40 - 15190 ns MR4_I 01000358 4770468d - 15190 ns R r5 20000004 - 15190 ns IT 01000356 0049 LSLS r1,r1,#1 - 15210 ns R psr 21000200 - 15210 ns R r1 20000368 - 15210 ns IT 01000358 468d MOV sp,r1 - 15230 ns R psr 01000200 - 15230 ns MR4_I 0100035c 4604b510 - 15230 ns R r13 20000368 (MSP) - 15230 ns IT 0100035a 4770 BX lr - 15270 ns R psr 01000200 - 15270 ns MR4_I 01000148 f7ff4611 - 15290 ns IT 01000148 4611 MOV r1,r2 - 15310 ns MR4_I 0100014c f000fff7 - 15310 ns R r1 20000168 - 15310 ns IT 0100014a f7fffff7 BL {pc} - 0xe ; 0x100013c - 15350 ns R r14 0100014f - 15370 ns MR4_I 0100013c bd1fb51f - 15390 ns IT 0100013c b51f PUSH {r0-r4,lr} - 15410 ns MR4_I 01000140 bd10b510 - 15430 ns MW4_D 20000350 20000068 - 15450 ns MW4_D 20000354 20000168 - 15470 ns MW4_D 20000358 20000168 - 15490 ns MW4_D 2000035c 20000168 - 15510 ns MW4_D 20000360 010003a4 - 15530 ns MW4_D 20000364 0100014f - 15530 ns R r13 20000350 (MSP) - 15530 ns IT 0100013e bd1f POP {r0-r4,pc} - 15550 ns R r13 20000368 (MSP) - 15570 ns MR4_D 20000350 20000068 - 15570 ns R r0 20000068 - 15590 ns MR4_D 20000354 20000168 - 15590 ns R r1 20000168 - 15610 ns MR4_D 20000358 20000168 - 15610 ns R r2 20000168 - 15630 ns MR4_D 2000035c 20000168 - 15630 ns R r3 20000168 - 15650 ns MR4_D 20000360 010003a4 - 15650 ns R r4 010003a4 - 15670 ns MR4_D 20000364 0100014f - 15690 ns R psr 01000200 - 15710 ns MR4_I 0100014c f000fff7 - 15730 ns MR4_I 01000150 f000f84a - 15730 ns IT 0100014e f000f84a BL {pc} + 0x98 ; 0x10001e6 - 15770 ns R r14 01000153 - 15790 ns MR4_I 010001e4 b510e7fe - 15810 ns MR4_I 010001e8 21d0481e - 15810 ns IT 010001e6 b510 PUSH {r4,lr} - 15850 ns MW4_D 20000360 010003a4 - 15870 ns MW4_D 20000364 01000153 - 15870 ns R r13 20000360 (MSP) - 15870 ns IT 010001e8 481e LDR r0,[pc,#120] ; [0x1000264] - 15890 ns MR4_I 010001ec 21016101 - 15910 ns MR4_D 01000264 40006000 - 15910 ns R r0 40006000 - 15910 ns IT 010001ea 21d0 MOVS r1,#0xd0 - 15930 ns R r1 000000d0 - 15930 ns IT 010001ec 6101 STR r1,[r0,#0x10] - 15950 ns R psr 01000200 - 15950 ns MR4_I 010001f0 4a1d6081 - 16010 ns MW4_D 40006010 000000d0 - 16010 ns IT 010001ee 2101 MOVS r1,#1 - 16030 ns R r1 00000001 - 16030 ns IT 010001f0 6081 STR r1,[r0,#8] - 16050 ns R psr 01000200 - 16050 ns MR4_I 010001f4 61912120 - 16110 ns MW4_D 40006008 00000001 - 16110 ns IT 010001f2 4a1d LDR r2,[pc,#116] ; [0x1000268] - 16150 ns MR4_D 01000268 40011000 - 16150 ns R r2 40011000 - 16150 ns IT 010001f4 2120 MOVS r1,#0x20 - 16170 ns MR4_I 010001f8 781aa326 - 16170 ns R r1 00000020 - 16170 ns IT 010001f6 6191 STR r1,[r2,#0x18] - 16190 ns R psr 01000200 - 16210 ns MW4_D 40011018 00000020 - 16210 ns IT 010001f8 a326 ADR r3,{pc}+0x9c ; 0x1000294 - 16230 ns MR4_I 010001fc d0062a00 - 16230 ns R r3 01000294 - 16230 ns IT 010001fa 781a LDRB r2,[r3,#0] - 16270 ns MR1_D 01000294 5254470a - 16270 ns R r2 0000000a - 16270 ns IT 010001fc 2a00 CMP r2,#0 - 16290 ns MR4_I 01000200 07c96841 - 16290 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 16310 ns R psr 21000200 - 16310 ns IT 01000200 6841 LDR r1,[r0,#4] - 16330 ns MR4_I 01000204 6002d1fc - 16390 ns MR4_D 40006004 00000000 - 16390 ns R r1 00000000 - 16390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 16410 ns R r1 00000000 - 16410 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 16430 ns R psr 41000200 - 16430 ns MR4_I 01000208 2a001c5b - 16430 ns IT 01000206 6002 STR r2,[r0,#0] - 16510 ns MW4_D 40006000 0000000a - 16510 ns IT 01000208 1c5b ADDS r3,r3,#1 - 16530 ns MR4_I 0100020c a32ad1f5 - 16530 ns R r3 01000295 - 16530 ns IT 0100020a 2a00 CMP r2,#0 - 16550 ns R psr 01000200 - 16550 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 16570 ns R psr 21000200 - 16570 ns MR4_I 01000210 2a00781a - 16590 ns MR4_I 010001f8 781aa326 - 16610 ns MR4_I 010001fc d0062a00 - 16610 ns IT 010001fa 781a LDRB r2,[r3,#0] - 16650 ns MR1_D 01000295 5254470a - 16650 ns R r2 00000047 - 16650 ns IT 010001fc 2a00 CMP r2,#0 - 16670 ns MR4_I 01000200 07c96841 - 16670 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 16690 ns R psr 21000200 - 16690 ns IT 01000200 6841 LDR r1,[r0,#4] - 16710 ns MR4_I 01000204 6002d1fc - 16770 ns MR4_D 40006004 00000000 - 16770 ns R r1 00000000 - 16770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 16790 ns R r1 00000000 - 16790 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 16810 ns R psr 41000200 - 16810 ns MR4_I 01000208 2a001c5b - 16810 ns IT 01000206 6002 STR r2,[r0,#0] - 16890 ns MW4_D 40006000 00000047 - 16890 ns IT 01000208 1c5b ADDS r3,r3,#1 - 16910 ns MR4_I 0100020c a32ad1f5 - 16910 ns R r3 01000296 - 16910 ns IT 0100020a 2a00 CMP r2,#0 - 16930 ns R psr 01000200 - 16930 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 16950 ns R psr 21000200 - 16950 ns MR4_I 01000210 2a00781a - 16970 ns MR4_I 010001f8 781aa326 - 16990 ns MR4_I 010001fc d0062a00 - 16990 ns IT 010001fa 781a LDRB r2,[r3,#0] - 17030 ns MR1_D 01000296 5254470a - 17030 ns R r2 00000054 - 17030 ns IT 010001fc 2a00 CMP r2,#0 - 17050 ns MR4_I 01000200 07c96841 - 17050 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 17070 ns R psr 21000200 - 17070 ns IT 01000200 6841 LDR r1,[r0,#4] - 17090 ns MR4_I 01000204 6002d1fc - 17150 ns MR4_D 40006004 00000001 - 17150 ns R r1 00000001 - 17150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 17170 ns R r1 80000000 - 17170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 17190 ns R psr 81000200 - 17190 ns MR4_I 01000208 2a001c5b - 17210 ns MR4_I 01000200 07c96841 - 17230 ns IT 01000200 6841 LDR r1,[r0,#4] - 17250 ns MR4_I 01000204 6002d1fc - 17310 ns MR4_D 40006004 00000001 - 17310 ns R r1 00000001 - 17310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 17330 ns R r1 80000000 - 17330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 17350 ns R psr 81000200 - 17350 ns MR4_I 01000208 2a001c5b - 17370 ns MR4_I 01000200 07c96841 - 17390 ns IT 01000200 6841 LDR r1,[r0,#4] - 17410 ns MR4_I 01000204 6002d1fc - 17470 ns MR4_D 40006004 00000001 - 17470 ns R r1 00000001 - 17470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 17490 ns R r1 80000000 - 17490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 17510 ns R psr 81000200 - 17510 ns MR4_I 01000208 2a001c5b - 17530 ns MR4_I 01000200 07c96841 - 17550 ns IT 01000200 6841 LDR r1,[r0,#4] - 17570 ns MR4_I 01000204 6002d1fc - 17630 ns MR4_D 40006004 00000001 - 17630 ns R r1 00000001 - 17630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 17650 ns R r1 80000000 - 17650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 17670 ns R psr 81000200 - 17670 ns MR4_I 01000208 2a001c5b - 17690 ns MR4_I 01000200 07c96841 - 17710 ns IT 01000200 6841 LDR r1,[r0,#4] - 17730 ns MR4_I 01000204 6002d1fc - 17790 ns MR4_D 40006004 00000001 - 17790 ns R r1 00000001 - 17790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 17810 ns R r1 80000000 - 17810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 17830 ns R psr 81000200 - 17830 ns MR4_I 01000208 2a001c5b - 17850 ns MR4_I 01000200 07c96841 - 17870 ns IT 01000200 6841 LDR r1,[r0,#4] - 17890 ns MR4_I 01000204 6002d1fc - 17950 ns MR4_D 40006004 00000001 - 17950 ns R r1 00000001 - 17950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 17970 ns R r1 80000000 - 17970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 17990 ns R psr 81000200 - 17990 ns MR4_I 01000208 2a001c5b - 18010 ns MR4_I 01000200 07c96841 - 18030 ns IT 01000200 6841 LDR r1,[r0,#4] - 18050 ns MR4_I 01000204 6002d1fc - 18110 ns MR4_D 40006004 00000001 - 18110 ns R r1 00000001 - 18110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 18130 ns R r1 80000000 - 18130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 18150 ns R psr 81000200 - 18150 ns MR4_I 01000208 2a001c5b - 18170 ns MR4_I 01000200 07c96841 - 18190 ns IT 01000200 6841 LDR r1,[r0,#4] - 18210 ns MR4_I 01000204 6002d1fc - 18270 ns MR4_D 40006004 00000001 - 18270 ns R r1 00000001 - 18270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 18290 ns R r1 80000000 - 18290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 18310 ns R psr 81000200 - 18310 ns MR4_I 01000208 2a001c5b - 18330 ns MR4_I 01000200 07c96841 - 18350 ns IT 01000200 6841 LDR r1,[r0,#4] - 18370 ns MR4_I 01000204 6002d1fc - 18430 ns MR4_D 40006004 00000001 - 18430 ns R r1 00000001 - 18430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 18450 ns R r1 80000000 - 18450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 18470 ns R psr 81000200 - 18470 ns MR4_I 01000208 2a001c5b - 18490 ns MR4_I 01000200 07c96841 - 18510 ns IT 01000200 6841 LDR r1,[r0,#4] - 18530 ns MR4_I 01000204 6002d1fc - 18590 ns MR4_D 40006004 00000001 - 18590 ns R r1 00000001 - 18590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 18610 ns R r1 80000000 - 18610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 18630 ns R psr 81000200 - 18630 ns MR4_I 01000208 2a001c5b - 18650 ns MR4_I 01000200 07c96841 - 18670 ns IT 01000200 6841 LDR r1,[r0,#4] - 18690 ns MR4_I 01000204 6002d1fc - 18750 ns MR4_D 40006004 00000001 - 18750 ns R r1 00000001 - 18750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 18770 ns R r1 80000000 - 18770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 18790 ns R psr 81000200 - 18790 ns MR4_I 01000208 2a001c5b - 18810 ns MR4_I 01000200 07c96841 - 18830 ns IT 01000200 6841 LDR r1,[r0,#4] - 18850 ns MR4_I 01000204 6002d1fc - 18910 ns MR4_D 40006004 00000001 - 18910 ns R r1 00000001 - 18910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 18930 ns R r1 80000000 - 18930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 18950 ns R psr 81000200 - 18950 ns MR4_I 01000208 2a001c5b - 18970 ns MR4_I 01000200 07c96841 - 18990 ns IT 01000200 6841 LDR r1,[r0,#4] - 19010 ns MR4_I 01000204 6002d1fc - 19070 ns MR4_D 40006004 00000001 - 19070 ns R r1 00000001 - 19070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 19090 ns R r1 80000000 - 19090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 19110 ns R psr 81000200 - 19110 ns MR4_I 01000208 2a001c5b - 19130 ns MR4_I 01000200 07c96841 - 19150 ns IT 01000200 6841 LDR r1,[r0,#4] - 19170 ns MR4_I 01000204 6002d1fc - 19230 ns MR4_D 40006004 00000001 - 19230 ns R r1 00000001 - 19230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 19250 ns R r1 80000000 - 19250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 19270 ns R psr 81000200 - 19270 ns MR4_I 01000208 2a001c5b - 19290 ns MR4_I 01000200 07c96841 - 19310 ns IT 01000200 6841 LDR r1,[r0,#4] - 19330 ns MR4_I 01000204 6002d1fc - 19390 ns MR4_D 40006004 00000001 - 19390 ns R r1 00000001 - 19390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 19410 ns R r1 80000000 - 19410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 19430 ns R psr 81000200 - 19430 ns MR4_I 01000208 2a001c5b - 19450 ns MR4_I 01000200 07c96841 - 19470 ns IT 01000200 6841 LDR r1,[r0,#4] - 19490 ns MR4_I 01000204 6002d1fc - 19550 ns MR4_D 40006004 00000001 - 19550 ns R r1 00000001 - 19550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 19570 ns R r1 80000000 - 19570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 19590 ns R psr 81000200 - 19590 ns MR4_I 01000208 2a001c5b - 19610 ns MR4_I 01000200 07c96841 - 19630 ns IT 01000200 6841 LDR r1,[r0,#4] - 19650 ns MR4_I 01000204 6002d1fc - 19710 ns MR4_D 40006004 00000001 - 19710 ns R r1 00000001 - 19710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 19730 ns R r1 80000000 - 19730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 19750 ns R psr 81000200 - 19750 ns MR4_I 01000208 2a001c5b - 19770 ns MR4_I 01000200 07c96841 - 19790 ns IT 01000200 6841 LDR r1,[r0,#4] - 19810 ns MR4_I 01000204 6002d1fc - 19870 ns MR4_D 40006004 00000001 - 19870 ns R r1 00000001 - 19870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 19890 ns R r1 80000000 - 19890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 19910 ns R psr 81000200 - 19910 ns MR4_I 01000208 2a001c5b - 19930 ns MR4_I 01000200 07c96841 - 19950 ns IT 01000200 6841 LDR r1,[r0,#4] - 19970 ns MR4_I 01000204 6002d1fc - 20030 ns MR4_D 40006004 00000001 - 20030 ns R r1 00000001 - 20030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 20050 ns R r1 80000000 - 20050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 20070 ns R psr 81000200 - 20070 ns MR4_I 01000208 2a001c5b - 20090 ns MR4_I 01000200 07c96841 - 20110 ns IT 01000200 6841 LDR r1,[r0,#4] - 20130 ns MR4_I 01000204 6002d1fc - 20190 ns MR4_D 40006004 00000001 - 20190 ns R r1 00000001 - 20190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 20210 ns R r1 80000000 - 20210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 20230 ns R psr 81000200 - 20230 ns MR4_I 01000208 2a001c5b - 20250 ns MR4_I 01000200 07c96841 - 20270 ns IT 01000200 6841 LDR r1,[r0,#4] - 20290 ns MR4_I 01000204 6002d1fc - 20350 ns MR4_D 40006004 00000001 - 20350 ns R r1 00000001 - 20350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 20370 ns R r1 80000000 - 20370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 20390 ns R psr 81000200 - 20390 ns MR4_I 01000208 2a001c5b - 20410 ns MR4_I 01000200 07c96841 - 20430 ns IT 01000200 6841 LDR r1,[r0,#4] - 20450 ns MR4_I 01000204 6002d1fc - 20510 ns MR4_D 40006004 00000001 - 20510 ns R r1 00000001 - 20510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 20530 ns R r1 80000000 - 20530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 20550 ns R psr 81000200 - 20550 ns MR4_I 01000208 2a001c5b - 20570 ns MR4_I 01000200 07c96841 - 20590 ns IT 01000200 6841 LDR r1,[r0,#4] - 20610 ns MR4_I 01000204 6002d1fc - 20670 ns MR4_D 40006004 00000001 - 20670 ns R r1 00000001 - 20670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 20690 ns R r1 80000000 - 20690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 20710 ns R psr 81000200 - 20710 ns MR4_I 01000208 2a001c5b - 20730 ns MR4_I 01000200 07c96841 - 20750 ns IT 01000200 6841 LDR r1,[r0,#4] - 20770 ns MR4_I 01000204 6002d1fc - 20830 ns MR4_D 40006004 00000001 - 20830 ns R r1 00000001 - 20830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 20850 ns R r1 80000000 - 20850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 20870 ns R psr 81000200 - 20870 ns MR4_I 01000208 2a001c5b - 20890 ns MR4_I 01000200 07c96841 - 20910 ns IT 01000200 6841 LDR r1,[r0,#4] - 20930 ns MR4_I 01000204 6002d1fc - 20990 ns MR4_D 40006004 00000001 - 20990 ns R r1 00000001 - 20990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 21010 ns R r1 80000000 - 21010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 21030 ns R psr 81000200 - 21030 ns MR4_I 01000208 2a001c5b - 21050 ns MR4_I 01000200 07c96841 - 21070 ns IT 01000200 6841 LDR r1,[r0,#4] - 21090 ns MR4_I 01000204 6002d1fc - 21150 ns MR4_D 40006004 00000001 - 21150 ns R r1 00000001 - 21150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 21170 ns R r1 80000000 - 21170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 21190 ns R psr 81000200 - 21190 ns MR4_I 01000208 2a001c5b - 21210 ns MR4_I 01000200 07c96841 - 21230 ns IT 01000200 6841 LDR r1,[r0,#4] - 21250 ns MR4_I 01000204 6002d1fc - 21310 ns MR4_D 40006004 00000001 - 21310 ns R r1 00000001 - 21310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 21330 ns R r1 80000000 - 21330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 21350 ns R psr 81000200 - 21350 ns MR4_I 01000208 2a001c5b - 21370 ns MR4_I 01000200 07c96841 - 21390 ns IT 01000200 6841 LDR r1,[r0,#4] - 21410 ns MR4_I 01000204 6002d1fc - 21470 ns MR4_D 40006004 00000001 - 21470 ns R r1 00000001 - 21470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 21490 ns R r1 80000000 - 21490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 21510 ns R psr 81000200 - 21510 ns MR4_I 01000208 2a001c5b - 21530 ns MR4_I 01000200 07c96841 - 21550 ns IT 01000200 6841 LDR r1,[r0,#4] - 21570 ns MR4_I 01000204 6002d1fc - 21630 ns MR4_D 40006004 00000001 - 21630 ns R r1 00000001 - 21630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 21650 ns R r1 80000000 - 21650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 21670 ns R psr 81000200 - 21670 ns MR4_I 01000208 2a001c5b - 21690 ns MR4_I 01000200 07c96841 - 21710 ns IT 01000200 6841 LDR r1,[r0,#4] - 21730 ns MR4_I 01000204 6002d1fc - 21790 ns MR4_D 40006004 00000001 - 21790 ns R r1 00000001 - 21790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 21810 ns R r1 80000000 - 21810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 21830 ns R psr 81000200 - 21830 ns MR4_I 01000208 2a001c5b - 21850 ns MR4_I 01000200 07c96841 - 21870 ns IT 01000200 6841 LDR r1,[r0,#4] - 21890 ns MR4_I 01000204 6002d1fc - 21950 ns MR4_D 40006004 00000001 - 21950 ns R r1 00000001 - 21950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 21970 ns R r1 80000000 - 21970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 21990 ns R psr 81000200 - 21990 ns MR4_I 01000208 2a001c5b - 22010 ns MR4_I 01000200 07c96841 - 22030 ns IT 01000200 6841 LDR r1,[r0,#4] - 22050 ns MR4_I 01000204 6002d1fc - 22110 ns MR4_D 40006004 00000001 - 22110 ns R r1 00000001 - 22110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 22130 ns R r1 80000000 - 22130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 22150 ns R psr 81000200 - 22150 ns MR4_I 01000208 2a001c5b - 22170 ns MR4_I 01000200 07c96841 - 22190 ns IT 01000200 6841 LDR r1,[r0,#4] - 22210 ns MR4_I 01000204 6002d1fc - 22270 ns MR4_D 40006004 00000001 - 22270 ns R r1 00000001 - 22270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 22290 ns R r1 80000000 - 22290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 22310 ns R psr 81000200 - 22310 ns MR4_I 01000208 2a001c5b - 22330 ns MR4_I 01000200 07c96841 - 22350 ns IT 01000200 6841 LDR r1,[r0,#4] - 22370 ns MR4_I 01000204 6002d1fc - 22430 ns MR4_D 40006004 00000001 - 22430 ns R r1 00000001 - 22430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 22450 ns R r1 80000000 - 22450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 22470 ns R psr 81000200 - 22470 ns MR4_I 01000208 2a001c5b - 22490 ns MR4_I 01000200 07c96841 - 22510 ns IT 01000200 6841 LDR r1,[r0,#4] - 22530 ns MR4_I 01000204 6002d1fc - 22590 ns MR4_D 40006004 00000001 - 22590 ns R r1 00000001 - 22590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 22610 ns R r1 80000000 - 22610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 22630 ns R psr 81000200 - 22630 ns MR4_I 01000208 2a001c5b - 22650 ns MR4_I 01000200 07c96841 - 22670 ns IT 01000200 6841 LDR r1,[r0,#4] - 22690 ns MR4_I 01000204 6002d1fc - 22750 ns MR4_D 40006004 00000001 - 22750 ns R r1 00000001 - 22750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 22770 ns R r1 80000000 - 22770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 22790 ns R psr 81000200 - 22790 ns MR4_I 01000208 2a001c5b - 22810 ns MR4_I 01000200 07c96841 - 22830 ns IT 01000200 6841 LDR r1,[r0,#4] - 22850 ns MR4_I 01000204 6002d1fc - 22910 ns MR4_D 40006004 00000001 - 22910 ns R r1 00000001 - 22910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 22930 ns R r1 80000000 - 22930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 22950 ns R psr 81000200 - 22950 ns MR4_I 01000208 2a001c5b - 22970 ns MR4_I 01000200 07c96841 - 22990 ns IT 01000200 6841 LDR r1,[r0,#4] - 23010 ns MR4_I 01000204 6002d1fc - 23070 ns MR4_D 40006004 00000001 - 23070 ns R r1 00000001 - 23070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 23090 ns R r1 80000000 - 23090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 23110 ns R psr 81000200 - 23110 ns MR4_I 01000208 2a001c5b - 23130 ns MR4_I 01000200 07c96841 - 23150 ns IT 01000200 6841 LDR r1,[r0,#4] - 23170 ns MR4_I 01000204 6002d1fc - 23230 ns MR4_D 40006004 00000001 - 23230 ns R r1 00000001 - 23230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 23250 ns R r1 80000000 - 23250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 23270 ns R psr 81000200 - 23270 ns MR4_I 01000208 2a001c5b - 23290 ns MR4_I 01000200 07c96841 - 23310 ns IT 01000200 6841 LDR r1,[r0,#4] - 23330 ns MR4_I 01000204 6002d1fc - 23390 ns MR4_D 40006004 00000001 - 23390 ns R r1 00000001 - 23390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 23410 ns R r1 80000000 - 23410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 23430 ns R psr 81000200 - 23430 ns MR4_I 01000208 2a001c5b - 23450 ns MR4_I 01000200 07c96841 - 23470 ns IT 01000200 6841 LDR r1,[r0,#4] - 23490 ns MR4_I 01000204 6002d1fc - 23550 ns MR4_D 40006004 00000001 - 23550 ns R r1 00000001 - 23550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 23570 ns R r1 80000000 - 23570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 23590 ns R psr 81000200 - 23590 ns MR4_I 01000208 2a001c5b - 23610 ns MR4_I 01000200 07c96841 - 23630 ns IT 01000200 6841 LDR r1,[r0,#4] - 23650 ns MR4_I 01000204 6002d1fc - 23710 ns MR4_D 40006004 00000001 - 23710 ns R r1 00000001 - 23710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 23730 ns R r1 80000000 - 23730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 23750 ns R psr 81000200 - 23750 ns MR4_I 01000208 2a001c5b - 23770 ns MR4_I 01000200 07c96841 - 23790 ns IT 01000200 6841 LDR r1,[r0,#4] - 23810 ns MR4_I 01000204 6002d1fc - 23870 ns MR4_D 40006004 00000001 - 23870 ns R r1 00000001 - 23870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 23890 ns R r1 80000000 - 23890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 23910 ns R psr 81000200 - 23910 ns MR4_I 01000208 2a001c5b - 23930 ns MR4_I 01000200 07c96841 - 23950 ns IT 01000200 6841 LDR r1,[r0,#4] - 23970 ns MR4_I 01000204 6002d1fc - 24030 ns MR4_D 40006004 00000001 - 24030 ns R r1 00000001 - 24030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 24050 ns R r1 80000000 - 24050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 24070 ns R psr 81000200 - 24070 ns MR4_I 01000208 2a001c5b - 24090 ns MR4_I 01000200 07c96841 - 24110 ns IT 01000200 6841 LDR r1,[r0,#4] - 24130 ns MR4_I 01000204 6002d1fc - 24190 ns MR4_D 40006004 00000001 - 24190 ns R r1 00000001 - 24190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 24210 ns R r1 80000000 - 24210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 24230 ns R psr 81000200 - 24230 ns MR4_I 01000208 2a001c5b - 24250 ns MR4_I 01000200 07c96841 - 24270 ns IT 01000200 6841 LDR r1,[r0,#4] - 24290 ns MR4_I 01000204 6002d1fc - 24350 ns MR4_D 40006004 00000001 - 24350 ns R r1 00000001 - 24350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 24370 ns R r1 80000000 - 24370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 24390 ns R psr 81000200 - 24390 ns MR4_I 01000208 2a001c5b - 24410 ns MR4_I 01000200 07c96841 - 24430 ns IT 01000200 6841 LDR r1,[r0,#4] - 24450 ns MR4_I 01000204 6002d1fc - 24510 ns MR4_D 40006004 00000001 - 24510 ns R r1 00000001 - 24510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 24530 ns R r1 80000000 - 24530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 24550 ns R psr 81000200 - 24550 ns MR4_I 01000208 2a001c5b - 24570 ns MR4_I 01000200 07c96841 - 24590 ns IT 01000200 6841 LDR r1,[r0,#4] - 24610 ns MR4_I 01000204 6002d1fc - 24670 ns MR4_D 40006004 00000001 - 24670 ns R r1 00000001 - 24670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 24690 ns R r1 80000000 - 24690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 24710 ns R psr 81000200 - 24710 ns MR4_I 01000208 2a001c5b - 24730 ns MR4_I 01000200 07c96841 - 24750 ns IT 01000200 6841 LDR r1,[r0,#4] - 24770 ns MR4_I 01000204 6002d1fc - 24830 ns MR4_D 40006004 00000001 - 24830 ns R r1 00000001 - 24830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 24850 ns R r1 80000000 - 24850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 24870 ns R psr 81000200 - 24870 ns MR4_I 01000208 2a001c5b - 24890 ns MR4_I 01000200 07c96841 - 24910 ns IT 01000200 6841 LDR r1,[r0,#4] - 24930 ns MR4_I 01000204 6002d1fc - 24990 ns MR4_D 40006004 00000001 - 24990 ns R r1 00000001 - 24990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 25010 ns R r1 80000000 - 25010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 25030 ns R psr 81000200 - 25030 ns MR4_I 01000208 2a001c5b - 25050 ns MR4_I 01000200 07c96841 - 25070 ns IT 01000200 6841 LDR r1,[r0,#4] - 25090 ns MR4_I 01000204 6002d1fc - 25150 ns MR4_D 40006004 00000001 - 25150 ns R r1 00000001 - 25150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 25170 ns R r1 80000000 - 25170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 25190 ns R psr 81000200 - 25190 ns MR4_I 01000208 2a001c5b - 25210 ns MR4_I 01000200 07c96841 - 25230 ns IT 01000200 6841 LDR r1,[r0,#4] - 25250 ns MR4_I 01000204 6002d1fc - 25310 ns MR4_D 40006004 00000001 - 25310 ns R r1 00000001 - 25310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 25330 ns R r1 80000000 - 25330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 25350 ns R psr 81000200 - 25350 ns MR4_I 01000208 2a001c5b - 25370 ns MR4_I 01000200 07c96841 - 25390 ns IT 01000200 6841 LDR r1,[r0,#4] - 25410 ns MR4_I 01000204 6002d1fc - 25470 ns MR4_D 40006004 00000001 - 25470 ns R r1 00000001 - 25470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 25490 ns R r1 80000000 - 25490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 25510 ns R psr 81000200 - 25510 ns MR4_I 01000208 2a001c5b - 25530 ns MR4_I 01000200 07c96841 - 25550 ns IT 01000200 6841 LDR r1,[r0,#4] - 25570 ns MR4_I 01000204 6002d1fc - 25630 ns MR4_D 40006004 00000001 - 25630 ns R r1 00000001 - 25630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 25650 ns R r1 80000000 - 25650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 25670 ns R psr 81000200 - 25670 ns MR4_I 01000208 2a001c5b - 25690 ns MR4_I 01000200 07c96841 - 25710 ns IT 01000200 6841 LDR r1,[r0,#4] - 25730 ns MR4_I 01000204 6002d1fc - 25790 ns MR4_D 40006004 00000001 - 25790 ns R r1 00000001 - 25790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 25810 ns R r1 80000000 - 25810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 25830 ns R psr 81000200 - 25830 ns MR4_I 01000208 2a001c5b - 25850 ns MR4_I 01000200 07c96841 - 25870 ns IT 01000200 6841 LDR r1,[r0,#4] - 25890 ns MR4_I 01000204 6002d1fc - 25950 ns MR4_D 40006004 00000001 - 25950 ns R r1 00000001 - 25950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 25970 ns R r1 80000000 - 25970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 25990 ns R psr 81000200 - 25990 ns MR4_I 01000208 2a001c5b - 26010 ns MR4_I 01000200 07c96841 - 26030 ns IT 01000200 6841 LDR r1,[r0,#4] - 26050 ns MR4_I 01000204 6002d1fc - 26110 ns MR4_D 40006004 00000001 - 26110 ns R r1 00000001 - 26110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 26130 ns R r1 80000000 - 26130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 26150 ns R psr 81000200 - 26150 ns MR4_I 01000208 2a001c5b - 26170 ns MR4_I 01000200 07c96841 - 26190 ns IT 01000200 6841 LDR r1,[r0,#4] - 26210 ns MR4_I 01000204 6002d1fc - 26270 ns MR4_D 40006004 00000001 - 26270 ns R r1 00000001 - 26270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 26290 ns R r1 80000000 - 26290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 26310 ns R psr 81000200 - 26310 ns MR4_I 01000208 2a001c5b - 26330 ns MR4_I 01000200 07c96841 - 26350 ns IT 01000200 6841 LDR r1,[r0,#4] - 26370 ns MR4_I 01000204 6002d1fc - 26430 ns MR4_D 40006004 00000001 - 26430 ns R r1 00000001 - 26430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 26450 ns R r1 80000000 - 26450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 26470 ns R psr 81000200 - 26470 ns MR4_I 01000208 2a001c5b - 26490 ns MR4_I 01000200 07c96841 - 26510 ns IT 01000200 6841 LDR r1,[r0,#4] - 26530 ns MR4_I 01000204 6002d1fc - 26590 ns MR4_D 40006004 00000001 - 26590 ns R r1 00000001 - 26590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 26610 ns R r1 80000000 - 26610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 26630 ns R psr 81000200 - 26630 ns MR4_I 01000208 2a001c5b - 26650 ns MR4_I 01000200 07c96841 - 26670 ns IT 01000200 6841 LDR r1,[r0,#4] - 26690 ns MR4_I 01000204 6002d1fc - 26750 ns MR4_D 40006004 00000001 - 26750 ns R r1 00000001 - 26750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 26770 ns R r1 80000000 - 26770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 26790 ns R psr 81000200 - 26790 ns MR4_I 01000208 2a001c5b - 26810 ns MR4_I 01000200 07c96841 - 26830 ns IT 01000200 6841 LDR r1,[r0,#4] - 26850 ns MR4_I 01000204 6002d1fc - 26910 ns MR4_D 40006004 00000001 - 26910 ns R r1 00000001 - 26910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 26930 ns R r1 80000000 - 26930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 26950 ns R psr 81000200 - 26950 ns MR4_I 01000208 2a001c5b - 26970 ns MR4_I 01000200 07c96841 - 26990 ns IT 01000200 6841 LDR r1,[r0,#4] - 27010 ns MR4_I 01000204 6002d1fc - 27070 ns MR4_D 40006004 00000001 - 27070 ns R r1 00000001 - 27070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 27090 ns R r1 80000000 - 27090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 27110 ns R psr 81000200 - 27110 ns MR4_I 01000208 2a001c5b - 27130 ns MR4_I 01000200 07c96841 - 27150 ns IT 01000200 6841 LDR r1,[r0,#4] - 27170 ns MR4_I 01000204 6002d1fc - 27230 ns MR4_D 40006004 00000001 - 27230 ns R r1 00000001 - 27230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 27250 ns R r1 80000000 - 27250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 27270 ns R psr 81000200 - 27270 ns MR4_I 01000208 2a001c5b - 27290 ns MR4_I 01000200 07c96841 - 27310 ns IT 01000200 6841 LDR r1,[r0,#4] - 27330 ns MR4_I 01000204 6002d1fc - 27390 ns MR4_D 40006004 00000001 - 27390 ns R r1 00000001 - 27390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 27410 ns R r1 80000000 - 27410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 27430 ns R psr 81000200 - 27430 ns MR4_I 01000208 2a001c5b - 27450 ns MR4_I 01000200 07c96841 - 27470 ns IT 01000200 6841 LDR r1,[r0,#4] - 27490 ns MR4_I 01000204 6002d1fc - 27550 ns MR4_D 40006004 00000001 - 27550 ns R r1 00000001 - 27550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 27570 ns R r1 80000000 - 27570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 27590 ns R psr 81000200 - 27590 ns MR4_I 01000208 2a001c5b - 27610 ns MR4_I 01000200 07c96841 - 27630 ns IT 01000200 6841 LDR r1,[r0,#4] - 27650 ns MR4_I 01000204 6002d1fc - 27710 ns MR4_D 40006004 00000001 - 27710 ns R r1 00000001 - 27710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 27730 ns R r1 80000000 - 27730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 27750 ns R psr 81000200 - 27750 ns MR4_I 01000208 2a001c5b - 27770 ns MR4_I 01000200 07c96841 - 27790 ns IT 01000200 6841 LDR r1,[r0,#4] - 27810 ns MR4_I 01000204 6002d1fc - 27870 ns MR4_D 40006004 00000001 - 27870 ns R r1 00000001 - 27870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 27890 ns R r1 80000000 - 27890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 27910 ns R psr 81000200 - 27910 ns MR4_I 01000208 2a001c5b - 27930 ns MR4_I 01000200 07c96841 - 27950 ns IT 01000200 6841 LDR r1,[r0,#4] - 27970 ns MR4_I 01000204 6002d1fc - 28030 ns MR4_D 40006004 00000001 - 28030 ns R r1 00000001 - 28030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 28050 ns R r1 80000000 - 28050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 28070 ns R psr 81000200 - 28070 ns MR4_I 01000208 2a001c5b - 28090 ns MR4_I 01000200 07c96841 - 28110 ns IT 01000200 6841 LDR r1,[r0,#4] - 28130 ns MR4_I 01000204 6002d1fc - 28190 ns MR4_D 40006004 00000001 - 28190 ns R r1 00000001 - 28190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 28210 ns R r1 80000000 - 28210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 28230 ns R psr 81000200 - 28230 ns MR4_I 01000208 2a001c5b - 28250 ns MR4_I 01000200 07c96841 - 28270 ns IT 01000200 6841 LDR r1,[r0,#4] - 28290 ns MR4_I 01000204 6002d1fc - 28350 ns MR4_D 40006004 00000001 - 28350 ns R r1 00000001 - 28350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 28370 ns R r1 80000000 - 28370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 28390 ns R psr 81000200 - 28390 ns MR4_I 01000208 2a001c5b - 28410 ns MR4_I 01000200 07c96841 - 28430 ns IT 01000200 6841 LDR r1,[r0,#4] - 28450 ns MR4_I 01000204 6002d1fc - 28510 ns MR4_D 40006004 00000001 - 28510 ns R r1 00000001 - 28510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 28530 ns R r1 80000000 - 28530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 28550 ns R psr 81000200 - 28550 ns MR4_I 01000208 2a001c5b - 28570 ns MR4_I 01000200 07c96841 - 28590 ns IT 01000200 6841 LDR r1,[r0,#4] - 28610 ns MR4_I 01000204 6002d1fc - 28670 ns MR4_D 40006004 00000001 - 28670 ns R r1 00000001 - 28670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 28690 ns R r1 80000000 - 28690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 28710 ns R psr 81000200 - 28710 ns MR4_I 01000208 2a001c5b - 28730 ns MR4_I 01000200 07c96841 - 28750 ns IT 01000200 6841 LDR r1,[r0,#4] - 28770 ns MR4_I 01000204 6002d1fc - 28830 ns MR4_D 40006004 00000001 - 28830 ns R r1 00000001 - 28830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 28850 ns R r1 80000000 - 28850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 28870 ns R psr 81000200 - 28870 ns MR4_I 01000208 2a001c5b - 28890 ns MR4_I 01000200 07c96841 - 28910 ns IT 01000200 6841 LDR r1,[r0,#4] - 28930 ns MR4_I 01000204 6002d1fc - 28990 ns MR4_D 40006004 00000001 - 28990 ns R r1 00000001 - 28990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 29010 ns R r1 80000000 - 29010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 29030 ns R psr 81000200 - 29030 ns MR4_I 01000208 2a001c5b - 29050 ns MR4_I 01000200 07c96841 - 29070 ns IT 01000200 6841 LDR r1,[r0,#4] - 29090 ns MR4_I 01000204 6002d1fc - 29150 ns MR4_D 40006004 00000001 - 29150 ns R r1 00000001 - 29150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 29170 ns R r1 80000000 - 29170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 29190 ns R psr 81000200 - 29190 ns MR4_I 01000208 2a001c5b - 29210 ns MR4_I 01000200 07c96841 - 29230 ns IT 01000200 6841 LDR r1,[r0,#4] - 29250 ns MR4_I 01000204 6002d1fc - 29310 ns MR4_D 40006004 00000001 - 29310 ns R r1 00000001 - 29310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 29330 ns R r1 80000000 - 29330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 29350 ns R psr 81000200 - 29350 ns MR4_I 01000208 2a001c5b - 29370 ns MR4_I 01000200 07c96841 - 29390 ns IT 01000200 6841 LDR r1,[r0,#4] - 29410 ns MR4_I 01000204 6002d1fc - 29470 ns MR4_D 40006004 00000001 - 29470 ns R r1 00000001 - 29470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 29490 ns R r1 80000000 - 29490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 29510 ns R psr 81000200 - 29510 ns MR4_I 01000208 2a001c5b - 29530 ns MR4_I 01000200 07c96841 - 29550 ns IT 01000200 6841 LDR r1,[r0,#4] - 29570 ns MR4_I 01000204 6002d1fc - 29630 ns MR4_D 40006004 00000001 - 29630 ns R r1 00000001 - 29630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 29650 ns R r1 80000000 - 29650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 29670 ns R psr 81000200 - 29670 ns MR4_I 01000208 2a001c5b - 29690 ns MR4_I 01000200 07c96841 - 29710 ns IT 01000200 6841 LDR r1,[r0,#4] - 29730 ns MR4_I 01000204 6002d1fc - 29790 ns MR4_D 40006004 00000001 - 29790 ns R r1 00000001 - 29790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 29810 ns R r1 80000000 - 29810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 29830 ns R psr 81000200 - 29830 ns MR4_I 01000208 2a001c5b - 29850 ns MR4_I 01000200 07c96841 - 29870 ns IT 01000200 6841 LDR r1,[r0,#4] - 29890 ns MR4_I 01000204 6002d1fc - 29950 ns MR4_D 40006004 00000001 - 29950 ns R r1 00000001 - 29950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 29970 ns R r1 80000000 - 29970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 29990 ns R psr 81000200 - 29990 ns MR4_I 01000208 2a001c5b - 30010 ns MR4_I 01000200 07c96841 - 30030 ns IT 01000200 6841 LDR r1,[r0,#4] - 30050 ns MR4_I 01000204 6002d1fc - 30110 ns MR4_D 40006004 00000001 - 30110 ns R r1 00000001 - 30110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 30130 ns R r1 80000000 - 30130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 30150 ns R psr 81000200 - 30150 ns MR4_I 01000208 2a001c5b - 30170 ns MR4_I 01000200 07c96841 - 30190 ns IT 01000200 6841 LDR r1,[r0,#4] - 30210 ns MR4_I 01000204 6002d1fc - 30270 ns MR4_D 40006004 00000001 - 30270 ns R r1 00000001 - 30270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 30290 ns R r1 80000000 - 30290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 30310 ns R psr 81000200 - 30310 ns MR4_I 01000208 2a001c5b - 30330 ns MR4_I 01000200 07c96841 - 30350 ns IT 01000200 6841 LDR r1,[r0,#4] - 30370 ns MR4_I 01000204 6002d1fc - 30430 ns MR4_D 40006004 00000001 - 30430 ns R r1 00000001 - 30430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 30450 ns R r1 80000000 - 30450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 30470 ns R psr 81000200 - 30470 ns MR4_I 01000208 2a001c5b - 30490 ns MR4_I 01000200 07c96841 - 30510 ns IT 01000200 6841 LDR r1,[r0,#4] - 30530 ns MR4_I 01000204 6002d1fc - 30590 ns MR4_D 40006004 00000001 - 30590 ns R r1 00000001 - 30590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 30610 ns R r1 80000000 - 30610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 30630 ns R psr 81000200 - 30630 ns MR4_I 01000208 2a001c5b - 30650 ns MR4_I 01000200 07c96841 - 30670 ns IT 01000200 6841 LDR r1,[r0,#4] - 30690 ns MR4_I 01000204 6002d1fc - 30750 ns MR4_D 40006004 00000001 - 30750 ns R r1 00000001 - 30750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 30770 ns R r1 80000000 - 30770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 30790 ns R psr 81000200 - 30790 ns MR4_I 01000208 2a001c5b - 30810 ns MR4_I 01000200 07c96841 - 30830 ns IT 01000200 6841 LDR r1,[r0,#4] - 30850 ns MR4_I 01000204 6002d1fc - 30910 ns MR4_D 40006004 00000001 - 30910 ns R r1 00000001 - 30910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 30930 ns R r1 80000000 - 30930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 30950 ns R psr 81000200 - 30950 ns MR4_I 01000208 2a001c5b - 30970 ns MR4_I 01000200 07c96841 - 30990 ns IT 01000200 6841 LDR r1,[r0,#4] - 31010 ns MR4_I 01000204 6002d1fc - 31070 ns MR4_D 40006004 00000001 - 31070 ns R r1 00000001 - 31070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 31090 ns R r1 80000000 - 31090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 31110 ns R psr 81000200 - 31110 ns MR4_I 01000208 2a001c5b - 31130 ns MR4_I 01000200 07c96841 - 31150 ns IT 01000200 6841 LDR r1,[r0,#4] - 31170 ns MR4_I 01000204 6002d1fc - 31230 ns MR4_D 40006004 00000001 - 31230 ns R r1 00000001 - 31230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 31250 ns R r1 80000000 - 31250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 31270 ns R psr 81000200 - 31270 ns MR4_I 01000208 2a001c5b - 31290 ns MR4_I 01000200 07c96841 - 31310 ns IT 01000200 6841 LDR r1,[r0,#4] - 31330 ns MR4_I 01000204 6002d1fc - 31390 ns MR4_D 40006004 00000001 - 31390 ns R r1 00000001 - 31390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 31410 ns R r1 80000000 - 31410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 31430 ns R psr 81000200 - 31430 ns MR4_I 01000208 2a001c5b - 31450 ns MR4_I 01000200 07c96841 - 31470 ns IT 01000200 6841 LDR r1,[r0,#4] - 31490 ns MR4_I 01000204 6002d1fc - 31550 ns MR4_D 40006004 00000001 - 31550 ns R r1 00000001 - 31550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 31570 ns R r1 80000000 - 31570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 31590 ns R psr 81000200 - 31590 ns MR4_I 01000208 2a001c5b - 31610 ns MR4_I 01000200 07c96841 - 31630 ns IT 01000200 6841 LDR r1,[r0,#4] - 31650 ns MR4_I 01000204 6002d1fc - 31710 ns MR4_D 40006004 00000001 - 31710 ns R r1 00000001 - 31710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 31730 ns R r1 80000000 - 31730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 31750 ns R psr 81000200 - 31750 ns MR4_I 01000208 2a001c5b - 31770 ns MR4_I 01000200 07c96841 - 31790 ns IT 01000200 6841 LDR r1,[r0,#4] - 31810 ns MR4_I 01000204 6002d1fc - 31870 ns MR4_D 40006004 00000001 - 31870 ns R r1 00000001 - 31870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 31890 ns R r1 80000000 - 31890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 31910 ns R psr 81000200 - 31910 ns MR4_I 01000208 2a001c5b - 31930 ns MR4_I 01000200 07c96841 - 31950 ns IT 01000200 6841 LDR r1,[r0,#4] - 31970 ns MR4_I 01000204 6002d1fc - 32030 ns MR4_D 40006004 00000001 - 32030 ns R r1 00000001 - 32030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 32050 ns R r1 80000000 - 32050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 32070 ns R psr 81000200 - 32070 ns MR4_I 01000208 2a001c5b - 32090 ns MR4_I 01000200 07c96841 - 32110 ns IT 01000200 6841 LDR r1,[r0,#4] - 32130 ns MR4_I 01000204 6002d1fc - 32190 ns MR4_D 40006004 00000001 - 32190 ns R r1 00000001 - 32190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 32210 ns R r1 80000000 - 32210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 32230 ns R psr 81000200 - 32230 ns MR4_I 01000208 2a001c5b - 32250 ns MR4_I 01000200 07c96841 - 32270 ns IT 01000200 6841 LDR r1,[r0,#4] - 32290 ns MR4_I 01000204 6002d1fc - 32350 ns MR4_D 40006004 00000001 - 32350 ns R r1 00000001 - 32350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 32370 ns R r1 80000000 - 32370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 32390 ns R psr 81000200 - 32390 ns MR4_I 01000208 2a001c5b - 32410 ns MR4_I 01000200 07c96841 - 32430 ns IT 01000200 6841 LDR r1,[r0,#4] - 32450 ns MR4_I 01000204 6002d1fc - 32510 ns MR4_D 40006004 00000001 - 32510 ns R r1 00000001 - 32510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 32530 ns R r1 80000000 - 32530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 32550 ns R psr 81000200 - 32550 ns MR4_I 01000208 2a001c5b - 32570 ns MR4_I 01000200 07c96841 - 32590 ns IT 01000200 6841 LDR r1,[r0,#4] - 32610 ns MR4_I 01000204 6002d1fc - 32670 ns MR4_D 40006004 00000001 - 32670 ns R r1 00000001 - 32670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 32690 ns R r1 80000000 - 32690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 32710 ns R psr 81000200 - 32710 ns MR4_I 01000208 2a001c5b - 32730 ns MR4_I 01000200 07c96841 - 32750 ns IT 01000200 6841 LDR r1,[r0,#4] - 32770 ns MR4_I 01000204 6002d1fc - 32830 ns MR4_D 40006004 00000001 - 32830 ns R r1 00000001 - 32830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 32850 ns R r1 80000000 - 32850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 32870 ns R psr 81000200 - 32870 ns MR4_I 01000208 2a001c5b - 32890 ns MR4_I 01000200 07c96841 - 32910 ns IT 01000200 6841 LDR r1,[r0,#4] - 32930 ns MR4_I 01000204 6002d1fc - 32990 ns MR4_D 40006004 00000001 - 32990 ns R r1 00000001 - 32990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 33010 ns R r1 80000000 - 33010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 33030 ns R psr 81000200 - 33030 ns MR4_I 01000208 2a001c5b - 33050 ns MR4_I 01000200 07c96841 - 33070 ns IT 01000200 6841 LDR r1,[r0,#4] - 33090 ns MR4_I 01000204 6002d1fc - 33150 ns MR4_D 40006004 00000001 - 33150 ns R r1 00000001 - 33150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 33170 ns R r1 80000000 - 33170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 33190 ns R psr 81000200 - 33190 ns MR4_I 01000208 2a001c5b - 33210 ns MR4_I 01000200 07c96841 - 33230 ns IT 01000200 6841 LDR r1,[r0,#4] - 33250 ns MR4_I 01000204 6002d1fc - 33310 ns MR4_D 40006004 00000001 - 33310 ns R r1 00000001 - 33310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 33330 ns R r1 80000000 - 33330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 33350 ns R psr 81000200 - 33350 ns MR4_I 01000208 2a001c5b - 33370 ns MR4_I 01000200 07c96841 - 33390 ns IT 01000200 6841 LDR r1,[r0,#4] - 33410 ns MR4_I 01000204 6002d1fc - 33470 ns MR4_D 40006004 00000001 - 33470 ns R r1 00000001 - 33470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 33490 ns R r1 80000000 - 33490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 33510 ns R psr 81000200 - 33510 ns MR4_I 01000208 2a001c5b - 33530 ns MR4_I 01000200 07c96841 - 33550 ns IT 01000200 6841 LDR r1,[r0,#4] - 33570 ns MR4_I 01000204 6002d1fc - 33630 ns MR4_D 40006004 00000001 - 33630 ns R r1 00000001 - 33630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 33650 ns R r1 80000000 - 33650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 33670 ns R psr 81000200 - 33670 ns MR4_I 01000208 2a001c5b - 33690 ns MR4_I 01000200 07c96841 - 33710 ns IT 01000200 6841 LDR r1,[r0,#4] - 33730 ns MR4_I 01000204 6002d1fc - 33790 ns MR4_D 40006004 00000001 - 33790 ns R r1 00000001 - 33790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 33810 ns R r1 80000000 - 33810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 33830 ns R psr 81000200 - 33830 ns MR4_I 01000208 2a001c5b - 33850 ns MR4_I 01000200 07c96841 - 33870 ns IT 01000200 6841 LDR r1,[r0,#4] - 33890 ns MR4_I 01000204 6002d1fc - 33950 ns MR4_D 40006004 00000001 - 33950 ns R r1 00000001 - 33950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 33970 ns R r1 80000000 - 33970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 33990 ns R psr 81000200 - 33990 ns MR4_I 01000208 2a001c5b - 34010 ns MR4_I 01000200 07c96841 - 34030 ns IT 01000200 6841 LDR r1,[r0,#4] - 34050 ns MR4_I 01000204 6002d1fc - 34110 ns MR4_D 40006004 00000001 - 34110 ns R r1 00000001 - 34110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 34130 ns R r1 80000000 - 34130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 34150 ns R psr 81000200 - 34150 ns MR4_I 01000208 2a001c5b - 34170 ns MR4_I 01000200 07c96841 - 34190 ns IT 01000200 6841 LDR r1,[r0,#4] - 34210 ns MR4_I 01000204 6002d1fc - 34270 ns MR4_D 40006004 00000001 - 34270 ns R r1 00000001 - 34270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 34290 ns R r1 80000000 - 34290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 34310 ns R psr 81000200 - 34310 ns MR4_I 01000208 2a001c5b - 34330 ns MR4_I 01000200 07c96841 - 34350 ns IT 01000200 6841 LDR r1,[r0,#4] - 34370 ns MR4_I 01000204 6002d1fc - 34430 ns MR4_D 40006004 00000001 - 34430 ns R r1 00000001 - 34430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 34450 ns R r1 80000000 - 34450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 34470 ns R psr 81000200 - 34470 ns MR4_I 01000208 2a001c5b - 34490 ns MR4_I 01000200 07c96841 - 34510 ns IT 01000200 6841 LDR r1,[r0,#4] - 34530 ns MR4_I 01000204 6002d1fc - 34590 ns MR4_D 40006004 00000001 - 34590 ns R r1 00000001 - 34590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 34610 ns R r1 80000000 - 34610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 34630 ns R psr 81000200 - 34630 ns MR4_I 01000208 2a001c5b - 34650 ns MR4_I 01000200 07c96841 - 34670 ns IT 01000200 6841 LDR r1,[r0,#4] - 34690 ns MR4_I 01000204 6002d1fc - 34750 ns MR4_D 40006004 00000001 - 34750 ns R r1 00000001 - 34750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 34770 ns R r1 80000000 - 34770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 34790 ns R psr 81000200 - 34790 ns MR4_I 01000208 2a001c5b - 34810 ns MR4_I 01000200 07c96841 - 34830 ns IT 01000200 6841 LDR r1,[r0,#4] - 34850 ns MR4_I 01000204 6002d1fc - 34910 ns MR4_D 40006004 00000001 - 34910 ns R r1 00000001 - 34910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 34930 ns R r1 80000000 - 34930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 34950 ns R psr 81000200 - 34950 ns MR4_I 01000208 2a001c5b - 34970 ns MR4_I 01000200 07c96841 - 34990 ns IT 01000200 6841 LDR r1,[r0,#4] - 35010 ns MR4_I 01000204 6002d1fc - 35070 ns MR4_D 40006004 00000001 - 35070 ns R r1 00000001 - 35070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 35090 ns R r1 80000000 - 35090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 35110 ns R psr 81000200 - 35110 ns MR4_I 01000208 2a001c5b - 35130 ns MR4_I 01000200 07c96841 - 35150 ns IT 01000200 6841 LDR r1,[r0,#4] - 35170 ns MR4_I 01000204 6002d1fc - 35230 ns MR4_D 40006004 00000001 - 35230 ns R r1 00000001 - 35230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 35250 ns R r1 80000000 - 35250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 35270 ns R psr 81000200 - 35270 ns MR4_I 01000208 2a001c5b - 35290 ns MR4_I 01000200 07c96841 - 35310 ns IT 01000200 6841 LDR r1,[r0,#4] - 35330 ns MR4_I 01000204 6002d1fc - 35390 ns MR4_D 40006004 00000001 - 35390 ns R r1 00000001 - 35390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 35410 ns R r1 80000000 - 35410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 35430 ns R psr 81000200 - 35430 ns MR4_I 01000208 2a001c5b - 35450 ns MR4_I 01000200 07c96841 - 35470 ns IT 01000200 6841 LDR r1,[r0,#4] - 35490 ns MR4_I 01000204 6002d1fc - 35550 ns MR4_D 40006004 00000001 - 35550 ns R r1 00000001 - 35550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 35570 ns R r1 80000000 - 35570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 35590 ns R psr 81000200 - 35590 ns MR4_I 01000208 2a001c5b - 35610 ns MR4_I 01000200 07c96841 - 35630 ns IT 01000200 6841 LDR r1,[r0,#4] - 35650 ns MR4_I 01000204 6002d1fc - 35710 ns MR4_D 40006004 00000001 - 35710 ns R r1 00000001 - 35710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 35730 ns R r1 80000000 - 35730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 35750 ns R psr 81000200 - 35750 ns MR4_I 01000208 2a001c5b - 35770 ns MR4_I 01000200 07c96841 - 35790 ns IT 01000200 6841 LDR r1,[r0,#4] - 35810 ns MR4_I 01000204 6002d1fc - 35870 ns MR4_D 40006004 00000001 - 35870 ns R r1 00000001 - 35870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 35890 ns R r1 80000000 - 35890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 35910 ns R psr 81000200 - 35910 ns MR4_I 01000208 2a001c5b - 35930 ns MR4_I 01000200 07c96841 - 35950 ns IT 01000200 6841 LDR r1,[r0,#4] - 35970 ns MR4_I 01000204 6002d1fc - 36030 ns MR4_D 40006004 00000001 - 36030 ns R r1 00000001 - 36030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 36050 ns R r1 80000000 - 36050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 36070 ns R psr 81000200 - 36070 ns MR4_I 01000208 2a001c5b - 36090 ns MR4_I 01000200 07c96841 - 36110 ns IT 01000200 6841 LDR r1,[r0,#4] - 36130 ns MR4_I 01000204 6002d1fc - 36190 ns MR4_D 40006004 00000001 - 36190 ns R r1 00000001 - 36190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 36210 ns R r1 80000000 - 36210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 36230 ns R psr 81000200 - 36230 ns MR4_I 01000208 2a001c5b - 36250 ns MR4_I 01000200 07c96841 - 36270 ns IT 01000200 6841 LDR r1,[r0,#4] - 36290 ns MR4_I 01000204 6002d1fc - 36350 ns MR4_D 40006004 00000001 - 36350 ns R r1 00000001 - 36350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 36370 ns R r1 80000000 - 36370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 36390 ns R psr 81000200 - 36390 ns MR4_I 01000208 2a001c5b - 36410 ns MR4_I 01000200 07c96841 - 36430 ns IT 01000200 6841 LDR r1,[r0,#4] - 36450 ns MR4_I 01000204 6002d1fc - 36510 ns MR4_D 40006004 00000001 - 36510 ns R r1 00000001 - 36510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 36530 ns R r1 80000000 - 36530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 36550 ns R psr 81000200 - 36550 ns MR4_I 01000208 2a001c5b - 36570 ns MR4_I 01000200 07c96841 - 36590 ns IT 01000200 6841 LDR r1,[r0,#4] - 36610 ns MR4_I 01000204 6002d1fc - 36670 ns MR4_D 40006004 00000001 - 36670 ns R r1 00000001 - 36670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 36690 ns R r1 80000000 - 36690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 36710 ns R psr 81000200 - 36710 ns MR4_I 01000208 2a001c5b - 36730 ns MR4_I 01000200 07c96841 - 36750 ns IT 01000200 6841 LDR r1,[r0,#4] - 36770 ns MR4_I 01000204 6002d1fc - 36830 ns MR4_D 40006004 00000001 - 36830 ns R r1 00000001 - 36830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 36850 ns R r1 80000000 - 36850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 36870 ns R psr 81000200 - 36870 ns MR4_I 01000208 2a001c5b - 36890 ns MR4_I 01000200 07c96841 - 36910 ns IT 01000200 6841 LDR r1,[r0,#4] - 36930 ns MR4_I 01000204 6002d1fc - 36990 ns MR4_D 40006004 00000001 - 36990 ns R r1 00000001 - 36990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 37010 ns R r1 80000000 - 37010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 37030 ns R psr 81000200 - 37030 ns MR4_I 01000208 2a001c5b - 37050 ns MR4_I 01000200 07c96841 - 37070 ns IT 01000200 6841 LDR r1,[r0,#4] - 37090 ns MR4_I 01000204 6002d1fc - 37150 ns MR4_D 40006004 00000001 - 37150 ns R r1 00000001 - 37150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 37170 ns R r1 80000000 - 37170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 37190 ns R psr 81000200 - 37190 ns MR4_I 01000208 2a001c5b - 37210 ns MR4_I 01000200 07c96841 - 37230 ns IT 01000200 6841 LDR r1,[r0,#4] - 37250 ns MR4_I 01000204 6002d1fc - 37310 ns MR4_D 40006004 00000001 - 37310 ns R r1 00000001 - 37310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 37330 ns R r1 80000000 - 37330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 37350 ns R psr 81000200 - 37350 ns MR4_I 01000208 2a001c5b - 37370 ns MR4_I 01000200 07c96841 - 37390 ns IT 01000200 6841 LDR r1,[r0,#4] - 37410 ns MR4_I 01000204 6002d1fc - 37470 ns MR4_D 40006004 00000001 - 37470 ns R r1 00000001 - 37470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 37490 ns R r1 80000000 - 37490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 37510 ns R psr 81000200 - 37510 ns MR4_I 01000208 2a001c5b - 37530 ns MR4_I 01000200 07c96841 - 37550 ns IT 01000200 6841 LDR r1,[r0,#4] - 37570 ns MR4_I 01000204 6002d1fc - 37630 ns MR4_D 40006004 00000001 - 37630 ns R r1 00000001 - 37630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 37650 ns R r1 80000000 - 37650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 37670 ns R psr 81000200 - 37670 ns MR4_I 01000208 2a001c5b - 37690 ns MR4_I 01000200 07c96841 - 37710 ns IT 01000200 6841 LDR r1,[r0,#4] - 37730 ns MR4_I 01000204 6002d1fc - 37790 ns MR4_D 40006004 00000001 - 37790 ns R r1 00000001 - 37790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 37810 ns R r1 80000000 - 37810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 37830 ns R psr 81000200 - 37830 ns MR4_I 01000208 2a001c5b - 37850 ns MR4_I 01000200 07c96841 - 37870 ns IT 01000200 6841 LDR r1,[r0,#4] - 37890 ns MR4_I 01000204 6002d1fc - 37950 ns MR4_D 40006004 00000001 - 37950 ns R r1 00000001 - 37950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 37970 ns R r1 80000000 - 37970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 37990 ns R psr 81000200 - 37990 ns MR4_I 01000208 2a001c5b - 38010 ns MR4_I 01000200 07c96841 - 38030 ns IT 01000200 6841 LDR r1,[r0,#4] - 38050 ns MR4_I 01000204 6002d1fc - 38110 ns MR4_D 40006004 00000001 - 38110 ns R r1 00000001 - 38110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 38130 ns R r1 80000000 - 38130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 38150 ns R psr 81000200 - 38150 ns MR4_I 01000208 2a001c5b - 38170 ns MR4_I 01000200 07c96841 - 38190 ns IT 01000200 6841 LDR r1,[r0,#4] - 38210 ns MR4_I 01000204 6002d1fc - 38270 ns MR4_D 40006004 00000001 - 38270 ns R r1 00000001 - 38270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 38290 ns R r1 80000000 - 38290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 38310 ns R psr 81000200 - 38310 ns MR4_I 01000208 2a001c5b - 38330 ns MR4_I 01000200 07c96841 - 38350 ns IT 01000200 6841 LDR r1,[r0,#4] - 38370 ns MR4_I 01000204 6002d1fc - 38430 ns MR4_D 40006004 00000001 - 38430 ns R r1 00000001 - 38430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 38450 ns R r1 80000000 - 38450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 38470 ns R psr 81000200 - 38470 ns MR4_I 01000208 2a001c5b - 38490 ns MR4_I 01000200 07c96841 - 38510 ns IT 01000200 6841 LDR r1,[r0,#4] - 38530 ns MR4_I 01000204 6002d1fc - 38590 ns MR4_D 40006004 00000001 - 38590 ns R r1 00000001 - 38590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 38610 ns R r1 80000000 - 38610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 38630 ns R psr 81000200 - 38630 ns MR4_I 01000208 2a001c5b - 38650 ns MR4_I 01000200 07c96841 - 38670 ns IT 01000200 6841 LDR r1,[r0,#4] - 38690 ns MR4_I 01000204 6002d1fc - 38750 ns MR4_D 40006004 00000001 - 38750 ns R r1 00000001 - 38750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 38770 ns R r1 80000000 - 38770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 38790 ns R psr 81000200 - 38790 ns MR4_I 01000208 2a001c5b - 38810 ns MR4_I 01000200 07c96841 - 38830 ns IT 01000200 6841 LDR r1,[r0,#4] - 38850 ns MR4_I 01000204 6002d1fc - 38910 ns MR4_D 40006004 00000001 - 38910 ns R r1 00000001 - 38910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 38930 ns R r1 80000000 - 38930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 38950 ns R psr 81000200 - 38950 ns MR4_I 01000208 2a001c5b - 38970 ns MR4_I 01000200 07c96841 - 38990 ns IT 01000200 6841 LDR r1,[r0,#4] - 39010 ns MR4_I 01000204 6002d1fc - 39070 ns MR4_D 40006004 00000001 - 39070 ns R r1 00000001 - 39070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 39090 ns R r1 80000000 - 39090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 39110 ns R psr 81000200 - 39110 ns MR4_I 01000208 2a001c5b - 39130 ns MR4_I 01000200 07c96841 - 39150 ns IT 01000200 6841 LDR r1,[r0,#4] - 39170 ns MR4_I 01000204 6002d1fc - 39230 ns MR4_D 40006004 00000001 - 39230 ns R r1 00000001 - 39230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 39250 ns R r1 80000000 - 39250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 39270 ns R psr 81000200 - 39270 ns MR4_I 01000208 2a001c5b - 39290 ns MR4_I 01000200 07c96841 - 39310 ns IT 01000200 6841 LDR r1,[r0,#4] - 39330 ns MR4_I 01000204 6002d1fc - 39390 ns MR4_D 40006004 00000001 - 39390 ns R r1 00000001 - 39390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 39410 ns R r1 80000000 - 39410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 39430 ns R psr 81000200 - 39430 ns MR4_I 01000208 2a001c5b - 39450 ns MR4_I 01000200 07c96841 - 39470 ns IT 01000200 6841 LDR r1,[r0,#4] - 39490 ns MR4_I 01000204 6002d1fc - 39550 ns MR4_D 40006004 00000001 - 39550 ns R r1 00000001 - 39550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 39570 ns R r1 80000000 - 39570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 39590 ns R psr 81000200 - 39590 ns MR4_I 01000208 2a001c5b - 39610 ns MR4_I 01000200 07c96841 - 39630 ns IT 01000200 6841 LDR r1,[r0,#4] - 39650 ns MR4_I 01000204 6002d1fc - 39710 ns MR4_D 40006004 00000001 - 39710 ns R r1 00000001 - 39710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 39730 ns R r1 80000000 - 39730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 39750 ns R psr 81000200 - 39750 ns MR4_I 01000208 2a001c5b - 39770 ns MR4_I 01000200 07c96841 - 39790 ns IT 01000200 6841 LDR r1,[r0,#4] - 39810 ns MR4_I 01000204 6002d1fc - 39870 ns MR4_D 40006004 00000001 - 39870 ns R r1 00000001 - 39870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 39890 ns R r1 80000000 - 39890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 39910 ns R psr 81000200 - 39910 ns MR4_I 01000208 2a001c5b - 39930 ns MR4_I 01000200 07c96841 - 39950 ns IT 01000200 6841 LDR r1,[r0,#4] - 39970 ns MR4_I 01000204 6002d1fc - 40030 ns MR4_D 40006004 00000001 - 40030 ns R r1 00000001 - 40030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 40050 ns R r1 80000000 - 40050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 40070 ns R psr 81000200 - 40070 ns MR4_I 01000208 2a001c5b - 40090 ns MR4_I 01000200 07c96841 - 40110 ns IT 01000200 6841 LDR r1,[r0,#4] - 40130 ns MR4_I 01000204 6002d1fc - 40190 ns MR4_D 40006004 00000001 - 40190 ns R r1 00000001 - 40190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 40210 ns R r1 80000000 - 40210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 40230 ns R psr 81000200 - 40230 ns MR4_I 01000208 2a001c5b - 40250 ns MR4_I 01000200 07c96841 - 40270 ns IT 01000200 6841 LDR r1,[r0,#4] - 40290 ns MR4_I 01000204 6002d1fc - 40350 ns MR4_D 40006004 00000001 - 40350 ns R r1 00000001 - 40350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 40370 ns R r1 80000000 - 40370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 40390 ns R psr 81000200 - 40390 ns MR4_I 01000208 2a001c5b - 40410 ns MR4_I 01000200 07c96841 - 40430 ns IT 01000200 6841 LDR r1,[r0,#4] - 40450 ns MR4_I 01000204 6002d1fc - 40510 ns MR4_D 40006004 00000001 - 40510 ns R r1 00000001 - 40510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 40530 ns R r1 80000000 - 40530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 40550 ns R psr 81000200 - 40550 ns MR4_I 01000208 2a001c5b - 40570 ns MR4_I 01000200 07c96841 - 40590 ns IT 01000200 6841 LDR r1,[r0,#4] - 40610 ns MR4_I 01000204 6002d1fc - 40670 ns MR4_D 40006004 00000001 - 40670 ns R r1 00000001 - 40670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 40690 ns R r1 80000000 - 40690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 40710 ns R psr 81000200 - 40710 ns MR4_I 01000208 2a001c5b - 40730 ns MR4_I 01000200 07c96841 - 40750 ns IT 01000200 6841 LDR r1,[r0,#4] - 40770 ns MR4_I 01000204 6002d1fc - 40830 ns MR4_D 40006004 00000001 - 40830 ns R r1 00000001 - 40830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 40850 ns R r1 80000000 - 40850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 40870 ns R psr 81000200 - 40870 ns MR4_I 01000208 2a001c5b - 40890 ns MR4_I 01000200 07c96841 - 40910 ns IT 01000200 6841 LDR r1,[r0,#4] - 40930 ns MR4_I 01000204 6002d1fc - 40990 ns MR4_D 40006004 00000001 - 40990 ns R r1 00000001 - 40990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 41010 ns R r1 80000000 - 41010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 41030 ns R psr 81000200 - 41030 ns MR4_I 01000208 2a001c5b - 41050 ns MR4_I 01000200 07c96841 - 41070 ns IT 01000200 6841 LDR r1,[r0,#4] - 41090 ns MR4_I 01000204 6002d1fc - 41150 ns MR4_D 40006004 00000001 - 41150 ns R r1 00000001 - 41150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 41170 ns R r1 80000000 - 41170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 41190 ns R psr 81000200 - 41190 ns MR4_I 01000208 2a001c5b - 41210 ns MR4_I 01000200 07c96841 - 41230 ns IT 01000200 6841 LDR r1,[r0,#4] - 41250 ns MR4_I 01000204 6002d1fc - 41310 ns MR4_D 40006004 00000001 - 41310 ns R r1 00000001 - 41310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 41330 ns R r1 80000000 - 41330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 41350 ns R psr 81000200 - 41350 ns MR4_I 01000208 2a001c5b - 41370 ns MR4_I 01000200 07c96841 - 41390 ns IT 01000200 6841 LDR r1,[r0,#4] - 41410 ns MR4_I 01000204 6002d1fc - 41470 ns MR4_D 40006004 00000001 - 41470 ns R r1 00000001 - 41470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 41490 ns R r1 80000000 - 41490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 41510 ns R psr 81000200 - 41510 ns MR4_I 01000208 2a001c5b - 41530 ns MR4_I 01000200 07c96841 - 41550 ns IT 01000200 6841 LDR r1,[r0,#4] - 41570 ns MR4_I 01000204 6002d1fc - 41630 ns MR4_D 40006004 00000001 - 41630 ns R r1 00000001 - 41630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 41650 ns R r1 80000000 - 41650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 41670 ns R psr 81000200 - 41670 ns MR4_I 01000208 2a001c5b - 41690 ns MR4_I 01000200 07c96841 - 41710 ns IT 01000200 6841 LDR r1,[r0,#4] - 41730 ns MR4_I 01000204 6002d1fc - 41790 ns MR4_D 40006004 00000001 - 41790 ns R r1 00000001 - 41790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 41810 ns R r1 80000000 - 41810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 41830 ns R psr 81000200 - 41830 ns MR4_I 01000208 2a001c5b - 41850 ns MR4_I 01000200 07c96841 - 41870 ns IT 01000200 6841 LDR r1,[r0,#4] - 41890 ns MR4_I 01000204 6002d1fc - 41950 ns MR4_D 40006004 00000001 - 41950 ns R r1 00000001 - 41950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 41970 ns R r1 80000000 - 41970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 41990 ns R psr 81000200 - 41990 ns MR4_I 01000208 2a001c5b - 42010 ns MR4_I 01000200 07c96841 - 42030 ns IT 01000200 6841 LDR r1,[r0,#4] - 42050 ns MR4_I 01000204 6002d1fc - 42110 ns MR4_D 40006004 00000001 - 42110 ns R r1 00000001 - 42110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 42130 ns R r1 80000000 - 42130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 42150 ns R psr 81000200 - 42150 ns MR4_I 01000208 2a001c5b - 42170 ns MR4_I 01000200 07c96841 - 42190 ns IT 01000200 6841 LDR r1,[r0,#4] - 42210 ns MR4_I 01000204 6002d1fc - 42270 ns MR4_D 40006004 00000001 - 42270 ns R r1 00000001 - 42270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 42290 ns R r1 80000000 - 42290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 42310 ns R psr 81000200 - 42310 ns MR4_I 01000208 2a001c5b - 42330 ns MR4_I 01000200 07c96841 - 42350 ns IT 01000200 6841 LDR r1,[r0,#4] - 42370 ns MR4_I 01000204 6002d1fc - 42430 ns MR4_D 40006004 00000001 - 42430 ns R r1 00000001 - 42430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 42450 ns R r1 80000000 - 42450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 42470 ns R psr 81000200 - 42470 ns MR4_I 01000208 2a001c5b - 42490 ns MR4_I 01000200 07c96841 - 42510 ns IT 01000200 6841 LDR r1,[r0,#4] - 42530 ns MR4_I 01000204 6002d1fc - 42590 ns MR4_D 40006004 00000001 - 42590 ns R r1 00000001 - 42590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 42610 ns R r1 80000000 - 42610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 42630 ns R psr 81000200 - 42630 ns MR4_I 01000208 2a001c5b - 42650 ns MR4_I 01000200 07c96841 - 42670 ns IT 01000200 6841 LDR r1,[r0,#4] - 42690 ns MR4_I 01000204 6002d1fc - 42750 ns MR4_D 40006004 00000001 - 42750 ns R r1 00000001 - 42750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 42770 ns R r1 80000000 - 42770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 42790 ns R psr 81000200 - 42790 ns MR4_I 01000208 2a001c5b - 42810 ns MR4_I 01000200 07c96841 - 42830 ns IT 01000200 6841 LDR r1,[r0,#4] - 42850 ns MR4_I 01000204 6002d1fc - 42910 ns MR4_D 40006004 00000001 - 42910 ns R r1 00000001 - 42910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 42930 ns R r1 80000000 - 42930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 42950 ns R psr 81000200 - 42950 ns MR4_I 01000208 2a001c5b - 42970 ns MR4_I 01000200 07c96841 - 42990 ns IT 01000200 6841 LDR r1,[r0,#4] - 43010 ns MR4_I 01000204 6002d1fc - 43070 ns MR4_D 40006004 00000001 - 43070 ns R r1 00000001 - 43070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 43090 ns R r1 80000000 - 43090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 43110 ns R psr 81000200 - 43110 ns MR4_I 01000208 2a001c5b - 43130 ns MR4_I 01000200 07c96841 - 43150 ns IT 01000200 6841 LDR r1,[r0,#4] - 43170 ns MR4_I 01000204 6002d1fc - 43230 ns MR4_D 40006004 00000001 - 43230 ns R r1 00000001 - 43230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 43250 ns R r1 80000000 - 43250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 43270 ns R psr 81000200 - 43270 ns MR4_I 01000208 2a001c5b - 43290 ns MR4_I 01000200 07c96841 - 43310 ns IT 01000200 6841 LDR r1,[r0,#4] - 43330 ns MR4_I 01000204 6002d1fc - 43390 ns MR4_D 40006004 00000001 - 43390 ns R r1 00000001 - 43390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 43410 ns R r1 80000000 - 43410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 43430 ns R psr 81000200 - 43430 ns MR4_I 01000208 2a001c5b - 43450 ns MR4_I 01000200 07c96841 - 43470 ns IT 01000200 6841 LDR r1,[r0,#4] - 43490 ns MR4_I 01000204 6002d1fc - 43550 ns MR4_D 40006004 00000001 - 43550 ns R r1 00000001 - 43550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 43570 ns R r1 80000000 - 43570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 43590 ns R psr 81000200 - 43590 ns MR4_I 01000208 2a001c5b - 43610 ns MR4_I 01000200 07c96841 - 43630 ns IT 01000200 6841 LDR r1,[r0,#4] - 43650 ns MR4_I 01000204 6002d1fc - 43710 ns MR4_D 40006004 00000001 - 43710 ns R r1 00000001 - 43710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 43730 ns R r1 80000000 - 43730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 43750 ns R psr 81000200 - 43750 ns MR4_I 01000208 2a001c5b - 43770 ns MR4_I 01000200 07c96841 - 43790 ns IT 01000200 6841 LDR r1,[r0,#4] - 43810 ns MR4_I 01000204 6002d1fc - 43870 ns MR4_D 40006004 00000001 - 43870 ns R r1 00000001 - 43870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 43890 ns R r1 80000000 - 43890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 43910 ns R psr 81000200 - 43910 ns MR4_I 01000208 2a001c5b - 43930 ns MR4_I 01000200 07c96841 - 43950 ns IT 01000200 6841 LDR r1,[r0,#4] - 43970 ns MR4_I 01000204 6002d1fc - 44030 ns MR4_D 40006004 00000001 - 44030 ns R r1 00000001 - 44030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 44050 ns R r1 80000000 - 44050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 44070 ns R psr 81000200 - 44070 ns MR4_I 01000208 2a001c5b - 44090 ns MR4_I 01000200 07c96841 - 44110 ns IT 01000200 6841 LDR r1,[r0,#4] - 44130 ns MR4_I 01000204 6002d1fc - 44190 ns MR4_D 40006004 00000001 - 44190 ns R r1 00000001 - 44190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 44210 ns R r1 80000000 - 44210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 44230 ns R psr 81000200 - 44230 ns MR4_I 01000208 2a001c5b - 44250 ns MR4_I 01000200 07c96841 - 44270 ns IT 01000200 6841 LDR r1,[r0,#4] - 44290 ns MR4_I 01000204 6002d1fc - 44350 ns MR4_D 40006004 00000001 - 44350 ns R r1 00000001 - 44350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 44370 ns R r1 80000000 - 44370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 44390 ns R psr 81000200 - 44390 ns MR4_I 01000208 2a001c5b - 44410 ns MR4_I 01000200 07c96841 - 44430 ns IT 01000200 6841 LDR r1,[r0,#4] - 44450 ns MR4_I 01000204 6002d1fc - 44510 ns MR4_D 40006004 00000001 - 44510 ns R r1 00000001 - 44510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 44530 ns R r1 80000000 - 44530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 44550 ns R psr 81000200 - 44550 ns MR4_I 01000208 2a001c5b - 44570 ns MR4_I 01000200 07c96841 - 44590 ns IT 01000200 6841 LDR r1,[r0,#4] - 44610 ns MR4_I 01000204 6002d1fc - 44670 ns MR4_D 40006004 00000001 - 44670 ns R r1 00000001 - 44670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 44690 ns R r1 80000000 - 44690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 44710 ns R psr 81000200 - 44710 ns MR4_I 01000208 2a001c5b - 44730 ns MR4_I 01000200 07c96841 - 44750 ns IT 01000200 6841 LDR r1,[r0,#4] - 44770 ns MR4_I 01000204 6002d1fc - 44830 ns MR4_D 40006004 00000001 - 44830 ns R r1 00000001 - 44830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 44850 ns R r1 80000000 - 44850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 44870 ns R psr 81000200 - 44870 ns MR4_I 01000208 2a001c5b - 44890 ns MR4_I 01000200 07c96841 - 44910 ns IT 01000200 6841 LDR r1,[r0,#4] - 44930 ns MR4_I 01000204 6002d1fc - 44990 ns MR4_D 40006004 00000001 - 44990 ns R r1 00000001 - 44990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 45010 ns R r1 80000000 - 45010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 45030 ns R psr 81000200 - 45030 ns MR4_I 01000208 2a001c5b - 45050 ns MR4_I 01000200 07c96841 - 45070 ns IT 01000200 6841 LDR r1,[r0,#4] - 45090 ns MR4_I 01000204 6002d1fc - 45150 ns MR4_D 40006004 00000001 - 45150 ns R r1 00000001 - 45150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 45170 ns R r1 80000000 - 45170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 45190 ns R psr 81000200 - 45190 ns MR4_I 01000208 2a001c5b - 45210 ns MR4_I 01000200 07c96841 - 45230 ns IT 01000200 6841 LDR r1,[r0,#4] - 45250 ns MR4_I 01000204 6002d1fc - 45310 ns MR4_D 40006004 00000001 - 45310 ns R r1 00000001 - 45310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 45330 ns R r1 80000000 - 45330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 45350 ns R psr 81000200 - 45350 ns MR4_I 01000208 2a001c5b - 45370 ns MR4_I 01000200 07c96841 - 45390 ns IT 01000200 6841 LDR r1,[r0,#4] - 45410 ns MR4_I 01000204 6002d1fc - 45470 ns MR4_D 40006004 00000001 - 45470 ns R r1 00000001 - 45470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 45490 ns R r1 80000000 - 45490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 45510 ns R psr 81000200 - 45510 ns MR4_I 01000208 2a001c5b - 45530 ns MR4_I 01000200 07c96841 - 45550 ns IT 01000200 6841 LDR r1,[r0,#4] - 45570 ns MR4_I 01000204 6002d1fc - 45630 ns MR4_D 40006004 00000001 - 45630 ns R r1 00000001 - 45630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 45650 ns R r1 80000000 - 45650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 45670 ns R psr 81000200 - 45670 ns MR4_I 01000208 2a001c5b - 45690 ns MR4_I 01000200 07c96841 - 45710 ns IT 01000200 6841 LDR r1,[r0,#4] - 45730 ns MR4_I 01000204 6002d1fc - 45790 ns MR4_D 40006004 00000001 - 45790 ns R r1 00000001 - 45790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 45810 ns R r1 80000000 - 45810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 45830 ns R psr 81000200 - 45830 ns MR4_I 01000208 2a001c5b - 45850 ns MR4_I 01000200 07c96841 - 45870 ns IT 01000200 6841 LDR r1,[r0,#4] - 45890 ns MR4_I 01000204 6002d1fc - 45950 ns MR4_D 40006004 00000001 - 45950 ns R r1 00000001 - 45950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 45970 ns R r1 80000000 - 45970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 45990 ns R psr 81000200 - 45990 ns MR4_I 01000208 2a001c5b - 46010 ns MR4_I 01000200 07c96841 - 46030 ns IT 01000200 6841 LDR r1,[r0,#4] - 46050 ns MR4_I 01000204 6002d1fc - 46110 ns MR4_D 40006004 00000001 - 46110 ns R r1 00000001 - 46110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 46130 ns R r1 80000000 - 46130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 46150 ns R psr 81000200 - 46150 ns MR4_I 01000208 2a001c5b - 46170 ns MR4_I 01000200 07c96841 - 46190 ns IT 01000200 6841 LDR r1,[r0,#4] - 46210 ns MR4_I 01000204 6002d1fc - 46270 ns MR4_D 40006004 00000001 - 46270 ns R r1 00000001 - 46270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 46290 ns R r1 80000000 - 46290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 46310 ns R psr 81000200 - 46310 ns MR4_I 01000208 2a001c5b - 46330 ns MR4_I 01000200 07c96841 - 46350 ns IT 01000200 6841 LDR r1,[r0,#4] - 46370 ns MR4_I 01000204 6002d1fc - 46430 ns MR4_D 40006004 00000001 - 46430 ns R r1 00000001 - 46430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 46450 ns R r1 80000000 - 46450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 46470 ns R psr 81000200 - 46470 ns MR4_I 01000208 2a001c5b - 46490 ns MR4_I 01000200 07c96841 - 46510 ns IT 01000200 6841 LDR r1,[r0,#4] - 46530 ns MR4_I 01000204 6002d1fc - 46590 ns MR4_D 40006004 00000001 - 46590 ns R r1 00000001 - 46590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 46610 ns R r1 80000000 - 46610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 46630 ns R psr 81000200 - 46630 ns MR4_I 01000208 2a001c5b - 46650 ns MR4_I 01000200 07c96841 - 46670 ns IT 01000200 6841 LDR r1,[r0,#4] - 46690 ns MR4_I 01000204 6002d1fc - 46750 ns MR4_D 40006004 00000001 - 46750 ns R r1 00000001 - 46750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 46770 ns R r1 80000000 - 46770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 46790 ns R psr 81000200 - 46790 ns MR4_I 01000208 2a001c5b - 46810 ns MR4_I 01000200 07c96841 - 46830 ns IT 01000200 6841 LDR r1,[r0,#4] - 46850 ns MR4_I 01000204 6002d1fc - 46910 ns MR4_D 40006004 00000001 - 46910 ns R r1 00000001 - 46910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 46930 ns R r1 80000000 - 46930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 46950 ns R psr 81000200 - 46950 ns MR4_I 01000208 2a001c5b - 46970 ns MR4_I 01000200 07c96841 - 46990 ns IT 01000200 6841 LDR r1,[r0,#4] - 47010 ns MR4_I 01000204 6002d1fc - 47070 ns MR4_D 40006004 00000001 - 47070 ns R r1 00000001 - 47070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 47090 ns R r1 80000000 - 47090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 47110 ns R psr 81000200 - 47110 ns MR4_I 01000208 2a001c5b - 47130 ns MR4_I 01000200 07c96841 - 47150 ns IT 01000200 6841 LDR r1,[r0,#4] - 47170 ns MR4_I 01000204 6002d1fc - 47230 ns MR4_D 40006004 00000001 - 47230 ns R r1 00000001 - 47230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 47250 ns R r1 80000000 - 47250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 47270 ns R psr 81000200 - 47270 ns MR4_I 01000208 2a001c5b - 47290 ns MR4_I 01000200 07c96841 - 47310 ns IT 01000200 6841 LDR r1,[r0,#4] - 47330 ns MR4_I 01000204 6002d1fc - 47390 ns MR4_D 40006004 00000001 - 47390 ns R r1 00000001 - 47390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 47410 ns R r1 80000000 - 47410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 47430 ns R psr 81000200 - 47430 ns MR4_I 01000208 2a001c5b - 47450 ns MR4_I 01000200 07c96841 - 47470 ns IT 01000200 6841 LDR r1,[r0,#4] - 47490 ns MR4_I 01000204 6002d1fc - 47550 ns MR4_D 40006004 00000001 - 47550 ns R r1 00000001 - 47550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 47570 ns R r1 80000000 - 47570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 47590 ns R psr 81000200 - 47590 ns MR4_I 01000208 2a001c5b - 47610 ns MR4_I 01000200 07c96841 - 47630 ns IT 01000200 6841 LDR r1,[r0,#4] - 47650 ns MR4_I 01000204 6002d1fc - 47710 ns MR4_D 40006004 00000001 - 47710 ns R r1 00000001 - 47710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 47730 ns R r1 80000000 - 47730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 47750 ns R psr 81000200 - 47750 ns MR4_I 01000208 2a001c5b - 47770 ns MR4_I 01000200 07c96841 - 47790 ns IT 01000200 6841 LDR r1,[r0,#4] - 47810 ns MR4_I 01000204 6002d1fc - 47870 ns MR4_D 40006004 00000001 - 47870 ns R r1 00000001 - 47870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 47890 ns R r1 80000000 - 47890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 47910 ns R psr 81000200 - 47910 ns MR4_I 01000208 2a001c5b - 47930 ns MR4_I 01000200 07c96841 - 47950 ns IT 01000200 6841 LDR r1,[r0,#4] - 47970 ns MR4_I 01000204 6002d1fc - 48030 ns MR4_D 40006004 00000001 - 48030 ns R r1 00000001 - 48030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 48050 ns R r1 80000000 - 48050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 48070 ns R psr 81000200 - 48070 ns MR4_I 01000208 2a001c5b - 48090 ns MR4_I 01000200 07c96841 - 48110 ns IT 01000200 6841 LDR r1,[r0,#4] - 48130 ns MR4_I 01000204 6002d1fc - 48190 ns MR4_D 40006004 00000001 - 48190 ns R r1 00000001 - 48190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 48210 ns R r1 80000000 - 48210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 48230 ns R psr 81000200 - 48230 ns MR4_I 01000208 2a001c5b - 48250 ns MR4_I 01000200 07c96841 - 48270 ns IT 01000200 6841 LDR r1,[r0,#4] - 48290 ns MR4_I 01000204 6002d1fc - 48350 ns MR4_D 40006004 00000001 - 48350 ns R r1 00000001 - 48350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 48370 ns R r1 80000000 - 48370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 48390 ns R psr 81000200 - 48390 ns MR4_I 01000208 2a001c5b - 48410 ns MR4_I 01000200 07c96841 - 48430 ns IT 01000200 6841 LDR r1,[r0,#4] - 48450 ns MR4_I 01000204 6002d1fc - 48510 ns MR4_D 40006004 00000001 - 48510 ns R r1 00000001 - 48510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 48530 ns R r1 80000000 - 48530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 48550 ns R psr 81000200 - 48550 ns MR4_I 01000208 2a001c5b - 48570 ns MR4_I 01000200 07c96841 - 48590 ns IT 01000200 6841 LDR r1,[r0,#4] - 48610 ns MR4_I 01000204 6002d1fc - 48670 ns MR4_D 40006004 00000001 - 48670 ns R r1 00000001 - 48670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 48690 ns R r1 80000000 - 48690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 48710 ns R psr 81000200 - 48710 ns MR4_I 01000208 2a001c5b - 48730 ns MR4_I 01000200 07c96841 - 48750 ns IT 01000200 6841 LDR r1,[r0,#4] - 48770 ns MR4_I 01000204 6002d1fc - 48830 ns MR4_D 40006004 00000001 - 48830 ns R r1 00000001 - 48830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 48850 ns R r1 80000000 - 48850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 48870 ns R psr 81000200 - 48870 ns MR4_I 01000208 2a001c5b - 48890 ns MR4_I 01000200 07c96841 - 48910 ns IT 01000200 6841 LDR r1,[r0,#4] - 48930 ns MR4_I 01000204 6002d1fc - 48990 ns MR4_D 40006004 00000001 - 48990 ns R r1 00000001 - 48990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 49010 ns R r1 80000000 - 49010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 49030 ns R psr 81000200 - 49030 ns MR4_I 01000208 2a001c5b - 49050 ns MR4_I 01000200 07c96841 - 49070 ns IT 01000200 6841 LDR r1,[r0,#4] - 49090 ns MR4_I 01000204 6002d1fc - 49150 ns MR4_D 40006004 00000001 - 49150 ns R r1 00000001 - 49150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 49170 ns R r1 80000000 - 49170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 49190 ns R psr 81000200 - 49190 ns MR4_I 01000208 2a001c5b - 49210 ns MR4_I 01000200 07c96841 - 49230 ns IT 01000200 6841 LDR r1,[r0,#4] - 49250 ns MR4_I 01000204 6002d1fc - 49310 ns MR4_D 40006004 00000001 - 49310 ns R r1 00000001 - 49310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 49330 ns R r1 80000000 - 49330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 49350 ns R psr 81000200 - 49350 ns MR4_I 01000208 2a001c5b - 49370 ns MR4_I 01000200 07c96841 - 49390 ns IT 01000200 6841 LDR r1,[r0,#4] - 49410 ns MR4_I 01000204 6002d1fc - 49470 ns MR4_D 40006004 00000001 - 49470 ns R r1 00000001 - 49470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 49490 ns R r1 80000000 - 49490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 49510 ns R psr 81000200 - 49510 ns MR4_I 01000208 2a001c5b - 49530 ns MR4_I 01000200 07c96841 - 49550 ns IT 01000200 6841 LDR r1,[r0,#4] - 49570 ns MR4_I 01000204 6002d1fc - 49630 ns MR4_D 40006004 00000001 - 49630 ns R r1 00000001 - 49630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 49650 ns R r1 80000000 - 49650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 49670 ns R psr 81000200 - 49670 ns MR4_I 01000208 2a001c5b - 49690 ns MR4_I 01000200 07c96841 - 49710 ns IT 01000200 6841 LDR r1,[r0,#4] - 49730 ns MR4_I 01000204 6002d1fc - 49790 ns MR4_D 40006004 00000001 - 49790 ns R r1 00000001 - 49790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 49810 ns R r1 80000000 - 49810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 49830 ns R psr 81000200 - 49830 ns MR4_I 01000208 2a001c5b - 49850 ns MR4_I 01000200 07c96841 - 49870 ns IT 01000200 6841 LDR r1,[r0,#4] - 49890 ns MR4_I 01000204 6002d1fc - 49950 ns MR4_D 40006004 00000001 - 49950 ns R r1 00000001 - 49950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 49970 ns R r1 80000000 - 49970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 49990 ns R psr 81000200 - 49990 ns MR4_I 01000208 2a001c5b - 50010 ns MR4_I 01000200 07c96841 - 50030 ns IT 01000200 6841 LDR r1,[r0,#4] - 50050 ns MR4_I 01000204 6002d1fc - 50110 ns MR4_D 40006004 00000001 - 50110 ns R r1 00000001 - 50110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 50130 ns R r1 80000000 - 50130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 50150 ns R psr 81000200 - 50150 ns MR4_I 01000208 2a001c5b - 50170 ns MR4_I 01000200 07c96841 - 50190 ns IT 01000200 6841 LDR r1,[r0,#4] - 50210 ns MR4_I 01000204 6002d1fc - 50270 ns MR4_D 40006004 00000001 - 50270 ns R r1 00000001 - 50270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 50290 ns R r1 80000000 - 50290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 50310 ns R psr 81000200 - 50310 ns MR4_I 01000208 2a001c5b - 50330 ns MR4_I 01000200 07c96841 - 50350 ns IT 01000200 6841 LDR r1,[r0,#4] - 50370 ns MR4_I 01000204 6002d1fc - 50430 ns MR4_D 40006004 00000001 - 50430 ns R r1 00000001 - 50430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 50450 ns R r1 80000000 - 50450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 50470 ns R psr 81000200 - 50470 ns MR4_I 01000208 2a001c5b - 50490 ns MR4_I 01000200 07c96841 - 50510 ns IT 01000200 6841 LDR r1,[r0,#4] - 50530 ns MR4_I 01000204 6002d1fc - 50590 ns MR4_D 40006004 00000001 - 50590 ns R r1 00000001 - 50590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 50610 ns R r1 80000000 - 50610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 50630 ns R psr 81000200 - 50630 ns MR4_I 01000208 2a001c5b - 50650 ns MR4_I 01000200 07c96841 - 50670 ns IT 01000200 6841 LDR r1,[r0,#4] - 50690 ns MR4_I 01000204 6002d1fc - 50750 ns MR4_D 40006004 00000001 - 50750 ns R r1 00000001 - 50750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 50770 ns R r1 80000000 - 50770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 50790 ns R psr 81000200 - 50790 ns MR4_I 01000208 2a001c5b - 50810 ns MR4_I 01000200 07c96841 - 50830 ns IT 01000200 6841 LDR r1,[r0,#4] - 50850 ns MR4_I 01000204 6002d1fc - 50910 ns MR4_D 40006004 00000001 - 50910 ns R r1 00000001 - 50910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 50930 ns R r1 80000000 - 50930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 50950 ns R psr 81000200 - 50950 ns MR4_I 01000208 2a001c5b - 50970 ns MR4_I 01000200 07c96841 - 50990 ns IT 01000200 6841 LDR r1,[r0,#4] - 51010 ns MR4_I 01000204 6002d1fc - 51070 ns MR4_D 40006004 00000001 - 51070 ns R r1 00000001 - 51070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 51090 ns R r1 80000000 - 51090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 51110 ns R psr 81000200 - 51110 ns MR4_I 01000208 2a001c5b - 51130 ns MR4_I 01000200 07c96841 - 51150 ns IT 01000200 6841 LDR r1,[r0,#4] - 51170 ns MR4_I 01000204 6002d1fc - 51230 ns MR4_D 40006004 00000001 - 51230 ns R r1 00000001 - 51230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 51250 ns R r1 80000000 - 51250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 51270 ns R psr 81000200 - 51270 ns MR4_I 01000208 2a001c5b - 51290 ns MR4_I 01000200 07c96841 - 51310 ns IT 01000200 6841 LDR r1,[r0,#4] - 51330 ns MR4_I 01000204 6002d1fc - 51390 ns MR4_D 40006004 00000001 - 51390 ns R r1 00000001 - 51390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 51410 ns R r1 80000000 - 51410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 51430 ns R psr 81000200 - 51430 ns MR4_I 01000208 2a001c5b - 51450 ns MR4_I 01000200 07c96841 - 51470 ns IT 01000200 6841 LDR r1,[r0,#4] - 51490 ns MR4_I 01000204 6002d1fc - 51550 ns MR4_D 40006004 00000001 - 51550 ns R r1 00000001 - 51550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 51570 ns R r1 80000000 - 51570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 51590 ns R psr 81000200 - 51590 ns MR4_I 01000208 2a001c5b - 51610 ns MR4_I 01000200 07c96841 - 51630 ns IT 01000200 6841 LDR r1,[r0,#4] - 51650 ns MR4_I 01000204 6002d1fc - 51710 ns MR4_D 40006004 00000001 - 51710 ns R r1 00000001 - 51710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 51730 ns R r1 80000000 - 51730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 51750 ns R psr 81000200 - 51750 ns MR4_I 01000208 2a001c5b - 51770 ns MR4_I 01000200 07c96841 - 51790 ns IT 01000200 6841 LDR r1,[r0,#4] - 51810 ns MR4_I 01000204 6002d1fc - 51870 ns MR4_D 40006004 00000001 - 51870 ns R r1 00000001 - 51870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 51890 ns R r1 80000000 - 51890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 51910 ns R psr 81000200 - 51910 ns MR4_I 01000208 2a001c5b - 51930 ns MR4_I 01000200 07c96841 - 51950 ns IT 01000200 6841 LDR r1,[r0,#4] - 51970 ns MR4_I 01000204 6002d1fc - 52030 ns MR4_D 40006004 00000001 - 52030 ns R r1 00000001 - 52030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 52050 ns R r1 80000000 - 52050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 52070 ns R psr 81000200 - 52070 ns MR4_I 01000208 2a001c5b - 52090 ns MR4_I 01000200 07c96841 - 52110 ns IT 01000200 6841 LDR r1,[r0,#4] - 52130 ns MR4_I 01000204 6002d1fc - 52190 ns MR4_D 40006004 00000001 - 52190 ns R r1 00000001 - 52190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 52210 ns R r1 80000000 - 52210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 52230 ns R psr 81000200 - 52230 ns MR4_I 01000208 2a001c5b - 52250 ns MR4_I 01000200 07c96841 - 52270 ns IT 01000200 6841 LDR r1,[r0,#4] - 52290 ns MR4_I 01000204 6002d1fc - 52350 ns MR4_D 40006004 00000001 - 52350 ns R r1 00000001 - 52350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 52370 ns R r1 80000000 - 52370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 52390 ns R psr 81000200 - 52390 ns MR4_I 01000208 2a001c5b - 52410 ns MR4_I 01000200 07c96841 - 52430 ns IT 01000200 6841 LDR r1,[r0,#4] - 52450 ns MR4_I 01000204 6002d1fc - 52510 ns MR4_D 40006004 00000001 - 52510 ns R r1 00000001 - 52510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 52530 ns R r1 80000000 - 52530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 52550 ns R psr 81000200 - 52550 ns MR4_I 01000208 2a001c5b - 52570 ns MR4_I 01000200 07c96841 - 52590 ns IT 01000200 6841 LDR r1,[r0,#4] - 52610 ns MR4_I 01000204 6002d1fc - 52670 ns MR4_D 40006004 00000001 - 52670 ns R r1 00000001 - 52670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 52690 ns R r1 80000000 - 52690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 52710 ns R psr 81000200 - 52710 ns MR4_I 01000208 2a001c5b - 52730 ns MR4_I 01000200 07c96841 - 52750 ns IT 01000200 6841 LDR r1,[r0,#4] - 52770 ns MR4_I 01000204 6002d1fc - 52830 ns MR4_D 40006004 00000001 - 52830 ns R r1 00000001 - 52830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 52850 ns R r1 80000000 - 52850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 52870 ns R psr 81000200 - 52870 ns MR4_I 01000208 2a001c5b - 52890 ns MR4_I 01000200 07c96841 - 52910 ns IT 01000200 6841 LDR r1,[r0,#4] - 52930 ns MR4_I 01000204 6002d1fc - 52990 ns MR4_D 40006004 00000001 - 52990 ns R r1 00000001 - 52990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 53010 ns R r1 80000000 - 53010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 53030 ns R psr 81000200 - 53030 ns MR4_I 01000208 2a001c5b - 53050 ns MR4_I 01000200 07c96841 - 53070 ns IT 01000200 6841 LDR r1,[r0,#4] - 53090 ns MR4_I 01000204 6002d1fc - 53150 ns MR4_D 40006004 00000001 - 53150 ns R r1 00000001 - 53150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 53170 ns R r1 80000000 - 53170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 53190 ns R psr 81000200 - 53190 ns MR4_I 01000208 2a001c5b - 53210 ns MR4_I 01000200 07c96841 - 53230 ns IT 01000200 6841 LDR r1,[r0,#4] - 53250 ns MR4_I 01000204 6002d1fc - 53310 ns MR4_D 40006004 00000001 - 53310 ns R r1 00000001 - 53310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 53330 ns R r1 80000000 - 53330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 53350 ns R psr 81000200 - 53350 ns MR4_I 01000208 2a001c5b - 53370 ns MR4_I 01000200 07c96841 - 53390 ns IT 01000200 6841 LDR r1,[r0,#4] - 53410 ns MR4_I 01000204 6002d1fc - 53470 ns MR4_D 40006004 00000001 - 53470 ns R r1 00000001 - 53470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 53490 ns R r1 80000000 - 53490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 53510 ns R psr 81000200 - 53510 ns MR4_I 01000208 2a001c5b - 53530 ns MR4_I 01000200 07c96841 - 53550 ns IT 01000200 6841 LDR r1,[r0,#4] - 53570 ns MR4_I 01000204 6002d1fc - 53630 ns MR4_D 40006004 00000001 - 53630 ns R r1 00000001 - 53630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 53650 ns R r1 80000000 - 53650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 53670 ns R psr 81000200 - 53670 ns MR4_I 01000208 2a001c5b - 53690 ns MR4_I 01000200 07c96841 - 53710 ns IT 01000200 6841 LDR r1,[r0,#4] - 53730 ns MR4_I 01000204 6002d1fc - 53790 ns MR4_D 40006004 00000001 - 53790 ns R r1 00000001 - 53790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 53810 ns R r1 80000000 - 53810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 53830 ns R psr 81000200 - 53830 ns MR4_I 01000208 2a001c5b - 53850 ns MR4_I 01000200 07c96841 - 53870 ns IT 01000200 6841 LDR r1,[r0,#4] - 53890 ns MR4_I 01000204 6002d1fc - 53950 ns MR4_D 40006004 00000001 - 53950 ns R r1 00000001 - 53950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 53970 ns R r1 80000000 - 53970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 53990 ns R psr 81000200 - 53990 ns MR4_I 01000208 2a001c5b - 54010 ns MR4_I 01000200 07c96841 - 54030 ns IT 01000200 6841 LDR r1,[r0,#4] - 54050 ns MR4_I 01000204 6002d1fc - 54110 ns MR4_D 40006004 00000001 - 54110 ns R r1 00000001 - 54110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 54130 ns R r1 80000000 - 54130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 54150 ns R psr 81000200 - 54150 ns MR4_I 01000208 2a001c5b - 54170 ns MR4_I 01000200 07c96841 - 54190 ns IT 01000200 6841 LDR r1,[r0,#4] - 54210 ns MR4_I 01000204 6002d1fc - 54270 ns MR4_D 40006004 00000001 - 54270 ns R r1 00000001 - 54270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 54290 ns R r1 80000000 - 54290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 54310 ns R psr 81000200 - 54310 ns MR4_I 01000208 2a001c5b - 54330 ns MR4_I 01000200 07c96841 - 54350 ns IT 01000200 6841 LDR r1,[r0,#4] - 54370 ns MR4_I 01000204 6002d1fc - 54430 ns MR4_D 40006004 00000001 - 54430 ns R r1 00000001 - 54430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 54450 ns R r1 80000000 - 54450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 54470 ns R psr 81000200 - 54470 ns MR4_I 01000208 2a001c5b - 54490 ns MR4_I 01000200 07c96841 - 54510 ns IT 01000200 6841 LDR r1,[r0,#4] - 54530 ns MR4_I 01000204 6002d1fc - 54590 ns MR4_D 40006004 00000001 - 54590 ns R r1 00000001 - 54590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 54610 ns R r1 80000000 - 54610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 54630 ns R psr 81000200 - 54630 ns MR4_I 01000208 2a001c5b - 54650 ns MR4_I 01000200 07c96841 - 54670 ns IT 01000200 6841 LDR r1,[r0,#4] - 54690 ns MR4_I 01000204 6002d1fc - 54750 ns MR4_D 40006004 00000001 - 54750 ns R r1 00000001 - 54750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 54770 ns R r1 80000000 - 54770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 54790 ns R psr 81000200 - 54790 ns MR4_I 01000208 2a001c5b - 54810 ns MR4_I 01000200 07c96841 - 54830 ns IT 01000200 6841 LDR r1,[r0,#4] - 54850 ns MR4_I 01000204 6002d1fc - 54910 ns MR4_D 40006004 00000001 - 54910 ns R r1 00000001 - 54910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 54930 ns R r1 80000000 - 54930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 54950 ns R psr 81000200 - 54950 ns MR4_I 01000208 2a001c5b - 54970 ns MR4_I 01000200 07c96841 - 54990 ns IT 01000200 6841 LDR r1,[r0,#4] - 55010 ns MR4_I 01000204 6002d1fc - 55070 ns MR4_D 40006004 00000001 - 55070 ns R r1 00000001 - 55070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 55090 ns R r1 80000000 - 55090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 55110 ns R psr 81000200 - 55110 ns MR4_I 01000208 2a001c5b - 55130 ns MR4_I 01000200 07c96841 - 55150 ns IT 01000200 6841 LDR r1,[r0,#4] - 55170 ns MR4_I 01000204 6002d1fc - 55230 ns MR4_D 40006004 00000001 - 55230 ns R r1 00000001 - 55230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 55250 ns R r1 80000000 - 55250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 55270 ns R psr 81000200 - 55270 ns MR4_I 01000208 2a001c5b - 55290 ns MR4_I 01000200 07c96841 - 55310 ns IT 01000200 6841 LDR r1,[r0,#4] - 55330 ns MR4_I 01000204 6002d1fc - 55390 ns MR4_D 40006004 00000001 - 55390 ns R r1 00000001 - 55390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 55410 ns R r1 80000000 - 55410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 55430 ns R psr 81000200 - 55430 ns MR4_I 01000208 2a001c5b - 55450 ns MR4_I 01000200 07c96841 - 55470 ns IT 01000200 6841 LDR r1,[r0,#4] - 55490 ns MR4_I 01000204 6002d1fc - 55550 ns MR4_D 40006004 00000001 - 55550 ns R r1 00000001 - 55550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 55570 ns R r1 80000000 - 55570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 55590 ns R psr 81000200 - 55590 ns MR4_I 01000208 2a001c5b - 55610 ns MR4_I 01000200 07c96841 - 55630 ns IT 01000200 6841 LDR r1,[r0,#4] - 55650 ns MR4_I 01000204 6002d1fc - 55710 ns MR4_D 40006004 00000001 - 55710 ns R r1 00000001 - 55710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 55730 ns R r1 80000000 - 55730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 55750 ns R psr 81000200 - 55750 ns MR4_I 01000208 2a001c5b - 55770 ns MR4_I 01000200 07c96841 - 55790 ns IT 01000200 6841 LDR r1,[r0,#4] - 55810 ns MR4_I 01000204 6002d1fc - 55870 ns MR4_D 40006004 00000001 - 55870 ns R r1 00000001 - 55870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 55890 ns R r1 80000000 - 55890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 55910 ns R psr 81000200 - 55910 ns MR4_I 01000208 2a001c5b - 55930 ns MR4_I 01000200 07c96841 - 55950 ns IT 01000200 6841 LDR r1,[r0,#4] - 55970 ns MR4_I 01000204 6002d1fc - 56030 ns MR4_D 40006004 00000001 - 56030 ns R r1 00000001 - 56030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 56050 ns R r1 80000000 - 56050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 56070 ns R psr 81000200 - 56070 ns MR4_I 01000208 2a001c5b - 56090 ns MR4_I 01000200 07c96841 - 56110 ns IT 01000200 6841 LDR r1,[r0,#4] - 56130 ns MR4_I 01000204 6002d1fc - 56190 ns MR4_D 40006004 00000001 - 56190 ns R r1 00000001 - 56190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 56210 ns R r1 80000000 - 56210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 56230 ns R psr 81000200 - 56230 ns MR4_I 01000208 2a001c5b - 56250 ns MR4_I 01000200 07c96841 - 56270 ns IT 01000200 6841 LDR r1,[r0,#4] - 56290 ns MR4_I 01000204 6002d1fc - 56350 ns MR4_D 40006004 00000001 - 56350 ns R r1 00000001 - 56350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 56370 ns R r1 80000000 - 56370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 56390 ns R psr 81000200 - 56390 ns MR4_I 01000208 2a001c5b - 56410 ns MR4_I 01000200 07c96841 - 56430 ns IT 01000200 6841 LDR r1,[r0,#4] - 56450 ns MR4_I 01000204 6002d1fc - 56510 ns MR4_D 40006004 00000001 - 56510 ns R r1 00000001 - 56510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 56530 ns R r1 80000000 - 56530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 56550 ns R psr 81000200 - 56550 ns MR4_I 01000208 2a001c5b - 56570 ns MR4_I 01000200 07c96841 - 56590 ns IT 01000200 6841 LDR r1,[r0,#4] - 56610 ns MR4_I 01000204 6002d1fc - 56670 ns MR4_D 40006004 00000001 - 56670 ns R r1 00000001 - 56670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 56690 ns R r1 80000000 - 56690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 56710 ns R psr 81000200 - 56710 ns MR4_I 01000208 2a001c5b - 56730 ns MR4_I 01000200 07c96841 - 56750 ns IT 01000200 6841 LDR r1,[r0,#4] - 56770 ns MR4_I 01000204 6002d1fc - 56830 ns MR4_D 40006004 00000001 - 56830 ns R r1 00000001 - 56830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 56850 ns R r1 80000000 - 56850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 56870 ns R psr 81000200 - 56870 ns MR4_I 01000208 2a001c5b - 56890 ns MR4_I 01000200 07c96841 - 56910 ns IT 01000200 6841 LDR r1,[r0,#4] - 56930 ns MR4_I 01000204 6002d1fc - 56990 ns MR4_D 40006004 00000001 - 56990 ns R r1 00000001 - 56990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 57010 ns R r1 80000000 - 57010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 57030 ns R psr 81000200 - 57030 ns MR4_I 01000208 2a001c5b - 57050 ns MR4_I 01000200 07c96841 - 57070 ns IT 01000200 6841 LDR r1,[r0,#4] - 57090 ns MR4_I 01000204 6002d1fc - 57150 ns MR4_D 40006004 00000001 - 57150 ns R r1 00000001 - 57150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 57170 ns R r1 80000000 - 57170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 57190 ns R psr 81000200 - 57190 ns MR4_I 01000208 2a001c5b - 57210 ns MR4_I 01000200 07c96841 - 57230 ns IT 01000200 6841 LDR r1,[r0,#4] - 57250 ns MR4_I 01000204 6002d1fc - 57310 ns MR4_D 40006004 00000001 - 57310 ns R r1 00000001 - 57310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 57330 ns R r1 80000000 - 57330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 57350 ns R psr 81000200 - 57350 ns MR4_I 01000208 2a001c5b - 57370 ns MR4_I 01000200 07c96841 - 57390 ns IT 01000200 6841 LDR r1,[r0,#4] - 57410 ns MR4_I 01000204 6002d1fc - 57470 ns MR4_D 40006004 00000001 - 57470 ns R r1 00000001 - 57470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 57490 ns R r1 80000000 - 57490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 57510 ns R psr 81000200 - 57510 ns MR4_I 01000208 2a001c5b - 57530 ns MR4_I 01000200 07c96841 - 57550 ns IT 01000200 6841 LDR r1,[r0,#4] - 57570 ns MR4_I 01000204 6002d1fc - 57630 ns MR4_D 40006004 00000001 - 57630 ns R r1 00000001 - 57630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 57650 ns R r1 80000000 - 57650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 57670 ns R psr 81000200 - 57670 ns MR4_I 01000208 2a001c5b - 57690 ns MR4_I 01000200 07c96841 - 57710 ns IT 01000200 6841 LDR r1,[r0,#4] - 57730 ns MR4_I 01000204 6002d1fc - 57790 ns MR4_D 40006004 00000001 - 57790 ns R r1 00000001 - 57790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 57810 ns R r1 80000000 - 57810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 57830 ns R psr 81000200 - 57830 ns MR4_I 01000208 2a001c5b - 57850 ns MR4_I 01000200 07c96841 - 57870 ns IT 01000200 6841 LDR r1,[r0,#4] - 57890 ns MR4_I 01000204 6002d1fc - 57950 ns MR4_D 40006004 00000001 - 57950 ns R r1 00000001 - 57950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 57970 ns R r1 80000000 - 57970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 57990 ns R psr 81000200 - 57990 ns MR4_I 01000208 2a001c5b - 58010 ns MR4_I 01000200 07c96841 - 58030 ns IT 01000200 6841 LDR r1,[r0,#4] - 58050 ns MR4_I 01000204 6002d1fc - 58110 ns MR4_D 40006004 00000001 - 58110 ns R r1 00000001 - 58110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 58130 ns R r1 80000000 - 58130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 58150 ns R psr 81000200 - 58150 ns MR4_I 01000208 2a001c5b - 58170 ns MR4_I 01000200 07c96841 - 58190 ns IT 01000200 6841 LDR r1,[r0,#4] - 58210 ns MR4_I 01000204 6002d1fc - 58270 ns MR4_D 40006004 00000000 - 58270 ns R r1 00000000 - 58270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 58290 ns R r1 00000000 - 58290 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 58310 ns R psr 41000200 - 58310 ns MR4_I 01000208 2a001c5b - 58310 ns IT 01000206 6002 STR r2,[r0,#0] - 58390 ns MW4_D 40006000 00000054 - 58390 ns IT 01000208 1c5b ADDS r3,r3,#1 - 58410 ns MR4_I 0100020c a32ad1f5 - 58410 ns R r3 01000297 - 58410 ns IT 0100020a 2a00 CMP r2,#0 - 58430 ns R psr 01000200 - 58430 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 58450 ns R psr 21000200 - 58450 ns MR4_I 01000210 2a00781a - 58470 ns MR4_I 010001f8 781aa326 - 58490 ns MR4_I 010001fc d0062a00 - 58490 ns IT 010001fa 781a LDRB r2,[r3,#0] - 58530 ns MR1_D 01000297 5254470a - 58530 ns R r2 00000052 - 58530 ns IT 010001fc 2a00 CMP r2,#0 - 58550 ns MR4_I 01000200 07c96841 - 58550 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 58570 ns R psr 21000200 - 58570 ns IT 01000200 6841 LDR r1,[r0,#4] - 58590 ns MR4_I 01000204 6002d1fc - 58650 ns MR4_D 40006004 00000001 - 58650 ns R r1 00000001 - 58650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 58670 ns R r1 80000000 - 58670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 58690 ns R psr 81000200 - 58690 ns MR4_I 01000208 2a001c5b - 58710 ns MR4_I 01000200 07c96841 - 58730 ns IT 01000200 6841 LDR r1,[r0,#4] - 58750 ns MR4_I 01000204 6002d1fc - 58810 ns MR4_D 40006004 00000001 - 58810 ns R r1 00000001 - 58810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 58830 ns R r1 80000000 - 58830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 58850 ns R psr 81000200 - 58850 ns MR4_I 01000208 2a001c5b - 58870 ns MR4_I 01000200 07c96841 - 58890 ns IT 01000200 6841 LDR r1,[r0,#4] - 58910 ns MR4_I 01000204 6002d1fc - 58970 ns MR4_D 40006004 00000001 - 58970 ns R r1 00000001 - 58970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 58990 ns R r1 80000000 - 58990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 59010 ns R psr 81000200 - 59010 ns MR4_I 01000208 2a001c5b - 59030 ns MR4_I 01000200 07c96841 - 59050 ns IT 01000200 6841 LDR r1,[r0,#4] - 59070 ns MR4_I 01000204 6002d1fc - 59130 ns MR4_D 40006004 00000001 - 59130 ns R r1 00000001 - 59130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 59150 ns R r1 80000000 - 59150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 59170 ns R psr 81000200 - 59170 ns MR4_I 01000208 2a001c5b - 59190 ns MR4_I 01000200 07c96841 - 59210 ns IT 01000200 6841 LDR r1,[r0,#4] - 59230 ns MR4_I 01000204 6002d1fc - 59290 ns MR4_D 40006004 00000001 - 59290 ns R r1 00000001 - 59290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 59310 ns R r1 80000000 - 59310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 59330 ns R psr 81000200 - 59330 ns MR4_I 01000208 2a001c5b - 59350 ns MR4_I 01000200 07c96841 - 59370 ns IT 01000200 6841 LDR r1,[r0,#4] - 59390 ns MR4_I 01000204 6002d1fc - 59450 ns MR4_D 40006004 00000001 - 59450 ns R r1 00000001 - 59450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 59470 ns R r1 80000000 - 59470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 59490 ns R psr 81000200 - 59490 ns MR4_I 01000208 2a001c5b - 59510 ns MR4_I 01000200 07c96841 - 59530 ns IT 01000200 6841 LDR r1,[r0,#4] - 59550 ns MR4_I 01000204 6002d1fc - 59610 ns MR4_D 40006004 00000001 - 59610 ns R r1 00000001 - 59610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 59630 ns R r1 80000000 - 59630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 59650 ns R psr 81000200 - 59650 ns MR4_I 01000208 2a001c5b - 59670 ns MR4_I 01000200 07c96841 - 59690 ns IT 01000200 6841 LDR r1,[r0,#4] - 59710 ns MR4_I 01000204 6002d1fc - 59770 ns MR4_D 40006004 00000001 - 59770 ns R r1 00000001 - 59770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 59790 ns R r1 80000000 - 59790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 59810 ns R psr 81000200 - 59810 ns MR4_I 01000208 2a001c5b - 59830 ns MR4_I 01000200 07c96841 - 59850 ns IT 01000200 6841 LDR r1,[r0,#4] - 59870 ns MR4_I 01000204 6002d1fc - 59930 ns MR4_D 40006004 00000001 - 59930 ns R r1 00000001 - 59930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 59950 ns R r1 80000000 - 59950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 59970 ns R psr 81000200 - 59970 ns MR4_I 01000208 2a001c5b - 59990 ns MR4_I 01000200 07c96841 - 60010 ns IT 01000200 6841 LDR r1,[r0,#4] - 60030 ns MR4_I 01000204 6002d1fc - 60090 ns MR4_D 40006004 00000001 - 60090 ns R r1 00000001 - 60090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 60110 ns R r1 80000000 - 60110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 60130 ns R psr 81000200 - 60130 ns MR4_I 01000208 2a001c5b - 60150 ns MR4_I 01000200 07c96841 - 60170 ns IT 01000200 6841 LDR r1,[r0,#4] - 60190 ns MR4_I 01000204 6002d1fc - 60250 ns MR4_D 40006004 00000001 - 60250 ns R r1 00000001 - 60250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 60270 ns R r1 80000000 - 60270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 60290 ns R psr 81000200 - 60290 ns MR4_I 01000208 2a001c5b - 60310 ns MR4_I 01000200 07c96841 - 60330 ns IT 01000200 6841 LDR r1,[r0,#4] - 60350 ns MR4_I 01000204 6002d1fc - 60410 ns MR4_D 40006004 00000001 - 60410 ns R r1 00000001 - 60410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 60430 ns R r1 80000000 - 60430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 60450 ns R psr 81000200 - 60450 ns MR4_I 01000208 2a001c5b - 60470 ns MR4_I 01000200 07c96841 - 60490 ns IT 01000200 6841 LDR r1,[r0,#4] - 60510 ns MR4_I 01000204 6002d1fc - 60570 ns MR4_D 40006004 00000001 - 60570 ns R r1 00000001 - 60570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 60590 ns R r1 80000000 - 60590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 60610 ns R psr 81000200 - 60610 ns MR4_I 01000208 2a001c5b - 60630 ns MR4_I 01000200 07c96841 - 60650 ns IT 01000200 6841 LDR r1,[r0,#4] - 60670 ns MR4_I 01000204 6002d1fc - 60730 ns MR4_D 40006004 00000001 - 60730 ns R r1 00000001 - 60730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 60750 ns R r1 80000000 - 60750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 60770 ns R psr 81000200 - 60770 ns MR4_I 01000208 2a001c5b - 60790 ns MR4_I 01000200 07c96841 - 60810 ns IT 01000200 6841 LDR r1,[r0,#4] - 60830 ns MR4_I 01000204 6002d1fc - 60890 ns MR4_D 40006004 00000001 - 60890 ns R r1 00000001 - 60890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 60910 ns R r1 80000000 - 60910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 60930 ns R psr 81000200 - 60930 ns MR4_I 01000208 2a001c5b - 60950 ns MR4_I 01000200 07c96841 - 60970 ns IT 01000200 6841 LDR r1,[r0,#4] - 60990 ns MR4_I 01000204 6002d1fc - 61050 ns MR4_D 40006004 00000001 - 61050 ns R r1 00000001 - 61050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 61070 ns R r1 80000000 - 61070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 61090 ns R psr 81000200 - 61090 ns MR4_I 01000208 2a001c5b - 61110 ns MR4_I 01000200 07c96841 - 61130 ns IT 01000200 6841 LDR r1,[r0,#4] - 61150 ns MR4_I 01000204 6002d1fc - 61210 ns MR4_D 40006004 00000001 - 61210 ns R r1 00000001 - 61210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 61230 ns R r1 80000000 - 61230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 61250 ns R psr 81000200 - 61250 ns MR4_I 01000208 2a001c5b - 61270 ns MR4_I 01000200 07c96841 - 61290 ns IT 01000200 6841 LDR r1,[r0,#4] - 61310 ns MR4_I 01000204 6002d1fc - 61370 ns MR4_D 40006004 00000001 - 61370 ns R r1 00000001 - 61370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 61390 ns R r1 80000000 - 61390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 61410 ns R psr 81000200 - 61410 ns MR4_I 01000208 2a001c5b - 61430 ns MR4_I 01000200 07c96841 - 61450 ns IT 01000200 6841 LDR r1,[r0,#4] - 61470 ns MR4_I 01000204 6002d1fc - 61530 ns MR4_D 40006004 00000001 - 61530 ns R r1 00000001 - 61530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 61550 ns R r1 80000000 - 61550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 61570 ns R psr 81000200 - 61570 ns MR4_I 01000208 2a001c5b - 61590 ns MR4_I 01000200 07c96841 - 61610 ns IT 01000200 6841 LDR r1,[r0,#4] - 61630 ns MR4_I 01000204 6002d1fc - 61690 ns MR4_D 40006004 00000001 - 61690 ns R r1 00000001 - 61690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 61710 ns R r1 80000000 - 61710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 61730 ns R psr 81000200 - 61730 ns MR4_I 01000208 2a001c5b - 61750 ns MR4_I 01000200 07c96841 - 61770 ns IT 01000200 6841 LDR r1,[r0,#4] - 61790 ns MR4_I 01000204 6002d1fc - 61850 ns MR4_D 40006004 00000001 - 61850 ns R r1 00000001 - 61850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 61870 ns R r1 80000000 - 61870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 61890 ns R psr 81000200 - 61890 ns MR4_I 01000208 2a001c5b - 61910 ns MR4_I 01000200 07c96841 - 61930 ns IT 01000200 6841 LDR r1,[r0,#4] - 61950 ns MR4_I 01000204 6002d1fc - 62010 ns MR4_D 40006004 00000001 - 62010 ns R r1 00000001 - 62010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 62030 ns R r1 80000000 - 62030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 62050 ns R psr 81000200 - 62050 ns MR4_I 01000208 2a001c5b - 62070 ns MR4_I 01000200 07c96841 - 62090 ns IT 01000200 6841 LDR r1,[r0,#4] - 62110 ns MR4_I 01000204 6002d1fc - 62170 ns MR4_D 40006004 00000001 - 62170 ns R r1 00000001 - 62170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 62190 ns R r1 80000000 - 62190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 62210 ns R psr 81000200 - 62210 ns MR4_I 01000208 2a001c5b - 62230 ns MR4_I 01000200 07c96841 - 62250 ns IT 01000200 6841 LDR r1,[r0,#4] - 62270 ns MR4_I 01000204 6002d1fc - 62330 ns MR4_D 40006004 00000001 - 62330 ns R r1 00000001 - 62330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 62350 ns R r1 80000000 - 62350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 62370 ns R psr 81000200 - 62370 ns MR4_I 01000208 2a001c5b - 62390 ns MR4_I 01000200 07c96841 - 62410 ns IT 01000200 6841 LDR r1,[r0,#4] - 62430 ns MR4_I 01000204 6002d1fc - 62490 ns MR4_D 40006004 00000001 - 62490 ns R r1 00000001 - 62490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 62510 ns R r1 80000000 - 62510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 62530 ns R psr 81000200 - 62530 ns MR4_I 01000208 2a001c5b - 62550 ns MR4_I 01000200 07c96841 - 62570 ns IT 01000200 6841 LDR r1,[r0,#4] - 62590 ns MR4_I 01000204 6002d1fc - 62650 ns MR4_D 40006004 00000001 - 62650 ns R r1 00000001 - 62650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 62670 ns R r1 80000000 - 62670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 62690 ns R psr 81000200 - 62690 ns MR4_I 01000208 2a001c5b - 62710 ns MR4_I 01000200 07c96841 - 62730 ns IT 01000200 6841 LDR r1,[r0,#4] - 62750 ns MR4_I 01000204 6002d1fc - 62810 ns MR4_D 40006004 00000001 - 62810 ns R r1 00000001 - 62810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 62830 ns R r1 80000000 - 62830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 62850 ns R psr 81000200 - 62850 ns MR4_I 01000208 2a001c5b - 62870 ns MR4_I 01000200 07c96841 - 62890 ns IT 01000200 6841 LDR r1,[r0,#4] - 62910 ns MR4_I 01000204 6002d1fc - 62970 ns MR4_D 40006004 00000001 - 62970 ns R r1 00000001 - 62970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 62990 ns R r1 80000000 - 62990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 63010 ns R psr 81000200 - 63010 ns MR4_I 01000208 2a001c5b - 63030 ns MR4_I 01000200 07c96841 - 63050 ns IT 01000200 6841 LDR r1,[r0,#4] - 63070 ns MR4_I 01000204 6002d1fc - 63130 ns MR4_D 40006004 00000001 - 63130 ns R r1 00000001 - 63130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 63150 ns R r1 80000000 - 63150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 63170 ns R psr 81000200 - 63170 ns MR4_I 01000208 2a001c5b - 63190 ns MR4_I 01000200 07c96841 - 63210 ns IT 01000200 6841 LDR r1,[r0,#4] - 63230 ns MR4_I 01000204 6002d1fc - 63290 ns MR4_D 40006004 00000001 - 63290 ns R r1 00000001 - 63290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 63310 ns R r1 80000000 - 63310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 63330 ns R psr 81000200 - 63330 ns MR4_I 01000208 2a001c5b - 63350 ns MR4_I 01000200 07c96841 - 63370 ns IT 01000200 6841 LDR r1,[r0,#4] - 63390 ns MR4_I 01000204 6002d1fc - 63450 ns MR4_D 40006004 00000001 - 63450 ns R r1 00000001 - 63450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 63470 ns R r1 80000000 - 63470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 63490 ns R psr 81000200 - 63490 ns MR4_I 01000208 2a001c5b - 63510 ns MR4_I 01000200 07c96841 - 63530 ns IT 01000200 6841 LDR r1,[r0,#4] - 63550 ns MR4_I 01000204 6002d1fc - 63610 ns MR4_D 40006004 00000001 - 63610 ns R r1 00000001 - 63610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 63630 ns R r1 80000000 - 63630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 63650 ns R psr 81000200 - 63650 ns MR4_I 01000208 2a001c5b - 63670 ns MR4_I 01000200 07c96841 - 63690 ns IT 01000200 6841 LDR r1,[r0,#4] - 63710 ns MR4_I 01000204 6002d1fc - 63770 ns MR4_D 40006004 00000001 - 63770 ns R r1 00000001 - 63770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 63790 ns R r1 80000000 - 63790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 63810 ns R psr 81000200 - 63810 ns MR4_I 01000208 2a001c5b - 63830 ns MR4_I 01000200 07c96841 - 63850 ns IT 01000200 6841 LDR r1,[r0,#4] - 63870 ns MR4_I 01000204 6002d1fc - 63930 ns MR4_D 40006004 00000001 - 63930 ns R r1 00000001 - 63930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 63950 ns R r1 80000000 - 63950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 63970 ns R psr 81000200 - 63970 ns MR4_I 01000208 2a001c5b - 63990 ns MR4_I 01000200 07c96841 - 64010 ns IT 01000200 6841 LDR r1,[r0,#4] - 64030 ns MR4_I 01000204 6002d1fc - 64090 ns MR4_D 40006004 00000001 - 64090 ns R r1 00000001 - 64090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 64110 ns R r1 80000000 - 64110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 64130 ns R psr 81000200 - 64130 ns MR4_I 01000208 2a001c5b - 64150 ns MR4_I 01000200 07c96841 - 64170 ns IT 01000200 6841 LDR r1,[r0,#4] - 64190 ns MR4_I 01000204 6002d1fc - 64250 ns MR4_D 40006004 00000001 - 64250 ns R r1 00000001 - 64250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 64270 ns R r1 80000000 - 64270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 64290 ns R psr 81000200 - 64290 ns MR4_I 01000208 2a001c5b - 64310 ns MR4_I 01000200 07c96841 - 64330 ns IT 01000200 6841 LDR r1,[r0,#4] - 64350 ns MR4_I 01000204 6002d1fc - 64410 ns MR4_D 40006004 00000001 - 64410 ns R r1 00000001 - 64410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 64430 ns R r1 80000000 - 64430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 64450 ns R psr 81000200 - 64450 ns MR4_I 01000208 2a001c5b - 64470 ns MR4_I 01000200 07c96841 - 64490 ns IT 01000200 6841 LDR r1,[r0,#4] - 64510 ns MR4_I 01000204 6002d1fc - 64570 ns MR4_D 40006004 00000001 - 64570 ns R r1 00000001 - 64570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 64590 ns R r1 80000000 - 64590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 64610 ns R psr 81000200 - 64610 ns MR4_I 01000208 2a001c5b - 64630 ns MR4_I 01000200 07c96841 - 64650 ns IT 01000200 6841 LDR r1,[r0,#4] - 64670 ns MR4_I 01000204 6002d1fc - 64730 ns MR4_D 40006004 00000001 - 64730 ns R r1 00000001 - 64730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 64750 ns R r1 80000000 - 64750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 64770 ns R psr 81000200 - 64770 ns MR4_I 01000208 2a001c5b - 64790 ns MR4_I 01000200 07c96841 - 64810 ns IT 01000200 6841 LDR r1,[r0,#4] - 64830 ns MR4_I 01000204 6002d1fc - 64890 ns MR4_D 40006004 00000001 - 64890 ns R r1 00000001 - 64890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 64910 ns R r1 80000000 - 64910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 64930 ns R psr 81000200 - 64930 ns MR4_I 01000208 2a001c5b - 64950 ns MR4_I 01000200 07c96841 - 64970 ns IT 01000200 6841 LDR r1,[r0,#4] - 64990 ns MR4_I 01000204 6002d1fc - 65050 ns MR4_D 40006004 00000001 - 65050 ns R r1 00000001 - 65050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 65070 ns R r1 80000000 - 65070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 65090 ns R psr 81000200 - 65090 ns MR4_I 01000208 2a001c5b - 65110 ns MR4_I 01000200 07c96841 - 65130 ns IT 01000200 6841 LDR r1,[r0,#4] - 65150 ns MR4_I 01000204 6002d1fc - 65210 ns MR4_D 40006004 00000001 - 65210 ns R r1 00000001 - 65210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 65230 ns R r1 80000000 - 65230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 65250 ns R psr 81000200 - 65250 ns MR4_I 01000208 2a001c5b - 65270 ns MR4_I 01000200 07c96841 - 65290 ns IT 01000200 6841 LDR r1,[r0,#4] - 65310 ns MR4_I 01000204 6002d1fc - 65370 ns MR4_D 40006004 00000001 - 65370 ns R r1 00000001 - 65370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 65390 ns R r1 80000000 - 65390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 65410 ns R psr 81000200 - 65410 ns MR4_I 01000208 2a001c5b - 65430 ns MR4_I 01000200 07c96841 - 65450 ns IT 01000200 6841 LDR r1,[r0,#4] - 65470 ns MR4_I 01000204 6002d1fc - 65530 ns MR4_D 40006004 00000001 - 65530 ns R r1 00000001 - 65530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 65550 ns R r1 80000000 - 65550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 65570 ns R psr 81000200 - 65570 ns MR4_I 01000208 2a001c5b - 65590 ns MR4_I 01000200 07c96841 - 65610 ns IT 01000200 6841 LDR r1,[r0,#4] - 65630 ns MR4_I 01000204 6002d1fc - 65690 ns MR4_D 40006004 00000001 - 65690 ns R r1 00000001 - 65690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 65710 ns R r1 80000000 - 65710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 65730 ns R psr 81000200 - 65730 ns MR4_I 01000208 2a001c5b - 65750 ns MR4_I 01000200 07c96841 - 65770 ns IT 01000200 6841 LDR r1,[r0,#4] - 65790 ns MR4_I 01000204 6002d1fc - 65850 ns MR4_D 40006004 00000001 - 65850 ns R r1 00000001 - 65850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 65870 ns R r1 80000000 - 65870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 65890 ns R psr 81000200 - 65890 ns MR4_I 01000208 2a001c5b - 65910 ns MR4_I 01000200 07c96841 - 65930 ns IT 01000200 6841 LDR r1,[r0,#4] - 65950 ns MR4_I 01000204 6002d1fc - 66010 ns MR4_D 40006004 00000001 - 66010 ns R r1 00000001 - 66010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 66030 ns R r1 80000000 - 66030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 66050 ns R psr 81000200 - 66050 ns MR4_I 01000208 2a001c5b - 66070 ns MR4_I 01000200 07c96841 - 66090 ns IT 01000200 6841 LDR r1,[r0,#4] - 66110 ns MR4_I 01000204 6002d1fc - 66170 ns MR4_D 40006004 00000001 - 66170 ns R r1 00000001 - 66170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 66190 ns R r1 80000000 - 66190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 66210 ns R psr 81000200 - 66210 ns MR4_I 01000208 2a001c5b - 66230 ns MR4_I 01000200 07c96841 - 66250 ns IT 01000200 6841 LDR r1,[r0,#4] - 66270 ns MR4_I 01000204 6002d1fc - 66330 ns MR4_D 40006004 00000001 - 66330 ns R r1 00000001 - 66330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 66350 ns R r1 80000000 - 66350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 66370 ns R psr 81000200 - 66370 ns MR4_I 01000208 2a001c5b - 66390 ns MR4_I 01000200 07c96841 - 66410 ns IT 01000200 6841 LDR r1,[r0,#4] - 66430 ns MR4_I 01000204 6002d1fc - 66490 ns MR4_D 40006004 00000001 - 66490 ns R r1 00000001 - 66490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 66510 ns R r1 80000000 - 66510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 66530 ns R psr 81000200 - 66530 ns MR4_I 01000208 2a001c5b - 66550 ns MR4_I 01000200 07c96841 - 66570 ns IT 01000200 6841 LDR r1,[r0,#4] - 66590 ns MR4_I 01000204 6002d1fc - 66650 ns MR4_D 40006004 00000001 - 66650 ns R r1 00000001 - 66650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 66670 ns R r1 80000000 - 66670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 66690 ns R psr 81000200 - 66690 ns MR4_I 01000208 2a001c5b - 66710 ns MR4_I 01000200 07c96841 - 66730 ns IT 01000200 6841 LDR r1,[r0,#4] - 66750 ns MR4_I 01000204 6002d1fc - 66810 ns MR4_D 40006004 00000001 - 66810 ns R r1 00000001 - 66810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 66830 ns R r1 80000000 - 66830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 66850 ns R psr 81000200 - 66850 ns MR4_I 01000208 2a001c5b - 66870 ns MR4_I 01000200 07c96841 - 66890 ns IT 01000200 6841 LDR r1,[r0,#4] - 66910 ns MR4_I 01000204 6002d1fc - 66970 ns MR4_D 40006004 00000001 - 66970 ns R r1 00000001 - 66970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 66990 ns R r1 80000000 - 66990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 67010 ns R psr 81000200 - 67010 ns MR4_I 01000208 2a001c5b - 67030 ns MR4_I 01000200 07c96841 - 67050 ns IT 01000200 6841 LDR r1,[r0,#4] - 67070 ns MR4_I 01000204 6002d1fc - 67130 ns MR4_D 40006004 00000001 - 67130 ns R r1 00000001 - 67130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 67150 ns R r1 80000000 - 67150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 67170 ns R psr 81000200 - 67170 ns MR4_I 01000208 2a001c5b - 67190 ns MR4_I 01000200 07c96841 - 67210 ns IT 01000200 6841 LDR r1,[r0,#4] - 67230 ns MR4_I 01000204 6002d1fc - 67290 ns MR4_D 40006004 00000001 - 67290 ns R r1 00000001 - 67290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 67310 ns R r1 80000000 - 67310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 67330 ns R psr 81000200 - 67330 ns MR4_I 01000208 2a001c5b - 67350 ns MR4_I 01000200 07c96841 - 67370 ns IT 01000200 6841 LDR r1,[r0,#4] - 67390 ns MR4_I 01000204 6002d1fc - 67450 ns MR4_D 40006004 00000001 - 67450 ns R r1 00000001 - 67450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 67470 ns R r1 80000000 - 67470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 67490 ns R psr 81000200 - 67490 ns MR4_I 01000208 2a001c5b - 67510 ns MR4_I 01000200 07c96841 - 67530 ns IT 01000200 6841 LDR r1,[r0,#4] - 67550 ns MR4_I 01000204 6002d1fc - 67610 ns MR4_D 40006004 00000001 - 67610 ns R r1 00000001 - 67610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 67630 ns R r1 80000000 - 67630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 67650 ns R psr 81000200 - 67650 ns MR4_I 01000208 2a001c5b - 67670 ns MR4_I 01000200 07c96841 - 67690 ns IT 01000200 6841 LDR r1,[r0,#4] - 67710 ns MR4_I 01000204 6002d1fc - 67770 ns MR4_D 40006004 00000001 - 67770 ns R r1 00000001 - 67770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 67790 ns R r1 80000000 - 67790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 67810 ns R psr 81000200 - 67810 ns MR4_I 01000208 2a001c5b - 67830 ns MR4_I 01000200 07c96841 - 67850 ns IT 01000200 6841 LDR r1,[r0,#4] - 67870 ns MR4_I 01000204 6002d1fc - 67930 ns MR4_D 40006004 00000001 - 67930 ns R r1 00000001 - 67930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 67950 ns R r1 80000000 - 67950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 67970 ns R psr 81000200 - 67970 ns MR4_I 01000208 2a001c5b - 67990 ns MR4_I 01000200 07c96841 - 68010 ns IT 01000200 6841 LDR r1,[r0,#4] - 68030 ns MR4_I 01000204 6002d1fc - 68090 ns MR4_D 40006004 00000001 - 68090 ns R r1 00000001 - 68090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 68110 ns R r1 80000000 - 68110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 68130 ns R psr 81000200 - 68130 ns MR4_I 01000208 2a001c5b - 68150 ns MR4_I 01000200 07c96841 - 68170 ns IT 01000200 6841 LDR r1,[r0,#4] - 68190 ns MR4_I 01000204 6002d1fc - 68250 ns MR4_D 40006004 00000001 - 68250 ns R r1 00000001 - 68250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 68270 ns R r1 80000000 - 68270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 68290 ns R psr 81000200 - 68290 ns MR4_I 01000208 2a001c5b - 68310 ns MR4_I 01000200 07c96841 - 68330 ns IT 01000200 6841 LDR r1,[r0,#4] - 68350 ns MR4_I 01000204 6002d1fc - 68410 ns MR4_D 40006004 00000001 - 68410 ns R r1 00000001 - 68410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 68430 ns R r1 80000000 - 68430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 68450 ns R psr 81000200 - 68450 ns MR4_I 01000208 2a001c5b - 68470 ns MR4_I 01000200 07c96841 - 68490 ns IT 01000200 6841 LDR r1,[r0,#4] - 68510 ns MR4_I 01000204 6002d1fc - 68570 ns MR4_D 40006004 00000001 - 68570 ns R r1 00000001 - 68570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 68590 ns R r1 80000000 - 68590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 68610 ns R psr 81000200 - 68610 ns MR4_I 01000208 2a001c5b - 68630 ns MR4_I 01000200 07c96841 - 68650 ns IT 01000200 6841 LDR r1,[r0,#4] - 68670 ns MR4_I 01000204 6002d1fc - 68730 ns MR4_D 40006004 00000001 - 68730 ns R r1 00000001 - 68730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 68750 ns R r1 80000000 - 68750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 68770 ns R psr 81000200 - 68770 ns MR4_I 01000208 2a001c5b - 68790 ns MR4_I 01000200 07c96841 - 68810 ns IT 01000200 6841 LDR r1,[r0,#4] - 68830 ns MR4_I 01000204 6002d1fc - 68890 ns MR4_D 40006004 00000001 - 68890 ns R r1 00000001 - 68890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 68910 ns R r1 80000000 - 68910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 68930 ns R psr 81000200 - 68930 ns MR4_I 01000208 2a001c5b - 68950 ns MR4_I 01000200 07c96841 - 68970 ns IT 01000200 6841 LDR r1,[r0,#4] - 68990 ns MR4_I 01000204 6002d1fc - 69050 ns MR4_D 40006004 00000001 - 69050 ns R r1 00000001 - 69050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 69070 ns R r1 80000000 - 69070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 69090 ns R psr 81000200 - 69090 ns MR4_I 01000208 2a001c5b - 69110 ns MR4_I 01000200 07c96841 - 69130 ns IT 01000200 6841 LDR r1,[r0,#4] - 69150 ns MR4_I 01000204 6002d1fc - 69210 ns MR4_D 40006004 00000001 - 69210 ns R r1 00000001 - 69210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 69230 ns R r1 80000000 - 69230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 69250 ns R psr 81000200 - 69250 ns MR4_I 01000208 2a001c5b - 69270 ns MR4_I 01000200 07c96841 - 69290 ns IT 01000200 6841 LDR r1,[r0,#4] - 69310 ns MR4_I 01000204 6002d1fc - 69370 ns MR4_D 40006004 00000001 - 69370 ns R r1 00000001 - 69370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 69390 ns R r1 80000000 - 69390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 69410 ns R psr 81000200 - 69410 ns MR4_I 01000208 2a001c5b - 69430 ns MR4_I 01000200 07c96841 - 69450 ns IT 01000200 6841 LDR r1,[r0,#4] - 69470 ns MR4_I 01000204 6002d1fc - 69530 ns MR4_D 40006004 00000001 - 69530 ns R r1 00000001 - 69530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 69550 ns R r1 80000000 - 69550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 69570 ns R psr 81000200 - 69570 ns MR4_I 01000208 2a001c5b - 69590 ns MR4_I 01000200 07c96841 - 69610 ns IT 01000200 6841 LDR r1,[r0,#4] - 69630 ns MR4_I 01000204 6002d1fc - 69690 ns MR4_D 40006004 00000001 - 69690 ns R r1 00000001 - 69690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 69710 ns R r1 80000000 - 69710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 69730 ns R psr 81000200 - 69730 ns MR4_I 01000208 2a001c5b - 69750 ns MR4_I 01000200 07c96841 - 69770 ns IT 01000200 6841 LDR r1,[r0,#4] - 69790 ns MR4_I 01000204 6002d1fc - 69850 ns MR4_D 40006004 00000001 - 69850 ns R r1 00000001 - 69850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 69870 ns R r1 80000000 - 69870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 69890 ns R psr 81000200 - 69890 ns MR4_I 01000208 2a001c5b - 69910 ns MR4_I 01000200 07c96841 - 69930 ns IT 01000200 6841 LDR r1,[r0,#4] - 69950 ns MR4_I 01000204 6002d1fc - 70010 ns MR4_D 40006004 00000001 - 70010 ns R r1 00000001 - 70010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 70030 ns R r1 80000000 - 70030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 70050 ns R psr 81000200 - 70050 ns MR4_I 01000208 2a001c5b - 70070 ns MR4_I 01000200 07c96841 - 70090 ns IT 01000200 6841 LDR r1,[r0,#4] - 70110 ns MR4_I 01000204 6002d1fc - 70170 ns MR4_D 40006004 00000001 - 70170 ns R r1 00000001 - 70170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 70190 ns R r1 80000000 - 70190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 70210 ns R psr 81000200 - 70210 ns MR4_I 01000208 2a001c5b - 70230 ns MR4_I 01000200 07c96841 - 70250 ns IT 01000200 6841 LDR r1,[r0,#4] - 70270 ns MR4_I 01000204 6002d1fc - 70330 ns MR4_D 40006004 00000001 - 70330 ns R r1 00000001 - 70330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 70350 ns R r1 80000000 - 70350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 70370 ns R psr 81000200 - 70370 ns MR4_I 01000208 2a001c5b - 70390 ns MR4_I 01000200 07c96841 - 70410 ns IT 01000200 6841 LDR r1,[r0,#4] - 70430 ns MR4_I 01000204 6002d1fc - 70490 ns MR4_D 40006004 00000001 - 70490 ns R r1 00000001 - 70490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 70510 ns R r1 80000000 - 70510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 70530 ns R psr 81000200 - 70530 ns MR4_I 01000208 2a001c5b - 70550 ns MR4_I 01000200 07c96841 - 70570 ns IT 01000200 6841 LDR r1,[r0,#4] - 70590 ns MR4_I 01000204 6002d1fc - 70650 ns MR4_D 40006004 00000001 - 70650 ns R r1 00000001 - 70650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 70670 ns R r1 80000000 - 70670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 70690 ns R psr 81000200 - 70690 ns MR4_I 01000208 2a001c5b - 70710 ns MR4_I 01000200 07c96841 - 70730 ns IT 01000200 6841 LDR r1,[r0,#4] - 70750 ns MR4_I 01000204 6002d1fc - 70810 ns MR4_D 40006004 00000001 - 70810 ns R r1 00000001 - 70810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 70830 ns R r1 80000000 - 70830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 70850 ns R psr 81000200 - 70850 ns MR4_I 01000208 2a001c5b - 70870 ns MR4_I 01000200 07c96841 - 70890 ns IT 01000200 6841 LDR r1,[r0,#4] - 70910 ns MR4_I 01000204 6002d1fc - 70970 ns MR4_D 40006004 00000001 - 70970 ns R r1 00000001 - 70970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 70990 ns R r1 80000000 - 70990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 71010 ns R psr 81000200 - 71010 ns MR4_I 01000208 2a001c5b - 71030 ns MR4_I 01000200 07c96841 - 71050 ns IT 01000200 6841 LDR r1,[r0,#4] - 71070 ns MR4_I 01000204 6002d1fc - 71130 ns MR4_D 40006004 00000001 - 71130 ns R r1 00000001 - 71130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 71150 ns R r1 80000000 - 71150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 71170 ns R psr 81000200 - 71170 ns MR4_I 01000208 2a001c5b - 71190 ns MR4_I 01000200 07c96841 - 71210 ns IT 01000200 6841 LDR r1,[r0,#4] - 71230 ns MR4_I 01000204 6002d1fc - 71290 ns MR4_D 40006004 00000001 - 71290 ns R r1 00000001 - 71290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 71310 ns R r1 80000000 - 71310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 71330 ns R psr 81000200 - 71330 ns MR4_I 01000208 2a001c5b - 71350 ns MR4_I 01000200 07c96841 - 71370 ns IT 01000200 6841 LDR r1,[r0,#4] - 71390 ns MR4_I 01000204 6002d1fc - 71450 ns MR4_D 40006004 00000001 - 71450 ns R r1 00000001 - 71450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 71470 ns R r1 80000000 - 71470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 71490 ns R psr 81000200 - 71490 ns MR4_I 01000208 2a001c5b - 71510 ns MR4_I 01000200 07c96841 - 71530 ns IT 01000200 6841 LDR r1,[r0,#4] - 71550 ns MR4_I 01000204 6002d1fc - 71610 ns MR4_D 40006004 00000001 - 71610 ns R r1 00000001 - 71610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 71630 ns R r1 80000000 - 71630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 71650 ns R psr 81000200 - 71650 ns MR4_I 01000208 2a001c5b - 71670 ns MR4_I 01000200 07c96841 - 71690 ns IT 01000200 6841 LDR r1,[r0,#4] - 71710 ns MR4_I 01000204 6002d1fc - 71770 ns MR4_D 40006004 00000001 - 71770 ns R r1 00000001 - 71770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 71790 ns R r1 80000000 - 71790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 71810 ns R psr 81000200 - 71810 ns MR4_I 01000208 2a001c5b - 71830 ns MR4_I 01000200 07c96841 - 71850 ns IT 01000200 6841 LDR r1,[r0,#4] - 71870 ns MR4_I 01000204 6002d1fc - 71930 ns MR4_D 40006004 00000001 - 71930 ns R r1 00000001 - 71930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 71950 ns R r1 80000000 - 71950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 71970 ns R psr 81000200 - 71970 ns MR4_I 01000208 2a001c5b - 71990 ns MR4_I 01000200 07c96841 - 72010 ns IT 01000200 6841 LDR r1,[r0,#4] - 72030 ns MR4_I 01000204 6002d1fc - 72090 ns MR4_D 40006004 00000001 - 72090 ns R r1 00000001 - 72090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 72110 ns R r1 80000000 - 72110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 72130 ns R psr 81000200 - 72130 ns MR4_I 01000208 2a001c5b - 72150 ns MR4_I 01000200 07c96841 - 72170 ns IT 01000200 6841 LDR r1,[r0,#4] - 72190 ns MR4_I 01000204 6002d1fc - 72250 ns MR4_D 40006004 00000001 - 72250 ns R r1 00000001 - 72250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 72270 ns R r1 80000000 - 72270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 72290 ns R psr 81000200 - 72290 ns MR4_I 01000208 2a001c5b - 72310 ns MR4_I 01000200 07c96841 - 72330 ns IT 01000200 6841 LDR r1,[r0,#4] - 72350 ns MR4_I 01000204 6002d1fc - 72410 ns MR4_D 40006004 00000001 - 72410 ns R r1 00000001 - 72410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 72430 ns R r1 80000000 - 72430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 72450 ns R psr 81000200 - 72450 ns MR4_I 01000208 2a001c5b - 72470 ns MR4_I 01000200 07c96841 - 72490 ns IT 01000200 6841 LDR r1,[r0,#4] - 72510 ns MR4_I 01000204 6002d1fc - 72570 ns MR4_D 40006004 00000001 - 72570 ns R r1 00000001 - 72570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 72590 ns R r1 80000000 - 72590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 72610 ns R psr 81000200 - 72610 ns MR4_I 01000208 2a001c5b - 72630 ns MR4_I 01000200 07c96841 - 72650 ns IT 01000200 6841 LDR r1,[r0,#4] - 72670 ns MR4_I 01000204 6002d1fc - 72730 ns MR4_D 40006004 00000001 - 72730 ns R r1 00000001 - 72730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 72750 ns R r1 80000000 - 72750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 72770 ns R psr 81000200 - 72770 ns MR4_I 01000208 2a001c5b - 72790 ns MR4_I 01000200 07c96841 - 72810 ns IT 01000200 6841 LDR r1,[r0,#4] - 72830 ns MR4_I 01000204 6002d1fc - 72890 ns MR4_D 40006004 00000001 - 72890 ns R r1 00000001 - 72890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 72910 ns R r1 80000000 - 72910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 72930 ns R psr 81000200 - 72930 ns MR4_I 01000208 2a001c5b - 72950 ns MR4_I 01000200 07c96841 - 72970 ns IT 01000200 6841 LDR r1,[r0,#4] - 72990 ns MR4_I 01000204 6002d1fc - 73050 ns MR4_D 40006004 00000001 - 73050 ns R r1 00000001 - 73050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 73070 ns R r1 80000000 - 73070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 73090 ns R psr 81000200 - 73090 ns MR4_I 01000208 2a001c5b - 73110 ns MR4_I 01000200 07c96841 - 73130 ns IT 01000200 6841 LDR r1,[r0,#4] - 73150 ns MR4_I 01000204 6002d1fc - 73210 ns MR4_D 40006004 00000001 - 73210 ns R r1 00000001 - 73210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 73230 ns R r1 80000000 - 73230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 73250 ns R psr 81000200 - 73250 ns MR4_I 01000208 2a001c5b - 73270 ns MR4_I 01000200 07c96841 - 73290 ns IT 01000200 6841 LDR r1,[r0,#4] - 73310 ns MR4_I 01000204 6002d1fc - 73370 ns MR4_D 40006004 00000001 - 73370 ns R r1 00000001 - 73370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 73390 ns R r1 80000000 - 73390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 73410 ns R psr 81000200 - 73410 ns MR4_I 01000208 2a001c5b - 73430 ns MR4_I 01000200 07c96841 - 73450 ns IT 01000200 6841 LDR r1,[r0,#4] - 73470 ns MR4_I 01000204 6002d1fc - 73530 ns MR4_D 40006004 00000001 - 73530 ns R r1 00000001 - 73530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 73550 ns R r1 80000000 - 73550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 73570 ns R psr 81000200 - 73570 ns MR4_I 01000208 2a001c5b - 73590 ns MR4_I 01000200 07c96841 - 73610 ns IT 01000200 6841 LDR r1,[r0,#4] - 73630 ns MR4_I 01000204 6002d1fc - 73690 ns MR4_D 40006004 00000001 - 73690 ns R r1 00000001 - 73690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 73710 ns R r1 80000000 - 73710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 73730 ns R psr 81000200 - 73730 ns MR4_I 01000208 2a001c5b - 73750 ns MR4_I 01000200 07c96841 - 73770 ns IT 01000200 6841 LDR r1,[r0,#4] - 73790 ns MR4_I 01000204 6002d1fc - 73850 ns MR4_D 40006004 00000001 - 73850 ns R r1 00000001 - 73850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 73870 ns R r1 80000000 - 73870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 73890 ns R psr 81000200 - 73890 ns MR4_I 01000208 2a001c5b - 73910 ns MR4_I 01000200 07c96841 - 73930 ns IT 01000200 6841 LDR r1,[r0,#4] - 73950 ns MR4_I 01000204 6002d1fc - 74010 ns MR4_D 40006004 00000001 - 74010 ns R r1 00000001 - 74010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 74030 ns R r1 80000000 - 74030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 74050 ns R psr 81000200 - 74050 ns MR4_I 01000208 2a001c5b - 74070 ns MR4_I 01000200 07c96841 - 74090 ns IT 01000200 6841 LDR r1,[r0,#4] - 74110 ns MR4_I 01000204 6002d1fc - 74170 ns MR4_D 40006004 00000001 - 74170 ns R r1 00000001 - 74170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 74190 ns R r1 80000000 - 74190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 74210 ns R psr 81000200 - 74210 ns MR4_I 01000208 2a001c5b - 74230 ns MR4_I 01000200 07c96841 - 74250 ns IT 01000200 6841 LDR r1,[r0,#4] - 74270 ns MR4_I 01000204 6002d1fc - 74330 ns MR4_D 40006004 00000001 - 74330 ns R r1 00000001 - 74330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 74350 ns R r1 80000000 - 74350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 74370 ns R psr 81000200 - 74370 ns MR4_I 01000208 2a001c5b - 74390 ns MR4_I 01000200 07c96841 - 74410 ns IT 01000200 6841 LDR r1,[r0,#4] - 74430 ns MR4_I 01000204 6002d1fc - 74490 ns MR4_D 40006004 00000001 - 74490 ns R r1 00000001 - 74490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 74510 ns R r1 80000000 - 74510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 74530 ns R psr 81000200 - 74530 ns MR4_I 01000208 2a001c5b - 74550 ns MR4_I 01000200 07c96841 - 74570 ns IT 01000200 6841 LDR r1,[r0,#4] - 74590 ns MR4_I 01000204 6002d1fc - 74650 ns MR4_D 40006004 00000001 - 74650 ns R r1 00000001 - 74650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 74670 ns R r1 80000000 - 74670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 74690 ns R psr 81000200 - 74690 ns MR4_I 01000208 2a001c5b - 74710 ns MR4_I 01000200 07c96841 - 74730 ns IT 01000200 6841 LDR r1,[r0,#4] - 74750 ns MR4_I 01000204 6002d1fc - 74810 ns MR4_D 40006004 00000001 - 74810 ns R r1 00000001 - 74810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 74830 ns R r1 80000000 - 74830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 74850 ns R psr 81000200 - 74850 ns MR4_I 01000208 2a001c5b - 74870 ns MR4_I 01000200 07c96841 - 74890 ns IT 01000200 6841 LDR r1,[r0,#4] - 74910 ns MR4_I 01000204 6002d1fc - 74970 ns MR4_D 40006004 00000001 - 74970 ns R r1 00000001 - 74970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 74990 ns R r1 80000000 - 74990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 75010 ns R psr 81000200 - 75010 ns MR4_I 01000208 2a001c5b - 75030 ns MR4_I 01000200 07c96841 - 75050 ns IT 01000200 6841 LDR r1,[r0,#4] - 75070 ns MR4_I 01000204 6002d1fc - 75130 ns MR4_D 40006004 00000001 - 75130 ns R r1 00000001 - 75130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 75150 ns R r1 80000000 - 75150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 75170 ns R psr 81000200 - 75170 ns MR4_I 01000208 2a001c5b - 75190 ns MR4_I 01000200 07c96841 - 75210 ns IT 01000200 6841 LDR r1,[r0,#4] - 75230 ns MR4_I 01000204 6002d1fc - 75290 ns MR4_D 40006004 00000001 - 75290 ns R r1 00000001 - 75290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 75310 ns R r1 80000000 - 75310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 75330 ns R psr 81000200 - 75330 ns MR4_I 01000208 2a001c5b - 75350 ns MR4_I 01000200 07c96841 - 75370 ns IT 01000200 6841 LDR r1,[r0,#4] - 75390 ns MR4_I 01000204 6002d1fc - 75450 ns MR4_D 40006004 00000001 - 75450 ns R r1 00000001 - 75450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 75470 ns R r1 80000000 - 75470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 75490 ns R psr 81000200 - 75490 ns MR4_I 01000208 2a001c5b - 75510 ns MR4_I 01000200 07c96841 - 75530 ns IT 01000200 6841 LDR r1,[r0,#4] - 75550 ns MR4_I 01000204 6002d1fc - 75610 ns MR4_D 40006004 00000001 - 75610 ns R r1 00000001 - 75610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 75630 ns R r1 80000000 - 75630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 75650 ns R psr 81000200 - 75650 ns MR4_I 01000208 2a001c5b - 75670 ns MR4_I 01000200 07c96841 - 75690 ns IT 01000200 6841 LDR r1,[r0,#4] - 75710 ns MR4_I 01000204 6002d1fc - 75770 ns MR4_D 40006004 00000001 - 75770 ns R r1 00000001 - 75770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 75790 ns R r1 80000000 - 75790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 75810 ns R psr 81000200 - 75810 ns MR4_I 01000208 2a001c5b - 75830 ns MR4_I 01000200 07c96841 - 75850 ns IT 01000200 6841 LDR r1,[r0,#4] - 75870 ns MR4_I 01000204 6002d1fc - 75930 ns MR4_D 40006004 00000001 - 75930 ns R r1 00000001 - 75930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 75950 ns R r1 80000000 - 75950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 75970 ns R psr 81000200 - 75970 ns MR4_I 01000208 2a001c5b - 75990 ns MR4_I 01000200 07c96841 - 76010 ns IT 01000200 6841 LDR r1,[r0,#4] - 76030 ns MR4_I 01000204 6002d1fc - 76090 ns MR4_D 40006004 00000001 - 76090 ns R r1 00000001 - 76090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 76110 ns R r1 80000000 - 76110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 76130 ns R psr 81000200 - 76130 ns MR4_I 01000208 2a001c5b - 76150 ns MR4_I 01000200 07c96841 - 76170 ns IT 01000200 6841 LDR r1,[r0,#4] - 76190 ns MR4_I 01000204 6002d1fc - 76250 ns MR4_D 40006004 00000001 - 76250 ns R r1 00000001 - 76250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 76270 ns R r1 80000000 - 76270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 76290 ns R psr 81000200 - 76290 ns MR4_I 01000208 2a001c5b - 76310 ns MR4_I 01000200 07c96841 - 76330 ns IT 01000200 6841 LDR r1,[r0,#4] - 76350 ns MR4_I 01000204 6002d1fc - 76410 ns MR4_D 40006004 00000001 - 76410 ns R r1 00000001 - 76410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 76430 ns R r1 80000000 - 76430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 76450 ns R psr 81000200 - 76450 ns MR4_I 01000208 2a001c5b - 76470 ns MR4_I 01000200 07c96841 - 76490 ns IT 01000200 6841 LDR r1,[r0,#4] - 76510 ns MR4_I 01000204 6002d1fc - 76570 ns MR4_D 40006004 00000001 - 76570 ns R r1 00000001 - 76570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 76590 ns R r1 80000000 - 76590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 76610 ns R psr 81000200 - 76610 ns MR4_I 01000208 2a001c5b - 76630 ns MR4_I 01000200 07c96841 - 76650 ns IT 01000200 6841 LDR r1,[r0,#4] - 76670 ns MR4_I 01000204 6002d1fc - 76730 ns MR4_D 40006004 00000001 - 76730 ns R r1 00000001 - 76730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 76750 ns R r1 80000000 - 76750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 76770 ns R psr 81000200 - 76770 ns MR4_I 01000208 2a001c5b - 76790 ns MR4_I 01000200 07c96841 - 76810 ns IT 01000200 6841 LDR r1,[r0,#4] - 76830 ns MR4_I 01000204 6002d1fc - 76890 ns MR4_D 40006004 00000001 - 76890 ns R r1 00000001 - 76890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 76910 ns R r1 80000000 - 76910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 76930 ns R psr 81000200 - 76930 ns MR4_I 01000208 2a001c5b - 76950 ns MR4_I 01000200 07c96841 - 76970 ns IT 01000200 6841 LDR r1,[r0,#4] - 76990 ns MR4_I 01000204 6002d1fc - 77050 ns MR4_D 40006004 00000001 - 77050 ns R r1 00000001 - 77050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 77070 ns R r1 80000000 - 77070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 77090 ns R psr 81000200 - 77090 ns MR4_I 01000208 2a001c5b - 77110 ns MR4_I 01000200 07c96841 - 77130 ns IT 01000200 6841 LDR r1,[r0,#4] - 77150 ns MR4_I 01000204 6002d1fc - 77210 ns MR4_D 40006004 00000001 - 77210 ns R r1 00000001 - 77210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 77230 ns R r1 80000000 - 77230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 77250 ns R psr 81000200 - 77250 ns MR4_I 01000208 2a001c5b - 77270 ns MR4_I 01000200 07c96841 - 77290 ns IT 01000200 6841 LDR r1,[r0,#4] - 77310 ns MR4_I 01000204 6002d1fc - 77370 ns MR4_D 40006004 00000001 - 77370 ns R r1 00000001 - 77370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 77390 ns R r1 80000000 - 77390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 77410 ns R psr 81000200 - 77410 ns MR4_I 01000208 2a001c5b - 77430 ns MR4_I 01000200 07c96841 - 77450 ns IT 01000200 6841 LDR r1,[r0,#4] - 77470 ns MR4_I 01000204 6002d1fc - 77530 ns MR4_D 40006004 00000001 - 77530 ns R r1 00000001 - 77530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 77550 ns R r1 80000000 - 77550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 77570 ns R psr 81000200 - 77570 ns MR4_I 01000208 2a001c5b - 77590 ns MR4_I 01000200 07c96841 - 77610 ns IT 01000200 6841 LDR r1,[r0,#4] - 77630 ns MR4_I 01000204 6002d1fc - 77690 ns MR4_D 40006004 00000001 - 77690 ns R r1 00000001 - 77690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 77710 ns R r1 80000000 - 77710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 77730 ns R psr 81000200 - 77730 ns MR4_I 01000208 2a001c5b - 77750 ns MR4_I 01000200 07c96841 - 77770 ns IT 01000200 6841 LDR r1,[r0,#4] - 77790 ns MR4_I 01000204 6002d1fc - 77850 ns MR4_D 40006004 00000001 - 77850 ns R r1 00000001 - 77850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 77870 ns R r1 80000000 - 77870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 77890 ns R psr 81000200 - 77890 ns MR4_I 01000208 2a001c5b - 77910 ns MR4_I 01000200 07c96841 - 77930 ns IT 01000200 6841 LDR r1,[r0,#4] - 77950 ns MR4_I 01000204 6002d1fc - 78010 ns MR4_D 40006004 00000001 - 78010 ns R r1 00000001 - 78010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 78030 ns R r1 80000000 - 78030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 78050 ns R psr 81000200 - 78050 ns MR4_I 01000208 2a001c5b - 78070 ns MR4_I 01000200 07c96841 - 78090 ns IT 01000200 6841 LDR r1,[r0,#4] - 78110 ns MR4_I 01000204 6002d1fc - 78170 ns MR4_D 40006004 00000001 - 78170 ns R r1 00000001 - 78170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 78190 ns R r1 80000000 - 78190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 78210 ns R psr 81000200 - 78210 ns MR4_I 01000208 2a001c5b - 78230 ns MR4_I 01000200 07c96841 - 78250 ns IT 01000200 6841 LDR r1,[r0,#4] - 78270 ns MR4_I 01000204 6002d1fc - 78330 ns MR4_D 40006004 00000001 - 78330 ns R r1 00000001 - 78330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 78350 ns R r1 80000000 - 78350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 78370 ns R psr 81000200 - 78370 ns MR4_I 01000208 2a001c5b - 78390 ns MR4_I 01000200 07c96841 - 78410 ns IT 01000200 6841 LDR r1,[r0,#4] - 78430 ns MR4_I 01000204 6002d1fc - 78490 ns MR4_D 40006004 00000001 - 78490 ns R r1 00000001 - 78490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 78510 ns R r1 80000000 - 78510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 78530 ns R psr 81000200 - 78530 ns MR4_I 01000208 2a001c5b - 78550 ns MR4_I 01000200 07c96841 - 78570 ns IT 01000200 6841 LDR r1,[r0,#4] - 78590 ns MR4_I 01000204 6002d1fc - 78650 ns MR4_D 40006004 00000001 - 78650 ns R r1 00000001 - 78650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 78670 ns R r1 80000000 - 78670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 78690 ns R psr 81000200 - 78690 ns MR4_I 01000208 2a001c5b - 78710 ns MR4_I 01000200 07c96841 - 78730 ns IT 01000200 6841 LDR r1,[r0,#4] - 78750 ns MR4_I 01000204 6002d1fc - 78810 ns MR4_D 40006004 00000001 - 78810 ns R r1 00000001 - 78810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 78830 ns R r1 80000000 - 78830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 78850 ns R psr 81000200 - 78850 ns MR4_I 01000208 2a001c5b - 78870 ns MR4_I 01000200 07c96841 - 78890 ns IT 01000200 6841 LDR r1,[r0,#4] - 78910 ns MR4_I 01000204 6002d1fc - 78970 ns MR4_D 40006004 00000001 - 78970 ns R r1 00000001 - 78970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 78990 ns R r1 80000000 - 78990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 79010 ns R psr 81000200 - 79010 ns MR4_I 01000208 2a001c5b - 79030 ns MR4_I 01000200 07c96841 - 79050 ns IT 01000200 6841 LDR r1,[r0,#4] - 79070 ns MR4_I 01000204 6002d1fc - 79130 ns MR4_D 40006004 00000001 - 79130 ns R r1 00000001 - 79130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 79150 ns R r1 80000000 - 79150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 79170 ns R psr 81000200 - 79170 ns MR4_I 01000208 2a001c5b - 79190 ns MR4_I 01000200 07c96841 - 79210 ns IT 01000200 6841 LDR r1,[r0,#4] - 79230 ns MR4_I 01000204 6002d1fc - 79290 ns MR4_D 40006004 00000001 - 79290 ns R r1 00000001 - 79290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 79310 ns R r1 80000000 - 79310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 79330 ns R psr 81000200 - 79330 ns MR4_I 01000208 2a001c5b - 79350 ns MR4_I 01000200 07c96841 - 79370 ns IT 01000200 6841 LDR r1,[r0,#4] - 79390 ns MR4_I 01000204 6002d1fc - 79450 ns MR4_D 40006004 00000001 - 79450 ns R r1 00000001 - 79450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 79470 ns R r1 80000000 - 79470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 79490 ns R psr 81000200 - 79490 ns MR4_I 01000208 2a001c5b - 79510 ns MR4_I 01000200 07c96841 - 79530 ns IT 01000200 6841 LDR r1,[r0,#4] - 79550 ns MR4_I 01000204 6002d1fc - 79610 ns MR4_D 40006004 00000001 - 79610 ns R r1 00000001 - 79610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 79630 ns R r1 80000000 - 79630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 79650 ns R psr 81000200 - 79650 ns MR4_I 01000208 2a001c5b - 79670 ns MR4_I 01000200 07c96841 - 79690 ns IT 01000200 6841 LDR r1,[r0,#4] - 79710 ns MR4_I 01000204 6002d1fc - 79770 ns MR4_D 40006004 00000001 - 79770 ns R r1 00000001 - 79770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 79790 ns R r1 80000000 - 79790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 79810 ns R psr 81000200 - 79810 ns MR4_I 01000208 2a001c5b - 79830 ns MR4_I 01000200 07c96841 - 79850 ns IT 01000200 6841 LDR r1,[r0,#4] - 79870 ns MR4_I 01000204 6002d1fc - 79930 ns MR4_D 40006004 00000001 - 79930 ns R r1 00000001 - 79930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 79950 ns R r1 80000000 - 79950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 79970 ns R psr 81000200 - 79970 ns MR4_I 01000208 2a001c5b - 79990 ns MR4_I 01000200 07c96841 - 80010 ns IT 01000200 6841 LDR r1,[r0,#4] - 80030 ns MR4_I 01000204 6002d1fc - 80090 ns MR4_D 40006004 00000001 - 80090 ns R r1 00000001 - 80090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 80110 ns R r1 80000000 - 80110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 80130 ns R psr 81000200 - 80130 ns MR4_I 01000208 2a001c5b - 80150 ns MR4_I 01000200 07c96841 - 80170 ns IT 01000200 6841 LDR r1,[r0,#4] - 80190 ns MR4_I 01000204 6002d1fc - 80250 ns MR4_D 40006004 00000001 - 80250 ns R r1 00000001 - 80250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 80270 ns R r1 80000000 - 80270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 80290 ns R psr 81000200 - 80290 ns MR4_I 01000208 2a001c5b - 80310 ns MR4_I 01000200 07c96841 - 80330 ns IT 01000200 6841 LDR r1,[r0,#4] - 80350 ns MR4_I 01000204 6002d1fc - 80410 ns MR4_D 40006004 00000001 - 80410 ns R r1 00000001 - 80410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 80430 ns R r1 80000000 - 80430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 80450 ns R psr 81000200 - 80450 ns MR4_I 01000208 2a001c5b - 80470 ns MR4_I 01000200 07c96841 - 80490 ns IT 01000200 6841 LDR r1,[r0,#4] - 80510 ns MR4_I 01000204 6002d1fc - 80570 ns MR4_D 40006004 00000001 - 80570 ns R r1 00000001 - 80570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 80590 ns R r1 80000000 - 80590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 80610 ns R psr 81000200 - 80610 ns MR4_I 01000208 2a001c5b - 80630 ns MR4_I 01000200 07c96841 - 80650 ns IT 01000200 6841 LDR r1,[r0,#4] - 80670 ns MR4_I 01000204 6002d1fc - 80730 ns MR4_D 40006004 00000001 - 80730 ns R r1 00000001 - 80730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 80750 ns R r1 80000000 - 80750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 80770 ns R psr 81000200 - 80770 ns MR4_I 01000208 2a001c5b - 80790 ns MR4_I 01000200 07c96841 - 80810 ns IT 01000200 6841 LDR r1,[r0,#4] - 80830 ns MR4_I 01000204 6002d1fc - 80890 ns MR4_D 40006004 00000001 - 80890 ns R r1 00000001 - 80890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 80910 ns R r1 80000000 - 80910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 80930 ns R psr 81000200 - 80930 ns MR4_I 01000208 2a001c5b - 80950 ns MR4_I 01000200 07c96841 - 80970 ns IT 01000200 6841 LDR r1,[r0,#4] - 80990 ns MR4_I 01000204 6002d1fc - 81050 ns MR4_D 40006004 00000001 - 81050 ns R r1 00000001 - 81050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 81070 ns R r1 80000000 - 81070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 81090 ns R psr 81000200 - 81090 ns MR4_I 01000208 2a001c5b - 81110 ns MR4_I 01000200 07c96841 - 81130 ns IT 01000200 6841 LDR r1,[r0,#4] - 81150 ns MR4_I 01000204 6002d1fc - 81210 ns MR4_D 40006004 00000001 - 81210 ns R r1 00000001 - 81210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 81230 ns R r1 80000000 - 81230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 81250 ns R psr 81000200 - 81250 ns MR4_I 01000208 2a001c5b - 81270 ns MR4_I 01000200 07c96841 - 81290 ns IT 01000200 6841 LDR r1,[r0,#4] - 81310 ns MR4_I 01000204 6002d1fc - 81370 ns MR4_D 40006004 00000001 - 81370 ns R r1 00000001 - 81370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 81390 ns R r1 80000000 - 81390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 81410 ns R psr 81000200 - 81410 ns MR4_I 01000208 2a001c5b - 81430 ns MR4_I 01000200 07c96841 - 81450 ns IT 01000200 6841 LDR r1,[r0,#4] - 81470 ns MR4_I 01000204 6002d1fc - 81530 ns MR4_D 40006004 00000001 - 81530 ns R r1 00000001 - 81530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 81550 ns R r1 80000000 - 81550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 81570 ns R psr 81000200 - 81570 ns MR4_I 01000208 2a001c5b - 81590 ns MR4_I 01000200 07c96841 - 81610 ns IT 01000200 6841 LDR r1,[r0,#4] - 81630 ns MR4_I 01000204 6002d1fc - 81690 ns MR4_D 40006004 00000001 - 81690 ns R r1 00000001 - 81690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 81710 ns R r1 80000000 - 81710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 81730 ns R psr 81000200 - 81730 ns MR4_I 01000208 2a001c5b - 81750 ns MR4_I 01000200 07c96841 - 81770 ns IT 01000200 6841 LDR r1,[r0,#4] - 81790 ns MR4_I 01000204 6002d1fc - 81850 ns MR4_D 40006004 00000001 - 81850 ns R r1 00000001 - 81850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 81870 ns R r1 80000000 - 81870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 81890 ns R psr 81000200 - 81890 ns MR4_I 01000208 2a001c5b - 81910 ns MR4_I 01000200 07c96841 - 81930 ns IT 01000200 6841 LDR r1,[r0,#4] - 81950 ns MR4_I 01000204 6002d1fc - 82010 ns MR4_D 40006004 00000001 - 82010 ns R r1 00000001 - 82010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 82030 ns R r1 80000000 - 82030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 82050 ns R psr 81000200 - 82050 ns MR4_I 01000208 2a001c5b - 82070 ns MR4_I 01000200 07c96841 - 82090 ns IT 01000200 6841 LDR r1,[r0,#4] - 82110 ns MR4_I 01000204 6002d1fc - 82170 ns MR4_D 40006004 00000001 - 82170 ns R r1 00000001 - 82170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 82190 ns R r1 80000000 - 82190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 82210 ns R psr 81000200 - 82210 ns MR4_I 01000208 2a001c5b - 82230 ns MR4_I 01000200 07c96841 - 82250 ns IT 01000200 6841 LDR r1,[r0,#4] - 82270 ns MR4_I 01000204 6002d1fc - 82330 ns MR4_D 40006004 00000001 - 82330 ns R r1 00000001 - 82330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 82350 ns R r1 80000000 - 82350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 82370 ns R psr 81000200 - 82370 ns MR4_I 01000208 2a001c5b - 82390 ns MR4_I 01000200 07c96841 - 82410 ns IT 01000200 6841 LDR r1,[r0,#4] - 82430 ns MR4_I 01000204 6002d1fc - 82490 ns MR4_D 40006004 00000001 - 82490 ns R r1 00000001 - 82490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 82510 ns R r1 80000000 - 82510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 82530 ns R psr 81000200 - 82530 ns MR4_I 01000208 2a001c5b - 82550 ns MR4_I 01000200 07c96841 - 82570 ns IT 01000200 6841 LDR r1,[r0,#4] - 82590 ns MR4_I 01000204 6002d1fc - 82650 ns MR4_D 40006004 00000001 - 82650 ns R r1 00000001 - 82650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 82670 ns R r1 80000000 - 82670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 82690 ns R psr 81000200 - 82690 ns MR4_I 01000208 2a001c5b - 82710 ns MR4_I 01000200 07c96841 - 82730 ns IT 01000200 6841 LDR r1,[r0,#4] - 82750 ns MR4_I 01000204 6002d1fc - 82810 ns MR4_D 40006004 00000001 - 82810 ns R r1 00000001 - 82810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 82830 ns R r1 80000000 - 82830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 82850 ns R psr 81000200 - 82850 ns MR4_I 01000208 2a001c5b - 82870 ns MR4_I 01000200 07c96841 - 82890 ns IT 01000200 6841 LDR r1,[r0,#4] - 82910 ns MR4_I 01000204 6002d1fc - 82970 ns MR4_D 40006004 00000001 - 82970 ns R r1 00000001 - 82970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 82990 ns R r1 80000000 - 82990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 83010 ns R psr 81000200 - 83010 ns MR4_I 01000208 2a001c5b - 83030 ns MR4_I 01000200 07c96841 - 83050 ns IT 01000200 6841 LDR r1,[r0,#4] - 83070 ns MR4_I 01000204 6002d1fc - 83130 ns MR4_D 40006004 00000001 - 83130 ns R r1 00000001 - 83130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 83150 ns R r1 80000000 - 83150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 83170 ns R psr 81000200 - 83170 ns MR4_I 01000208 2a001c5b - 83190 ns MR4_I 01000200 07c96841 - 83210 ns IT 01000200 6841 LDR r1,[r0,#4] - 83230 ns MR4_I 01000204 6002d1fc - 83290 ns MR4_D 40006004 00000001 - 83290 ns R r1 00000001 - 83290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 83310 ns R r1 80000000 - 83310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 83330 ns R psr 81000200 - 83330 ns MR4_I 01000208 2a001c5b - 83350 ns MR4_I 01000200 07c96841 - 83370 ns IT 01000200 6841 LDR r1,[r0,#4] - 83390 ns MR4_I 01000204 6002d1fc - 83450 ns MR4_D 40006004 00000001 - 83450 ns R r1 00000001 - 83450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 83470 ns R r1 80000000 - 83470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 83490 ns R psr 81000200 - 83490 ns MR4_I 01000208 2a001c5b - 83510 ns MR4_I 01000200 07c96841 - 83530 ns IT 01000200 6841 LDR r1,[r0,#4] - 83550 ns MR4_I 01000204 6002d1fc - 83610 ns MR4_D 40006004 00000001 - 83610 ns R r1 00000001 - 83610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 83630 ns R r1 80000000 - 83630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 83650 ns R psr 81000200 - 83650 ns MR4_I 01000208 2a001c5b - 83670 ns MR4_I 01000200 07c96841 - 83690 ns IT 01000200 6841 LDR r1,[r0,#4] - 83710 ns MR4_I 01000204 6002d1fc - 83770 ns MR4_D 40006004 00000001 - 83770 ns R r1 00000001 - 83770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 83790 ns R r1 80000000 - 83790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 83810 ns R psr 81000200 - 83810 ns MR4_I 01000208 2a001c5b - 83830 ns MR4_I 01000200 07c96841 - 83850 ns IT 01000200 6841 LDR r1,[r0,#4] - 83870 ns MR4_I 01000204 6002d1fc - 83930 ns MR4_D 40006004 00000001 - 83930 ns R r1 00000001 - 83930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 83950 ns R r1 80000000 - 83950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 83970 ns R psr 81000200 - 83970 ns MR4_I 01000208 2a001c5b - 83990 ns MR4_I 01000200 07c96841 - 84010 ns IT 01000200 6841 LDR r1,[r0,#4] - 84030 ns MR4_I 01000204 6002d1fc - 84090 ns MR4_D 40006004 00000001 - 84090 ns R r1 00000001 - 84090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 84110 ns R r1 80000000 - 84110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 84130 ns R psr 81000200 - 84130 ns MR4_I 01000208 2a001c5b - 84150 ns MR4_I 01000200 07c96841 - 84170 ns IT 01000200 6841 LDR r1,[r0,#4] - 84190 ns MR4_I 01000204 6002d1fc - 84250 ns MR4_D 40006004 00000001 - 84250 ns R r1 00000001 - 84250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 84270 ns R r1 80000000 - 84270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 84290 ns R psr 81000200 - 84290 ns MR4_I 01000208 2a001c5b - 84310 ns MR4_I 01000200 07c96841 - 84330 ns IT 01000200 6841 LDR r1,[r0,#4] - 84350 ns MR4_I 01000204 6002d1fc - 84410 ns MR4_D 40006004 00000001 - 84410 ns R r1 00000001 - 84410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 84430 ns R r1 80000000 - 84430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 84450 ns R psr 81000200 - 84450 ns MR4_I 01000208 2a001c5b - 84470 ns MR4_I 01000200 07c96841 - 84490 ns IT 01000200 6841 LDR r1,[r0,#4] - 84510 ns MR4_I 01000204 6002d1fc - 84570 ns MR4_D 40006004 00000001 - 84570 ns R r1 00000001 - 84570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 84590 ns R r1 80000000 - 84590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 84610 ns R psr 81000200 - 84610 ns MR4_I 01000208 2a001c5b - 84630 ns MR4_I 01000200 07c96841 - 84650 ns IT 01000200 6841 LDR r1,[r0,#4] - 84670 ns MR4_I 01000204 6002d1fc - 84730 ns MR4_D 40006004 00000001 - 84730 ns R r1 00000001 - 84730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 84750 ns R r1 80000000 - 84750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 84770 ns R psr 81000200 - 84770 ns MR4_I 01000208 2a001c5b - 84790 ns MR4_I 01000200 07c96841 - 84810 ns IT 01000200 6841 LDR r1,[r0,#4] - 84830 ns MR4_I 01000204 6002d1fc - 84890 ns MR4_D 40006004 00000001 - 84890 ns R r1 00000001 - 84890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 84910 ns R r1 80000000 - 84910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 84930 ns R psr 81000200 - 84930 ns MR4_I 01000208 2a001c5b - 84950 ns MR4_I 01000200 07c96841 - 84970 ns IT 01000200 6841 LDR r1,[r0,#4] - 84990 ns MR4_I 01000204 6002d1fc - 85050 ns MR4_D 40006004 00000001 - 85050 ns R r1 00000001 - 85050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 85070 ns R r1 80000000 - 85070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 85090 ns R psr 81000200 - 85090 ns MR4_I 01000208 2a001c5b - 85110 ns MR4_I 01000200 07c96841 - 85130 ns IT 01000200 6841 LDR r1,[r0,#4] - 85150 ns MR4_I 01000204 6002d1fc - 85210 ns MR4_D 40006004 00000001 - 85210 ns R r1 00000001 - 85210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 85230 ns R r1 80000000 - 85230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 85250 ns R psr 81000200 - 85250 ns MR4_I 01000208 2a001c5b - 85270 ns MR4_I 01000200 07c96841 - 85290 ns IT 01000200 6841 LDR r1,[r0,#4] - 85310 ns MR4_I 01000204 6002d1fc - 85370 ns MR4_D 40006004 00000001 - 85370 ns R r1 00000001 - 85370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 85390 ns R r1 80000000 - 85390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 85410 ns R psr 81000200 - 85410 ns MR4_I 01000208 2a001c5b - 85430 ns MR4_I 01000200 07c96841 - 85450 ns IT 01000200 6841 LDR r1,[r0,#4] - 85470 ns MR4_I 01000204 6002d1fc - 85530 ns MR4_D 40006004 00000001 - 85530 ns R r1 00000001 - 85530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 85550 ns R r1 80000000 - 85550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 85570 ns R psr 81000200 - 85570 ns MR4_I 01000208 2a001c5b - 85590 ns MR4_I 01000200 07c96841 - 85610 ns IT 01000200 6841 LDR r1,[r0,#4] - 85630 ns MR4_I 01000204 6002d1fc - 85690 ns MR4_D 40006004 00000001 - 85690 ns R r1 00000001 - 85690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 85710 ns R r1 80000000 - 85710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 85730 ns R psr 81000200 - 85730 ns MR4_I 01000208 2a001c5b - 85750 ns MR4_I 01000200 07c96841 - 85770 ns IT 01000200 6841 LDR r1,[r0,#4] - 85790 ns MR4_I 01000204 6002d1fc - 85850 ns MR4_D 40006004 00000001 - 85850 ns R r1 00000001 - 85850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 85870 ns R r1 80000000 - 85870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 85890 ns R psr 81000200 - 85890 ns MR4_I 01000208 2a001c5b - 85910 ns MR4_I 01000200 07c96841 - 85930 ns IT 01000200 6841 LDR r1,[r0,#4] - 85950 ns MR4_I 01000204 6002d1fc - 86010 ns MR4_D 40006004 00000001 - 86010 ns R r1 00000001 - 86010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 86030 ns R r1 80000000 - 86030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 86050 ns R psr 81000200 - 86050 ns MR4_I 01000208 2a001c5b - 86070 ns MR4_I 01000200 07c96841 - 86090 ns IT 01000200 6841 LDR r1,[r0,#4] - 86110 ns MR4_I 01000204 6002d1fc - 86170 ns MR4_D 40006004 00000001 - 86170 ns R r1 00000001 - 86170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 86190 ns R r1 80000000 - 86190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 86210 ns R psr 81000200 - 86210 ns MR4_I 01000208 2a001c5b - 86230 ns MR4_I 01000200 07c96841 - 86250 ns IT 01000200 6841 LDR r1,[r0,#4] - 86270 ns MR4_I 01000204 6002d1fc - 86330 ns MR4_D 40006004 00000001 - 86330 ns R r1 00000001 - 86330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 86350 ns R r1 80000000 - 86350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 86370 ns R psr 81000200 - 86370 ns MR4_I 01000208 2a001c5b - 86390 ns MR4_I 01000200 07c96841 - 86410 ns IT 01000200 6841 LDR r1,[r0,#4] - 86430 ns MR4_I 01000204 6002d1fc - 86490 ns MR4_D 40006004 00000001 - 86490 ns R r1 00000001 - 86490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 86510 ns R r1 80000000 - 86510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 86530 ns R psr 81000200 - 86530 ns MR4_I 01000208 2a001c5b - 86550 ns MR4_I 01000200 07c96841 - 86570 ns IT 01000200 6841 LDR r1,[r0,#4] - 86590 ns MR4_I 01000204 6002d1fc - 86650 ns MR4_D 40006004 00000001 - 86650 ns R r1 00000001 - 86650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 86670 ns R r1 80000000 - 86670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 86690 ns R psr 81000200 - 86690 ns MR4_I 01000208 2a001c5b - 86710 ns MR4_I 01000200 07c96841 - 86730 ns IT 01000200 6841 LDR r1,[r0,#4] - 86750 ns MR4_I 01000204 6002d1fc - 86810 ns MR4_D 40006004 00000001 - 86810 ns R r1 00000001 - 86810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 86830 ns R r1 80000000 - 86830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 86850 ns R psr 81000200 - 86850 ns MR4_I 01000208 2a001c5b - 86870 ns MR4_I 01000200 07c96841 - 86890 ns IT 01000200 6841 LDR r1,[r0,#4] - 86910 ns MR4_I 01000204 6002d1fc - 86970 ns MR4_D 40006004 00000001 - 86970 ns R r1 00000001 - 86970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 86990 ns R r1 80000000 - 86990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 87010 ns R psr 81000200 - 87010 ns MR4_I 01000208 2a001c5b - 87030 ns MR4_I 01000200 07c96841 - 87050 ns IT 01000200 6841 LDR r1,[r0,#4] - 87070 ns MR4_I 01000204 6002d1fc - 87130 ns MR4_D 40006004 00000001 - 87130 ns R r1 00000001 - 87130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 87150 ns R r1 80000000 - 87150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 87170 ns R psr 81000200 - 87170 ns MR4_I 01000208 2a001c5b - 87190 ns MR4_I 01000200 07c96841 - 87210 ns IT 01000200 6841 LDR r1,[r0,#4] - 87230 ns MR4_I 01000204 6002d1fc - 87290 ns MR4_D 40006004 00000001 - 87290 ns R r1 00000001 - 87290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 87310 ns R r1 80000000 - 87310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 87330 ns R psr 81000200 - 87330 ns MR4_I 01000208 2a001c5b - 87350 ns MR4_I 01000200 07c96841 - 87370 ns IT 01000200 6841 LDR r1,[r0,#4] - 87390 ns MR4_I 01000204 6002d1fc - 87450 ns MR4_D 40006004 00000001 - 87450 ns R r1 00000001 - 87450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 87470 ns R r1 80000000 - 87470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 87490 ns R psr 81000200 - 87490 ns MR4_I 01000208 2a001c5b - 87510 ns MR4_I 01000200 07c96841 - 87530 ns IT 01000200 6841 LDR r1,[r0,#4] - 87550 ns MR4_I 01000204 6002d1fc - 87610 ns MR4_D 40006004 00000001 - 87610 ns R r1 00000001 - 87610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 87630 ns R r1 80000000 - 87630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 87650 ns R psr 81000200 - 87650 ns MR4_I 01000208 2a001c5b - 87670 ns MR4_I 01000200 07c96841 - 87690 ns IT 01000200 6841 LDR r1,[r0,#4] - 87710 ns MR4_I 01000204 6002d1fc - 87770 ns MR4_D 40006004 00000001 - 87770 ns R r1 00000001 - 87770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 87790 ns R r1 80000000 - 87790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 87810 ns R psr 81000200 - 87810 ns MR4_I 01000208 2a001c5b - 87830 ns MR4_I 01000200 07c96841 - 87850 ns IT 01000200 6841 LDR r1,[r0,#4] - 87870 ns MR4_I 01000204 6002d1fc - 87930 ns MR4_D 40006004 00000001 - 87930 ns R r1 00000001 - 87930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 87950 ns R r1 80000000 - 87950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 87970 ns R psr 81000200 - 87970 ns MR4_I 01000208 2a001c5b - 87990 ns MR4_I 01000200 07c96841 - 88010 ns IT 01000200 6841 LDR r1,[r0,#4] - 88030 ns MR4_I 01000204 6002d1fc - 88090 ns MR4_D 40006004 00000001 - 88090 ns R r1 00000001 - 88090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 88110 ns R r1 80000000 - 88110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 88130 ns R psr 81000200 - 88130 ns MR4_I 01000208 2a001c5b - 88150 ns MR4_I 01000200 07c96841 - 88170 ns IT 01000200 6841 LDR r1,[r0,#4] - 88190 ns MR4_I 01000204 6002d1fc - 88250 ns MR4_D 40006004 00000001 - 88250 ns R r1 00000001 - 88250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 88270 ns R r1 80000000 - 88270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 88290 ns R psr 81000200 - 88290 ns MR4_I 01000208 2a001c5b - 88310 ns MR4_I 01000200 07c96841 - 88330 ns IT 01000200 6841 LDR r1,[r0,#4] - 88350 ns MR4_I 01000204 6002d1fc - 88410 ns MR4_D 40006004 00000001 - 88410 ns R r1 00000001 - 88410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 88430 ns R r1 80000000 - 88430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 88450 ns R psr 81000200 - 88450 ns MR4_I 01000208 2a001c5b - 88470 ns MR4_I 01000200 07c96841 - 88490 ns IT 01000200 6841 LDR r1,[r0,#4] - 88510 ns MR4_I 01000204 6002d1fc - 88570 ns MR4_D 40006004 00000001 - 88570 ns R r1 00000001 - 88570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 88590 ns R r1 80000000 - 88590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 88610 ns R psr 81000200 - 88610 ns MR4_I 01000208 2a001c5b - 88630 ns MR4_I 01000200 07c96841 - 88650 ns IT 01000200 6841 LDR r1,[r0,#4] - 88670 ns MR4_I 01000204 6002d1fc - 88730 ns MR4_D 40006004 00000001 - 88730 ns R r1 00000001 - 88730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 88750 ns R r1 80000000 - 88750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 88770 ns R psr 81000200 - 88770 ns MR4_I 01000208 2a001c5b - 88790 ns MR4_I 01000200 07c96841 - 88810 ns IT 01000200 6841 LDR r1,[r0,#4] - 88830 ns MR4_I 01000204 6002d1fc - 88890 ns MR4_D 40006004 00000001 - 88890 ns R r1 00000001 - 88890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 88910 ns R r1 80000000 - 88910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 88930 ns R psr 81000200 - 88930 ns MR4_I 01000208 2a001c5b - 88950 ns MR4_I 01000200 07c96841 - 88970 ns IT 01000200 6841 LDR r1,[r0,#4] - 88990 ns MR4_I 01000204 6002d1fc - 89050 ns MR4_D 40006004 00000001 - 89050 ns R r1 00000001 - 89050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 89070 ns R r1 80000000 - 89070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 89090 ns R psr 81000200 - 89090 ns MR4_I 01000208 2a001c5b - 89110 ns MR4_I 01000200 07c96841 - 89130 ns IT 01000200 6841 LDR r1,[r0,#4] - 89150 ns MR4_I 01000204 6002d1fc - 89210 ns MR4_D 40006004 00000001 - 89210 ns R r1 00000001 - 89210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 89230 ns R r1 80000000 - 89230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 89250 ns R psr 81000200 - 89250 ns MR4_I 01000208 2a001c5b - 89270 ns MR4_I 01000200 07c96841 - 89290 ns IT 01000200 6841 LDR r1,[r0,#4] - 89310 ns MR4_I 01000204 6002d1fc - 89370 ns MR4_D 40006004 00000001 - 89370 ns R r1 00000001 - 89370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 89390 ns R r1 80000000 - 89390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 89410 ns R psr 81000200 - 89410 ns MR4_I 01000208 2a001c5b - 89430 ns MR4_I 01000200 07c96841 - 89450 ns IT 01000200 6841 LDR r1,[r0,#4] - 89470 ns MR4_I 01000204 6002d1fc - 89530 ns MR4_D 40006004 00000001 - 89530 ns R r1 00000001 - 89530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 89550 ns R r1 80000000 - 89550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 89570 ns R psr 81000200 - 89570 ns MR4_I 01000208 2a001c5b - 89590 ns MR4_I 01000200 07c96841 - 89610 ns IT 01000200 6841 LDR r1,[r0,#4] - 89630 ns MR4_I 01000204 6002d1fc - 89690 ns MR4_D 40006004 00000001 - 89690 ns R r1 00000001 - 89690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 89710 ns R r1 80000000 - 89710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 89730 ns R psr 81000200 - 89730 ns MR4_I 01000208 2a001c5b - 89750 ns MR4_I 01000200 07c96841 - 89770 ns IT 01000200 6841 LDR r1,[r0,#4] - 89790 ns MR4_I 01000204 6002d1fc - 89850 ns MR4_D 40006004 00000001 - 89850 ns R r1 00000001 - 89850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 89870 ns R r1 80000000 - 89870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 89890 ns R psr 81000200 - 89890 ns MR4_I 01000208 2a001c5b - 89910 ns MR4_I 01000200 07c96841 - 89930 ns IT 01000200 6841 LDR r1,[r0,#4] - 89950 ns MR4_I 01000204 6002d1fc - 90010 ns MR4_D 40006004 00000001 - 90010 ns R r1 00000001 - 90010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 90030 ns R r1 80000000 - 90030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 90050 ns R psr 81000200 - 90050 ns MR4_I 01000208 2a001c5b - 90070 ns MR4_I 01000200 07c96841 - 90090 ns IT 01000200 6841 LDR r1,[r0,#4] - 90110 ns MR4_I 01000204 6002d1fc - 90170 ns MR4_D 40006004 00000001 - 90170 ns R r1 00000001 - 90170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 90190 ns R r1 80000000 - 90190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 90210 ns R psr 81000200 - 90210 ns MR4_I 01000208 2a001c5b - 90230 ns MR4_I 01000200 07c96841 - 90250 ns IT 01000200 6841 LDR r1,[r0,#4] - 90270 ns MR4_I 01000204 6002d1fc - 90330 ns MR4_D 40006004 00000001 - 90330 ns R r1 00000001 - 90330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 90350 ns R r1 80000000 - 90350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 90370 ns R psr 81000200 - 90370 ns MR4_I 01000208 2a001c5b - 90390 ns MR4_I 01000200 07c96841 - 90410 ns IT 01000200 6841 LDR r1,[r0,#4] - 90430 ns MR4_I 01000204 6002d1fc - 90490 ns MR4_D 40006004 00000001 - 90490 ns R r1 00000001 - 90490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 90510 ns R r1 80000000 - 90510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 90530 ns R psr 81000200 - 90530 ns MR4_I 01000208 2a001c5b - 90550 ns MR4_I 01000200 07c96841 - 90570 ns IT 01000200 6841 LDR r1,[r0,#4] - 90590 ns MR4_I 01000204 6002d1fc - 90650 ns MR4_D 40006004 00000001 - 90650 ns R r1 00000001 - 90650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 90670 ns R r1 80000000 - 90670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 90690 ns R psr 81000200 - 90690 ns MR4_I 01000208 2a001c5b - 90710 ns MR4_I 01000200 07c96841 - 90730 ns IT 01000200 6841 LDR r1,[r0,#4] - 90750 ns MR4_I 01000204 6002d1fc - 90810 ns MR4_D 40006004 00000001 - 90810 ns R r1 00000001 - 90810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 90830 ns R r1 80000000 - 90830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 90850 ns R psr 81000200 - 90850 ns MR4_I 01000208 2a001c5b - 90870 ns MR4_I 01000200 07c96841 - 90890 ns IT 01000200 6841 LDR r1,[r0,#4] - 90910 ns MR4_I 01000204 6002d1fc - 90970 ns MR4_D 40006004 00000001 - 90970 ns R r1 00000001 - 90970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 90990 ns R r1 80000000 - 90990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 91010 ns R psr 81000200 - 91010 ns MR4_I 01000208 2a001c5b - 91030 ns MR4_I 01000200 07c96841 - 91050 ns IT 01000200 6841 LDR r1,[r0,#4] - 91070 ns MR4_I 01000204 6002d1fc - 91130 ns MR4_D 40006004 00000001 - 91130 ns R r1 00000001 - 91130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 91150 ns R r1 80000000 - 91150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 91170 ns R psr 81000200 - 91170 ns MR4_I 01000208 2a001c5b - 91190 ns MR4_I 01000200 07c96841 - 91210 ns IT 01000200 6841 LDR r1,[r0,#4] - 91230 ns MR4_I 01000204 6002d1fc - 91290 ns MR4_D 40006004 00000001 - 91290 ns R r1 00000001 - 91290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 91310 ns R r1 80000000 - 91310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 91330 ns R psr 81000200 - 91330 ns MR4_I 01000208 2a001c5b - 91350 ns MR4_I 01000200 07c96841 - 91370 ns IT 01000200 6841 LDR r1,[r0,#4] - 91390 ns MR4_I 01000204 6002d1fc - 91450 ns MR4_D 40006004 00000001 - 91450 ns R r1 00000001 - 91450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 91470 ns R r1 80000000 - 91470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 91490 ns R psr 81000200 - 91490 ns MR4_I 01000208 2a001c5b - 91510 ns MR4_I 01000200 07c96841 - 91530 ns IT 01000200 6841 LDR r1,[r0,#4] - 91550 ns MR4_I 01000204 6002d1fc - 91610 ns MR4_D 40006004 00000001 - 91610 ns R r1 00000001 - 91610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 91630 ns R r1 80000000 - 91630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 91650 ns R psr 81000200 - 91650 ns MR4_I 01000208 2a001c5b - 91670 ns MR4_I 01000200 07c96841 - 91690 ns IT 01000200 6841 LDR r1,[r0,#4] - 91710 ns MR4_I 01000204 6002d1fc - 91770 ns MR4_D 40006004 00000001 - 91770 ns R r1 00000001 - 91770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 91790 ns R r1 80000000 - 91790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 91810 ns R psr 81000200 - 91810 ns MR4_I 01000208 2a001c5b - 91830 ns MR4_I 01000200 07c96841 - 91850 ns IT 01000200 6841 LDR r1,[r0,#4] - 91870 ns MR4_I 01000204 6002d1fc - 91930 ns MR4_D 40006004 00000001 - 91930 ns R r1 00000001 - 91930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 91950 ns R r1 80000000 - 91950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 91970 ns R psr 81000200 - 91970 ns MR4_I 01000208 2a001c5b - 91990 ns MR4_I 01000200 07c96841 - 92010 ns IT 01000200 6841 LDR r1,[r0,#4] - 92030 ns MR4_I 01000204 6002d1fc - 92090 ns MR4_D 40006004 00000001 - 92090 ns R r1 00000001 - 92090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 92110 ns R r1 80000000 - 92110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 92130 ns R psr 81000200 - 92130 ns MR4_I 01000208 2a001c5b - 92150 ns MR4_I 01000200 07c96841 - 92170 ns IT 01000200 6841 LDR r1,[r0,#4] - 92190 ns MR4_I 01000204 6002d1fc - 92250 ns MR4_D 40006004 00000001 - 92250 ns R r1 00000001 - 92250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 92270 ns R r1 80000000 - 92270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 92290 ns R psr 81000200 - 92290 ns MR4_I 01000208 2a001c5b - 92310 ns MR4_I 01000200 07c96841 - 92330 ns IT 01000200 6841 LDR r1,[r0,#4] - 92350 ns MR4_I 01000204 6002d1fc - 92410 ns MR4_D 40006004 00000001 - 92410 ns R r1 00000001 - 92410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 92430 ns R r1 80000000 - 92430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 92450 ns R psr 81000200 - 92450 ns MR4_I 01000208 2a001c5b - 92470 ns MR4_I 01000200 07c96841 - 92490 ns IT 01000200 6841 LDR r1,[r0,#4] - 92510 ns MR4_I 01000204 6002d1fc - 92570 ns MR4_D 40006004 00000001 - 92570 ns R r1 00000001 - 92570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 92590 ns R r1 80000000 - 92590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 92610 ns R psr 81000200 - 92610 ns MR4_I 01000208 2a001c5b - 92630 ns MR4_I 01000200 07c96841 - 92650 ns IT 01000200 6841 LDR r1,[r0,#4] - 92670 ns MR4_I 01000204 6002d1fc - 92730 ns MR4_D 40006004 00000001 - 92730 ns R r1 00000001 - 92730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 92750 ns R r1 80000000 - 92750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 92770 ns R psr 81000200 - 92770 ns MR4_I 01000208 2a001c5b - 92790 ns MR4_I 01000200 07c96841 - 92810 ns IT 01000200 6841 LDR r1,[r0,#4] - 92830 ns MR4_I 01000204 6002d1fc - 92890 ns MR4_D 40006004 00000001 - 92890 ns R r1 00000001 - 92890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 92910 ns R r1 80000000 - 92910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 92930 ns R psr 81000200 - 92930 ns MR4_I 01000208 2a001c5b - 92950 ns MR4_I 01000200 07c96841 - 92970 ns IT 01000200 6841 LDR r1,[r0,#4] - 92990 ns MR4_I 01000204 6002d1fc - 93050 ns MR4_D 40006004 00000001 - 93050 ns R r1 00000001 - 93050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 93070 ns R r1 80000000 - 93070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 93090 ns R psr 81000200 - 93090 ns MR4_I 01000208 2a001c5b - 93110 ns MR4_I 01000200 07c96841 - 93130 ns IT 01000200 6841 LDR r1,[r0,#4] - 93150 ns MR4_I 01000204 6002d1fc - 93210 ns MR4_D 40006004 00000001 - 93210 ns R r1 00000001 - 93210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 93230 ns R r1 80000000 - 93230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 93250 ns R psr 81000200 - 93250 ns MR4_I 01000208 2a001c5b - 93270 ns MR4_I 01000200 07c96841 - 93290 ns IT 01000200 6841 LDR r1,[r0,#4] - 93310 ns MR4_I 01000204 6002d1fc - 93370 ns MR4_D 40006004 00000001 - 93370 ns R r1 00000001 - 93370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 93390 ns R r1 80000000 - 93390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 93410 ns R psr 81000200 - 93410 ns MR4_I 01000208 2a001c5b - 93430 ns MR4_I 01000200 07c96841 - 93450 ns IT 01000200 6841 LDR r1,[r0,#4] - 93470 ns MR4_I 01000204 6002d1fc - 93530 ns MR4_D 40006004 00000001 - 93530 ns R r1 00000001 - 93530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 93550 ns R r1 80000000 - 93550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 93570 ns R psr 81000200 - 93570 ns MR4_I 01000208 2a001c5b - 93590 ns MR4_I 01000200 07c96841 - 93610 ns IT 01000200 6841 LDR r1,[r0,#4] - 93630 ns MR4_I 01000204 6002d1fc - 93690 ns MR4_D 40006004 00000001 - 93690 ns R r1 00000001 - 93690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 93710 ns R r1 80000000 - 93710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 93730 ns R psr 81000200 - 93730 ns MR4_I 01000208 2a001c5b - 93750 ns MR4_I 01000200 07c96841 - 93770 ns IT 01000200 6841 LDR r1,[r0,#4] - 93790 ns MR4_I 01000204 6002d1fc - 93850 ns MR4_D 40006004 00000001 - 93850 ns R r1 00000001 - 93850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 93870 ns R r1 80000000 - 93870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 93890 ns R psr 81000200 - 93890 ns MR4_I 01000208 2a001c5b - 93910 ns MR4_I 01000200 07c96841 - 93930 ns IT 01000200 6841 LDR r1,[r0,#4] - 93950 ns MR4_I 01000204 6002d1fc - 94010 ns MR4_D 40006004 00000001 - 94010 ns R r1 00000001 - 94010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 94030 ns R r1 80000000 - 94030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 94050 ns R psr 81000200 - 94050 ns MR4_I 01000208 2a001c5b - 94070 ns MR4_I 01000200 07c96841 - 94090 ns IT 01000200 6841 LDR r1,[r0,#4] - 94110 ns MR4_I 01000204 6002d1fc - 94170 ns MR4_D 40006004 00000001 - 94170 ns R r1 00000001 - 94170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 94190 ns R r1 80000000 - 94190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 94210 ns R psr 81000200 - 94210 ns MR4_I 01000208 2a001c5b - 94230 ns MR4_I 01000200 07c96841 - 94250 ns IT 01000200 6841 LDR r1,[r0,#4] - 94270 ns MR4_I 01000204 6002d1fc - 94330 ns MR4_D 40006004 00000001 - 94330 ns R r1 00000001 - 94330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 94350 ns R r1 80000000 - 94350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 94370 ns R psr 81000200 - 94370 ns MR4_I 01000208 2a001c5b - 94390 ns MR4_I 01000200 07c96841 - 94410 ns IT 01000200 6841 LDR r1,[r0,#4] - 94430 ns MR4_I 01000204 6002d1fc - 94490 ns MR4_D 40006004 00000001 - 94490 ns R r1 00000001 - 94490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 94510 ns R r1 80000000 - 94510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 94530 ns R psr 81000200 - 94530 ns MR4_I 01000208 2a001c5b - 94550 ns MR4_I 01000200 07c96841 - 94570 ns IT 01000200 6841 LDR r1,[r0,#4] - 94590 ns MR4_I 01000204 6002d1fc - 94650 ns MR4_D 40006004 00000001 - 94650 ns R r1 00000001 - 94650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 94670 ns R r1 80000000 - 94670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 94690 ns R psr 81000200 - 94690 ns MR4_I 01000208 2a001c5b - 94710 ns MR4_I 01000200 07c96841 - 94730 ns IT 01000200 6841 LDR r1,[r0,#4] - 94750 ns MR4_I 01000204 6002d1fc - 94810 ns MR4_D 40006004 00000001 - 94810 ns R r1 00000001 - 94810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 94830 ns R r1 80000000 - 94830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 94850 ns R psr 81000200 - 94850 ns MR4_I 01000208 2a001c5b - 94870 ns MR4_I 01000200 07c96841 - 94890 ns IT 01000200 6841 LDR r1,[r0,#4] - 94910 ns MR4_I 01000204 6002d1fc - 94970 ns MR4_D 40006004 00000001 - 94970 ns R r1 00000001 - 94970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 94990 ns R r1 80000000 - 94990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 95010 ns R psr 81000200 - 95010 ns MR4_I 01000208 2a001c5b - 95030 ns MR4_I 01000200 07c96841 - 95050 ns IT 01000200 6841 LDR r1,[r0,#4] - 95070 ns MR4_I 01000204 6002d1fc - 95130 ns MR4_D 40006004 00000001 - 95130 ns R r1 00000001 - 95130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 95150 ns R r1 80000000 - 95150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 95170 ns R psr 81000200 - 95170 ns MR4_I 01000208 2a001c5b - 95190 ns MR4_I 01000200 07c96841 - 95210 ns IT 01000200 6841 LDR r1,[r0,#4] - 95230 ns MR4_I 01000204 6002d1fc - 95290 ns MR4_D 40006004 00000001 - 95290 ns R r1 00000001 - 95290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 95310 ns R r1 80000000 - 95310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 95330 ns R psr 81000200 - 95330 ns MR4_I 01000208 2a001c5b - 95350 ns MR4_I 01000200 07c96841 - 95370 ns IT 01000200 6841 LDR r1,[r0,#4] - 95390 ns MR4_I 01000204 6002d1fc - 95450 ns MR4_D 40006004 00000001 - 95450 ns R r1 00000001 - 95450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 95470 ns R r1 80000000 - 95470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 95490 ns R psr 81000200 - 95490 ns MR4_I 01000208 2a001c5b - 95510 ns MR4_I 01000200 07c96841 - 95530 ns IT 01000200 6841 LDR r1,[r0,#4] - 95550 ns MR4_I 01000204 6002d1fc - 95610 ns MR4_D 40006004 00000001 - 95610 ns R r1 00000001 - 95610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 95630 ns R r1 80000000 - 95630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 95650 ns R psr 81000200 - 95650 ns MR4_I 01000208 2a001c5b - 95670 ns MR4_I 01000200 07c96841 - 95690 ns IT 01000200 6841 LDR r1,[r0,#4] - 95710 ns MR4_I 01000204 6002d1fc - 95770 ns MR4_D 40006004 00000001 - 95770 ns R r1 00000001 - 95770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 95790 ns R r1 80000000 - 95790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 95810 ns R psr 81000200 - 95810 ns MR4_I 01000208 2a001c5b - 95830 ns MR4_I 01000200 07c96841 - 95850 ns IT 01000200 6841 LDR r1,[r0,#4] - 95870 ns MR4_I 01000204 6002d1fc - 95930 ns MR4_D 40006004 00000001 - 95930 ns R r1 00000001 - 95930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 95950 ns R r1 80000000 - 95950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 95970 ns R psr 81000200 - 95970 ns MR4_I 01000208 2a001c5b - 95990 ns MR4_I 01000200 07c96841 - 96010 ns IT 01000200 6841 LDR r1,[r0,#4] - 96030 ns MR4_I 01000204 6002d1fc - 96090 ns MR4_D 40006004 00000001 - 96090 ns R r1 00000001 - 96090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 96110 ns R r1 80000000 - 96110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 96130 ns R psr 81000200 - 96130 ns MR4_I 01000208 2a001c5b - 96150 ns MR4_I 01000200 07c96841 - 96170 ns IT 01000200 6841 LDR r1,[r0,#4] - 96190 ns MR4_I 01000204 6002d1fc - 96250 ns MR4_D 40006004 00000001 - 96250 ns R r1 00000001 - 96250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 96270 ns R r1 80000000 - 96270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 96290 ns R psr 81000200 - 96290 ns MR4_I 01000208 2a001c5b - 96310 ns MR4_I 01000200 07c96841 - 96330 ns IT 01000200 6841 LDR r1,[r0,#4] - 96350 ns MR4_I 01000204 6002d1fc - 96410 ns MR4_D 40006004 00000001 - 96410 ns R r1 00000001 - 96410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 96430 ns R r1 80000000 - 96430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 96450 ns R psr 81000200 - 96450 ns MR4_I 01000208 2a001c5b - 96470 ns MR4_I 01000200 07c96841 - 96490 ns IT 01000200 6841 LDR r1,[r0,#4] - 96510 ns MR4_I 01000204 6002d1fc - 96570 ns MR4_D 40006004 00000001 - 96570 ns R r1 00000001 - 96570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 96590 ns R r1 80000000 - 96590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 96610 ns R psr 81000200 - 96610 ns MR4_I 01000208 2a001c5b - 96630 ns MR4_I 01000200 07c96841 - 96650 ns IT 01000200 6841 LDR r1,[r0,#4] - 96670 ns MR4_I 01000204 6002d1fc - 96730 ns MR4_D 40006004 00000001 - 96730 ns R r1 00000001 - 96730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 96750 ns R r1 80000000 - 96750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 96770 ns R psr 81000200 - 96770 ns MR4_I 01000208 2a001c5b - 96790 ns MR4_I 01000200 07c96841 - 96810 ns IT 01000200 6841 LDR r1,[r0,#4] - 96830 ns MR4_I 01000204 6002d1fc - 96890 ns MR4_D 40006004 00000001 - 96890 ns R r1 00000001 - 96890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 96910 ns R r1 80000000 - 96910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 96930 ns R psr 81000200 - 96930 ns MR4_I 01000208 2a001c5b - 96950 ns MR4_I 01000200 07c96841 - 96970 ns IT 01000200 6841 LDR r1,[r0,#4] - 96990 ns MR4_I 01000204 6002d1fc - 97050 ns MR4_D 40006004 00000001 - 97050 ns R r1 00000001 - 97050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 97070 ns R r1 80000000 - 97070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 97090 ns R psr 81000200 - 97090 ns MR4_I 01000208 2a001c5b - 97110 ns MR4_I 01000200 07c96841 - 97130 ns IT 01000200 6841 LDR r1,[r0,#4] - 97150 ns MR4_I 01000204 6002d1fc - 97210 ns MR4_D 40006004 00000001 - 97210 ns R r1 00000001 - 97210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 97230 ns R r1 80000000 - 97230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 97250 ns R psr 81000200 - 97250 ns MR4_I 01000208 2a001c5b - 97270 ns MR4_I 01000200 07c96841 - 97290 ns IT 01000200 6841 LDR r1,[r0,#4] - 97310 ns MR4_I 01000204 6002d1fc - 97370 ns MR4_D 40006004 00000001 - 97370 ns R r1 00000001 - 97370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 97390 ns R r1 80000000 - 97390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 97410 ns R psr 81000200 - 97410 ns MR4_I 01000208 2a001c5b - 97430 ns MR4_I 01000200 07c96841 - 97450 ns IT 01000200 6841 LDR r1,[r0,#4] - 97470 ns MR4_I 01000204 6002d1fc - 97530 ns MR4_D 40006004 00000001 - 97530 ns R r1 00000001 - 97530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 97550 ns R r1 80000000 - 97550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 97570 ns R psr 81000200 - 97570 ns MR4_I 01000208 2a001c5b - 97590 ns MR4_I 01000200 07c96841 - 97610 ns IT 01000200 6841 LDR r1,[r0,#4] - 97630 ns MR4_I 01000204 6002d1fc - 97690 ns MR4_D 40006004 00000001 - 97690 ns R r1 00000001 - 97690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 97710 ns R r1 80000000 - 97710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 97730 ns R psr 81000200 - 97730 ns MR4_I 01000208 2a001c5b - 97750 ns MR4_I 01000200 07c96841 - 97770 ns IT 01000200 6841 LDR r1,[r0,#4] - 97790 ns MR4_I 01000204 6002d1fc - 97850 ns MR4_D 40006004 00000001 - 97850 ns R r1 00000001 - 97850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 97870 ns R r1 80000000 - 97870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 97890 ns R psr 81000200 - 97890 ns MR4_I 01000208 2a001c5b - 97910 ns MR4_I 01000200 07c96841 - 97930 ns IT 01000200 6841 LDR r1,[r0,#4] - 97950 ns MR4_I 01000204 6002d1fc - 98010 ns MR4_D 40006004 00000001 - 98010 ns R r1 00000001 - 98010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 98030 ns R r1 80000000 - 98030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 98050 ns R psr 81000200 - 98050 ns MR4_I 01000208 2a001c5b - 98070 ns MR4_I 01000200 07c96841 - 98090 ns IT 01000200 6841 LDR r1,[r0,#4] - 98110 ns MR4_I 01000204 6002d1fc - 98170 ns MR4_D 40006004 00000001 - 98170 ns R r1 00000001 - 98170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 98190 ns R r1 80000000 - 98190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 98210 ns R psr 81000200 - 98210 ns MR4_I 01000208 2a001c5b - 98230 ns MR4_I 01000200 07c96841 - 98250 ns IT 01000200 6841 LDR r1,[r0,#4] - 98270 ns MR4_I 01000204 6002d1fc - 98330 ns MR4_D 40006004 00000001 - 98330 ns R r1 00000001 - 98330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 98350 ns R r1 80000000 - 98350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 98370 ns R psr 81000200 - 98370 ns MR4_I 01000208 2a001c5b - 98390 ns MR4_I 01000200 07c96841 - 98410 ns IT 01000200 6841 LDR r1,[r0,#4] - 98430 ns MR4_I 01000204 6002d1fc - 98490 ns MR4_D 40006004 00000001 - 98490 ns R r1 00000001 - 98490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 98510 ns R r1 80000000 - 98510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 98530 ns R psr 81000200 - 98530 ns MR4_I 01000208 2a001c5b - 98550 ns MR4_I 01000200 07c96841 - 98570 ns IT 01000200 6841 LDR r1,[r0,#4] - 98590 ns MR4_I 01000204 6002d1fc - 98650 ns MR4_D 40006004 00000001 - 98650 ns R r1 00000001 - 98650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 98670 ns R r1 80000000 - 98670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 98690 ns R psr 81000200 - 98690 ns MR4_I 01000208 2a001c5b - 98710 ns MR4_I 01000200 07c96841 - 98730 ns IT 01000200 6841 LDR r1,[r0,#4] - 98750 ns MR4_I 01000204 6002d1fc - 98810 ns MR4_D 40006004 00000001 - 98810 ns R r1 00000001 - 98810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 98830 ns R r1 80000000 - 98830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 98850 ns R psr 81000200 - 98850 ns MR4_I 01000208 2a001c5b - 98870 ns MR4_I 01000200 07c96841 - 98890 ns IT 01000200 6841 LDR r1,[r0,#4] - 98910 ns MR4_I 01000204 6002d1fc - 98970 ns MR4_D 40006004 00000001 - 98970 ns R r1 00000001 - 98970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 98990 ns R r1 80000000 - 98990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 99010 ns R psr 81000200 - 99010 ns MR4_I 01000208 2a001c5b - 99030 ns MR4_I 01000200 07c96841 - 99050 ns IT 01000200 6841 LDR r1,[r0,#4] - 99070 ns MR4_I 01000204 6002d1fc - 99130 ns MR4_D 40006004 00000001 - 99130 ns R r1 00000001 - 99130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 99150 ns R r1 80000000 - 99150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 99170 ns R psr 81000200 - 99170 ns MR4_I 01000208 2a001c5b - 99190 ns MR4_I 01000200 07c96841 - 99210 ns IT 01000200 6841 LDR r1,[r0,#4] - 99230 ns MR4_I 01000204 6002d1fc - 99290 ns MR4_D 40006004 00000001 - 99290 ns R r1 00000001 - 99290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 99310 ns R r1 80000000 - 99310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 99330 ns R psr 81000200 - 99330 ns MR4_I 01000208 2a001c5b - 99350 ns MR4_I 01000200 07c96841 - 99370 ns IT 01000200 6841 LDR r1,[r0,#4] - 99390 ns MR4_I 01000204 6002d1fc - 99450 ns MR4_D 40006004 00000001 - 99450 ns R r1 00000001 - 99450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 99470 ns R r1 80000000 - 99470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 99490 ns R psr 81000200 - 99490 ns MR4_I 01000208 2a001c5b - 99510 ns MR4_I 01000200 07c96841 - 99530 ns IT 01000200 6841 LDR r1,[r0,#4] - 99550 ns MR4_I 01000204 6002d1fc - 99610 ns MR4_D 40006004 00000001 - 99610 ns R r1 00000001 - 99610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 99630 ns R r1 80000000 - 99630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 99650 ns R psr 81000200 - 99650 ns MR4_I 01000208 2a001c5b - 99670 ns MR4_I 01000200 07c96841 - 99690 ns IT 01000200 6841 LDR r1,[r0,#4] - 99710 ns MR4_I 01000204 6002d1fc - 99770 ns MR4_D 40006004 00000001 - 99770 ns R r1 00000001 - 99770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 99790 ns R r1 80000000 - 99790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 99810 ns R psr 81000200 - 99810 ns MR4_I 01000208 2a001c5b - 99830 ns MR4_I 01000200 07c96841 - 99850 ns IT 01000200 6841 LDR r1,[r0,#4] - 99870 ns MR4_I 01000204 6002d1fc - 99930 ns MR4_D 40006004 00000000 - 99930 ns R r1 00000000 - 99930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 99950 ns R r1 00000000 - 99950 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 99970 ns R psr 41000200 - 99970 ns MR4_I 01000208 2a001c5b - 99970 ns IT 01000206 6002 STR r2,[r0,#0] - 100050 ns MW4_D 40006000 00000052 - 100050 ns IT 01000208 1c5b ADDS r3,r3,#1 - 100070 ns MR4_I 0100020c a32ad1f5 - 100070 ns R r3 01000298 - 100070 ns IT 0100020a 2a00 CMP r2,#0 - 100090 ns R psr 01000200 - 100090 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 100110 ns R psr 21000200 - 100110 ns MR4_I 01000210 2a00781a - 100130 ns MR4_I 010001f8 781aa326 - 100150 ns MR4_I 010001fc d0062a00 - 100150 ns IT 010001fa 781a LDRB r2,[r3,#0] - 100190 ns MR1_D 01000298 6f732d49 - 100190 ns R r2 00000049 - 100190 ns IT 010001fc 2a00 CMP r2,#0 - 100210 ns MR4_I 01000200 07c96841 - 100210 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 100230 ns R psr 21000200 - 100230 ns IT 01000200 6841 LDR r1,[r0,#4] - 100250 ns MR4_I 01000204 6002d1fc - 100310 ns MR4_D 40006004 00000001 - 100310 ns R r1 00000001 - 100310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 100330 ns R r1 80000000 - 100330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 100350 ns R psr 81000200 - 100350 ns MR4_I 01000208 2a001c5b - 100370 ns MR4_I 01000200 07c96841 - 100390 ns IT 01000200 6841 LDR r1,[r0,#4] - 100410 ns MR4_I 01000204 6002d1fc - 100470 ns MR4_D 40006004 00000001 - 100470 ns R r1 00000001 - 100470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 100490 ns R r1 80000000 - 100490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 100510 ns R psr 81000200 - 100510 ns MR4_I 01000208 2a001c5b - 100530 ns MR4_I 01000200 07c96841 - 100550 ns IT 01000200 6841 LDR r1,[r0,#4] - 100570 ns MR4_I 01000204 6002d1fc - 100630 ns MR4_D 40006004 00000001 - 100630 ns R r1 00000001 - 100630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 100650 ns R r1 80000000 - 100650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 100670 ns R psr 81000200 - 100670 ns MR4_I 01000208 2a001c5b - 100690 ns MR4_I 01000200 07c96841 - 100710 ns IT 01000200 6841 LDR r1,[r0,#4] - 100730 ns MR4_I 01000204 6002d1fc - 100790 ns MR4_D 40006004 00000001 - 100790 ns R r1 00000001 - 100790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 100810 ns R r1 80000000 - 100810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 100830 ns R psr 81000200 - 100830 ns MR4_I 01000208 2a001c5b - 100850 ns MR4_I 01000200 07c96841 - 100870 ns IT 01000200 6841 LDR r1,[r0,#4] - 100890 ns MR4_I 01000204 6002d1fc - 100950 ns MR4_D 40006004 00000001 - 100950 ns R r1 00000001 - 100950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 100970 ns R r1 80000000 - 100970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 100990 ns R psr 81000200 - 100990 ns MR4_I 01000208 2a001c5b - 101010 ns MR4_I 01000200 07c96841 - 101030 ns IT 01000200 6841 LDR r1,[r0,#4] - 101050 ns MR4_I 01000204 6002d1fc - 101110 ns MR4_D 40006004 00000001 - 101110 ns R r1 00000001 - 101110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 101130 ns R r1 80000000 - 101130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 101150 ns R psr 81000200 - 101150 ns MR4_I 01000208 2a001c5b - 101170 ns MR4_I 01000200 07c96841 - 101190 ns IT 01000200 6841 LDR r1,[r0,#4] - 101210 ns MR4_I 01000204 6002d1fc - 101270 ns MR4_D 40006004 00000001 - 101270 ns R r1 00000001 - 101270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 101290 ns R r1 80000000 - 101290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 101310 ns R psr 81000200 - 101310 ns MR4_I 01000208 2a001c5b - 101330 ns MR4_I 01000200 07c96841 - 101350 ns IT 01000200 6841 LDR r1,[r0,#4] - 101370 ns MR4_I 01000204 6002d1fc - 101430 ns MR4_D 40006004 00000001 - 101430 ns R r1 00000001 - 101430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 101450 ns R r1 80000000 - 101450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 101470 ns R psr 81000200 - 101470 ns MR4_I 01000208 2a001c5b - 101490 ns MR4_I 01000200 07c96841 - 101510 ns IT 01000200 6841 LDR r1,[r0,#4] - 101530 ns MR4_I 01000204 6002d1fc - 101590 ns MR4_D 40006004 00000001 - 101590 ns R r1 00000001 - 101590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 101610 ns R r1 80000000 - 101610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 101630 ns R psr 81000200 - 101630 ns MR4_I 01000208 2a001c5b - 101650 ns MR4_I 01000200 07c96841 - 101670 ns IT 01000200 6841 LDR r1,[r0,#4] - 101690 ns MR4_I 01000204 6002d1fc - 101750 ns MR4_D 40006004 00000001 - 101750 ns R r1 00000001 - 101750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 101770 ns R r1 80000000 - 101770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 101790 ns R psr 81000200 - 101790 ns MR4_I 01000208 2a001c5b - 101810 ns MR4_I 01000200 07c96841 - 101830 ns IT 01000200 6841 LDR r1,[r0,#4] - 101850 ns MR4_I 01000204 6002d1fc - 101910 ns MR4_D 40006004 00000001 - 101910 ns R r1 00000001 - 101910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 101930 ns R r1 80000000 - 101930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 101950 ns R psr 81000200 - 101950 ns MR4_I 01000208 2a001c5b - 101970 ns MR4_I 01000200 07c96841 - 101990 ns IT 01000200 6841 LDR r1,[r0,#4] - 102010 ns MR4_I 01000204 6002d1fc - 102070 ns MR4_D 40006004 00000001 - 102070 ns R r1 00000001 - 102070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 102090 ns R r1 80000000 - 102090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 102110 ns R psr 81000200 - 102110 ns MR4_I 01000208 2a001c5b - 102130 ns MR4_I 01000200 07c96841 - 102150 ns IT 01000200 6841 LDR r1,[r0,#4] - 102170 ns MR4_I 01000204 6002d1fc - 102230 ns MR4_D 40006004 00000001 - 102230 ns R r1 00000001 - 102230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 102250 ns R r1 80000000 - 102250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 102270 ns R psr 81000200 - 102270 ns MR4_I 01000208 2a001c5b - 102290 ns MR4_I 01000200 07c96841 - 102310 ns IT 01000200 6841 LDR r1,[r0,#4] - 102330 ns MR4_I 01000204 6002d1fc - 102390 ns MR4_D 40006004 00000001 - 102390 ns R r1 00000001 - 102390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 102410 ns R r1 80000000 - 102410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 102430 ns R psr 81000200 - 102430 ns MR4_I 01000208 2a001c5b - 102450 ns MR4_I 01000200 07c96841 - 102470 ns IT 01000200 6841 LDR r1,[r0,#4] - 102490 ns MR4_I 01000204 6002d1fc - 102550 ns MR4_D 40006004 00000001 - 102550 ns R r1 00000001 - 102550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 102570 ns R r1 80000000 - 102570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 102590 ns R psr 81000200 - 102590 ns MR4_I 01000208 2a001c5b - 102610 ns MR4_I 01000200 07c96841 - 102630 ns IT 01000200 6841 LDR r1,[r0,#4] - 102650 ns MR4_I 01000204 6002d1fc - 102710 ns MR4_D 40006004 00000001 - 102710 ns R r1 00000001 - 102710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 102730 ns R r1 80000000 - 102730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 102750 ns R psr 81000200 - 102750 ns MR4_I 01000208 2a001c5b - 102770 ns MR4_I 01000200 07c96841 - 102790 ns IT 01000200 6841 LDR r1,[r0,#4] - 102810 ns MR4_I 01000204 6002d1fc - 102870 ns MR4_D 40006004 00000001 - 102870 ns R r1 00000001 - 102870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 102890 ns R r1 80000000 - 102890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 102910 ns R psr 81000200 - 102910 ns MR4_I 01000208 2a001c5b - 102930 ns MR4_I 01000200 07c96841 - 102950 ns IT 01000200 6841 LDR r1,[r0,#4] - 102970 ns MR4_I 01000204 6002d1fc - 103030 ns MR4_D 40006004 00000001 - 103030 ns R r1 00000001 - 103030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 103050 ns R r1 80000000 - 103050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 103070 ns R psr 81000200 - 103070 ns MR4_I 01000208 2a001c5b - 103090 ns MR4_I 01000200 07c96841 - 103110 ns IT 01000200 6841 LDR r1,[r0,#4] - 103130 ns MR4_I 01000204 6002d1fc - 103190 ns MR4_D 40006004 00000001 - 103190 ns R r1 00000001 - 103190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 103210 ns R r1 80000000 - 103210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 103230 ns R psr 81000200 - 103230 ns MR4_I 01000208 2a001c5b - 103250 ns MR4_I 01000200 07c96841 - 103270 ns IT 01000200 6841 LDR r1,[r0,#4] - 103290 ns MR4_I 01000204 6002d1fc - 103350 ns MR4_D 40006004 00000001 - 103350 ns R r1 00000001 - 103350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 103370 ns R r1 80000000 - 103370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 103390 ns R psr 81000200 - 103390 ns MR4_I 01000208 2a001c5b - 103410 ns MR4_I 01000200 07c96841 - 103430 ns IT 01000200 6841 LDR r1,[r0,#4] - 103450 ns MR4_I 01000204 6002d1fc - 103510 ns MR4_D 40006004 00000001 - 103510 ns R r1 00000001 - 103510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 103530 ns R r1 80000000 - 103530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 103550 ns R psr 81000200 - 103550 ns MR4_I 01000208 2a001c5b - 103570 ns MR4_I 01000200 07c96841 - 103590 ns IT 01000200 6841 LDR r1,[r0,#4] - 103610 ns MR4_I 01000204 6002d1fc - 103670 ns MR4_D 40006004 00000001 - 103670 ns R r1 00000001 - 103670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 103690 ns R r1 80000000 - 103690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 103710 ns R psr 81000200 - 103710 ns MR4_I 01000208 2a001c5b - 103730 ns MR4_I 01000200 07c96841 - 103750 ns IT 01000200 6841 LDR r1,[r0,#4] - 103770 ns MR4_I 01000204 6002d1fc - 103830 ns MR4_D 40006004 00000001 - 103830 ns R r1 00000001 - 103830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 103850 ns R r1 80000000 - 103850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 103870 ns R psr 81000200 - 103870 ns MR4_I 01000208 2a001c5b - 103890 ns MR4_I 01000200 07c96841 - 103910 ns IT 01000200 6841 LDR r1,[r0,#4] - 103930 ns MR4_I 01000204 6002d1fc - 103990 ns MR4_D 40006004 00000001 - 103990 ns R r1 00000001 - 103990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 104010 ns R r1 80000000 - 104010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 104030 ns R psr 81000200 - 104030 ns MR4_I 01000208 2a001c5b - 104050 ns MR4_I 01000200 07c96841 - 104070 ns IT 01000200 6841 LDR r1,[r0,#4] - 104090 ns MR4_I 01000204 6002d1fc - 104150 ns MR4_D 40006004 00000001 - 104150 ns R r1 00000001 - 104150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 104170 ns R r1 80000000 - 104170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 104190 ns R psr 81000200 - 104190 ns MR4_I 01000208 2a001c5b - 104210 ns MR4_I 01000200 07c96841 - 104230 ns IT 01000200 6841 LDR r1,[r0,#4] - 104250 ns MR4_I 01000204 6002d1fc - 104310 ns MR4_D 40006004 00000001 - 104310 ns R r1 00000001 - 104310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 104330 ns R r1 80000000 - 104330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 104350 ns R psr 81000200 - 104350 ns MR4_I 01000208 2a001c5b - 104370 ns MR4_I 01000200 07c96841 - 104390 ns IT 01000200 6841 LDR r1,[r0,#4] - 104410 ns MR4_I 01000204 6002d1fc - 104470 ns MR4_D 40006004 00000001 - 104470 ns R r1 00000001 - 104470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 104490 ns R r1 80000000 - 104490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 104510 ns R psr 81000200 - 104510 ns MR4_I 01000208 2a001c5b - 104530 ns MR4_I 01000200 07c96841 - 104550 ns IT 01000200 6841 LDR r1,[r0,#4] - 104570 ns MR4_I 01000204 6002d1fc - 104630 ns MR4_D 40006004 00000001 - 104630 ns R r1 00000001 - 104630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 104650 ns R r1 80000000 - 104650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 104670 ns R psr 81000200 - 104670 ns MR4_I 01000208 2a001c5b - 104690 ns MR4_I 01000200 07c96841 - 104710 ns IT 01000200 6841 LDR r1,[r0,#4] - 104730 ns MR4_I 01000204 6002d1fc - 104790 ns MR4_D 40006004 00000001 - 104790 ns R r1 00000001 - 104790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 104810 ns R r1 80000000 - 104810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 104830 ns R psr 81000200 - 104830 ns MR4_I 01000208 2a001c5b - 104850 ns MR4_I 01000200 07c96841 - 104870 ns IT 01000200 6841 LDR r1,[r0,#4] - 104890 ns MR4_I 01000204 6002d1fc - 104950 ns MR4_D 40006004 00000001 - 104950 ns R r1 00000001 - 104950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 104970 ns R r1 80000000 - 104970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 104990 ns R psr 81000200 - 104990 ns MR4_I 01000208 2a001c5b - 105010 ns MR4_I 01000200 07c96841 - 105030 ns IT 01000200 6841 LDR r1,[r0,#4] - 105050 ns MR4_I 01000204 6002d1fc - 105110 ns MR4_D 40006004 00000001 - 105110 ns R r1 00000001 - 105110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 105130 ns R r1 80000000 - 105130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 105150 ns R psr 81000200 - 105150 ns MR4_I 01000208 2a001c5b - 105170 ns MR4_I 01000200 07c96841 - 105190 ns IT 01000200 6841 LDR r1,[r0,#4] - 105210 ns MR4_I 01000204 6002d1fc - 105270 ns MR4_D 40006004 00000001 - 105270 ns R r1 00000001 - 105270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 105290 ns R r1 80000000 - 105290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 105310 ns R psr 81000200 - 105310 ns MR4_I 01000208 2a001c5b - 105330 ns MR4_I 01000200 07c96841 - 105350 ns IT 01000200 6841 LDR r1,[r0,#4] - 105370 ns MR4_I 01000204 6002d1fc - 105430 ns MR4_D 40006004 00000001 - 105430 ns R r1 00000001 - 105430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 105450 ns R r1 80000000 - 105450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 105470 ns R psr 81000200 - 105470 ns MR4_I 01000208 2a001c5b - 105490 ns MR4_I 01000200 07c96841 - 105510 ns IT 01000200 6841 LDR r1,[r0,#4] - 105530 ns MR4_I 01000204 6002d1fc - 105590 ns MR4_D 40006004 00000001 - 105590 ns R r1 00000001 - 105590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 105610 ns R r1 80000000 - 105610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 105630 ns R psr 81000200 - 105630 ns MR4_I 01000208 2a001c5b - 105650 ns MR4_I 01000200 07c96841 - 105670 ns IT 01000200 6841 LDR r1,[r0,#4] - 105690 ns MR4_I 01000204 6002d1fc - 105750 ns MR4_D 40006004 00000001 - 105750 ns R r1 00000001 - 105750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 105770 ns R r1 80000000 - 105770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 105790 ns R psr 81000200 - 105790 ns MR4_I 01000208 2a001c5b - 105810 ns MR4_I 01000200 07c96841 - 105830 ns IT 01000200 6841 LDR r1,[r0,#4] - 105850 ns MR4_I 01000204 6002d1fc - 105910 ns MR4_D 40006004 00000001 - 105910 ns R r1 00000001 - 105910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 105930 ns R r1 80000000 - 105930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 105950 ns R psr 81000200 - 105950 ns MR4_I 01000208 2a001c5b - 105970 ns MR4_I 01000200 07c96841 - 105990 ns IT 01000200 6841 LDR r1,[r0,#4] - 106010 ns MR4_I 01000204 6002d1fc - 106070 ns MR4_D 40006004 00000001 - 106070 ns R r1 00000001 - 106070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 106090 ns R r1 80000000 - 106090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 106110 ns R psr 81000200 - 106110 ns MR4_I 01000208 2a001c5b - 106130 ns MR4_I 01000200 07c96841 - 106150 ns IT 01000200 6841 LDR r1,[r0,#4] - 106170 ns MR4_I 01000204 6002d1fc - 106230 ns MR4_D 40006004 00000001 - 106230 ns R r1 00000001 - 106230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 106250 ns R r1 80000000 - 106250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 106270 ns R psr 81000200 - 106270 ns MR4_I 01000208 2a001c5b - 106290 ns MR4_I 01000200 07c96841 - 106310 ns IT 01000200 6841 LDR r1,[r0,#4] - 106330 ns MR4_I 01000204 6002d1fc - 106390 ns MR4_D 40006004 00000001 - 106390 ns R r1 00000001 - 106390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 106410 ns R r1 80000000 - 106410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 106430 ns R psr 81000200 - 106430 ns MR4_I 01000208 2a001c5b - 106450 ns MR4_I 01000200 07c96841 - 106470 ns IT 01000200 6841 LDR r1,[r0,#4] - 106490 ns MR4_I 01000204 6002d1fc - 106550 ns MR4_D 40006004 00000001 - 106550 ns R r1 00000001 - 106550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 106570 ns R r1 80000000 - 106570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 106590 ns R psr 81000200 - 106590 ns MR4_I 01000208 2a001c5b - 106610 ns MR4_I 01000200 07c96841 - 106630 ns IT 01000200 6841 LDR r1,[r0,#4] - 106650 ns MR4_I 01000204 6002d1fc - 106710 ns MR4_D 40006004 00000001 - 106710 ns R r1 00000001 - 106710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 106730 ns R r1 80000000 - 106730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 106750 ns R psr 81000200 - 106750 ns MR4_I 01000208 2a001c5b - 106770 ns MR4_I 01000200 07c96841 - 106790 ns IT 01000200 6841 LDR r1,[r0,#4] - 106810 ns MR4_I 01000204 6002d1fc - 106870 ns MR4_D 40006004 00000001 - 106870 ns R r1 00000001 - 106870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 106890 ns R r1 80000000 - 106890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 106910 ns R psr 81000200 - 106910 ns MR4_I 01000208 2a001c5b - 106930 ns MR4_I 01000200 07c96841 - 106950 ns IT 01000200 6841 LDR r1,[r0,#4] - 106970 ns MR4_I 01000204 6002d1fc - 107030 ns MR4_D 40006004 00000001 - 107030 ns R r1 00000001 - 107030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 107050 ns R r1 80000000 - 107050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 107070 ns R psr 81000200 - 107070 ns MR4_I 01000208 2a001c5b - 107090 ns MR4_I 01000200 07c96841 - 107110 ns IT 01000200 6841 LDR r1,[r0,#4] - 107130 ns MR4_I 01000204 6002d1fc - 107190 ns MR4_D 40006004 00000001 - 107190 ns R r1 00000001 - 107190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 107210 ns R r1 80000000 - 107210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 107230 ns R psr 81000200 - 107230 ns MR4_I 01000208 2a001c5b - 107250 ns MR4_I 01000200 07c96841 - 107270 ns IT 01000200 6841 LDR r1,[r0,#4] - 107290 ns MR4_I 01000204 6002d1fc - 107350 ns MR4_D 40006004 00000001 - 107350 ns R r1 00000001 - 107350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 107370 ns R r1 80000000 - 107370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 107390 ns R psr 81000200 - 107390 ns MR4_I 01000208 2a001c5b - 107410 ns MR4_I 01000200 07c96841 - 107430 ns IT 01000200 6841 LDR r1,[r0,#4] - 107450 ns MR4_I 01000204 6002d1fc - 107510 ns MR4_D 40006004 00000001 - 107510 ns R r1 00000001 - 107510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 107530 ns R r1 80000000 - 107530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 107550 ns R psr 81000200 - 107550 ns MR4_I 01000208 2a001c5b - 107570 ns MR4_I 01000200 07c96841 - 107590 ns IT 01000200 6841 LDR r1,[r0,#4] - 107610 ns MR4_I 01000204 6002d1fc - 107670 ns MR4_D 40006004 00000001 - 107670 ns R r1 00000001 - 107670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 107690 ns R r1 80000000 - 107690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 107710 ns R psr 81000200 - 107710 ns MR4_I 01000208 2a001c5b - 107730 ns MR4_I 01000200 07c96841 - 107750 ns IT 01000200 6841 LDR r1,[r0,#4] - 107770 ns MR4_I 01000204 6002d1fc - 107830 ns MR4_D 40006004 00000001 - 107830 ns R r1 00000001 - 107830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 107850 ns R r1 80000000 - 107850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 107870 ns R psr 81000200 - 107870 ns MR4_I 01000208 2a001c5b - 107890 ns MR4_I 01000200 07c96841 - 107910 ns IT 01000200 6841 LDR r1,[r0,#4] - 107930 ns MR4_I 01000204 6002d1fc - 107990 ns MR4_D 40006004 00000001 - 107990 ns R r1 00000001 - 107990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 108010 ns R r1 80000000 - 108010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 108030 ns R psr 81000200 - 108030 ns MR4_I 01000208 2a001c5b - 108050 ns MR4_I 01000200 07c96841 - 108070 ns IT 01000200 6841 LDR r1,[r0,#4] - 108090 ns MR4_I 01000204 6002d1fc - 108150 ns MR4_D 40006004 00000001 - 108150 ns R r1 00000001 - 108150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 108170 ns R r1 80000000 - 108170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 108190 ns R psr 81000200 - 108190 ns MR4_I 01000208 2a001c5b - 108210 ns MR4_I 01000200 07c96841 - 108230 ns IT 01000200 6841 LDR r1,[r0,#4] - 108250 ns MR4_I 01000204 6002d1fc - 108310 ns MR4_D 40006004 00000001 - 108310 ns R r1 00000001 - 108310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 108330 ns R r1 80000000 - 108330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 108350 ns R psr 81000200 - 108350 ns MR4_I 01000208 2a001c5b - 108370 ns MR4_I 01000200 07c96841 - 108390 ns IT 01000200 6841 LDR r1,[r0,#4] - 108410 ns MR4_I 01000204 6002d1fc - 108470 ns MR4_D 40006004 00000001 - 108470 ns R r1 00000001 - 108470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 108490 ns R r1 80000000 - 108490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 108510 ns R psr 81000200 - 108510 ns MR4_I 01000208 2a001c5b - 108530 ns MR4_I 01000200 07c96841 - 108550 ns IT 01000200 6841 LDR r1,[r0,#4] - 108570 ns MR4_I 01000204 6002d1fc - 108630 ns MR4_D 40006004 00000001 - 108630 ns R r1 00000001 - 108630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 108650 ns R r1 80000000 - 108650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 108670 ns R psr 81000200 - 108670 ns MR4_I 01000208 2a001c5b - 108690 ns MR4_I 01000200 07c96841 - 108710 ns IT 01000200 6841 LDR r1,[r0,#4] - 108730 ns MR4_I 01000204 6002d1fc - 108790 ns MR4_D 40006004 00000001 - 108790 ns R r1 00000001 - 108790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 108810 ns R r1 80000000 - 108810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 108830 ns R psr 81000200 - 108830 ns MR4_I 01000208 2a001c5b - 108850 ns MR4_I 01000200 07c96841 - 108870 ns IT 01000200 6841 LDR r1,[r0,#4] - 108890 ns MR4_I 01000204 6002d1fc - 108950 ns MR4_D 40006004 00000001 - 108950 ns R r1 00000001 - 108950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 108970 ns R r1 80000000 - 108970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 108990 ns R psr 81000200 - 108990 ns MR4_I 01000208 2a001c5b - 109010 ns MR4_I 01000200 07c96841 - 109030 ns IT 01000200 6841 LDR r1,[r0,#4] - 109050 ns MR4_I 01000204 6002d1fc - 109110 ns MR4_D 40006004 00000001 - 109110 ns R r1 00000001 - 109110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 109130 ns R r1 80000000 - 109130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 109150 ns R psr 81000200 - 109150 ns MR4_I 01000208 2a001c5b - 109170 ns MR4_I 01000200 07c96841 - 109190 ns IT 01000200 6841 LDR r1,[r0,#4] - 109210 ns MR4_I 01000204 6002d1fc - 109270 ns MR4_D 40006004 00000001 - 109270 ns R r1 00000001 - 109270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 109290 ns R r1 80000000 - 109290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 109310 ns R psr 81000200 - 109310 ns MR4_I 01000208 2a001c5b - 109330 ns MR4_I 01000200 07c96841 - 109350 ns IT 01000200 6841 LDR r1,[r0,#4] - 109370 ns MR4_I 01000204 6002d1fc - 109430 ns MR4_D 40006004 00000001 - 109430 ns R r1 00000001 - 109430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 109450 ns R r1 80000000 - 109450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 109470 ns R psr 81000200 - 109470 ns MR4_I 01000208 2a001c5b - 109490 ns MR4_I 01000200 07c96841 - 109510 ns IT 01000200 6841 LDR r1,[r0,#4] - 109530 ns MR4_I 01000204 6002d1fc - 109590 ns MR4_D 40006004 00000001 - 109590 ns R r1 00000001 - 109590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 109610 ns R r1 80000000 - 109610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 109630 ns R psr 81000200 - 109630 ns MR4_I 01000208 2a001c5b - 109650 ns MR4_I 01000200 07c96841 - 109670 ns IT 01000200 6841 LDR r1,[r0,#4] - 109690 ns MR4_I 01000204 6002d1fc - 109750 ns MR4_D 40006004 00000001 - 109750 ns R r1 00000001 - 109750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 109770 ns R r1 80000000 - 109770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 109790 ns R psr 81000200 - 109790 ns MR4_I 01000208 2a001c5b - 109810 ns MR4_I 01000200 07c96841 - 109830 ns IT 01000200 6841 LDR r1,[r0,#4] - 109850 ns MR4_I 01000204 6002d1fc - 109910 ns MR4_D 40006004 00000001 - 109910 ns R r1 00000001 - 109910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 109930 ns R r1 80000000 - 109930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 109950 ns R psr 81000200 - 109950 ns MR4_I 01000208 2a001c5b - 109970 ns MR4_I 01000200 07c96841 - 109990 ns IT 01000200 6841 LDR r1,[r0,#4] - 110010 ns MR4_I 01000204 6002d1fc - 110070 ns MR4_D 40006004 00000001 - 110070 ns R r1 00000001 - 110070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 110090 ns R r1 80000000 - 110090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 110110 ns R psr 81000200 - 110110 ns MR4_I 01000208 2a001c5b - 110130 ns MR4_I 01000200 07c96841 - 110150 ns IT 01000200 6841 LDR r1,[r0,#4] - 110170 ns MR4_I 01000204 6002d1fc - 110230 ns MR4_D 40006004 00000001 - 110230 ns R r1 00000001 - 110230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 110250 ns R r1 80000000 - 110250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 110270 ns R psr 81000200 - 110270 ns MR4_I 01000208 2a001c5b - 110290 ns MR4_I 01000200 07c96841 - 110310 ns IT 01000200 6841 LDR r1,[r0,#4] - 110330 ns MR4_I 01000204 6002d1fc - 110390 ns MR4_D 40006004 00000001 - 110390 ns R r1 00000001 - 110390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 110410 ns R r1 80000000 - 110410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 110430 ns R psr 81000200 - 110430 ns MR4_I 01000208 2a001c5b - 110450 ns MR4_I 01000200 07c96841 - 110470 ns IT 01000200 6841 LDR r1,[r0,#4] - 110490 ns MR4_I 01000204 6002d1fc - 110550 ns MR4_D 40006004 00000001 - 110550 ns R r1 00000001 - 110550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 110570 ns R r1 80000000 - 110570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 110590 ns R psr 81000200 - 110590 ns MR4_I 01000208 2a001c5b - 110610 ns MR4_I 01000200 07c96841 - 110630 ns IT 01000200 6841 LDR r1,[r0,#4] - 110650 ns MR4_I 01000204 6002d1fc - 110710 ns MR4_D 40006004 00000001 - 110710 ns R r1 00000001 - 110710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 110730 ns R r1 80000000 - 110730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 110750 ns R psr 81000200 - 110750 ns MR4_I 01000208 2a001c5b - 110770 ns MR4_I 01000200 07c96841 - 110790 ns IT 01000200 6841 LDR r1,[r0,#4] - 110810 ns MR4_I 01000204 6002d1fc - 110870 ns MR4_D 40006004 00000001 - 110870 ns R r1 00000001 - 110870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 110890 ns R r1 80000000 - 110890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 110910 ns R psr 81000200 - 110910 ns MR4_I 01000208 2a001c5b - 110930 ns MR4_I 01000200 07c96841 - 110950 ns IT 01000200 6841 LDR r1,[r0,#4] - 110970 ns MR4_I 01000204 6002d1fc - 111030 ns MR4_D 40006004 00000001 - 111030 ns R r1 00000001 - 111030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 111050 ns R r1 80000000 - 111050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 111070 ns R psr 81000200 - 111070 ns MR4_I 01000208 2a001c5b - 111090 ns MR4_I 01000200 07c96841 - 111110 ns IT 01000200 6841 LDR r1,[r0,#4] - 111130 ns MR4_I 01000204 6002d1fc - 111190 ns MR4_D 40006004 00000001 - 111190 ns R r1 00000001 - 111190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 111210 ns R r1 80000000 - 111210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 111230 ns R psr 81000200 - 111230 ns MR4_I 01000208 2a001c5b - 111250 ns MR4_I 01000200 07c96841 - 111270 ns IT 01000200 6841 LDR r1,[r0,#4] - 111290 ns MR4_I 01000204 6002d1fc - 111350 ns MR4_D 40006004 00000001 - 111350 ns R r1 00000001 - 111350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 111370 ns R r1 80000000 - 111370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 111390 ns R psr 81000200 - 111390 ns MR4_I 01000208 2a001c5b - 111410 ns MR4_I 01000200 07c96841 - 111430 ns IT 01000200 6841 LDR r1,[r0,#4] - 111450 ns MR4_I 01000204 6002d1fc - 111510 ns MR4_D 40006004 00000001 - 111510 ns R r1 00000001 - 111510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 111530 ns R r1 80000000 - 111530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 111550 ns R psr 81000200 - 111550 ns MR4_I 01000208 2a001c5b - 111570 ns MR4_I 01000200 07c96841 - 111590 ns IT 01000200 6841 LDR r1,[r0,#4] - 111610 ns MR4_I 01000204 6002d1fc - 111670 ns MR4_D 40006004 00000001 - 111670 ns R r1 00000001 - 111670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 111690 ns R r1 80000000 - 111690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 111710 ns R psr 81000200 - 111710 ns MR4_I 01000208 2a001c5b - 111730 ns MR4_I 01000200 07c96841 - 111750 ns IT 01000200 6841 LDR r1,[r0,#4] - 111770 ns MR4_I 01000204 6002d1fc - 111830 ns MR4_D 40006004 00000001 - 111830 ns R r1 00000001 - 111830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 111850 ns R r1 80000000 - 111850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 111870 ns R psr 81000200 - 111870 ns MR4_I 01000208 2a001c5b - 111890 ns MR4_I 01000200 07c96841 - 111910 ns IT 01000200 6841 LDR r1,[r0,#4] - 111930 ns MR4_I 01000204 6002d1fc - 111990 ns MR4_D 40006004 00000001 - 111990 ns R r1 00000001 - 111990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 112010 ns R r1 80000000 - 112010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 112030 ns R psr 81000200 - 112030 ns MR4_I 01000208 2a001c5b - 112050 ns MR4_I 01000200 07c96841 - 112070 ns IT 01000200 6841 LDR r1,[r0,#4] - 112090 ns MR4_I 01000204 6002d1fc - 112150 ns MR4_D 40006004 00000001 - 112150 ns R r1 00000001 - 112150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 112170 ns R r1 80000000 - 112170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 112190 ns R psr 81000200 - 112190 ns MR4_I 01000208 2a001c5b - 112210 ns MR4_I 01000200 07c96841 - 112230 ns IT 01000200 6841 LDR r1,[r0,#4] - 112250 ns MR4_I 01000204 6002d1fc - 112310 ns MR4_D 40006004 00000001 - 112310 ns R r1 00000001 - 112310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 112330 ns R r1 80000000 - 112330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 112350 ns R psr 81000200 - 112350 ns MR4_I 01000208 2a001c5b - 112370 ns MR4_I 01000200 07c96841 - 112390 ns IT 01000200 6841 LDR r1,[r0,#4] - 112410 ns MR4_I 01000204 6002d1fc - 112470 ns MR4_D 40006004 00000001 - 112470 ns R r1 00000001 - 112470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 112490 ns R r1 80000000 - 112490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 112510 ns R psr 81000200 - 112510 ns MR4_I 01000208 2a001c5b - 112530 ns MR4_I 01000200 07c96841 - 112550 ns IT 01000200 6841 LDR r1,[r0,#4] - 112570 ns MR4_I 01000204 6002d1fc - 112630 ns MR4_D 40006004 00000001 - 112630 ns R r1 00000001 - 112630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 112650 ns R r1 80000000 - 112650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 112670 ns R psr 81000200 - 112670 ns MR4_I 01000208 2a001c5b - 112690 ns MR4_I 01000200 07c96841 - 112710 ns IT 01000200 6841 LDR r1,[r0,#4] - 112730 ns MR4_I 01000204 6002d1fc - 112790 ns MR4_D 40006004 00000001 - 112790 ns R r1 00000001 - 112790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 112810 ns R r1 80000000 - 112810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 112830 ns R psr 81000200 - 112830 ns MR4_I 01000208 2a001c5b - 112850 ns MR4_I 01000200 07c96841 - 112870 ns IT 01000200 6841 LDR r1,[r0,#4] - 112890 ns MR4_I 01000204 6002d1fc - 112950 ns MR4_D 40006004 00000001 - 112950 ns R r1 00000001 - 112950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 112970 ns R r1 80000000 - 112970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 112990 ns R psr 81000200 - 112990 ns MR4_I 01000208 2a001c5b - 113010 ns MR4_I 01000200 07c96841 - 113030 ns IT 01000200 6841 LDR r1,[r0,#4] - 113050 ns MR4_I 01000204 6002d1fc - 113110 ns MR4_D 40006004 00000001 - 113110 ns R r1 00000001 - 113110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 113130 ns R r1 80000000 - 113130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 113150 ns R psr 81000200 - 113150 ns MR4_I 01000208 2a001c5b - 113170 ns MR4_I 01000200 07c96841 - 113190 ns IT 01000200 6841 LDR r1,[r0,#4] - 113210 ns MR4_I 01000204 6002d1fc - 113270 ns MR4_D 40006004 00000001 - 113270 ns R r1 00000001 - 113270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 113290 ns R r1 80000000 - 113290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 113310 ns R psr 81000200 - 113310 ns MR4_I 01000208 2a001c5b - 113330 ns MR4_I 01000200 07c96841 - 113350 ns IT 01000200 6841 LDR r1,[r0,#4] - 113370 ns MR4_I 01000204 6002d1fc - 113430 ns MR4_D 40006004 00000001 - 113430 ns R r1 00000001 - 113430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 113450 ns R r1 80000000 - 113450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 113470 ns R psr 81000200 - 113470 ns MR4_I 01000208 2a001c5b - 113490 ns MR4_I 01000200 07c96841 - 113510 ns IT 01000200 6841 LDR r1,[r0,#4] - 113530 ns MR4_I 01000204 6002d1fc - 113590 ns MR4_D 40006004 00000001 - 113590 ns R r1 00000001 - 113590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 113610 ns R r1 80000000 - 113610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 113630 ns R psr 81000200 - 113630 ns MR4_I 01000208 2a001c5b - 113650 ns MR4_I 01000200 07c96841 - 113670 ns IT 01000200 6841 LDR r1,[r0,#4] - 113690 ns MR4_I 01000204 6002d1fc - 113750 ns MR4_D 40006004 00000001 - 113750 ns R r1 00000001 - 113750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 113770 ns R r1 80000000 - 113770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 113790 ns R psr 81000200 - 113790 ns MR4_I 01000208 2a001c5b - 113810 ns MR4_I 01000200 07c96841 - 113830 ns IT 01000200 6841 LDR r1,[r0,#4] - 113850 ns MR4_I 01000204 6002d1fc - 113910 ns MR4_D 40006004 00000001 - 113910 ns R r1 00000001 - 113910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 113930 ns R r1 80000000 - 113930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 113950 ns R psr 81000200 - 113950 ns MR4_I 01000208 2a001c5b - 113970 ns MR4_I 01000200 07c96841 - 113990 ns IT 01000200 6841 LDR r1,[r0,#4] - 114010 ns MR4_I 01000204 6002d1fc - 114070 ns MR4_D 40006004 00000001 - 114070 ns R r1 00000001 - 114070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 114090 ns R r1 80000000 - 114090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 114110 ns R psr 81000200 - 114110 ns MR4_I 01000208 2a001c5b - 114130 ns MR4_I 01000200 07c96841 - 114150 ns IT 01000200 6841 LDR r1,[r0,#4] - 114170 ns MR4_I 01000204 6002d1fc - 114230 ns MR4_D 40006004 00000001 - 114230 ns R r1 00000001 - 114230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 114250 ns R r1 80000000 - 114250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 114270 ns R psr 81000200 - 114270 ns MR4_I 01000208 2a001c5b - 114290 ns MR4_I 01000200 07c96841 - 114310 ns IT 01000200 6841 LDR r1,[r0,#4] - 114330 ns MR4_I 01000204 6002d1fc - 114390 ns MR4_D 40006004 00000001 - 114390 ns R r1 00000001 - 114390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 114410 ns R r1 80000000 - 114410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 114430 ns R psr 81000200 - 114430 ns MR4_I 01000208 2a001c5b - 114450 ns MR4_I 01000200 07c96841 - 114470 ns IT 01000200 6841 LDR r1,[r0,#4] - 114490 ns MR4_I 01000204 6002d1fc - 114550 ns MR4_D 40006004 00000001 - 114550 ns R r1 00000001 - 114550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 114570 ns R r1 80000000 - 114570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 114590 ns R psr 81000200 - 114590 ns MR4_I 01000208 2a001c5b - 114610 ns MR4_I 01000200 07c96841 - 114630 ns IT 01000200 6841 LDR r1,[r0,#4] - 114650 ns MR4_I 01000204 6002d1fc - 114710 ns MR4_D 40006004 00000001 - 114710 ns R r1 00000001 - 114710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 114730 ns R r1 80000000 - 114730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 114750 ns R psr 81000200 - 114750 ns MR4_I 01000208 2a001c5b - 114770 ns MR4_I 01000200 07c96841 - 114790 ns IT 01000200 6841 LDR r1,[r0,#4] - 114810 ns MR4_I 01000204 6002d1fc - 114870 ns MR4_D 40006004 00000001 - 114870 ns R r1 00000001 - 114870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 114890 ns R r1 80000000 - 114890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 114910 ns R psr 81000200 - 114910 ns MR4_I 01000208 2a001c5b - 114930 ns MR4_I 01000200 07c96841 - 114950 ns IT 01000200 6841 LDR r1,[r0,#4] - 114970 ns MR4_I 01000204 6002d1fc - 115030 ns MR4_D 40006004 00000001 - 115030 ns R r1 00000001 - 115030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 115050 ns R r1 80000000 - 115050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 115070 ns R psr 81000200 - 115070 ns MR4_I 01000208 2a001c5b - 115090 ns MR4_I 01000200 07c96841 - 115110 ns IT 01000200 6841 LDR r1,[r0,#4] - 115130 ns MR4_I 01000204 6002d1fc - 115190 ns MR4_D 40006004 00000001 - 115190 ns R r1 00000001 - 115190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 115210 ns R r1 80000000 - 115210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 115230 ns R psr 81000200 - 115230 ns MR4_I 01000208 2a001c5b - 115250 ns MR4_I 01000200 07c96841 - 115270 ns IT 01000200 6841 LDR r1,[r0,#4] - 115290 ns MR4_I 01000204 6002d1fc - 115350 ns MR4_D 40006004 00000001 - 115350 ns R r1 00000001 - 115350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 115370 ns R r1 80000000 - 115370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 115390 ns R psr 81000200 - 115390 ns MR4_I 01000208 2a001c5b - 115410 ns MR4_I 01000200 07c96841 - 115430 ns IT 01000200 6841 LDR r1,[r0,#4] - 115450 ns MR4_I 01000204 6002d1fc - 115510 ns MR4_D 40006004 00000001 - 115510 ns R r1 00000001 - 115510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 115530 ns R r1 80000000 - 115530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 115550 ns R psr 81000200 - 115550 ns MR4_I 01000208 2a001c5b - 115570 ns MR4_I 01000200 07c96841 - 115590 ns IT 01000200 6841 LDR r1,[r0,#4] - 115610 ns MR4_I 01000204 6002d1fc - 115670 ns MR4_D 40006004 00000001 - 115670 ns R r1 00000001 - 115670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 115690 ns R r1 80000000 - 115690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 115710 ns R psr 81000200 - 115710 ns MR4_I 01000208 2a001c5b - 115730 ns MR4_I 01000200 07c96841 - 115750 ns IT 01000200 6841 LDR r1,[r0,#4] - 115770 ns MR4_I 01000204 6002d1fc - 115830 ns MR4_D 40006004 00000001 - 115830 ns R r1 00000001 - 115830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 115850 ns R r1 80000000 - 115850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 115870 ns R psr 81000200 - 115870 ns MR4_I 01000208 2a001c5b - 115890 ns MR4_I 01000200 07c96841 - 115910 ns IT 01000200 6841 LDR r1,[r0,#4] - 115930 ns MR4_I 01000204 6002d1fc - 115990 ns MR4_D 40006004 00000001 - 115990 ns R r1 00000001 - 115990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 116010 ns R r1 80000000 - 116010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 116030 ns R psr 81000200 - 116030 ns MR4_I 01000208 2a001c5b - 116050 ns MR4_I 01000200 07c96841 - 116070 ns IT 01000200 6841 LDR r1,[r0,#4] - 116090 ns MR4_I 01000204 6002d1fc - 116150 ns MR4_D 40006004 00000001 - 116150 ns R r1 00000001 - 116150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 116170 ns R r1 80000000 - 116170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 116190 ns R psr 81000200 - 116190 ns MR4_I 01000208 2a001c5b - 116210 ns MR4_I 01000200 07c96841 - 116230 ns IT 01000200 6841 LDR r1,[r0,#4] - 116250 ns MR4_I 01000204 6002d1fc - 116310 ns MR4_D 40006004 00000001 - 116310 ns R r1 00000001 - 116310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 116330 ns R r1 80000000 - 116330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 116350 ns R psr 81000200 - 116350 ns MR4_I 01000208 2a001c5b - 116370 ns MR4_I 01000200 07c96841 - 116390 ns IT 01000200 6841 LDR r1,[r0,#4] - 116410 ns MR4_I 01000204 6002d1fc - 116470 ns MR4_D 40006004 00000001 - 116470 ns R r1 00000001 - 116470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 116490 ns R r1 80000000 - 116490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 116510 ns R psr 81000200 - 116510 ns MR4_I 01000208 2a001c5b - 116530 ns MR4_I 01000200 07c96841 - 116550 ns IT 01000200 6841 LDR r1,[r0,#4] - 116570 ns MR4_I 01000204 6002d1fc - 116630 ns MR4_D 40006004 00000001 - 116630 ns R r1 00000001 - 116630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 116650 ns R r1 80000000 - 116650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 116670 ns R psr 81000200 - 116670 ns MR4_I 01000208 2a001c5b - 116690 ns MR4_I 01000200 07c96841 - 116710 ns IT 01000200 6841 LDR r1,[r0,#4] - 116730 ns MR4_I 01000204 6002d1fc - 116790 ns MR4_D 40006004 00000001 - 116790 ns R r1 00000001 - 116790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 116810 ns R r1 80000000 - 116810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 116830 ns R psr 81000200 - 116830 ns MR4_I 01000208 2a001c5b - 116850 ns MR4_I 01000200 07c96841 - 116870 ns IT 01000200 6841 LDR r1,[r0,#4] - 116890 ns MR4_I 01000204 6002d1fc - 116950 ns MR4_D 40006004 00000001 - 116950 ns R r1 00000001 - 116950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 116970 ns R r1 80000000 - 116970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 116990 ns R psr 81000200 - 116990 ns MR4_I 01000208 2a001c5b - 117010 ns MR4_I 01000200 07c96841 - 117030 ns IT 01000200 6841 LDR r1,[r0,#4] - 117050 ns MR4_I 01000204 6002d1fc - 117110 ns MR4_D 40006004 00000001 - 117110 ns R r1 00000001 - 117110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 117130 ns R r1 80000000 - 117130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 117150 ns R psr 81000200 - 117150 ns MR4_I 01000208 2a001c5b - 117170 ns MR4_I 01000200 07c96841 - 117190 ns IT 01000200 6841 LDR r1,[r0,#4] - 117210 ns MR4_I 01000204 6002d1fc - 117270 ns MR4_D 40006004 00000001 - 117270 ns R r1 00000001 - 117270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 117290 ns R r1 80000000 - 117290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 117310 ns R psr 81000200 - 117310 ns MR4_I 01000208 2a001c5b - 117330 ns MR4_I 01000200 07c96841 - 117350 ns IT 01000200 6841 LDR r1,[r0,#4] - 117370 ns MR4_I 01000204 6002d1fc - 117430 ns MR4_D 40006004 00000001 - 117430 ns R r1 00000001 - 117430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 117450 ns R r1 80000000 - 117450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 117470 ns R psr 81000200 - 117470 ns MR4_I 01000208 2a001c5b - 117490 ns MR4_I 01000200 07c96841 - 117510 ns IT 01000200 6841 LDR r1,[r0,#4] - 117530 ns MR4_I 01000204 6002d1fc - 117590 ns MR4_D 40006004 00000001 - 117590 ns R r1 00000001 - 117590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 117610 ns R r1 80000000 - 117610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 117630 ns R psr 81000200 - 117630 ns MR4_I 01000208 2a001c5b - 117650 ns MR4_I 01000200 07c96841 - 117670 ns IT 01000200 6841 LDR r1,[r0,#4] - 117690 ns MR4_I 01000204 6002d1fc - 117750 ns MR4_D 40006004 00000001 - 117750 ns R r1 00000001 - 117750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 117770 ns R r1 80000000 - 117770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 117790 ns R psr 81000200 - 117790 ns MR4_I 01000208 2a001c5b - 117810 ns MR4_I 01000200 07c96841 - 117830 ns IT 01000200 6841 LDR r1,[r0,#4] - 117850 ns MR4_I 01000204 6002d1fc - 117910 ns MR4_D 40006004 00000001 - 117910 ns R r1 00000001 - 117910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 117930 ns R r1 80000000 - 117930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 117950 ns R psr 81000200 - 117950 ns MR4_I 01000208 2a001c5b - 117970 ns MR4_I 01000200 07c96841 - 117990 ns IT 01000200 6841 LDR r1,[r0,#4] - 118010 ns MR4_I 01000204 6002d1fc - 118070 ns MR4_D 40006004 00000001 - 118070 ns R r1 00000001 - 118070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 118090 ns R r1 80000000 - 118090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 118110 ns R psr 81000200 - 118110 ns MR4_I 01000208 2a001c5b - 118130 ns MR4_I 01000200 07c96841 - 118150 ns IT 01000200 6841 LDR r1,[r0,#4] - 118170 ns MR4_I 01000204 6002d1fc - 118230 ns MR4_D 40006004 00000001 - 118230 ns R r1 00000001 - 118230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 118250 ns R r1 80000000 - 118250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 118270 ns R psr 81000200 - 118270 ns MR4_I 01000208 2a001c5b - 118290 ns MR4_I 01000200 07c96841 - 118310 ns IT 01000200 6841 LDR r1,[r0,#4] - 118330 ns MR4_I 01000204 6002d1fc - 118390 ns MR4_D 40006004 00000001 - 118390 ns R r1 00000001 - 118390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 118410 ns R r1 80000000 - 118410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 118430 ns R psr 81000200 - 118430 ns MR4_I 01000208 2a001c5b - 118450 ns MR4_I 01000200 07c96841 - 118470 ns IT 01000200 6841 LDR r1,[r0,#4] - 118490 ns MR4_I 01000204 6002d1fc - 118550 ns MR4_D 40006004 00000001 - 118550 ns R r1 00000001 - 118550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 118570 ns R r1 80000000 - 118570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 118590 ns R psr 81000200 - 118590 ns MR4_I 01000208 2a001c5b - 118610 ns MR4_I 01000200 07c96841 - 118630 ns IT 01000200 6841 LDR r1,[r0,#4] - 118650 ns MR4_I 01000204 6002d1fc - 118710 ns MR4_D 40006004 00000001 - 118710 ns R r1 00000001 - 118710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 118730 ns R r1 80000000 - 118730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 118750 ns R psr 81000200 - 118750 ns MR4_I 01000208 2a001c5b - 118770 ns MR4_I 01000200 07c96841 - 118790 ns IT 01000200 6841 LDR r1,[r0,#4] - 118810 ns MR4_I 01000204 6002d1fc - 118870 ns MR4_D 40006004 00000001 - 118870 ns R r1 00000001 - 118870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 118890 ns R r1 80000000 - 118890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 118910 ns R psr 81000200 - 118910 ns MR4_I 01000208 2a001c5b - 118930 ns MR4_I 01000200 07c96841 - 118950 ns IT 01000200 6841 LDR r1,[r0,#4] - 118970 ns MR4_I 01000204 6002d1fc - 119030 ns MR4_D 40006004 00000001 - 119030 ns R r1 00000001 - 119030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 119050 ns R r1 80000000 - 119050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 119070 ns R psr 81000200 - 119070 ns MR4_I 01000208 2a001c5b - 119090 ns MR4_I 01000200 07c96841 - 119110 ns IT 01000200 6841 LDR r1,[r0,#4] - 119130 ns MR4_I 01000204 6002d1fc - 119190 ns MR4_D 40006004 00000001 - 119190 ns R r1 00000001 - 119190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 119210 ns R r1 80000000 - 119210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 119230 ns R psr 81000200 - 119230 ns MR4_I 01000208 2a001c5b - 119250 ns MR4_I 01000200 07c96841 - 119270 ns IT 01000200 6841 LDR r1,[r0,#4] - 119290 ns MR4_I 01000204 6002d1fc - 119350 ns MR4_D 40006004 00000001 - 119350 ns R r1 00000001 - 119350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 119370 ns R r1 80000000 - 119370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 119390 ns R psr 81000200 - 119390 ns MR4_I 01000208 2a001c5b - 119410 ns MR4_I 01000200 07c96841 - 119430 ns IT 01000200 6841 LDR r1,[r0,#4] - 119450 ns MR4_I 01000204 6002d1fc - 119510 ns MR4_D 40006004 00000001 - 119510 ns R r1 00000001 - 119510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 119530 ns R r1 80000000 - 119530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 119550 ns R psr 81000200 - 119550 ns MR4_I 01000208 2a001c5b - 119570 ns MR4_I 01000200 07c96841 - 119590 ns IT 01000200 6841 LDR r1,[r0,#4] - 119610 ns MR4_I 01000204 6002d1fc - 119670 ns MR4_D 40006004 00000001 - 119670 ns R r1 00000001 - 119670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 119690 ns R r1 80000000 - 119690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 119710 ns R psr 81000200 - 119710 ns MR4_I 01000208 2a001c5b - 119730 ns MR4_I 01000200 07c96841 - 119750 ns IT 01000200 6841 LDR r1,[r0,#4] - 119770 ns MR4_I 01000204 6002d1fc - 119830 ns MR4_D 40006004 00000001 - 119830 ns R r1 00000001 - 119830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 119850 ns R r1 80000000 - 119850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 119870 ns R psr 81000200 - 119870 ns MR4_I 01000208 2a001c5b - 119890 ns MR4_I 01000200 07c96841 - 119910 ns IT 01000200 6841 LDR r1,[r0,#4] - 119930 ns MR4_I 01000204 6002d1fc - 119990 ns MR4_D 40006004 00000001 - 119990 ns R r1 00000001 - 119990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 120010 ns R r1 80000000 - 120010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 120030 ns R psr 81000200 - 120030 ns MR4_I 01000208 2a001c5b - 120050 ns MR4_I 01000200 07c96841 - 120070 ns IT 01000200 6841 LDR r1,[r0,#4] - 120090 ns MR4_I 01000204 6002d1fc - 120150 ns MR4_D 40006004 00000001 - 120150 ns R r1 00000001 - 120150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 120170 ns R r1 80000000 - 120170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 120190 ns R psr 81000200 - 120190 ns MR4_I 01000208 2a001c5b - 120210 ns MR4_I 01000200 07c96841 - 120230 ns IT 01000200 6841 LDR r1,[r0,#4] - 120250 ns MR4_I 01000204 6002d1fc - 120310 ns MR4_D 40006004 00000001 - 120310 ns R r1 00000001 - 120310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 120330 ns R r1 80000000 - 120330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 120350 ns R psr 81000200 - 120350 ns MR4_I 01000208 2a001c5b - 120370 ns MR4_I 01000200 07c96841 - 120390 ns IT 01000200 6841 LDR r1,[r0,#4] - 120410 ns MR4_I 01000204 6002d1fc - 120470 ns MR4_D 40006004 00000001 - 120470 ns R r1 00000001 - 120470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 120490 ns R r1 80000000 - 120490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 120510 ns R psr 81000200 - 120510 ns MR4_I 01000208 2a001c5b - 120530 ns MR4_I 01000200 07c96841 - 120550 ns IT 01000200 6841 LDR r1,[r0,#4] - 120570 ns MR4_I 01000204 6002d1fc - 120630 ns MR4_D 40006004 00000001 - 120630 ns R r1 00000001 - 120630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 120650 ns R r1 80000000 - 120650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 120670 ns R psr 81000200 - 120670 ns MR4_I 01000208 2a001c5b - 120690 ns MR4_I 01000200 07c96841 - 120710 ns IT 01000200 6841 LDR r1,[r0,#4] - 120730 ns MR4_I 01000204 6002d1fc - 120790 ns MR4_D 40006004 00000001 - 120790 ns R r1 00000001 - 120790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 120810 ns R r1 80000000 - 120810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 120830 ns R psr 81000200 - 120830 ns MR4_I 01000208 2a001c5b - 120850 ns MR4_I 01000200 07c96841 - 120870 ns IT 01000200 6841 LDR r1,[r0,#4] - 120890 ns MR4_I 01000204 6002d1fc - 120950 ns MR4_D 40006004 00000001 - 120950 ns R r1 00000001 - 120950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 120970 ns R r1 80000000 - 120970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 120990 ns R psr 81000200 - 120990 ns MR4_I 01000208 2a001c5b - 121010 ns MR4_I 01000200 07c96841 - 121030 ns IT 01000200 6841 LDR r1,[r0,#4] - 121050 ns MR4_I 01000204 6002d1fc - 121110 ns MR4_D 40006004 00000001 - 121110 ns R r1 00000001 - 121110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 121130 ns R r1 80000000 - 121130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 121150 ns R psr 81000200 - 121150 ns MR4_I 01000208 2a001c5b - 121170 ns MR4_I 01000200 07c96841 - 121190 ns IT 01000200 6841 LDR r1,[r0,#4] - 121210 ns MR4_I 01000204 6002d1fc - 121270 ns MR4_D 40006004 00000001 - 121270 ns R r1 00000001 - 121270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 121290 ns R r1 80000000 - 121290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 121310 ns R psr 81000200 - 121310 ns MR4_I 01000208 2a001c5b - 121330 ns MR4_I 01000200 07c96841 - 121350 ns IT 01000200 6841 LDR r1,[r0,#4] - 121370 ns MR4_I 01000204 6002d1fc - 121430 ns MR4_D 40006004 00000001 - 121430 ns R r1 00000001 - 121430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 121450 ns R r1 80000000 - 121450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 121470 ns R psr 81000200 - 121470 ns MR4_I 01000208 2a001c5b - 121490 ns MR4_I 01000200 07c96841 - 121510 ns IT 01000200 6841 LDR r1,[r0,#4] - 121530 ns MR4_I 01000204 6002d1fc - 121590 ns MR4_D 40006004 00000001 - 121590 ns R r1 00000001 - 121590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 121610 ns R r1 80000000 - 121610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 121630 ns R psr 81000200 - 121630 ns MR4_I 01000208 2a001c5b - 121650 ns MR4_I 01000200 07c96841 - 121670 ns IT 01000200 6841 LDR r1,[r0,#4] - 121690 ns MR4_I 01000204 6002d1fc - 121750 ns MR4_D 40006004 00000001 - 121750 ns R r1 00000001 - 121750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 121770 ns R r1 80000000 - 121770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 121790 ns R psr 81000200 - 121790 ns MR4_I 01000208 2a001c5b - 121810 ns MR4_I 01000200 07c96841 - 121830 ns IT 01000200 6841 LDR r1,[r0,#4] - 121850 ns MR4_I 01000204 6002d1fc - 121910 ns MR4_D 40006004 00000001 - 121910 ns R r1 00000001 - 121910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 121930 ns R r1 80000000 - 121930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 121950 ns R psr 81000200 - 121950 ns MR4_I 01000208 2a001c5b - 121970 ns MR4_I 01000200 07c96841 - 121990 ns IT 01000200 6841 LDR r1,[r0,#4] - 122010 ns MR4_I 01000204 6002d1fc - 122070 ns MR4_D 40006004 00000001 - 122070 ns R r1 00000001 - 122070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 122090 ns R r1 80000000 - 122090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 122110 ns R psr 81000200 - 122110 ns MR4_I 01000208 2a001c5b - 122130 ns MR4_I 01000200 07c96841 - 122150 ns IT 01000200 6841 LDR r1,[r0,#4] - 122170 ns MR4_I 01000204 6002d1fc - 122230 ns MR4_D 40006004 00000001 - 122230 ns R r1 00000001 - 122230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 122250 ns R r1 80000000 - 122250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 122270 ns R psr 81000200 - 122270 ns MR4_I 01000208 2a001c5b - 122290 ns MR4_I 01000200 07c96841 - 122310 ns IT 01000200 6841 LDR r1,[r0,#4] - 122330 ns MR4_I 01000204 6002d1fc - 122390 ns MR4_D 40006004 00000001 - 122390 ns R r1 00000001 - 122390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 122410 ns R r1 80000000 - 122410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 122430 ns R psr 81000200 - 122430 ns MR4_I 01000208 2a001c5b - 122450 ns MR4_I 01000200 07c96841 - 122470 ns IT 01000200 6841 LDR r1,[r0,#4] - 122490 ns MR4_I 01000204 6002d1fc - 122550 ns MR4_D 40006004 00000001 - 122550 ns R r1 00000001 - 122550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 122570 ns R r1 80000000 - 122570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 122590 ns R psr 81000200 - 122590 ns MR4_I 01000208 2a001c5b - 122610 ns MR4_I 01000200 07c96841 - 122630 ns IT 01000200 6841 LDR r1,[r0,#4] - 122650 ns MR4_I 01000204 6002d1fc - 122710 ns MR4_D 40006004 00000001 - 122710 ns R r1 00000001 - 122710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 122730 ns R r1 80000000 - 122730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 122750 ns R psr 81000200 - 122750 ns MR4_I 01000208 2a001c5b - 122770 ns MR4_I 01000200 07c96841 - 122790 ns IT 01000200 6841 LDR r1,[r0,#4] - 122810 ns MR4_I 01000204 6002d1fc - 122870 ns MR4_D 40006004 00000001 - 122870 ns R r1 00000001 - 122870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 122890 ns R r1 80000000 - 122890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 122910 ns R psr 81000200 - 122910 ns MR4_I 01000208 2a001c5b - 122930 ns MR4_I 01000200 07c96841 - 122950 ns IT 01000200 6841 LDR r1,[r0,#4] - 122970 ns MR4_I 01000204 6002d1fc - 123030 ns MR4_D 40006004 00000001 - 123030 ns R r1 00000001 - 123030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 123050 ns R r1 80000000 - 123050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 123070 ns R psr 81000200 - 123070 ns MR4_I 01000208 2a001c5b - 123090 ns MR4_I 01000200 07c96841 - 123110 ns IT 01000200 6841 LDR r1,[r0,#4] - 123130 ns MR4_I 01000204 6002d1fc - 123190 ns MR4_D 40006004 00000001 - 123190 ns R r1 00000001 - 123190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 123210 ns R r1 80000000 - 123210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 123230 ns R psr 81000200 - 123230 ns MR4_I 01000208 2a001c5b - 123250 ns MR4_I 01000200 07c96841 - 123270 ns IT 01000200 6841 LDR r1,[r0,#4] - 123290 ns MR4_I 01000204 6002d1fc - 123350 ns MR4_D 40006004 00000001 - 123350 ns R r1 00000001 - 123350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 123370 ns R r1 80000000 - 123370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 123390 ns R psr 81000200 - 123390 ns MR4_I 01000208 2a001c5b - 123410 ns MR4_I 01000200 07c96841 - 123430 ns IT 01000200 6841 LDR r1,[r0,#4] - 123450 ns MR4_I 01000204 6002d1fc - 123510 ns MR4_D 40006004 00000001 - 123510 ns R r1 00000001 - 123510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 123530 ns R r1 80000000 - 123530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 123550 ns R psr 81000200 - 123550 ns MR4_I 01000208 2a001c5b - 123570 ns MR4_I 01000200 07c96841 - 123590 ns IT 01000200 6841 LDR r1,[r0,#4] - 123610 ns MR4_I 01000204 6002d1fc - 123670 ns MR4_D 40006004 00000001 - 123670 ns R r1 00000001 - 123670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 123690 ns R r1 80000000 - 123690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 123710 ns R psr 81000200 - 123710 ns MR4_I 01000208 2a001c5b - 123730 ns MR4_I 01000200 07c96841 - 123750 ns IT 01000200 6841 LDR r1,[r0,#4] - 123770 ns MR4_I 01000204 6002d1fc - 123830 ns MR4_D 40006004 00000001 - 123830 ns R r1 00000001 - 123830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 123850 ns R r1 80000000 - 123850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 123870 ns R psr 81000200 - 123870 ns MR4_I 01000208 2a001c5b - 123890 ns MR4_I 01000200 07c96841 - 123910 ns IT 01000200 6841 LDR r1,[r0,#4] - 123930 ns MR4_I 01000204 6002d1fc - 123990 ns MR4_D 40006004 00000001 - 123990 ns R r1 00000001 - 123990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 124010 ns R r1 80000000 - 124010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 124030 ns R psr 81000200 - 124030 ns MR4_I 01000208 2a001c5b - 124050 ns MR4_I 01000200 07c96841 - 124070 ns IT 01000200 6841 LDR r1,[r0,#4] - 124090 ns MR4_I 01000204 6002d1fc - 124150 ns MR4_D 40006004 00000001 - 124150 ns R r1 00000001 - 124150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 124170 ns R r1 80000000 - 124170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 124190 ns R psr 81000200 - 124190 ns MR4_I 01000208 2a001c5b - 124210 ns MR4_I 01000200 07c96841 - 124230 ns IT 01000200 6841 LDR r1,[r0,#4] - 124250 ns MR4_I 01000204 6002d1fc - 124310 ns MR4_D 40006004 00000001 - 124310 ns R r1 00000001 - 124310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 124330 ns R r1 80000000 - 124330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 124350 ns R psr 81000200 - 124350 ns MR4_I 01000208 2a001c5b - 124370 ns MR4_I 01000200 07c96841 - 124390 ns IT 01000200 6841 LDR r1,[r0,#4] - 124410 ns MR4_I 01000204 6002d1fc - 124470 ns MR4_D 40006004 00000001 - 124470 ns R r1 00000001 - 124470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 124490 ns R r1 80000000 - 124490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 124510 ns R psr 81000200 - 124510 ns MR4_I 01000208 2a001c5b - 124530 ns MR4_I 01000200 07c96841 - 124550 ns IT 01000200 6841 LDR r1,[r0,#4] - 124570 ns MR4_I 01000204 6002d1fc - 124630 ns MR4_D 40006004 00000001 - 124630 ns R r1 00000001 - 124630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 124650 ns R r1 80000000 - 124650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 124670 ns R psr 81000200 - 124670 ns MR4_I 01000208 2a001c5b - 124690 ns MR4_I 01000200 07c96841 - 124710 ns IT 01000200 6841 LDR r1,[r0,#4] - 124730 ns MR4_I 01000204 6002d1fc - 124790 ns MR4_D 40006004 00000001 - 124790 ns R r1 00000001 - 124790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 124810 ns R r1 80000000 - 124810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 124830 ns R psr 81000200 - 124830 ns MR4_I 01000208 2a001c5b - 124850 ns MR4_I 01000200 07c96841 - 124870 ns IT 01000200 6841 LDR r1,[r0,#4] - 124890 ns MR4_I 01000204 6002d1fc - 124950 ns MR4_D 40006004 00000001 - 124950 ns R r1 00000001 - 124950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 124970 ns R r1 80000000 - 124970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 124990 ns R psr 81000200 - 124990 ns MR4_I 01000208 2a001c5b - 125010 ns MR4_I 01000200 07c96841 - 125030 ns IT 01000200 6841 LDR r1,[r0,#4] - 125050 ns MR4_I 01000204 6002d1fc - 125110 ns MR4_D 40006004 00000001 - 125110 ns R r1 00000001 - 125110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 125130 ns R r1 80000000 - 125130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 125150 ns R psr 81000200 - 125150 ns MR4_I 01000208 2a001c5b - 125170 ns MR4_I 01000200 07c96841 - 125190 ns IT 01000200 6841 LDR r1,[r0,#4] - 125210 ns MR4_I 01000204 6002d1fc - 125270 ns MR4_D 40006004 00000001 - 125270 ns R r1 00000001 - 125270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 125290 ns R r1 80000000 - 125290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 125310 ns R psr 81000200 - 125310 ns MR4_I 01000208 2a001c5b - 125330 ns MR4_I 01000200 07c96841 - 125350 ns IT 01000200 6841 LDR r1,[r0,#4] - 125370 ns MR4_I 01000204 6002d1fc - 125430 ns MR4_D 40006004 00000001 - 125430 ns R r1 00000001 - 125430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 125450 ns R r1 80000000 - 125450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 125470 ns R psr 81000200 - 125470 ns MR4_I 01000208 2a001c5b - 125490 ns MR4_I 01000200 07c96841 - 125510 ns IT 01000200 6841 LDR r1,[r0,#4] - 125530 ns MR4_I 01000204 6002d1fc - 125590 ns MR4_D 40006004 00000001 - 125590 ns R r1 00000001 - 125590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 125610 ns R r1 80000000 - 125610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 125630 ns R psr 81000200 - 125630 ns MR4_I 01000208 2a001c5b - 125650 ns MR4_I 01000200 07c96841 - 125670 ns IT 01000200 6841 LDR r1,[r0,#4] - 125690 ns MR4_I 01000204 6002d1fc - 125750 ns MR4_D 40006004 00000001 - 125750 ns R r1 00000001 - 125750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 125770 ns R r1 80000000 - 125770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 125790 ns R psr 81000200 - 125790 ns MR4_I 01000208 2a001c5b - 125810 ns MR4_I 01000200 07c96841 - 125830 ns IT 01000200 6841 LDR r1,[r0,#4] - 125850 ns MR4_I 01000204 6002d1fc - 125910 ns MR4_D 40006004 00000001 - 125910 ns R r1 00000001 - 125910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 125930 ns R r1 80000000 - 125930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 125950 ns R psr 81000200 - 125950 ns MR4_I 01000208 2a001c5b - 125970 ns MR4_I 01000200 07c96841 - 125990 ns IT 01000200 6841 LDR r1,[r0,#4] - 126010 ns MR4_I 01000204 6002d1fc - 126070 ns MR4_D 40006004 00000001 - 126070 ns R r1 00000001 - 126070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 126090 ns R r1 80000000 - 126090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 126110 ns R psr 81000200 - 126110 ns MR4_I 01000208 2a001c5b - 126130 ns MR4_I 01000200 07c96841 - 126150 ns IT 01000200 6841 LDR r1,[r0,#4] - 126170 ns MR4_I 01000204 6002d1fc - 126230 ns MR4_D 40006004 00000001 - 126230 ns R r1 00000001 - 126230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 126250 ns R r1 80000000 - 126250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 126270 ns R psr 81000200 - 126270 ns MR4_I 01000208 2a001c5b - 126290 ns MR4_I 01000200 07c96841 - 126310 ns IT 01000200 6841 LDR r1,[r0,#4] - 126330 ns MR4_I 01000204 6002d1fc - 126390 ns MR4_D 40006004 00000001 - 126390 ns R r1 00000001 - 126390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 126410 ns R r1 80000000 - 126410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 126430 ns R psr 81000200 - 126430 ns MR4_I 01000208 2a001c5b - 126450 ns MR4_I 01000200 07c96841 - 126470 ns IT 01000200 6841 LDR r1,[r0,#4] - 126490 ns MR4_I 01000204 6002d1fc - 126550 ns MR4_D 40006004 00000001 - 126550 ns R r1 00000001 - 126550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 126570 ns R r1 80000000 - 126570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 126590 ns R psr 81000200 - 126590 ns MR4_I 01000208 2a001c5b - 126610 ns MR4_I 01000200 07c96841 - 126630 ns IT 01000200 6841 LDR r1,[r0,#4] - 126650 ns MR4_I 01000204 6002d1fc - 126710 ns MR4_D 40006004 00000001 - 126710 ns R r1 00000001 - 126710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 126730 ns R r1 80000000 - 126730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 126750 ns R psr 81000200 - 126750 ns MR4_I 01000208 2a001c5b - 126770 ns MR4_I 01000200 07c96841 - 126790 ns IT 01000200 6841 LDR r1,[r0,#4] - 126810 ns MR4_I 01000204 6002d1fc - 126870 ns MR4_D 40006004 00000001 - 126870 ns R r1 00000001 - 126870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 126890 ns R r1 80000000 - 126890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 126910 ns R psr 81000200 - 126910 ns MR4_I 01000208 2a001c5b - 126930 ns MR4_I 01000200 07c96841 - 126950 ns IT 01000200 6841 LDR r1,[r0,#4] - 126970 ns MR4_I 01000204 6002d1fc - 127030 ns MR4_D 40006004 00000001 - 127030 ns R r1 00000001 - 127030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 127050 ns R r1 80000000 - 127050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 127070 ns R psr 81000200 - 127070 ns MR4_I 01000208 2a001c5b - 127090 ns MR4_I 01000200 07c96841 - 127110 ns IT 01000200 6841 LDR r1,[r0,#4] - 127130 ns MR4_I 01000204 6002d1fc - 127190 ns MR4_D 40006004 00000001 - 127190 ns R r1 00000001 - 127190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 127210 ns R r1 80000000 - 127210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 127230 ns R psr 81000200 - 127230 ns MR4_I 01000208 2a001c5b - 127250 ns MR4_I 01000200 07c96841 - 127270 ns IT 01000200 6841 LDR r1,[r0,#4] - 127290 ns MR4_I 01000204 6002d1fc - 127350 ns MR4_D 40006004 00000001 - 127350 ns R r1 00000001 - 127350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 127370 ns R r1 80000000 - 127370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 127390 ns R psr 81000200 - 127390 ns MR4_I 01000208 2a001c5b - 127410 ns MR4_I 01000200 07c96841 - 127430 ns IT 01000200 6841 LDR r1,[r0,#4] - 127450 ns MR4_I 01000204 6002d1fc - 127510 ns MR4_D 40006004 00000001 - 127510 ns R r1 00000001 - 127510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 127530 ns R r1 80000000 - 127530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 127550 ns R psr 81000200 - 127550 ns MR4_I 01000208 2a001c5b - 127570 ns MR4_I 01000200 07c96841 - 127590 ns IT 01000200 6841 LDR r1,[r0,#4] - 127610 ns MR4_I 01000204 6002d1fc - 127670 ns MR4_D 40006004 00000001 - 127670 ns R r1 00000001 - 127670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 127690 ns R r1 80000000 - 127690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 127710 ns R psr 81000200 - 127710 ns MR4_I 01000208 2a001c5b - 127730 ns MR4_I 01000200 07c96841 - 127750 ns IT 01000200 6841 LDR r1,[r0,#4] - 127770 ns MR4_I 01000204 6002d1fc - 127830 ns MR4_D 40006004 00000001 - 127830 ns R r1 00000001 - 127830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 127850 ns R r1 80000000 - 127850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 127870 ns R psr 81000200 - 127870 ns MR4_I 01000208 2a001c5b - 127890 ns MR4_I 01000200 07c96841 - 127910 ns IT 01000200 6841 LDR r1,[r0,#4] - 127930 ns MR4_I 01000204 6002d1fc - 127990 ns MR4_D 40006004 00000001 - 127990 ns R r1 00000001 - 127990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 128010 ns R r1 80000000 - 128010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 128030 ns R psr 81000200 - 128030 ns MR4_I 01000208 2a001c5b - 128050 ns MR4_I 01000200 07c96841 - 128070 ns IT 01000200 6841 LDR r1,[r0,#4] - 128090 ns MR4_I 01000204 6002d1fc - 128150 ns MR4_D 40006004 00000001 - 128150 ns R r1 00000001 - 128150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 128170 ns R r1 80000000 - 128170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 128190 ns R psr 81000200 - 128190 ns MR4_I 01000208 2a001c5b - 128210 ns MR4_I 01000200 07c96841 - 128230 ns IT 01000200 6841 LDR r1,[r0,#4] - 128250 ns MR4_I 01000204 6002d1fc - 128310 ns MR4_D 40006004 00000001 - 128310 ns R r1 00000001 - 128310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 128330 ns R r1 80000000 - 128330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 128350 ns R psr 81000200 - 128350 ns MR4_I 01000208 2a001c5b - 128370 ns MR4_I 01000200 07c96841 - 128390 ns IT 01000200 6841 LDR r1,[r0,#4] - 128410 ns MR4_I 01000204 6002d1fc - 128470 ns MR4_D 40006004 00000001 - 128470 ns R r1 00000001 - 128470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 128490 ns R r1 80000000 - 128490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 128510 ns R psr 81000200 - 128510 ns MR4_I 01000208 2a001c5b - 128530 ns MR4_I 01000200 07c96841 - 128550 ns IT 01000200 6841 LDR r1,[r0,#4] - 128570 ns MR4_I 01000204 6002d1fc - 128630 ns MR4_D 40006004 00000001 - 128630 ns R r1 00000001 - 128630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 128650 ns R r1 80000000 - 128650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 128670 ns R psr 81000200 - 128670 ns MR4_I 01000208 2a001c5b - 128690 ns MR4_I 01000200 07c96841 - 128710 ns IT 01000200 6841 LDR r1,[r0,#4] - 128730 ns MR4_I 01000204 6002d1fc - 128790 ns MR4_D 40006004 00000001 - 128790 ns R r1 00000001 - 128790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 128810 ns R r1 80000000 - 128810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 128830 ns R psr 81000200 - 128830 ns MR4_I 01000208 2a001c5b - 128850 ns MR4_I 01000200 07c96841 - 128870 ns IT 01000200 6841 LDR r1,[r0,#4] - 128890 ns MR4_I 01000204 6002d1fc - 128950 ns MR4_D 40006004 00000001 - 128950 ns R r1 00000001 - 128950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 128970 ns R r1 80000000 - 128970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 128990 ns R psr 81000200 - 128990 ns MR4_I 01000208 2a001c5b - 129010 ns MR4_I 01000200 07c96841 - 129030 ns IT 01000200 6841 LDR r1,[r0,#4] - 129050 ns MR4_I 01000204 6002d1fc - 129110 ns MR4_D 40006004 00000001 - 129110 ns R r1 00000001 - 129110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 129130 ns R r1 80000000 - 129130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 129150 ns R psr 81000200 - 129150 ns MR4_I 01000208 2a001c5b - 129170 ns MR4_I 01000200 07c96841 - 129190 ns IT 01000200 6841 LDR r1,[r0,#4] - 129210 ns MR4_I 01000204 6002d1fc - 129270 ns MR4_D 40006004 00000001 - 129270 ns R r1 00000001 - 129270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 129290 ns R r1 80000000 - 129290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 129310 ns R psr 81000200 - 129310 ns MR4_I 01000208 2a001c5b - 129330 ns MR4_I 01000200 07c96841 - 129350 ns IT 01000200 6841 LDR r1,[r0,#4] - 129370 ns MR4_I 01000204 6002d1fc - 129430 ns MR4_D 40006004 00000001 - 129430 ns R r1 00000001 - 129430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 129450 ns R r1 80000000 - 129450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 129470 ns R psr 81000200 - 129470 ns MR4_I 01000208 2a001c5b - 129490 ns MR4_I 01000200 07c96841 - 129510 ns IT 01000200 6841 LDR r1,[r0,#4] - 129530 ns MR4_I 01000204 6002d1fc - 129590 ns MR4_D 40006004 00000001 - 129590 ns R r1 00000001 - 129590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 129610 ns R r1 80000000 - 129610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 129630 ns R psr 81000200 - 129630 ns MR4_I 01000208 2a001c5b - 129650 ns MR4_I 01000200 07c96841 - 129670 ns IT 01000200 6841 LDR r1,[r0,#4] - 129690 ns MR4_I 01000204 6002d1fc - 129750 ns MR4_D 40006004 00000001 - 129750 ns R r1 00000001 - 129750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 129770 ns R r1 80000000 - 129770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 129790 ns R psr 81000200 - 129790 ns MR4_I 01000208 2a001c5b - 129810 ns MR4_I 01000200 07c96841 - 129830 ns IT 01000200 6841 LDR r1,[r0,#4] - 129850 ns MR4_I 01000204 6002d1fc - 129910 ns MR4_D 40006004 00000001 - 129910 ns R r1 00000001 - 129910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 129930 ns R r1 80000000 - 129930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 129950 ns R psr 81000200 - 129950 ns MR4_I 01000208 2a001c5b - 129970 ns MR4_I 01000200 07c96841 - 129990 ns IT 01000200 6841 LDR r1,[r0,#4] - 130010 ns MR4_I 01000204 6002d1fc - 130070 ns MR4_D 40006004 00000001 - 130070 ns R r1 00000001 - 130070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 130090 ns R r1 80000000 - 130090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 130110 ns R psr 81000200 - 130110 ns MR4_I 01000208 2a001c5b - 130130 ns MR4_I 01000200 07c96841 - 130150 ns IT 01000200 6841 LDR r1,[r0,#4] - 130170 ns MR4_I 01000204 6002d1fc - 130230 ns MR4_D 40006004 00000001 - 130230 ns R r1 00000001 - 130230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 130250 ns R r1 80000000 - 130250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 130270 ns R psr 81000200 - 130270 ns MR4_I 01000208 2a001c5b - 130290 ns MR4_I 01000200 07c96841 - 130310 ns IT 01000200 6841 LDR r1,[r0,#4] - 130330 ns MR4_I 01000204 6002d1fc - 130390 ns MR4_D 40006004 00000001 - 130390 ns R r1 00000001 - 130390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 130410 ns R r1 80000000 - 130410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 130430 ns R psr 81000200 - 130430 ns MR4_I 01000208 2a001c5b - 130450 ns MR4_I 01000200 07c96841 - 130470 ns IT 01000200 6841 LDR r1,[r0,#4] - 130490 ns MR4_I 01000204 6002d1fc - 130550 ns MR4_D 40006004 00000001 - 130550 ns R r1 00000001 - 130550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 130570 ns R r1 80000000 - 130570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 130590 ns R psr 81000200 - 130590 ns MR4_I 01000208 2a001c5b - 130610 ns MR4_I 01000200 07c96841 - 130630 ns IT 01000200 6841 LDR r1,[r0,#4] - 130650 ns MR4_I 01000204 6002d1fc - 130710 ns MR4_D 40006004 00000001 - 130710 ns R r1 00000001 - 130710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 130730 ns R r1 80000000 - 130730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 130750 ns R psr 81000200 - 130750 ns MR4_I 01000208 2a001c5b - 130770 ns MR4_I 01000200 07c96841 - 130790 ns IT 01000200 6841 LDR r1,[r0,#4] - 130810 ns MR4_I 01000204 6002d1fc - 130870 ns MR4_D 40006004 00000001 - 130870 ns R r1 00000001 - 130870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 130890 ns R r1 80000000 - 130890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 130910 ns R psr 81000200 - 130910 ns MR4_I 01000208 2a001c5b - 130930 ns MR4_I 01000200 07c96841 - 130950 ns IT 01000200 6841 LDR r1,[r0,#4] - 130970 ns MR4_I 01000204 6002d1fc - 131030 ns MR4_D 40006004 00000001 - 131030 ns R r1 00000001 - 131030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 131050 ns R r1 80000000 - 131050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 131070 ns R psr 81000200 - 131070 ns MR4_I 01000208 2a001c5b - 131090 ns MR4_I 01000200 07c96841 - 131110 ns IT 01000200 6841 LDR r1,[r0,#4] - 131130 ns MR4_I 01000204 6002d1fc - 131190 ns MR4_D 40006004 00000001 - 131190 ns R r1 00000001 - 131190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 131210 ns R r1 80000000 - 131210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 131230 ns R psr 81000200 - 131230 ns MR4_I 01000208 2a001c5b - 131250 ns MR4_I 01000200 07c96841 - 131270 ns IT 01000200 6841 LDR r1,[r0,#4] - 131290 ns MR4_I 01000204 6002d1fc - 131350 ns MR4_D 40006004 00000001 - 131350 ns R r1 00000001 - 131350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 131370 ns R r1 80000000 - 131370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 131390 ns R psr 81000200 - 131390 ns MR4_I 01000208 2a001c5b - 131410 ns MR4_I 01000200 07c96841 - 131430 ns IT 01000200 6841 LDR r1,[r0,#4] - 131450 ns MR4_I 01000204 6002d1fc - 131510 ns MR4_D 40006004 00000001 - 131510 ns R r1 00000001 - 131510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 131530 ns R r1 80000000 - 131530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 131550 ns R psr 81000200 - 131550 ns MR4_I 01000208 2a001c5b - 131570 ns MR4_I 01000200 07c96841 - 131590 ns IT 01000200 6841 LDR r1,[r0,#4] - 131610 ns MR4_I 01000204 6002d1fc - 131670 ns MR4_D 40006004 00000001 - 131670 ns R r1 00000001 - 131670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 131690 ns R r1 80000000 - 131690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 131710 ns R psr 81000200 - 131710 ns MR4_I 01000208 2a001c5b - 131730 ns MR4_I 01000200 07c96841 - 131750 ns IT 01000200 6841 LDR r1,[r0,#4] - 131770 ns MR4_I 01000204 6002d1fc - 131830 ns MR4_D 40006004 00000001 - 131830 ns R r1 00000001 - 131830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 131850 ns R r1 80000000 - 131850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 131870 ns R psr 81000200 - 131870 ns MR4_I 01000208 2a001c5b - 131890 ns MR4_I 01000200 07c96841 - 131910 ns IT 01000200 6841 LDR r1,[r0,#4] - 131930 ns MR4_I 01000204 6002d1fc - 131990 ns MR4_D 40006004 00000001 - 131990 ns R r1 00000001 - 131990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 132010 ns R r1 80000000 - 132010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 132030 ns R psr 81000200 - 132030 ns MR4_I 01000208 2a001c5b - 132050 ns MR4_I 01000200 07c96841 - 132070 ns IT 01000200 6841 LDR r1,[r0,#4] - 132090 ns MR4_I 01000204 6002d1fc - 132150 ns MR4_D 40006004 00000001 - 132150 ns R r1 00000001 - 132150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 132170 ns R r1 80000000 - 132170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 132190 ns R psr 81000200 - 132190 ns MR4_I 01000208 2a001c5b - 132210 ns MR4_I 01000200 07c96841 - 132230 ns IT 01000200 6841 LDR r1,[r0,#4] - 132250 ns MR4_I 01000204 6002d1fc - 132310 ns MR4_D 40006004 00000001 - 132310 ns R r1 00000001 - 132310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 132330 ns R r1 80000000 - 132330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 132350 ns R psr 81000200 - 132350 ns MR4_I 01000208 2a001c5b - 132370 ns MR4_I 01000200 07c96841 - 132390 ns IT 01000200 6841 LDR r1,[r0,#4] - 132410 ns MR4_I 01000204 6002d1fc - 132470 ns MR4_D 40006004 00000001 - 132470 ns R r1 00000001 - 132470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 132490 ns R r1 80000000 - 132490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 132510 ns R psr 81000200 - 132510 ns MR4_I 01000208 2a001c5b - 132530 ns MR4_I 01000200 07c96841 - 132550 ns IT 01000200 6841 LDR r1,[r0,#4] - 132570 ns MR4_I 01000204 6002d1fc - 132630 ns MR4_D 40006004 00000001 - 132630 ns R r1 00000001 - 132630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 132650 ns R r1 80000000 - 132650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 132670 ns R psr 81000200 - 132670 ns MR4_I 01000208 2a001c5b - 132690 ns MR4_I 01000200 07c96841 - 132710 ns IT 01000200 6841 LDR r1,[r0,#4] - 132730 ns MR4_I 01000204 6002d1fc - 132790 ns MR4_D 40006004 00000001 - 132790 ns R r1 00000001 - 132790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 132810 ns R r1 80000000 - 132810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 132830 ns R psr 81000200 - 132830 ns MR4_I 01000208 2a001c5b - 132850 ns MR4_I 01000200 07c96841 - 132870 ns IT 01000200 6841 LDR r1,[r0,#4] - 132890 ns MR4_I 01000204 6002d1fc - 132950 ns MR4_D 40006004 00000001 - 132950 ns R r1 00000001 - 132950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 132970 ns R r1 80000000 - 132970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 132990 ns R psr 81000200 - 132990 ns MR4_I 01000208 2a001c5b - 133010 ns MR4_I 01000200 07c96841 - 133030 ns IT 01000200 6841 LDR r1,[r0,#4] - 133050 ns MR4_I 01000204 6002d1fc - 133110 ns MR4_D 40006004 00000001 - 133110 ns R r1 00000001 - 133110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 133130 ns R r1 80000000 - 133130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 133150 ns R psr 81000200 - 133150 ns MR4_I 01000208 2a001c5b - 133170 ns MR4_I 01000200 07c96841 - 133190 ns IT 01000200 6841 LDR r1,[r0,#4] - 133210 ns MR4_I 01000204 6002d1fc - 133270 ns MR4_D 40006004 00000001 - 133270 ns R r1 00000001 - 133270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 133290 ns R r1 80000000 - 133290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 133310 ns R psr 81000200 - 133310 ns MR4_I 01000208 2a001c5b - 133330 ns MR4_I 01000200 07c96841 - 133350 ns IT 01000200 6841 LDR r1,[r0,#4] - 133370 ns MR4_I 01000204 6002d1fc - 133430 ns MR4_D 40006004 00000001 - 133430 ns R r1 00000001 - 133430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 133450 ns R r1 80000000 - 133450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 133470 ns R psr 81000200 - 133470 ns MR4_I 01000208 2a001c5b - 133490 ns MR4_I 01000200 07c96841 - 133510 ns IT 01000200 6841 LDR r1,[r0,#4] - 133530 ns MR4_I 01000204 6002d1fc - 133590 ns MR4_D 40006004 00000001 - 133590 ns R r1 00000001 - 133590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 133610 ns R r1 80000000 - 133610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 133630 ns R psr 81000200 - 133630 ns MR4_I 01000208 2a001c5b - 133650 ns MR4_I 01000200 07c96841 - 133670 ns IT 01000200 6841 LDR r1,[r0,#4] - 133690 ns MR4_I 01000204 6002d1fc - 133750 ns MR4_D 40006004 00000001 - 133750 ns R r1 00000001 - 133750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 133770 ns R r1 80000000 - 133770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 133790 ns R psr 81000200 - 133790 ns MR4_I 01000208 2a001c5b - 133810 ns MR4_I 01000200 07c96841 - 133830 ns IT 01000200 6841 LDR r1,[r0,#4] - 133850 ns MR4_I 01000204 6002d1fc - 133910 ns MR4_D 40006004 00000001 - 133910 ns R r1 00000001 - 133910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 133930 ns R r1 80000000 - 133930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 133950 ns R psr 81000200 - 133950 ns MR4_I 01000208 2a001c5b - 133970 ns MR4_I 01000200 07c96841 - 133990 ns IT 01000200 6841 LDR r1,[r0,#4] - 134010 ns MR4_I 01000204 6002d1fc - 134070 ns MR4_D 40006004 00000001 - 134070 ns R r1 00000001 - 134070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 134090 ns R r1 80000000 - 134090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 134110 ns R psr 81000200 - 134110 ns MR4_I 01000208 2a001c5b - 134130 ns MR4_I 01000200 07c96841 - 134150 ns IT 01000200 6841 LDR r1,[r0,#4] - 134170 ns MR4_I 01000204 6002d1fc - 134230 ns MR4_D 40006004 00000001 - 134230 ns R r1 00000001 - 134230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 134250 ns R r1 80000000 - 134250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 134270 ns R psr 81000200 - 134270 ns MR4_I 01000208 2a001c5b - 134290 ns MR4_I 01000200 07c96841 - 134310 ns IT 01000200 6841 LDR r1,[r0,#4] - 134330 ns MR4_I 01000204 6002d1fc - 134390 ns MR4_D 40006004 00000001 - 134390 ns R r1 00000001 - 134390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 134410 ns R r1 80000000 - 134410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 134430 ns R psr 81000200 - 134430 ns MR4_I 01000208 2a001c5b - 134450 ns MR4_I 01000200 07c96841 - 134470 ns IT 01000200 6841 LDR r1,[r0,#4] - 134490 ns MR4_I 01000204 6002d1fc - 134550 ns MR4_D 40006004 00000001 - 134550 ns R r1 00000001 - 134550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 134570 ns R r1 80000000 - 134570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 134590 ns R psr 81000200 - 134590 ns MR4_I 01000208 2a001c5b - 134610 ns MR4_I 01000200 07c96841 - 134630 ns IT 01000200 6841 LDR r1,[r0,#4] - 134650 ns MR4_I 01000204 6002d1fc - 134710 ns MR4_D 40006004 00000001 - 134710 ns R r1 00000001 - 134710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 134730 ns R r1 80000000 - 134730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 134750 ns R psr 81000200 - 134750 ns MR4_I 01000208 2a001c5b - 134770 ns MR4_I 01000200 07c96841 - 134790 ns IT 01000200 6841 LDR r1,[r0,#4] - 134810 ns MR4_I 01000204 6002d1fc - 134870 ns MR4_D 40006004 00000001 - 134870 ns R r1 00000001 - 134870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 134890 ns R r1 80000000 - 134890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 134910 ns R psr 81000200 - 134910 ns MR4_I 01000208 2a001c5b - 134930 ns MR4_I 01000200 07c96841 - 134950 ns IT 01000200 6841 LDR r1,[r0,#4] - 134970 ns MR4_I 01000204 6002d1fc - 135030 ns MR4_D 40006004 00000001 - 135030 ns R r1 00000001 - 135030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 135050 ns R r1 80000000 - 135050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 135070 ns R psr 81000200 - 135070 ns MR4_I 01000208 2a001c5b - 135090 ns MR4_I 01000200 07c96841 - 135110 ns IT 01000200 6841 LDR r1,[r0,#4] - 135130 ns MR4_I 01000204 6002d1fc - 135190 ns MR4_D 40006004 00000001 - 135190 ns R r1 00000001 - 135190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 135210 ns R r1 80000000 - 135210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 135230 ns R psr 81000200 - 135230 ns MR4_I 01000208 2a001c5b - 135250 ns MR4_I 01000200 07c96841 - 135270 ns IT 01000200 6841 LDR r1,[r0,#4] - 135290 ns MR4_I 01000204 6002d1fc - 135350 ns MR4_D 40006004 00000001 - 135350 ns R r1 00000001 - 135350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 135370 ns R r1 80000000 - 135370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 135390 ns R psr 81000200 - 135390 ns MR4_I 01000208 2a001c5b - 135410 ns MR4_I 01000200 07c96841 - 135430 ns IT 01000200 6841 LDR r1,[r0,#4] - 135450 ns MR4_I 01000204 6002d1fc - 135510 ns MR4_D 40006004 00000001 - 135510 ns R r1 00000001 - 135510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 135530 ns R r1 80000000 - 135530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 135550 ns R psr 81000200 - 135550 ns MR4_I 01000208 2a001c5b - 135570 ns MR4_I 01000200 07c96841 - 135590 ns IT 01000200 6841 LDR r1,[r0,#4] - 135610 ns MR4_I 01000204 6002d1fc - 135670 ns MR4_D 40006004 00000001 - 135670 ns R r1 00000001 - 135670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 135690 ns R r1 80000000 - 135690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 135710 ns R psr 81000200 - 135710 ns MR4_I 01000208 2a001c5b - 135730 ns MR4_I 01000200 07c96841 - 135750 ns IT 01000200 6841 LDR r1,[r0,#4] - 135770 ns MR4_I 01000204 6002d1fc - 135830 ns MR4_D 40006004 00000001 - 135830 ns R r1 00000001 - 135830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 135850 ns R r1 80000000 - 135850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 135870 ns R psr 81000200 - 135870 ns MR4_I 01000208 2a001c5b - 135890 ns MR4_I 01000200 07c96841 - 135910 ns IT 01000200 6841 LDR r1,[r0,#4] - 135930 ns MR4_I 01000204 6002d1fc - 135990 ns MR4_D 40006004 00000001 - 135990 ns R r1 00000001 - 135990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 136010 ns R r1 80000000 - 136010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 136030 ns R psr 81000200 - 136030 ns MR4_I 01000208 2a001c5b - 136050 ns MR4_I 01000200 07c96841 - 136070 ns IT 01000200 6841 LDR r1,[r0,#4] - 136090 ns MR4_I 01000204 6002d1fc - 136150 ns MR4_D 40006004 00000001 - 136150 ns R r1 00000001 - 136150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 136170 ns R r1 80000000 - 136170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 136190 ns R psr 81000200 - 136190 ns MR4_I 01000208 2a001c5b - 136210 ns MR4_I 01000200 07c96841 - 136230 ns IT 01000200 6841 LDR r1,[r0,#4] - 136250 ns MR4_I 01000204 6002d1fc - 136310 ns MR4_D 40006004 00000001 - 136310 ns R r1 00000001 - 136310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 136330 ns R r1 80000000 - 136330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 136350 ns R psr 81000200 - 136350 ns MR4_I 01000208 2a001c5b - 136370 ns MR4_I 01000200 07c96841 - 136390 ns IT 01000200 6841 LDR r1,[r0,#4] - 136410 ns MR4_I 01000204 6002d1fc - 136470 ns MR4_D 40006004 00000001 - 136470 ns R r1 00000001 - 136470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 136490 ns R r1 80000000 - 136490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 136510 ns R psr 81000200 - 136510 ns MR4_I 01000208 2a001c5b - 136530 ns MR4_I 01000200 07c96841 - 136550 ns IT 01000200 6841 LDR r1,[r0,#4] - 136570 ns MR4_I 01000204 6002d1fc - 136630 ns MR4_D 40006004 00000001 - 136630 ns R r1 00000001 - 136630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 136650 ns R r1 80000000 - 136650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 136670 ns R psr 81000200 - 136670 ns MR4_I 01000208 2a001c5b - 136690 ns MR4_I 01000200 07c96841 - 136710 ns IT 01000200 6841 LDR r1,[r0,#4] - 136730 ns MR4_I 01000204 6002d1fc - 136790 ns MR4_D 40006004 00000001 - 136790 ns R r1 00000001 - 136790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 136810 ns R r1 80000000 - 136810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 136830 ns R psr 81000200 - 136830 ns MR4_I 01000208 2a001c5b - 136850 ns MR4_I 01000200 07c96841 - 136870 ns IT 01000200 6841 LDR r1,[r0,#4] - 136890 ns MR4_I 01000204 6002d1fc - 136950 ns MR4_D 40006004 00000001 - 136950 ns R r1 00000001 - 136950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 136970 ns R r1 80000000 - 136970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 136990 ns R psr 81000200 - 136990 ns MR4_I 01000208 2a001c5b - 137010 ns MR4_I 01000200 07c96841 - 137030 ns IT 01000200 6841 LDR r1,[r0,#4] - 137050 ns MR4_I 01000204 6002d1fc - 137110 ns MR4_D 40006004 00000001 - 137110 ns R r1 00000001 - 137110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 137130 ns R r1 80000000 - 137130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 137150 ns R psr 81000200 - 137150 ns MR4_I 01000208 2a001c5b - 137170 ns MR4_I 01000200 07c96841 - 137190 ns IT 01000200 6841 LDR r1,[r0,#4] - 137210 ns MR4_I 01000204 6002d1fc - 137270 ns MR4_D 40006004 00000001 - 137270 ns R r1 00000001 - 137270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 137290 ns R r1 80000000 - 137290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 137310 ns R psr 81000200 - 137310 ns MR4_I 01000208 2a001c5b - 137330 ns MR4_I 01000200 07c96841 - 137350 ns IT 01000200 6841 LDR r1,[r0,#4] - 137370 ns MR4_I 01000204 6002d1fc - 137430 ns MR4_D 40006004 00000001 - 137430 ns R r1 00000001 - 137430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 137450 ns R r1 80000000 - 137450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 137470 ns R psr 81000200 - 137470 ns MR4_I 01000208 2a001c5b - 137490 ns MR4_I 01000200 07c96841 - 137510 ns IT 01000200 6841 LDR r1,[r0,#4] - 137530 ns MR4_I 01000204 6002d1fc - 137590 ns MR4_D 40006004 00000001 - 137590 ns R r1 00000001 - 137590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 137610 ns R r1 80000000 - 137610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 137630 ns R psr 81000200 - 137630 ns MR4_I 01000208 2a001c5b - 137650 ns MR4_I 01000200 07c96841 - 137670 ns IT 01000200 6841 LDR r1,[r0,#4] - 137690 ns MR4_I 01000204 6002d1fc - 137750 ns MR4_D 40006004 00000001 - 137750 ns R r1 00000001 - 137750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 137770 ns R r1 80000000 - 137770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 137790 ns R psr 81000200 - 137790 ns MR4_I 01000208 2a001c5b - 137810 ns MR4_I 01000200 07c96841 - 137830 ns IT 01000200 6841 LDR r1,[r0,#4] - 137850 ns MR4_I 01000204 6002d1fc - 137910 ns MR4_D 40006004 00000001 - 137910 ns R r1 00000001 - 137910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 137930 ns R r1 80000000 - 137930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 137950 ns R psr 81000200 - 137950 ns MR4_I 01000208 2a001c5b - 137970 ns MR4_I 01000200 07c96841 - 137990 ns IT 01000200 6841 LDR r1,[r0,#4] - 138010 ns MR4_I 01000204 6002d1fc - 138070 ns MR4_D 40006004 00000001 - 138070 ns R r1 00000001 - 138070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 138090 ns R r1 80000000 - 138090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 138110 ns R psr 81000200 - 138110 ns MR4_I 01000208 2a001c5b - 138130 ns MR4_I 01000200 07c96841 - 138150 ns IT 01000200 6841 LDR r1,[r0,#4] - 138170 ns MR4_I 01000204 6002d1fc - 138230 ns MR4_D 40006004 00000001 - 138230 ns R r1 00000001 - 138230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 138250 ns R r1 80000000 - 138250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 138270 ns R psr 81000200 - 138270 ns MR4_I 01000208 2a001c5b - 138290 ns MR4_I 01000200 07c96841 - 138310 ns IT 01000200 6841 LDR r1,[r0,#4] - 138330 ns MR4_I 01000204 6002d1fc - 138390 ns MR4_D 40006004 00000001 - 138390 ns R r1 00000001 - 138390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 138410 ns R r1 80000000 - 138410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 138430 ns R psr 81000200 - 138430 ns MR4_I 01000208 2a001c5b - 138450 ns MR4_I 01000200 07c96841 - 138470 ns IT 01000200 6841 LDR r1,[r0,#4] - 138490 ns MR4_I 01000204 6002d1fc - 138550 ns MR4_D 40006004 00000001 - 138550 ns R r1 00000001 - 138550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 138570 ns R r1 80000000 - 138570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 138590 ns R psr 81000200 - 138590 ns MR4_I 01000208 2a001c5b - 138610 ns MR4_I 01000200 07c96841 - 138630 ns IT 01000200 6841 LDR r1,[r0,#4] - 138650 ns MR4_I 01000204 6002d1fc - 138710 ns MR4_D 40006004 00000001 - 138710 ns R r1 00000001 - 138710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 138730 ns R r1 80000000 - 138730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 138750 ns R psr 81000200 - 138750 ns MR4_I 01000208 2a001c5b - 138770 ns MR4_I 01000200 07c96841 - 138790 ns IT 01000200 6841 LDR r1,[r0,#4] - 138810 ns MR4_I 01000204 6002d1fc - 138870 ns MR4_D 40006004 00000001 - 138870 ns R r1 00000001 - 138870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 138890 ns R r1 80000000 - 138890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 138910 ns R psr 81000200 - 138910 ns MR4_I 01000208 2a001c5b - 138930 ns MR4_I 01000200 07c96841 - 138950 ns IT 01000200 6841 LDR r1,[r0,#4] - 138970 ns MR4_I 01000204 6002d1fc - 139030 ns MR4_D 40006004 00000001 - 139030 ns R r1 00000001 - 139030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 139050 ns R r1 80000000 - 139050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 139070 ns R psr 81000200 - 139070 ns MR4_I 01000208 2a001c5b - 139090 ns MR4_I 01000200 07c96841 - 139110 ns IT 01000200 6841 LDR r1,[r0,#4] - 139130 ns MR4_I 01000204 6002d1fc - 139190 ns MR4_D 40006004 00000001 - 139190 ns R r1 00000001 - 139190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 139210 ns R r1 80000000 - 139210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 139230 ns R psr 81000200 - 139230 ns MR4_I 01000208 2a001c5b - 139250 ns MR4_I 01000200 07c96841 - 139270 ns IT 01000200 6841 LDR r1,[r0,#4] - 139290 ns MR4_I 01000204 6002d1fc - 139350 ns MR4_D 40006004 00000001 - 139350 ns R r1 00000001 - 139350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 139370 ns R r1 80000000 - 139370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 139390 ns R psr 81000200 - 139390 ns MR4_I 01000208 2a001c5b - 139410 ns MR4_I 01000200 07c96841 - 139430 ns IT 01000200 6841 LDR r1,[r0,#4] - 139450 ns MR4_I 01000204 6002d1fc - 139510 ns MR4_D 40006004 00000001 - 139510 ns R r1 00000001 - 139510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 139530 ns R r1 80000000 - 139530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 139550 ns R psr 81000200 - 139550 ns MR4_I 01000208 2a001c5b - 139570 ns MR4_I 01000200 07c96841 - 139590 ns IT 01000200 6841 LDR r1,[r0,#4] - 139610 ns MR4_I 01000204 6002d1fc - 139670 ns MR4_D 40006004 00000001 - 139670 ns R r1 00000001 - 139670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 139690 ns R r1 80000000 - 139690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 139710 ns R psr 81000200 - 139710 ns MR4_I 01000208 2a001c5b - 139730 ns MR4_I 01000200 07c96841 - 139750 ns IT 01000200 6841 LDR r1,[r0,#4] - 139770 ns MR4_I 01000204 6002d1fc - 139830 ns MR4_D 40006004 00000001 - 139830 ns R r1 00000001 - 139830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 139850 ns R r1 80000000 - 139850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 139870 ns R psr 81000200 - 139870 ns MR4_I 01000208 2a001c5b - 139890 ns MR4_I 01000200 07c96841 - 139910 ns IT 01000200 6841 LDR r1,[r0,#4] - 139930 ns MR4_I 01000204 6002d1fc - 139990 ns MR4_D 40006004 00000001 - 139990 ns R r1 00000001 - 139990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 140010 ns R r1 80000000 - 140010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 140030 ns R psr 81000200 - 140030 ns MR4_I 01000208 2a001c5b - 140050 ns MR4_I 01000200 07c96841 - 140070 ns IT 01000200 6841 LDR r1,[r0,#4] - 140090 ns MR4_I 01000204 6002d1fc - 140150 ns MR4_D 40006004 00000001 - 140150 ns R r1 00000001 - 140150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 140170 ns R r1 80000000 - 140170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 140190 ns R psr 81000200 - 140190 ns MR4_I 01000208 2a001c5b - 140210 ns MR4_I 01000200 07c96841 - 140230 ns IT 01000200 6841 LDR r1,[r0,#4] - 140250 ns MR4_I 01000204 6002d1fc - 140310 ns MR4_D 40006004 00000001 - 140310 ns R r1 00000001 - 140310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 140330 ns R r1 80000000 - 140330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 140350 ns R psr 81000200 - 140350 ns MR4_I 01000208 2a001c5b - 140370 ns MR4_I 01000200 07c96841 - 140390 ns IT 01000200 6841 LDR r1,[r0,#4] - 140410 ns MR4_I 01000204 6002d1fc - 140470 ns MR4_D 40006004 00000001 - 140470 ns R r1 00000001 - 140470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 140490 ns R r1 80000000 - 140490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 140510 ns R psr 81000200 - 140510 ns MR4_I 01000208 2a001c5b - 140530 ns MR4_I 01000200 07c96841 - 140550 ns IT 01000200 6841 LDR r1,[r0,#4] - 140570 ns MR4_I 01000204 6002d1fc - 140630 ns MR4_D 40006004 00000001 - 140630 ns R r1 00000001 - 140630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 140650 ns R r1 80000000 - 140650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 140670 ns R psr 81000200 - 140670 ns MR4_I 01000208 2a001c5b - 140690 ns MR4_I 01000200 07c96841 - 140710 ns IT 01000200 6841 LDR r1,[r0,#4] - 140730 ns MR4_I 01000204 6002d1fc - 140790 ns MR4_D 40006004 00000001 - 140790 ns R r1 00000001 - 140790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 140810 ns R r1 80000000 - 140810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 140830 ns R psr 81000200 - 140830 ns MR4_I 01000208 2a001c5b - 140850 ns MR4_I 01000200 07c96841 - 140870 ns IT 01000200 6841 LDR r1,[r0,#4] - 140890 ns MR4_I 01000204 6002d1fc - 140950 ns MR4_D 40006004 00000001 - 140950 ns R r1 00000001 - 140950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 140970 ns R r1 80000000 - 140970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 140990 ns R psr 81000200 - 140990 ns MR4_I 01000208 2a001c5b - 141010 ns MR4_I 01000200 07c96841 - 141030 ns IT 01000200 6841 LDR r1,[r0,#4] - 141050 ns MR4_I 01000204 6002d1fc - 141110 ns MR4_D 40006004 00000001 - 141110 ns R r1 00000001 - 141110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 141130 ns R r1 80000000 - 141130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 141150 ns R psr 81000200 - 141150 ns MR4_I 01000208 2a001c5b - 141170 ns MR4_I 01000200 07c96841 - 141190 ns IT 01000200 6841 LDR r1,[r0,#4] - 141210 ns MR4_I 01000204 6002d1fc - 141270 ns MR4_D 40006004 00000001 - 141270 ns R r1 00000001 - 141270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 141290 ns R r1 80000000 - 141290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 141310 ns R psr 81000200 - 141310 ns MR4_I 01000208 2a001c5b - 141330 ns MR4_I 01000200 07c96841 - 141350 ns IT 01000200 6841 LDR r1,[r0,#4] - 141370 ns MR4_I 01000204 6002d1fc - 141430 ns MR4_D 40006004 00000001 - 141430 ns R r1 00000001 - 141430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 141450 ns R r1 80000000 - 141450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 141470 ns R psr 81000200 - 141470 ns MR4_I 01000208 2a001c5b - 141490 ns MR4_I 01000200 07c96841 - 141510 ns IT 01000200 6841 LDR r1,[r0,#4] - 141530 ns MR4_I 01000204 6002d1fc - 141590 ns MR4_D 40006004 00000000 - 141590 ns R r1 00000000 - 141590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 141610 ns R r1 00000000 - 141610 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 141630 ns R psr 41000200 - 141630 ns MR4_I 01000208 2a001c5b - 141630 ns IT 01000206 6002 STR r2,[r0,#0] - 141710 ns MW4_D 40006000 00000049 - 141710 ns IT 01000208 1c5b ADDS r3,r3,#1 - 141730 ns MR4_I 0100020c a32ad1f5 - 141730 ns R r3 01000299 - 141730 ns IT 0100020a 2a00 CMP r2,#0 - 141750 ns R psr 01000200 - 141750 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 141770 ns R psr 21000200 - 141770 ns MR4_I 01000210 2a00781a - 141790 ns MR4_I 010001f8 781aa326 - 141810 ns MR4_I 010001fc d0062a00 - 141810 ns IT 010001fa 781a LDRB r2,[r3,#0] - 141850 ns MR1_D 01000299 6f732d49 - 141850 ns R r2 0000002d - 141850 ns IT 010001fc 2a00 CMP r2,#0 - 141870 ns MR4_I 01000200 07c96841 - 141870 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 141890 ns R psr 21000200 - 141890 ns IT 01000200 6841 LDR r1,[r0,#4] - 141910 ns MR4_I 01000204 6002d1fc - 141970 ns MR4_D 40006004 00000001 - 141970 ns R r1 00000001 - 141970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 141990 ns R r1 80000000 - 141990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 142010 ns R psr 81000200 - 142010 ns MR4_I 01000208 2a001c5b - 142030 ns MR4_I 01000200 07c96841 - 142050 ns IT 01000200 6841 LDR r1,[r0,#4] - 142070 ns MR4_I 01000204 6002d1fc - 142130 ns MR4_D 40006004 00000001 - 142130 ns R r1 00000001 - 142130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 142150 ns R r1 80000000 - 142150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 142170 ns R psr 81000200 - 142170 ns MR4_I 01000208 2a001c5b - 142190 ns MR4_I 01000200 07c96841 - 142210 ns IT 01000200 6841 LDR r1,[r0,#4] - 142230 ns MR4_I 01000204 6002d1fc - 142290 ns MR4_D 40006004 00000001 - 142290 ns R r1 00000001 - 142290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 142310 ns R r1 80000000 - 142310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 142330 ns R psr 81000200 - 142330 ns MR4_I 01000208 2a001c5b - 142350 ns MR4_I 01000200 07c96841 - 142370 ns IT 01000200 6841 LDR r1,[r0,#4] - 142390 ns MR4_I 01000204 6002d1fc - 142450 ns MR4_D 40006004 00000001 - 142450 ns R r1 00000001 - 142450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 142470 ns R r1 80000000 - 142470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 142490 ns R psr 81000200 - 142490 ns MR4_I 01000208 2a001c5b - 142510 ns MR4_I 01000200 07c96841 - 142530 ns IT 01000200 6841 LDR r1,[r0,#4] - 142550 ns MR4_I 01000204 6002d1fc - 142610 ns MR4_D 40006004 00000001 - 142610 ns R r1 00000001 - 142610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 142630 ns R r1 80000000 - 142630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 142650 ns R psr 81000200 - 142650 ns MR4_I 01000208 2a001c5b - 142670 ns MR4_I 01000200 07c96841 - 142690 ns IT 01000200 6841 LDR r1,[r0,#4] - 142710 ns MR4_I 01000204 6002d1fc - 142770 ns MR4_D 40006004 00000001 - 142770 ns R r1 00000001 - 142770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 142790 ns R r1 80000000 - 142790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 142810 ns R psr 81000200 - 142810 ns MR4_I 01000208 2a001c5b - 142830 ns MR4_I 01000200 07c96841 - 142850 ns IT 01000200 6841 LDR r1,[r0,#4] - 142870 ns MR4_I 01000204 6002d1fc - 142930 ns MR4_D 40006004 00000001 - 142930 ns R r1 00000001 - 142930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 142950 ns R r1 80000000 - 142950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 142970 ns R psr 81000200 - 142970 ns MR4_I 01000208 2a001c5b - 142990 ns MR4_I 01000200 07c96841 - 143010 ns IT 01000200 6841 LDR r1,[r0,#4] - 143030 ns MR4_I 01000204 6002d1fc - 143090 ns MR4_D 40006004 00000001 - 143090 ns R r1 00000001 - 143090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 143110 ns R r1 80000000 - 143110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 143130 ns R psr 81000200 - 143130 ns MR4_I 01000208 2a001c5b - 143150 ns MR4_I 01000200 07c96841 - 143170 ns IT 01000200 6841 LDR r1,[r0,#4] - 143190 ns MR4_I 01000204 6002d1fc - 143250 ns MR4_D 40006004 00000001 - 143250 ns R r1 00000001 - 143250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 143270 ns R r1 80000000 - 143270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 143290 ns R psr 81000200 - 143290 ns MR4_I 01000208 2a001c5b - 143310 ns MR4_I 01000200 07c96841 - 143330 ns IT 01000200 6841 LDR r1,[r0,#4] - 143350 ns MR4_I 01000204 6002d1fc - 143410 ns MR4_D 40006004 00000001 - 143410 ns R r1 00000001 - 143410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 143430 ns R r1 80000000 - 143430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 143450 ns R psr 81000200 - 143450 ns MR4_I 01000208 2a001c5b - 143470 ns MR4_I 01000200 07c96841 - 143490 ns IT 01000200 6841 LDR r1,[r0,#4] - 143510 ns MR4_I 01000204 6002d1fc - 143570 ns MR4_D 40006004 00000001 - 143570 ns R r1 00000001 - 143570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 143590 ns R r1 80000000 - 143590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 143610 ns R psr 81000200 - 143610 ns MR4_I 01000208 2a001c5b - 143630 ns MR4_I 01000200 07c96841 - 143650 ns IT 01000200 6841 LDR r1,[r0,#4] - 143670 ns MR4_I 01000204 6002d1fc - 143730 ns MR4_D 40006004 00000001 - 143730 ns R r1 00000001 - 143730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 143750 ns R r1 80000000 - 143750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 143770 ns R psr 81000200 - 143770 ns MR4_I 01000208 2a001c5b - 143790 ns MR4_I 01000200 07c96841 - 143810 ns IT 01000200 6841 LDR r1,[r0,#4] - 143830 ns MR4_I 01000204 6002d1fc - 143890 ns MR4_D 40006004 00000001 - 143890 ns R r1 00000001 - 143890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 143910 ns R r1 80000000 - 143910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 143930 ns R psr 81000200 - 143930 ns MR4_I 01000208 2a001c5b - 143950 ns MR4_I 01000200 07c96841 - 143970 ns IT 01000200 6841 LDR r1,[r0,#4] - 143990 ns MR4_I 01000204 6002d1fc - 144050 ns MR4_D 40006004 00000001 - 144050 ns R r1 00000001 - 144050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 144070 ns R r1 80000000 - 144070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 144090 ns R psr 81000200 - 144090 ns MR4_I 01000208 2a001c5b - 144110 ns MR4_I 01000200 07c96841 - 144130 ns IT 01000200 6841 LDR r1,[r0,#4] - 144150 ns MR4_I 01000204 6002d1fc - 144210 ns MR4_D 40006004 00000001 - 144210 ns R r1 00000001 - 144210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 144230 ns R r1 80000000 - 144230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 144250 ns R psr 81000200 - 144250 ns MR4_I 01000208 2a001c5b - 144270 ns MR4_I 01000200 07c96841 - 144290 ns IT 01000200 6841 LDR r1,[r0,#4] - 144310 ns MR4_I 01000204 6002d1fc - 144370 ns MR4_D 40006004 00000001 - 144370 ns R r1 00000001 - 144370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 144390 ns R r1 80000000 - 144390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 144410 ns R psr 81000200 - 144410 ns MR4_I 01000208 2a001c5b - 144430 ns MR4_I 01000200 07c96841 - 144450 ns IT 01000200 6841 LDR r1,[r0,#4] - 144470 ns MR4_I 01000204 6002d1fc - 144530 ns MR4_D 40006004 00000001 - 144530 ns R r1 00000001 - 144530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 144550 ns R r1 80000000 - 144550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 144570 ns R psr 81000200 - 144570 ns MR4_I 01000208 2a001c5b - 144590 ns MR4_I 01000200 07c96841 - 144610 ns IT 01000200 6841 LDR r1,[r0,#4] - 144630 ns MR4_I 01000204 6002d1fc - 144690 ns MR4_D 40006004 00000001 - 144690 ns R r1 00000001 - 144690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 144710 ns R r1 80000000 - 144710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 144730 ns R psr 81000200 - 144730 ns MR4_I 01000208 2a001c5b - 144750 ns MR4_I 01000200 07c96841 - 144770 ns IT 01000200 6841 LDR r1,[r0,#4] - 144790 ns MR4_I 01000204 6002d1fc - 144850 ns MR4_D 40006004 00000001 - 144850 ns R r1 00000001 - 144850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 144870 ns R r1 80000000 - 144870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 144890 ns R psr 81000200 - 144890 ns MR4_I 01000208 2a001c5b - 144910 ns MR4_I 01000200 07c96841 - 144930 ns IT 01000200 6841 LDR r1,[r0,#4] - 144950 ns MR4_I 01000204 6002d1fc - 145010 ns MR4_D 40006004 00000001 - 145010 ns R r1 00000001 - 145010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 145030 ns R r1 80000000 - 145030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 145050 ns R psr 81000200 - 145050 ns MR4_I 01000208 2a001c5b - 145070 ns MR4_I 01000200 07c96841 - 145090 ns IT 01000200 6841 LDR r1,[r0,#4] - 145110 ns MR4_I 01000204 6002d1fc - 145170 ns MR4_D 40006004 00000001 - 145170 ns R r1 00000001 - 145170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 145190 ns R r1 80000000 - 145190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 145210 ns R psr 81000200 - 145210 ns MR4_I 01000208 2a001c5b - 145230 ns MR4_I 01000200 07c96841 - 145250 ns IT 01000200 6841 LDR r1,[r0,#4] - 145270 ns MR4_I 01000204 6002d1fc - 145330 ns MR4_D 40006004 00000001 - 145330 ns R r1 00000001 - 145330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 145350 ns R r1 80000000 - 145350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 145370 ns R psr 81000200 - 145370 ns MR4_I 01000208 2a001c5b - 145390 ns MR4_I 01000200 07c96841 - 145410 ns IT 01000200 6841 LDR r1,[r0,#4] - 145430 ns MR4_I 01000204 6002d1fc - 145490 ns MR4_D 40006004 00000001 - 145490 ns R r1 00000001 - 145490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 145510 ns R r1 80000000 - 145510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 145530 ns R psr 81000200 - 145530 ns MR4_I 01000208 2a001c5b - 145550 ns MR4_I 01000200 07c96841 - 145570 ns IT 01000200 6841 LDR r1,[r0,#4] - 145590 ns MR4_I 01000204 6002d1fc - 145650 ns MR4_D 40006004 00000001 - 145650 ns R r1 00000001 - 145650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 145670 ns R r1 80000000 - 145670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 145690 ns R psr 81000200 - 145690 ns MR4_I 01000208 2a001c5b - 145710 ns MR4_I 01000200 07c96841 - 145730 ns IT 01000200 6841 LDR r1,[r0,#4] - 145750 ns MR4_I 01000204 6002d1fc - 145810 ns MR4_D 40006004 00000001 - 145810 ns R r1 00000001 - 145810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 145830 ns R r1 80000000 - 145830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 145850 ns R psr 81000200 - 145850 ns MR4_I 01000208 2a001c5b - 145870 ns MR4_I 01000200 07c96841 - 145890 ns IT 01000200 6841 LDR r1,[r0,#4] - 145910 ns MR4_I 01000204 6002d1fc - 145970 ns MR4_D 40006004 00000001 - 145970 ns R r1 00000001 - 145970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 145990 ns R r1 80000000 - 145990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 146010 ns R psr 81000200 - 146010 ns MR4_I 01000208 2a001c5b - 146030 ns MR4_I 01000200 07c96841 - 146050 ns IT 01000200 6841 LDR r1,[r0,#4] - 146070 ns MR4_I 01000204 6002d1fc - 146130 ns MR4_D 40006004 00000001 - 146130 ns R r1 00000001 - 146130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 146150 ns R r1 80000000 - 146150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 146170 ns R psr 81000200 - 146170 ns MR4_I 01000208 2a001c5b - 146190 ns MR4_I 01000200 07c96841 - 146210 ns IT 01000200 6841 LDR r1,[r0,#4] - 146230 ns MR4_I 01000204 6002d1fc - 146290 ns MR4_D 40006004 00000001 - 146290 ns R r1 00000001 - 146290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 146310 ns R r1 80000000 - 146310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 146330 ns R psr 81000200 - 146330 ns MR4_I 01000208 2a001c5b - 146350 ns MR4_I 01000200 07c96841 - 146370 ns IT 01000200 6841 LDR r1,[r0,#4] - 146390 ns MR4_I 01000204 6002d1fc - 146450 ns MR4_D 40006004 00000001 - 146450 ns R r1 00000001 - 146450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 146470 ns R r1 80000000 - 146470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 146490 ns R psr 81000200 - 146490 ns MR4_I 01000208 2a001c5b - 146510 ns MR4_I 01000200 07c96841 - 146530 ns IT 01000200 6841 LDR r1,[r0,#4] - 146550 ns MR4_I 01000204 6002d1fc - 146610 ns MR4_D 40006004 00000001 - 146610 ns R r1 00000001 - 146610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 146630 ns R r1 80000000 - 146630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 146650 ns R psr 81000200 - 146650 ns MR4_I 01000208 2a001c5b - 146670 ns MR4_I 01000200 07c96841 - 146690 ns IT 01000200 6841 LDR r1,[r0,#4] - 146710 ns MR4_I 01000204 6002d1fc - 146770 ns MR4_D 40006004 00000001 - 146770 ns R r1 00000001 - 146770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 146790 ns R r1 80000000 - 146790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 146810 ns R psr 81000200 - 146810 ns MR4_I 01000208 2a001c5b - 146830 ns MR4_I 01000200 07c96841 - 146850 ns IT 01000200 6841 LDR r1,[r0,#4] - 146870 ns MR4_I 01000204 6002d1fc - 146930 ns MR4_D 40006004 00000001 - 146930 ns R r1 00000001 - 146930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 146950 ns R r1 80000000 - 146950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 146970 ns R psr 81000200 - 146970 ns MR4_I 01000208 2a001c5b - 146990 ns MR4_I 01000200 07c96841 - 147010 ns IT 01000200 6841 LDR r1,[r0,#4] - 147030 ns MR4_I 01000204 6002d1fc - 147090 ns MR4_D 40006004 00000001 - 147090 ns R r1 00000001 - 147090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 147110 ns R r1 80000000 - 147110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 147130 ns R psr 81000200 - 147130 ns MR4_I 01000208 2a001c5b - 147150 ns MR4_I 01000200 07c96841 - 147170 ns IT 01000200 6841 LDR r1,[r0,#4] - 147190 ns MR4_I 01000204 6002d1fc - 147250 ns MR4_D 40006004 00000001 - 147250 ns R r1 00000001 - 147250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 147270 ns R r1 80000000 - 147270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 147290 ns R psr 81000200 - 147290 ns MR4_I 01000208 2a001c5b - 147310 ns MR4_I 01000200 07c96841 - 147330 ns IT 01000200 6841 LDR r1,[r0,#4] - 147350 ns MR4_I 01000204 6002d1fc - 147410 ns MR4_D 40006004 00000001 - 147410 ns R r1 00000001 - 147410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 147430 ns R r1 80000000 - 147430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 147450 ns R psr 81000200 - 147450 ns MR4_I 01000208 2a001c5b - 147470 ns MR4_I 01000200 07c96841 - 147490 ns IT 01000200 6841 LDR r1,[r0,#4] - 147510 ns MR4_I 01000204 6002d1fc - 147570 ns MR4_D 40006004 00000001 - 147570 ns R r1 00000001 - 147570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 147590 ns R r1 80000000 - 147590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 147610 ns R psr 81000200 - 147610 ns MR4_I 01000208 2a001c5b - 147630 ns MR4_I 01000200 07c96841 - 147650 ns IT 01000200 6841 LDR r1,[r0,#4] - 147670 ns MR4_I 01000204 6002d1fc - 147730 ns MR4_D 40006004 00000001 - 147730 ns R r1 00000001 - 147730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 147750 ns R r1 80000000 - 147750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 147770 ns R psr 81000200 - 147770 ns MR4_I 01000208 2a001c5b - 147790 ns MR4_I 01000200 07c96841 - 147810 ns IT 01000200 6841 LDR r1,[r0,#4] - 147830 ns MR4_I 01000204 6002d1fc - 147890 ns MR4_D 40006004 00000001 - 147890 ns R r1 00000001 - 147890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 147910 ns R r1 80000000 - 147910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 147930 ns R psr 81000200 - 147930 ns MR4_I 01000208 2a001c5b - 147950 ns MR4_I 01000200 07c96841 - 147970 ns IT 01000200 6841 LDR r1,[r0,#4] - 147990 ns MR4_I 01000204 6002d1fc - 148050 ns MR4_D 40006004 00000001 - 148050 ns R r1 00000001 - 148050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 148070 ns R r1 80000000 - 148070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 148090 ns R psr 81000200 - 148090 ns MR4_I 01000208 2a001c5b - 148110 ns MR4_I 01000200 07c96841 - 148130 ns IT 01000200 6841 LDR r1,[r0,#4] - 148150 ns MR4_I 01000204 6002d1fc - 148210 ns MR4_D 40006004 00000001 - 148210 ns R r1 00000001 - 148210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 148230 ns R r1 80000000 - 148230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 148250 ns R psr 81000200 - 148250 ns MR4_I 01000208 2a001c5b - 148270 ns MR4_I 01000200 07c96841 - 148290 ns IT 01000200 6841 LDR r1,[r0,#4] - 148310 ns MR4_I 01000204 6002d1fc - 148370 ns MR4_D 40006004 00000001 - 148370 ns R r1 00000001 - 148370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 148390 ns R r1 80000000 - 148390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 148410 ns R psr 81000200 - 148410 ns MR4_I 01000208 2a001c5b - 148430 ns MR4_I 01000200 07c96841 - 148450 ns IT 01000200 6841 LDR r1,[r0,#4] - 148470 ns MR4_I 01000204 6002d1fc - 148530 ns MR4_D 40006004 00000001 - 148530 ns R r1 00000001 - 148530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 148550 ns R r1 80000000 - 148550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 148570 ns R psr 81000200 - 148570 ns MR4_I 01000208 2a001c5b - 148590 ns MR4_I 01000200 07c96841 - 148610 ns IT 01000200 6841 LDR r1,[r0,#4] - 148630 ns MR4_I 01000204 6002d1fc - 148690 ns MR4_D 40006004 00000001 - 148690 ns R r1 00000001 - 148690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 148710 ns R r1 80000000 - 148710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 148730 ns R psr 81000200 - 148730 ns MR4_I 01000208 2a001c5b - 148750 ns MR4_I 01000200 07c96841 - 148770 ns IT 01000200 6841 LDR r1,[r0,#4] - 148790 ns MR4_I 01000204 6002d1fc - 148850 ns MR4_D 40006004 00000001 - 148850 ns R r1 00000001 - 148850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 148870 ns R r1 80000000 - 148870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 148890 ns R psr 81000200 - 148890 ns MR4_I 01000208 2a001c5b - 148910 ns MR4_I 01000200 07c96841 - 148930 ns IT 01000200 6841 LDR r1,[r0,#4] - 148950 ns MR4_I 01000204 6002d1fc - 149010 ns MR4_D 40006004 00000001 - 149010 ns R r1 00000001 - 149010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 149030 ns R r1 80000000 - 149030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 149050 ns R psr 81000200 - 149050 ns MR4_I 01000208 2a001c5b - 149070 ns MR4_I 01000200 07c96841 - 149090 ns IT 01000200 6841 LDR r1,[r0,#4] - 149110 ns MR4_I 01000204 6002d1fc - 149170 ns MR4_D 40006004 00000001 - 149170 ns R r1 00000001 - 149170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 149190 ns R r1 80000000 - 149190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 149210 ns R psr 81000200 - 149210 ns MR4_I 01000208 2a001c5b - 149230 ns MR4_I 01000200 07c96841 - 149250 ns IT 01000200 6841 LDR r1,[r0,#4] - 149270 ns MR4_I 01000204 6002d1fc - 149330 ns MR4_D 40006004 00000001 - 149330 ns R r1 00000001 - 149330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 149350 ns R r1 80000000 - 149350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 149370 ns R psr 81000200 - 149370 ns MR4_I 01000208 2a001c5b - 149390 ns MR4_I 01000200 07c96841 - 149410 ns IT 01000200 6841 LDR r1,[r0,#4] - 149430 ns MR4_I 01000204 6002d1fc - 149490 ns MR4_D 40006004 00000001 - 149490 ns R r1 00000001 - 149490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 149510 ns R r1 80000000 - 149510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 149530 ns R psr 81000200 - 149530 ns MR4_I 01000208 2a001c5b - 149550 ns MR4_I 01000200 07c96841 - 149570 ns IT 01000200 6841 LDR r1,[r0,#4] - 149590 ns MR4_I 01000204 6002d1fc - 149650 ns MR4_D 40006004 00000001 - 149650 ns R r1 00000001 - 149650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 149670 ns R r1 80000000 - 149670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 149690 ns R psr 81000200 - 149690 ns MR4_I 01000208 2a001c5b - 149710 ns MR4_I 01000200 07c96841 - 149730 ns IT 01000200 6841 LDR r1,[r0,#4] - 149750 ns MR4_I 01000204 6002d1fc - 149810 ns MR4_D 40006004 00000001 - 149810 ns R r1 00000001 - 149810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 149830 ns R r1 80000000 - 149830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 149850 ns R psr 81000200 - 149850 ns MR4_I 01000208 2a001c5b - 149870 ns MR4_I 01000200 07c96841 - 149890 ns IT 01000200 6841 LDR r1,[r0,#4] - 149910 ns MR4_I 01000204 6002d1fc - 149970 ns MR4_D 40006004 00000001 - 149970 ns R r1 00000001 - 149970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 149990 ns R r1 80000000 - 149990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 150010 ns R psr 81000200 - 150010 ns MR4_I 01000208 2a001c5b - 150030 ns MR4_I 01000200 07c96841 - 150050 ns IT 01000200 6841 LDR r1,[r0,#4] - 150070 ns MR4_I 01000204 6002d1fc - 150130 ns MR4_D 40006004 00000001 - 150130 ns R r1 00000001 - 150130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 150150 ns R r1 80000000 - 150150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 150170 ns R psr 81000200 - 150170 ns MR4_I 01000208 2a001c5b - 150190 ns MR4_I 01000200 07c96841 - 150210 ns IT 01000200 6841 LDR r1,[r0,#4] - 150230 ns MR4_I 01000204 6002d1fc - 150290 ns MR4_D 40006004 00000001 - 150290 ns R r1 00000001 - 150290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 150310 ns R r1 80000000 - 150310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 150330 ns R psr 81000200 - 150330 ns MR4_I 01000208 2a001c5b - 150350 ns MR4_I 01000200 07c96841 - 150370 ns IT 01000200 6841 LDR r1,[r0,#4] - 150390 ns MR4_I 01000204 6002d1fc - 150450 ns MR4_D 40006004 00000001 - 150450 ns R r1 00000001 - 150450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 150470 ns R r1 80000000 - 150470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 150490 ns R psr 81000200 - 150490 ns MR4_I 01000208 2a001c5b - 150510 ns MR4_I 01000200 07c96841 - 150530 ns IT 01000200 6841 LDR r1,[r0,#4] - 150550 ns MR4_I 01000204 6002d1fc - 150610 ns MR4_D 40006004 00000001 - 150610 ns R r1 00000001 - 150610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 150630 ns R r1 80000000 - 150630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 150650 ns R psr 81000200 - 150650 ns MR4_I 01000208 2a001c5b - 150670 ns MR4_I 01000200 07c96841 - 150690 ns IT 01000200 6841 LDR r1,[r0,#4] - 150710 ns MR4_I 01000204 6002d1fc - 150770 ns MR4_D 40006004 00000001 - 150770 ns R r1 00000001 - 150770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 150790 ns R r1 80000000 - 150790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 150810 ns R psr 81000200 - 150810 ns MR4_I 01000208 2a001c5b - 150830 ns MR4_I 01000200 07c96841 - 150850 ns IT 01000200 6841 LDR r1,[r0,#4] - 150870 ns MR4_I 01000204 6002d1fc - 150930 ns MR4_D 40006004 00000001 - 150930 ns R r1 00000001 - 150930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 150950 ns R r1 80000000 - 150950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 150970 ns R psr 81000200 - 150970 ns MR4_I 01000208 2a001c5b - 150990 ns MR4_I 01000200 07c96841 - 151010 ns IT 01000200 6841 LDR r1,[r0,#4] - 151030 ns MR4_I 01000204 6002d1fc - 151090 ns MR4_D 40006004 00000001 - 151090 ns R r1 00000001 - 151090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 151110 ns R r1 80000000 - 151110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 151130 ns R psr 81000200 - 151130 ns MR4_I 01000208 2a001c5b - 151150 ns MR4_I 01000200 07c96841 - 151170 ns IT 01000200 6841 LDR r1,[r0,#4] - 151190 ns MR4_I 01000204 6002d1fc - 151250 ns MR4_D 40006004 00000001 - 151250 ns R r1 00000001 - 151250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 151270 ns R r1 80000000 - 151270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 151290 ns R psr 81000200 - 151290 ns MR4_I 01000208 2a001c5b - 151310 ns MR4_I 01000200 07c96841 - 151330 ns IT 01000200 6841 LDR r1,[r0,#4] - 151350 ns MR4_I 01000204 6002d1fc - 151410 ns MR4_D 40006004 00000001 - 151410 ns R r1 00000001 - 151410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 151430 ns R r1 80000000 - 151430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 151450 ns R psr 81000200 - 151450 ns MR4_I 01000208 2a001c5b - 151470 ns MR4_I 01000200 07c96841 - 151490 ns IT 01000200 6841 LDR r1,[r0,#4] - 151510 ns MR4_I 01000204 6002d1fc - 151570 ns MR4_D 40006004 00000001 - 151570 ns R r1 00000001 - 151570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 151590 ns R r1 80000000 - 151590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 151610 ns R psr 81000200 - 151610 ns MR4_I 01000208 2a001c5b - 151630 ns MR4_I 01000200 07c96841 - 151650 ns IT 01000200 6841 LDR r1,[r0,#4] - 151670 ns MR4_I 01000204 6002d1fc - 151730 ns MR4_D 40006004 00000001 - 151730 ns R r1 00000001 - 151730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 151750 ns R r1 80000000 - 151750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 151770 ns R psr 81000200 - 151770 ns MR4_I 01000208 2a001c5b - 151790 ns MR4_I 01000200 07c96841 - 151810 ns IT 01000200 6841 LDR r1,[r0,#4] - 151830 ns MR4_I 01000204 6002d1fc - 151890 ns MR4_D 40006004 00000001 - 151890 ns R r1 00000001 - 151890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 151910 ns R r1 80000000 - 151910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 151930 ns R psr 81000200 - 151930 ns MR4_I 01000208 2a001c5b - 151950 ns MR4_I 01000200 07c96841 - 151970 ns IT 01000200 6841 LDR r1,[r0,#4] - 151990 ns MR4_I 01000204 6002d1fc - 152050 ns MR4_D 40006004 00000001 - 152050 ns R r1 00000001 - 152050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 152070 ns R r1 80000000 - 152070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 152090 ns R psr 81000200 - 152090 ns MR4_I 01000208 2a001c5b - 152110 ns MR4_I 01000200 07c96841 - 152130 ns IT 01000200 6841 LDR r1,[r0,#4] - 152150 ns MR4_I 01000204 6002d1fc - 152210 ns MR4_D 40006004 00000001 - 152210 ns R r1 00000001 - 152210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 152230 ns R r1 80000000 - 152230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 152250 ns R psr 81000200 - 152250 ns MR4_I 01000208 2a001c5b - 152270 ns MR4_I 01000200 07c96841 - 152290 ns IT 01000200 6841 LDR r1,[r0,#4] - 152310 ns MR4_I 01000204 6002d1fc - 152370 ns MR4_D 40006004 00000001 - 152370 ns R r1 00000001 - 152370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 152390 ns R r1 80000000 - 152390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 152410 ns R psr 81000200 - 152410 ns MR4_I 01000208 2a001c5b - 152430 ns MR4_I 01000200 07c96841 - 152450 ns IT 01000200 6841 LDR r1,[r0,#4] - 152470 ns MR4_I 01000204 6002d1fc - 152530 ns MR4_D 40006004 00000001 - 152530 ns R r1 00000001 - 152530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 152550 ns R r1 80000000 - 152550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 152570 ns R psr 81000200 - 152570 ns MR4_I 01000208 2a001c5b - 152590 ns MR4_I 01000200 07c96841 - 152610 ns IT 01000200 6841 LDR r1,[r0,#4] - 152630 ns MR4_I 01000204 6002d1fc - 152690 ns MR4_D 40006004 00000001 - 152690 ns R r1 00000001 - 152690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 152710 ns R r1 80000000 - 152710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 152730 ns R psr 81000200 - 152730 ns MR4_I 01000208 2a001c5b - 152750 ns MR4_I 01000200 07c96841 - 152770 ns IT 01000200 6841 LDR r1,[r0,#4] - 152790 ns MR4_I 01000204 6002d1fc - 152850 ns MR4_D 40006004 00000001 - 152850 ns R r1 00000001 - 152850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 152870 ns R r1 80000000 - 152870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 152890 ns R psr 81000200 - 152890 ns MR4_I 01000208 2a001c5b - 152910 ns MR4_I 01000200 07c96841 - 152930 ns IT 01000200 6841 LDR r1,[r0,#4] - 152950 ns MR4_I 01000204 6002d1fc - 153010 ns MR4_D 40006004 00000001 - 153010 ns R r1 00000001 - 153010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 153030 ns R r1 80000000 - 153030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 153050 ns R psr 81000200 - 153050 ns MR4_I 01000208 2a001c5b - 153070 ns MR4_I 01000200 07c96841 - 153090 ns IT 01000200 6841 LDR r1,[r0,#4] - 153110 ns MR4_I 01000204 6002d1fc - 153170 ns MR4_D 40006004 00000001 - 153170 ns R r1 00000001 - 153170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 153190 ns R r1 80000000 - 153190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 153210 ns R psr 81000200 - 153210 ns MR4_I 01000208 2a001c5b - 153230 ns MR4_I 01000200 07c96841 - 153250 ns IT 01000200 6841 LDR r1,[r0,#4] - 153270 ns MR4_I 01000204 6002d1fc - 153330 ns MR4_D 40006004 00000001 - 153330 ns R r1 00000001 - 153330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 153350 ns R r1 80000000 - 153350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 153370 ns R psr 81000200 - 153370 ns MR4_I 01000208 2a001c5b - 153390 ns MR4_I 01000200 07c96841 - 153410 ns IT 01000200 6841 LDR r1,[r0,#4] - 153430 ns MR4_I 01000204 6002d1fc - 153490 ns MR4_D 40006004 00000001 - 153490 ns R r1 00000001 - 153490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 153510 ns R r1 80000000 - 153510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 153530 ns R psr 81000200 - 153530 ns MR4_I 01000208 2a001c5b - 153550 ns MR4_I 01000200 07c96841 - 153570 ns IT 01000200 6841 LDR r1,[r0,#4] - 153590 ns MR4_I 01000204 6002d1fc - 153650 ns MR4_D 40006004 00000001 - 153650 ns R r1 00000001 - 153650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 153670 ns R r1 80000000 - 153670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 153690 ns R psr 81000200 - 153690 ns MR4_I 01000208 2a001c5b - 153710 ns MR4_I 01000200 07c96841 - 153730 ns IT 01000200 6841 LDR r1,[r0,#4] - 153750 ns MR4_I 01000204 6002d1fc - 153810 ns MR4_D 40006004 00000001 - 153810 ns R r1 00000001 - 153810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 153830 ns R r1 80000000 - 153830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 153850 ns R psr 81000200 - 153850 ns MR4_I 01000208 2a001c5b - 153870 ns MR4_I 01000200 07c96841 - 153890 ns IT 01000200 6841 LDR r1,[r0,#4] - 153910 ns MR4_I 01000204 6002d1fc - 153970 ns MR4_D 40006004 00000001 - 153970 ns R r1 00000001 - 153970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 153990 ns R r1 80000000 - 153990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 154010 ns R psr 81000200 - 154010 ns MR4_I 01000208 2a001c5b - 154030 ns MR4_I 01000200 07c96841 - 154050 ns IT 01000200 6841 LDR r1,[r0,#4] - 154070 ns MR4_I 01000204 6002d1fc - 154130 ns MR4_D 40006004 00000001 - 154130 ns R r1 00000001 - 154130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 154150 ns R r1 80000000 - 154150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 154170 ns R psr 81000200 - 154170 ns MR4_I 01000208 2a001c5b - 154190 ns MR4_I 01000200 07c96841 - 154210 ns IT 01000200 6841 LDR r1,[r0,#4] - 154230 ns MR4_I 01000204 6002d1fc - 154290 ns MR4_D 40006004 00000001 - 154290 ns R r1 00000001 - 154290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 154310 ns R r1 80000000 - 154310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 154330 ns R psr 81000200 - 154330 ns MR4_I 01000208 2a001c5b - 154350 ns MR4_I 01000200 07c96841 - 154370 ns IT 01000200 6841 LDR r1,[r0,#4] - 154390 ns MR4_I 01000204 6002d1fc - 154450 ns MR4_D 40006004 00000001 - 154450 ns R r1 00000001 - 154450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 154470 ns R r1 80000000 - 154470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 154490 ns R psr 81000200 - 154490 ns MR4_I 01000208 2a001c5b - 154510 ns MR4_I 01000200 07c96841 - 154530 ns IT 01000200 6841 LDR r1,[r0,#4] - 154550 ns MR4_I 01000204 6002d1fc - 154610 ns MR4_D 40006004 00000001 - 154610 ns R r1 00000001 - 154610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 154630 ns R r1 80000000 - 154630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 154650 ns R psr 81000200 - 154650 ns MR4_I 01000208 2a001c5b - 154670 ns MR4_I 01000200 07c96841 - 154690 ns IT 01000200 6841 LDR r1,[r0,#4] - 154710 ns MR4_I 01000204 6002d1fc - 154770 ns MR4_D 40006004 00000001 - 154770 ns R r1 00000001 - 154770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 154790 ns R r1 80000000 - 154790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 154810 ns R psr 81000200 - 154810 ns MR4_I 01000208 2a001c5b - 154830 ns MR4_I 01000200 07c96841 - 154850 ns IT 01000200 6841 LDR r1,[r0,#4] - 154870 ns MR4_I 01000204 6002d1fc - 154930 ns MR4_D 40006004 00000001 - 154930 ns R r1 00000001 - 154930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 154950 ns R r1 80000000 - 154950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 154970 ns R psr 81000200 - 154970 ns MR4_I 01000208 2a001c5b - 154990 ns MR4_I 01000200 07c96841 - 155010 ns IT 01000200 6841 LDR r1,[r0,#4] - 155030 ns MR4_I 01000204 6002d1fc - 155090 ns MR4_D 40006004 00000001 - 155090 ns R r1 00000001 - 155090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 155110 ns R r1 80000000 - 155110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 155130 ns R psr 81000200 - 155130 ns MR4_I 01000208 2a001c5b - 155150 ns MR4_I 01000200 07c96841 - 155170 ns IT 01000200 6841 LDR r1,[r0,#4] - 155190 ns MR4_I 01000204 6002d1fc - 155250 ns MR4_D 40006004 00000001 - 155250 ns R r1 00000001 - 155250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 155270 ns R r1 80000000 - 155270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 155290 ns R psr 81000200 - 155290 ns MR4_I 01000208 2a001c5b - 155310 ns MR4_I 01000200 07c96841 - 155330 ns IT 01000200 6841 LDR r1,[r0,#4] - 155350 ns MR4_I 01000204 6002d1fc - 155410 ns MR4_D 40006004 00000001 - 155410 ns R r1 00000001 - 155410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 155430 ns R r1 80000000 - 155430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 155450 ns R psr 81000200 - 155450 ns MR4_I 01000208 2a001c5b - 155470 ns MR4_I 01000200 07c96841 - 155490 ns IT 01000200 6841 LDR r1,[r0,#4] - 155510 ns MR4_I 01000204 6002d1fc - 155570 ns MR4_D 40006004 00000001 - 155570 ns R r1 00000001 - 155570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 155590 ns R r1 80000000 - 155590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 155610 ns R psr 81000200 - 155610 ns MR4_I 01000208 2a001c5b - 155630 ns MR4_I 01000200 07c96841 - 155650 ns IT 01000200 6841 LDR r1,[r0,#4] - 155670 ns MR4_I 01000204 6002d1fc - 155730 ns MR4_D 40006004 00000001 - 155730 ns R r1 00000001 - 155730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 155750 ns R r1 80000000 - 155750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 155770 ns R psr 81000200 - 155770 ns MR4_I 01000208 2a001c5b - 155790 ns MR4_I 01000200 07c96841 - 155810 ns IT 01000200 6841 LDR r1,[r0,#4] - 155830 ns MR4_I 01000204 6002d1fc - 155890 ns MR4_D 40006004 00000001 - 155890 ns R r1 00000001 - 155890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 155910 ns R r1 80000000 - 155910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 155930 ns R psr 81000200 - 155930 ns MR4_I 01000208 2a001c5b - 155950 ns MR4_I 01000200 07c96841 - 155970 ns IT 01000200 6841 LDR r1,[r0,#4] - 155990 ns MR4_I 01000204 6002d1fc - 156050 ns MR4_D 40006004 00000001 - 156050 ns R r1 00000001 - 156050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 156070 ns R r1 80000000 - 156070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 156090 ns R psr 81000200 - 156090 ns MR4_I 01000208 2a001c5b - 156110 ns MR4_I 01000200 07c96841 - 156130 ns IT 01000200 6841 LDR r1,[r0,#4] - 156150 ns MR4_I 01000204 6002d1fc - 156210 ns MR4_D 40006004 00000001 - 156210 ns R r1 00000001 - 156210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 156230 ns R r1 80000000 - 156230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 156250 ns R psr 81000200 - 156250 ns MR4_I 01000208 2a001c5b - 156270 ns MR4_I 01000200 07c96841 - 156290 ns IT 01000200 6841 LDR r1,[r0,#4] - 156310 ns MR4_I 01000204 6002d1fc - 156370 ns MR4_D 40006004 00000001 - 156370 ns R r1 00000001 - 156370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 156390 ns R r1 80000000 - 156390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 156410 ns R psr 81000200 - 156410 ns MR4_I 01000208 2a001c5b - 156430 ns MR4_I 01000200 07c96841 - 156450 ns IT 01000200 6841 LDR r1,[r0,#4] - 156470 ns MR4_I 01000204 6002d1fc - 156530 ns MR4_D 40006004 00000001 - 156530 ns R r1 00000001 - 156530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 156550 ns R r1 80000000 - 156550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 156570 ns R psr 81000200 - 156570 ns MR4_I 01000208 2a001c5b - 156590 ns MR4_I 01000200 07c96841 - 156610 ns IT 01000200 6841 LDR r1,[r0,#4] - 156630 ns MR4_I 01000204 6002d1fc - 156690 ns MR4_D 40006004 00000001 - 156690 ns R r1 00000001 - 156690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 156710 ns R r1 80000000 - 156710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 156730 ns R psr 81000200 - 156730 ns MR4_I 01000208 2a001c5b - 156750 ns MR4_I 01000200 07c96841 - 156770 ns IT 01000200 6841 LDR r1,[r0,#4] - 156790 ns MR4_I 01000204 6002d1fc - 156850 ns MR4_D 40006004 00000001 - 156850 ns R r1 00000001 - 156850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 156870 ns R r1 80000000 - 156870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 156890 ns R psr 81000200 - 156890 ns MR4_I 01000208 2a001c5b - 156910 ns MR4_I 01000200 07c96841 - 156930 ns IT 01000200 6841 LDR r1,[r0,#4] - 156950 ns MR4_I 01000204 6002d1fc - 157010 ns MR4_D 40006004 00000001 - 157010 ns R r1 00000001 - 157010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 157030 ns R r1 80000000 - 157030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 157050 ns R psr 81000200 - 157050 ns MR4_I 01000208 2a001c5b - 157070 ns MR4_I 01000200 07c96841 - 157090 ns IT 01000200 6841 LDR r1,[r0,#4] - 157110 ns MR4_I 01000204 6002d1fc - 157170 ns MR4_D 40006004 00000001 - 157170 ns R r1 00000001 - 157170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 157190 ns R r1 80000000 - 157190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 157210 ns R psr 81000200 - 157210 ns MR4_I 01000208 2a001c5b - 157230 ns MR4_I 01000200 07c96841 - 157250 ns IT 01000200 6841 LDR r1,[r0,#4] - 157270 ns MR4_I 01000204 6002d1fc - 157330 ns MR4_D 40006004 00000001 - 157330 ns R r1 00000001 - 157330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 157350 ns R r1 80000000 - 157350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 157370 ns R psr 81000200 - 157370 ns MR4_I 01000208 2a001c5b - 157390 ns MR4_I 01000200 07c96841 - 157410 ns IT 01000200 6841 LDR r1,[r0,#4] - 157430 ns MR4_I 01000204 6002d1fc - 157490 ns MR4_D 40006004 00000001 - 157490 ns R r1 00000001 - 157490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 157510 ns R r1 80000000 - 157510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 157530 ns R psr 81000200 - 157530 ns MR4_I 01000208 2a001c5b - 157550 ns MR4_I 01000200 07c96841 - 157570 ns IT 01000200 6841 LDR r1,[r0,#4] - 157590 ns MR4_I 01000204 6002d1fc - 157650 ns MR4_D 40006004 00000001 - 157650 ns R r1 00000001 - 157650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 157670 ns R r1 80000000 - 157670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 157690 ns R psr 81000200 - 157690 ns MR4_I 01000208 2a001c5b - 157710 ns MR4_I 01000200 07c96841 - 157730 ns IT 01000200 6841 LDR r1,[r0,#4] - 157750 ns MR4_I 01000204 6002d1fc - 157810 ns MR4_D 40006004 00000001 - 157810 ns R r1 00000001 - 157810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 157830 ns R r1 80000000 - 157830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 157850 ns R psr 81000200 - 157850 ns MR4_I 01000208 2a001c5b - 157870 ns MR4_I 01000200 07c96841 - 157890 ns IT 01000200 6841 LDR r1,[r0,#4] - 157910 ns MR4_I 01000204 6002d1fc - 157970 ns MR4_D 40006004 00000001 - 157970 ns R r1 00000001 - 157970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 157990 ns R r1 80000000 - 157990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 158010 ns R psr 81000200 - 158010 ns MR4_I 01000208 2a001c5b - 158030 ns MR4_I 01000200 07c96841 - 158050 ns IT 01000200 6841 LDR r1,[r0,#4] - 158070 ns MR4_I 01000204 6002d1fc - 158130 ns MR4_D 40006004 00000001 - 158130 ns R r1 00000001 - 158130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 158150 ns R r1 80000000 - 158150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 158170 ns R psr 81000200 - 158170 ns MR4_I 01000208 2a001c5b - 158190 ns MR4_I 01000200 07c96841 - 158210 ns IT 01000200 6841 LDR r1,[r0,#4] - 158230 ns MR4_I 01000204 6002d1fc - 158290 ns MR4_D 40006004 00000001 - 158290 ns R r1 00000001 - 158290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 158310 ns R r1 80000000 - 158310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 158330 ns R psr 81000200 - 158330 ns MR4_I 01000208 2a001c5b - 158350 ns MR4_I 01000200 07c96841 - 158370 ns IT 01000200 6841 LDR r1,[r0,#4] - 158390 ns MR4_I 01000204 6002d1fc - 158450 ns MR4_D 40006004 00000001 - 158450 ns R r1 00000001 - 158450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 158470 ns R r1 80000000 - 158470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 158490 ns R psr 81000200 - 158490 ns MR4_I 01000208 2a001c5b - 158510 ns MR4_I 01000200 07c96841 - 158530 ns IT 01000200 6841 LDR r1,[r0,#4] - 158550 ns MR4_I 01000204 6002d1fc - 158610 ns MR4_D 40006004 00000001 - 158610 ns R r1 00000001 - 158610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 158630 ns R r1 80000000 - 158630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 158650 ns R psr 81000200 - 158650 ns MR4_I 01000208 2a001c5b - 158670 ns MR4_I 01000200 07c96841 - 158690 ns IT 01000200 6841 LDR r1,[r0,#4] - 158710 ns MR4_I 01000204 6002d1fc - 158770 ns MR4_D 40006004 00000001 - 158770 ns R r1 00000001 - 158770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 158790 ns R r1 80000000 - 158790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 158810 ns R psr 81000200 - 158810 ns MR4_I 01000208 2a001c5b - 158830 ns MR4_I 01000200 07c96841 - 158850 ns IT 01000200 6841 LDR r1,[r0,#4] - 158870 ns MR4_I 01000204 6002d1fc - 158930 ns MR4_D 40006004 00000001 - 158930 ns R r1 00000001 - 158930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 158950 ns R r1 80000000 - 158950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 158970 ns R psr 81000200 - 158970 ns MR4_I 01000208 2a001c5b - 158990 ns MR4_I 01000200 07c96841 - 159010 ns IT 01000200 6841 LDR r1,[r0,#4] - 159030 ns MR4_I 01000204 6002d1fc - 159090 ns MR4_D 40006004 00000001 - 159090 ns R r1 00000001 - 159090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 159110 ns R r1 80000000 - 159110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 159130 ns R psr 81000200 - 159130 ns MR4_I 01000208 2a001c5b - 159150 ns MR4_I 01000200 07c96841 - 159170 ns IT 01000200 6841 LDR r1,[r0,#4] - 159190 ns MR4_I 01000204 6002d1fc - 159250 ns MR4_D 40006004 00000001 - 159250 ns R r1 00000001 - 159250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 159270 ns R r1 80000000 - 159270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 159290 ns R psr 81000200 - 159290 ns MR4_I 01000208 2a001c5b - 159310 ns MR4_I 01000200 07c96841 - 159330 ns IT 01000200 6841 LDR r1,[r0,#4] - 159350 ns MR4_I 01000204 6002d1fc - 159410 ns MR4_D 40006004 00000001 - 159410 ns R r1 00000001 - 159410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 159430 ns R r1 80000000 - 159430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 159450 ns R psr 81000200 - 159450 ns MR4_I 01000208 2a001c5b - 159470 ns MR4_I 01000200 07c96841 - 159490 ns IT 01000200 6841 LDR r1,[r0,#4] - 159510 ns MR4_I 01000204 6002d1fc - 159570 ns MR4_D 40006004 00000001 - 159570 ns R r1 00000001 - 159570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 159590 ns R r1 80000000 - 159590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 159610 ns R psr 81000200 - 159610 ns MR4_I 01000208 2a001c5b - 159630 ns MR4_I 01000200 07c96841 - 159650 ns IT 01000200 6841 LDR r1,[r0,#4] - 159670 ns MR4_I 01000204 6002d1fc - 159730 ns MR4_D 40006004 00000001 - 159730 ns R r1 00000001 - 159730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 159750 ns R r1 80000000 - 159750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 159770 ns R psr 81000200 - 159770 ns MR4_I 01000208 2a001c5b - 159790 ns MR4_I 01000200 07c96841 - 159810 ns IT 01000200 6841 LDR r1,[r0,#4] - 159830 ns MR4_I 01000204 6002d1fc - 159890 ns MR4_D 40006004 00000001 - 159890 ns R r1 00000001 - 159890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 159910 ns R r1 80000000 - 159910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 159930 ns R psr 81000200 - 159930 ns MR4_I 01000208 2a001c5b - 159950 ns MR4_I 01000200 07c96841 - 159970 ns IT 01000200 6841 LDR r1,[r0,#4] - 159990 ns MR4_I 01000204 6002d1fc - 160050 ns MR4_D 40006004 00000001 - 160050 ns R r1 00000001 - 160050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 160070 ns R r1 80000000 - 160070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 160090 ns R psr 81000200 - 160090 ns MR4_I 01000208 2a001c5b - 160110 ns MR4_I 01000200 07c96841 - 160130 ns IT 01000200 6841 LDR r1,[r0,#4] - 160150 ns MR4_I 01000204 6002d1fc - 160210 ns MR4_D 40006004 00000001 - 160210 ns R r1 00000001 - 160210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 160230 ns R r1 80000000 - 160230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 160250 ns R psr 81000200 - 160250 ns MR4_I 01000208 2a001c5b - 160270 ns MR4_I 01000200 07c96841 - 160290 ns IT 01000200 6841 LDR r1,[r0,#4] - 160310 ns MR4_I 01000204 6002d1fc - 160370 ns MR4_D 40006004 00000001 - 160370 ns R r1 00000001 - 160370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 160390 ns R r1 80000000 - 160390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 160410 ns R psr 81000200 - 160410 ns MR4_I 01000208 2a001c5b - 160430 ns MR4_I 01000200 07c96841 - 160450 ns IT 01000200 6841 LDR r1,[r0,#4] - 160470 ns MR4_I 01000204 6002d1fc - 160530 ns MR4_D 40006004 00000001 - 160530 ns R r1 00000001 - 160530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 160550 ns R r1 80000000 - 160550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 160570 ns R psr 81000200 - 160570 ns MR4_I 01000208 2a001c5b - 160590 ns MR4_I 01000200 07c96841 - 160610 ns IT 01000200 6841 LDR r1,[r0,#4] - 160630 ns MR4_I 01000204 6002d1fc - 160690 ns MR4_D 40006004 00000001 - 160690 ns R r1 00000001 - 160690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 160710 ns R r1 80000000 - 160710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 160730 ns R psr 81000200 - 160730 ns MR4_I 01000208 2a001c5b - 160750 ns MR4_I 01000200 07c96841 - 160770 ns IT 01000200 6841 LDR r1,[r0,#4] - 160790 ns MR4_I 01000204 6002d1fc - 160850 ns MR4_D 40006004 00000001 - 160850 ns R r1 00000001 - 160850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 160870 ns R r1 80000000 - 160870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 160890 ns R psr 81000200 - 160890 ns MR4_I 01000208 2a001c5b - 160910 ns MR4_I 01000200 07c96841 - 160930 ns IT 01000200 6841 LDR r1,[r0,#4] - 160950 ns MR4_I 01000204 6002d1fc - 161010 ns MR4_D 40006004 00000001 - 161010 ns R r1 00000001 - 161010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 161030 ns R r1 80000000 - 161030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 161050 ns R psr 81000200 - 161050 ns MR4_I 01000208 2a001c5b - 161070 ns MR4_I 01000200 07c96841 - 161090 ns IT 01000200 6841 LDR r1,[r0,#4] - 161110 ns MR4_I 01000204 6002d1fc - 161170 ns MR4_D 40006004 00000001 - 161170 ns R r1 00000001 - 161170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 161190 ns R r1 80000000 - 161190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 161210 ns R psr 81000200 - 161210 ns MR4_I 01000208 2a001c5b - 161230 ns MR4_I 01000200 07c96841 - 161250 ns IT 01000200 6841 LDR r1,[r0,#4] - 161270 ns MR4_I 01000204 6002d1fc - 161330 ns MR4_D 40006004 00000001 - 161330 ns R r1 00000001 - 161330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 161350 ns R r1 80000000 - 161350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 161370 ns R psr 81000200 - 161370 ns MR4_I 01000208 2a001c5b - 161390 ns MR4_I 01000200 07c96841 - 161410 ns IT 01000200 6841 LDR r1,[r0,#4] - 161430 ns MR4_I 01000204 6002d1fc - 161490 ns MR4_D 40006004 00000001 - 161490 ns R r1 00000001 - 161490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 161510 ns R r1 80000000 - 161510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 161530 ns R psr 81000200 - 161530 ns MR4_I 01000208 2a001c5b - 161550 ns MR4_I 01000200 07c96841 - 161570 ns IT 01000200 6841 LDR r1,[r0,#4] - 161590 ns MR4_I 01000204 6002d1fc - 161650 ns MR4_D 40006004 00000001 - 161650 ns R r1 00000001 - 161650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 161670 ns R r1 80000000 - 161670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 161690 ns R psr 81000200 - 161690 ns MR4_I 01000208 2a001c5b - 161710 ns MR4_I 01000200 07c96841 - 161730 ns IT 01000200 6841 LDR r1,[r0,#4] - 161750 ns MR4_I 01000204 6002d1fc - 161810 ns MR4_D 40006004 00000001 - 161810 ns R r1 00000001 - 161810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 161830 ns R r1 80000000 - 161830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 161850 ns R psr 81000200 - 161850 ns MR4_I 01000208 2a001c5b - 161870 ns MR4_I 01000200 07c96841 - 161890 ns IT 01000200 6841 LDR r1,[r0,#4] - 161910 ns MR4_I 01000204 6002d1fc - 161970 ns MR4_D 40006004 00000001 - 161970 ns R r1 00000001 - 161970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 161990 ns R r1 80000000 - 161990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 162010 ns R psr 81000200 - 162010 ns MR4_I 01000208 2a001c5b - 162030 ns MR4_I 01000200 07c96841 - 162050 ns IT 01000200 6841 LDR r1,[r0,#4] - 162070 ns MR4_I 01000204 6002d1fc - 162130 ns MR4_D 40006004 00000001 - 162130 ns R r1 00000001 - 162130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 162150 ns R r1 80000000 - 162150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 162170 ns R psr 81000200 - 162170 ns MR4_I 01000208 2a001c5b - 162190 ns MR4_I 01000200 07c96841 - 162210 ns IT 01000200 6841 LDR r1,[r0,#4] - 162230 ns MR4_I 01000204 6002d1fc - 162290 ns MR4_D 40006004 00000001 - 162290 ns R r1 00000001 - 162290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 162310 ns R r1 80000000 - 162310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 162330 ns R psr 81000200 - 162330 ns MR4_I 01000208 2a001c5b - 162350 ns MR4_I 01000200 07c96841 - 162370 ns IT 01000200 6841 LDR r1,[r0,#4] - 162390 ns MR4_I 01000204 6002d1fc - 162450 ns MR4_D 40006004 00000001 - 162450 ns R r1 00000001 - 162450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 162470 ns R r1 80000000 - 162470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 162490 ns R psr 81000200 - 162490 ns MR4_I 01000208 2a001c5b - 162510 ns MR4_I 01000200 07c96841 - 162530 ns IT 01000200 6841 LDR r1,[r0,#4] - 162550 ns MR4_I 01000204 6002d1fc - 162610 ns MR4_D 40006004 00000001 - 162610 ns R r1 00000001 - 162610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 162630 ns R r1 80000000 - 162630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 162650 ns R psr 81000200 - 162650 ns MR4_I 01000208 2a001c5b - 162670 ns MR4_I 01000200 07c96841 - 162690 ns IT 01000200 6841 LDR r1,[r0,#4] - 162710 ns MR4_I 01000204 6002d1fc - 162770 ns MR4_D 40006004 00000001 - 162770 ns R r1 00000001 - 162770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 162790 ns R r1 80000000 - 162790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 162810 ns R psr 81000200 - 162810 ns MR4_I 01000208 2a001c5b - 162830 ns MR4_I 01000200 07c96841 - 162850 ns IT 01000200 6841 LDR r1,[r0,#4] - 162870 ns MR4_I 01000204 6002d1fc - 162930 ns MR4_D 40006004 00000001 - 162930 ns R r1 00000001 - 162930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 162950 ns R r1 80000000 - 162950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 162970 ns R psr 81000200 - 162970 ns MR4_I 01000208 2a001c5b - 162990 ns MR4_I 01000200 07c96841 - 163010 ns IT 01000200 6841 LDR r1,[r0,#4] - 163030 ns MR4_I 01000204 6002d1fc - 163090 ns MR4_D 40006004 00000001 - 163090 ns R r1 00000001 - 163090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 163110 ns R r1 80000000 - 163110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 163130 ns R psr 81000200 - 163130 ns MR4_I 01000208 2a001c5b - 163150 ns MR4_I 01000200 07c96841 - 163170 ns IT 01000200 6841 LDR r1,[r0,#4] - 163190 ns MR4_I 01000204 6002d1fc - 163250 ns MR4_D 40006004 00000001 - 163250 ns R r1 00000001 - 163250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 163270 ns R r1 80000000 - 163270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 163290 ns R psr 81000200 - 163290 ns MR4_I 01000208 2a001c5b - 163310 ns MR4_I 01000200 07c96841 - 163330 ns IT 01000200 6841 LDR r1,[r0,#4] - 163350 ns MR4_I 01000204 6002d1fc - 163410 ns MR4_D 40006004 00000001 - 163410 ns R r1 00000001 - 163410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 163430 ns R r1 80000000 - 163430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 163450 ns R psr 81000200 - 163450 ns MR4_I 01000208 2a001c5b - 163470 ns MR4_I 01000200 07c96841 - 163490 ns IT 01000200 6841 LDR r1,[r0,#4] - 163510 ns MR4_I 01000204 6002d1fc - 163570 ns MR4_D 40006004 00000001 - 163570 ns R r1 00000001 - 163570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 163590 ns R r1 80000000 - 163590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 163610 ns R psr 81000200 - 163610 ns MR4_I 01000208 2a001c5b - 163630 ns MR4_I 01000200 07c96841 - 163650 ns IT 01000200 6841 LDR r1,[r0,#4] - 163670 ns MR4_I 01000204 6002d1fc - 163730 ns MR4_D 40006004 00000001 - 163730 ns R r1 00000001 - 163730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 163750 ns R r1 80000000 - 163750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 163770 ns R psr 81000200 - 163770 ns MR4_I 01000208 2a001c5b - 163790 ns MR4_I 01000200 07c96841 - 163810 ns IT 01000200 6841 LDR r1,[r0,#4] - 163830 ns MR4_I 01000204 6002d1fc - 163890 ns MR4_D 40006004 00000001 - 163890 ns R r1 00000001 - 163890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 163910 ns R r1 80000000 - 163910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 163930 ns R psr 81000200 - 163930 ns MR4_I 01000208 2a001c5b - 163950 ns MR4_I 01000200 07c96841 - 163970 ns IT 01000200 6841 LDR r1,[r0,#4] - 163990 ns MR4_I 01000204 6002d1fc - 164050 ns MR4_D 40006004 00000001 - 164050 ns R r1 00000001 - 164050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 164070 ns R r1 80000000 - 164070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 164090 ns R psr 81000200 - 164090 ns MR4_I 01000208 2a001c5b - 164110 ns MR4_I 01000200 07c96841 - 164130 ns IT 01000200 6841 LDR r1,[r0,#4] - 164150 ns MR4_I 01000204 6002d1fc - 164210 ns MR4_D 40006004 00000001 - 164210 ns R r1 00000001 - 164210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 164230 ns R r1 80000000 - 164230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 164250 ns R psr 81000200 - 164250 ns MR4_I 01000208 2a001c5b - 164270 ns MR4_I 01000200 07c96841 - 164290 ns IT 01000200 6841 LDR r1,[r0,#4] - 164310 ns MR4_I 01000204 6002d1fc - 164370 ns MR4_D 40006004 00000001 - 164370 ns R r1 00000001 - 164370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 164390 ns R r1 80000000 - 164390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 164410 ns R psr 81000200 - 164410 ns MR4_I 01000208 2a001c5b - 164430 ns MR4_I 01000200 07c96841 - 164450 ns IT 01000200 6841 LDR r1,[r0,#4] - 164470 ns MR4_I 01000204 6002d1fc - 164530 ns MR4_D 40006004 00000001 - 164530 ns R r1 00000001 - 164530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 164550 ns R r1 80000000 - 164550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 164570 ns R psr 81000200 - 164570 ns MR4_I 01000208 2a001c5b - 164590 ns MR4_I 01000200 07c96841 - 164610 ns IT 01000200 6841 LDR r1,[r0,#4] - 164630 ns MR4_I 01000204 6002d1fc - 164690 ns MR4_D 40006004 00000001 - 164690 ns R r1 00000001 - 164690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 164710 ns R r1 80000000 - 164710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 164730 ns R psr 81000200 - 164730 ns MR4_I 01000208 2a001c5b - 164750 ns MR4_I 01000200 07c96841 - 164770 ns IT 01000200 6841 LDR r1,[r0,#4] - 164790 ns MR4_I 01000204 6002d1fc - 164850 ns MR4_D 40006004 00000001 - 164850 ns R r1 00000001 - 164850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 164870 ns R r1 80000000 - 164870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 164890 ns R psr 81000200 - 164890 ns MR4_I 01000208 2a001c5b - 164910 ns MR4_I 01000200 07c96841 - 164930 ns IT 01000200 6841 LDR r1,[r0,#4] - 164950 ns MR4_I 01000204 6002d1fc - 165010 ns MR4_D 40006004 00000001 - 165010 ns R r1 00000001 - 165010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 165030 ns R r1 80000000 - 165030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 165050 ns R psr 81000200 - 165050 ns MR4_I 01000208 2a001c5b - 165070 ns MR4_I 01000200 07c96841 - 165090 ns IT 01000200 6841 LDR r1,[r0,#4] - 165110 ns MR4_I 01000204 6002d1fc - 165170 ns MR4_D 40006004 00000001 - 165170 ns R r1 00000001 - 165170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 165190 ns R r1 80000000 - 165190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 165210 ns R psr 81000200 - 165210 ns MR4_I 01000208 2a001c5b - 165230 ns MR4_I 01000200 07c96841 - 165250 ns IT 01000200 6841 LDR r1,[r0,#4] - 165270 ns MR4_I 01000204 6002d1fc - 165330 ns MR4_D 40006004 00000001 - 165330 ns R r1 00000001 - 165330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 165350 ns R r1 80000000 - 165350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 165370 ns R psr 81000200 - 165370 ns MR4_I 01000208 2a001c5b - 165390 ns MR4_I 01000200 07c96841 - 165410 ns IT 01000200 6841 LDR r1,[r0,#4] - 165430 ns MR4_I 01000204 6002d1fc - 165490 ns MR4_D 40006004 00000001 - 165490 ns R r1 00000001 - 165490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 165510 ns R r1 80000000 - 165510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 165530 ns R psr 81000200 - 165530 ns MR4_I 01000208 2a001c5b - 165550 ns MR4_I 01000200 07c96841 - 165570 ns IT 01000200 6841 LDR r1,[r0,#4] - 165590 ns MR4_I 01000204 6002d1fc - 165650 ns MR4_D 40006004 00000001 - 165650 ns R r1 00000001 - 165650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 165670 ns R r1 80000000 - 165670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 165690 ns R psr 81000200 - 165690 ns MR4_I 01000208 2a001c5b - 165710 ns MR4_I 01000200 07c96841 - 165730 ns IT 01000200 6841 LDR r1,[r0,#4] - 165750 ns MR4_I 01000204 6002d1fc - 165810 ns MR4_D 40006004 00000001 - 165810 ns R r1 00000001 - 165810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 165830 ns R r1 80000000 - 165830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 165850 ns R psr 81000200 - 165850 ns MR4_I 01000208 2a001c5b - 165870 ns MR4_I 01000200 07c96841 - 165890 ns IT 01000200 6841 LDR r1,[r0,#4] - 165910 ns MR4_I 01000204 6002d1fc - 165970 ns MR4_D 40006004 00000001 - 165970 ns R r1 00000001 - 165970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 165990 ns R r1 80000000 - 165990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 166010 ns R psr 81000200 - 166010 ns MR4_I 01000208 2a001c5b - 166030 ns MR4_I 01000200 07c96841 - 166050 ns IT 01000200 6841 LDR r1,[r0,#4] - 166070 ns MR4_I 01000204 6002d1fc - 166130 ns MR4_D 40006004 00000001 - 166130 ns R r1 00000001 - 166130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 166150 ns R r1 80000000 - 166150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 166170 ns R psr 81000200 - 166170 ns MR4_I 01000208 2a001c5b - 166190 ns MR4_I 01000200 07c96841 - 166210 ns IT 01000200 6841 LDR r1,[r0,#4] - 166230 ns MR4_I 01000204 6002d1fc - 166290 ns MR4_D 40006004 00000001 - 166290 ns R r1 00000001 - 166290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 166310 ns R r1 80000000 - 166310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 166330 ns R psr 81000200 - 166330 ns MR4_I 01000208 2a001c5b - 166350 ns MR4_I 01000200 07c96841 - 166370 ns IT 01000200 6841 LDR r1,[r0,#4] - 166390 ns MR4_I 01000204 6002d1fc - 166450 ns MR4_D 40006004 00000001 - 166450 ns R r1 00000001 - 166450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 166470 ns R r1 80000000 - 166470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 166490 ns R psr 81000200 - 166490 ns MR4_I 01000208 2a001c5b - 166510 ns MR4_I 01000200 07c96841 - 166530 ns IT 01000200 6841 LDR r1,[r0,#4] - 166550 ns MR4_I 01000204 6002d1fc - 166610 ns MR4_D 40006004 00000001 - 166610 ns R r1 00000001 - 166610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 166630 ns R r1 80000000 - 166630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 166650 ns R psr 81000200 - 166650 ns MR4_I 01000208 2a001c5b - 166670 ns MR4_I 01000200 07c96841 - 166690 ns IT 01000200 6841 LDR r1,[r0,#4] - 166710 ns MR4_I 01000204 6002d1fc - 166770 ns MR4_D 40006004 00000001 - 166770 ns R r1 00000001 - 166770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 166790 ns R r1 80000000 - 166790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 166810 ns R psr 81000200 - 166810 ns MR4_I 01000208 2a001c5b - 166830 ns MR4_I 01000200 07c96841 - 166850 ns IT 01000200 6841 LDR r1,[r0,#4] - 166870 ns MR4_I 01000204 6002d1fc - 166930 ns MR4_D 40006004 00000001 - 166930 ns R r1 00000001 - 166930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 166950 ns R r1 80000000 - 166950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 166970 ns R psr 81000200 - 166970 ns MR4_I 01000208 2a001c5b - 166990 ns MR4_I 01000200 07c96841 - 167010 ns IT 01000200 6841 LDR r1,[r0,#4] - 167030 ns MR4_I 01000204 6002d1fc - 167090 ns MR4_D 40006004 00000001 - 167090 ns R r1 00000001 - 167090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 167110 ns R r1 80000000 - 167110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 167130 ns R psr 81000200 - 167130 ns MR4_I 01000208 2a001c5b - 167150 ns MR4_I 01000200 07c96841 - 167170 ns IT 01000200 6841 LDR r1,[r0,#4] - 167190 ns MR4_I 01000204 6002d1fc - 167250 ns MR4_D 40006004 00000001 - 167250 ns R r1 00000001 - 167250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 167270 ns R r1 80000000 - 167270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 167290 ns R psr 81000200 - 167290 ns MR4_I 01000208 2a001c5b - 167310 ns MR4_I 01000200 07c96841 - 167330 ns IT 01000200 6841 LDR r1,[r0,#4] - 167350 ns MR4_I 01000204 6002d1fc - 167410 ns MR4_D 40006004 00000001 - 167410 ns R r1 00000001 - 167410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 167430 ns R r1 80000000 - 167430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 167450 ns R psr 81000200 - 167450 ns MR4_I 01000208 2a001c5b - 167470 ns MR4_I 01000200 07c96841 - 167490 ns IT 01000200 6841 LDR r1,[r0,#4] - 167510 ns MR4_I 01000204 6002d1fc - 167570 ns MR4_D 40006004 00000001 - 167570 ns R r1 00000001 - 167570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 167590 ns R r1 80000000 - 167590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 167610 ns R psr 81000200 - 167610 ns MR4_I 01000208 2a001c5b - 167630 ns MR4_I 01000200 07c96841 - 167650 ns IT 01000200 6841 LDR r1,[r0,#4] - 167670 ns MR4_I 01000204 6002d1fc - 167730 ns MR4_D 40006004 00000001 - 167730 ns R r1 00000001 - 167730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 167750 ns R r1 80000000 - 167750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 167770 ns R psr 81000200 - 167770 ns MR4_I 01000208 2a001c5b - 167790 ns MR4_I 01000200 07c96841 - 167810 ns IT 01000200 6841 LDR r1,[r0,#4] - 167830 ns MR4_I 01000204 6002d1fc - 167890 ns MR4_D 40006004 00000001 - 167890 ns R r1 00000001 - 167890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 167910 ns R r1 80000000 - 167910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 167930 ns R psr 81000200 - 167930 ns MR4_I 01000208 2a001c5b - 167950 ns MR4_I 01000200 07c96841 - 167970 ns IT 01000200 6841 LDR r1,[r0,#4] - 167990 ns MR4_I 01000204 6002d1fc - 168050 ns MR4_D 40006004 00000001 - 168050 ns R r1 00000001 - 168050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 168070 ns R r1 80000000 - 168070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 168090 ns R psr 81000200 - 168090 ns MR4_I 01000208 2a001c5b - 168110 ns MR4_I 01000200 07c96841 - 168130 ns IT 01000200 6841 LDR r1,[r0,#4] - 168150 ns MR4_I 01000204 6002d1fc - 168210 ns MR4_D 40006004 00000001 - 168210 ns R r1 00000001 - 168210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 168230 ns R r1 80000000 - 168230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 168250 ns R psr 81000200 - 168250 ns MR4_I 01000208 2a001c5b - 168270 ns MR4_I 01000200 07c96841 - 168290 ns IT 01000200 6841 LDR r1,[r0,#4] - 168310 ns MR4_I 01000204 6002d1fc - 168370 ns MR4_D 40006004 00000001 - 168370 ns R r1 00000001 - 168370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 168390 ns R r1 80000000 - 168390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 168410 ns R psr 81000200 - 168410 ns MR4_I 01000208 2a001c5b - 168430 ns MR4_I 01000200 07c96841 - 168450 ns IT 01000200 6841 LDR r1,[r0,#4] - 168470 ns MR4_I 01000204 6002d1fc - 168530 ns MR4_D 40006004 00000001 - 168530 ns R r1 00000001 - 168530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 168550 ns R r1 80000000 - 168550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 168570 ns R psr 81000200 - 168570 ns MR4_I 01000208 2a001c5b - 168590 ns MR4_I 01000200 07c96841 - 168610 ns IT 01000200 6841 LDR r1,[r0,#4] - 168630 ns MR4_I 01000204 6002d1fc - 168690 ns MR4_D 40006004 00000001 - 168690 ns R r1 00000001 - 168690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 168710 ns R r1 80000000 - 168710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 168730 ns R psr 81000200 - 168730 ns MR4_I 01000208 2a001c5b - 168750 ns MR4_I 01000200 07c96841 - 168770 ns IT 01000200 6841 LDR r1,[r0,#4] - 168790 ns MR4_I 01000204 6002d1fc - 168850 ns MR4_D 40006004 00000001 - 168850 ns R r1 00000001 - 168850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 168870 ns R r1 80000000 - 168870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 168890 ns R psr 81000200 - 168890 ns MR4_I 01000208 2a001c5b - 168910 ns MR4_I 01000200 07c96841 - 168930 ns IT 01000200 6841 LDR r1,[r0,#4] - 168950 ns MR4_I 01000204 6002d1fc - 169010 ns MR4_D 40006004 00000001 - 169010 ns R r1 00000001 - 169010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 169030 ns R r1 80000000 - 169030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 169050 ns R psr 81000200 - 169050 ns MR4_I 01000208 2a001c5b - 169070 ns MR4_I 01000200 07c96841 - 169090 ns IT 01000200 6841 LDR r1,[r0,#4] - 169110 ns MR4_I 01000204 6002d1fc - 169170 ns MR4_D 40006004 00000001 - 169170 ns R r1 00000001 - 169170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 169190 ns R r1 80000000 - 169190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 169210 ns R psr 81000200 - 169210 ns MR4_I 01000208 2a001c5b - 169230 ns MR4_I 01000200 07c96841 - 169250 ns IT 01000200 6841 LDR r1,[r0,#4] - 169270 ns MR4_I 01000204 6002d1fc - 169330 ns MR4_D 40006004 00000001 - 169330 ns R r1 00000001 - 169330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 169350 ns R r1 80000000 - 169350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 169370 ns R psr 81000200 - 169370 ns MR4_I 01000208 2a001c5b - 169390 ns MR4_I 01000200 07c96841 - 169410 ns IT 01000200 6841 LDR r1,[r0,#4] - 169430 ns MR4_I 01000204 6002d1fc - 169490 ns MR4_D 40006004 00000001 - 169490 ns R r1 00000001 - 169490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 169510 ns R r1 80000000 - 169510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 169530 ns R psr 81000200 - 169530 ns MR4_I 01000208 2a001c5b - 169550 ns MR4_I 01000200 07c96841 - 169570 ns IT 01000200 6841 LDR r1,[r0,#4] - 169590 ns MR4_I 01000204 6002d1fc - 169650 ns MR4_D 40006004 00000001 - 169650 ns R r1 00000001 - 169650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 169670 ns R r1 80000000 - 169670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 169690 ns R psr 81000200 - 169690 ns MR4_I 01000208 2a001c5b - 169710 ns MR4_I 01000200 07c96841 - 169730 ns IT 01000200 6841 LDR r1,[r0,#4] - 169750 ns MR4_I 01000204 6002d1fc - 169810 ns MR4_D 40006004 00000001 - 169810 ns R r1 00000001 - 169810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 169830 ns R r1 80000000 - 169830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 169850 ns R psr 81000200 - 169850 ns MR4_I 01000208 2a001c5b - 169870 ns MR4_I 01000200 07c96841 - 169890 ns IT 01000200 6841 LDR r1,[r0,#4] - 169910 ns MR4_I 01000204 6002d1fc - 169970 ns MR4_D 40006004 00000001 - 169970 ns R r1 00000001 - 169970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 169990 ns R r1 80000000 - 169990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 170010 ns R psr 81000200 - 170010 ns MR4_I 01000208 2a001c5b - 170030 ns MR4_I 01000200 07c96841 - 170050 ns IT 01000200 6841 LDR r1,[r0,#4] - 170070 ns MR4_I 01000204 6002d1fc - 170130 ns MR4_D 40006004 00000001 - 170130 ns R r1 00000001 - 170130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 170150 ns R r1 80000000 - 170150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 170170 ns R psr 81000200 - 170170 ns MR4_I 01000208 2a001c5b - 170190 ns MR4_I 01000200 07c96841 - 170210 ns IT 01000200 6841 LDR r1,[r0,#4] - 170230 ns MR4_I 01000204 6002d1fc - 170290 ns MR4_D 40006004 00000001 - 170290 ns R r1 00000001 - 170290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 170310 ns R r1 80000000 - 170310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 170330 ns R psr 81000200 - 170330 ns MR4_I 01000208 2a001c5b - 170350 ns MR4_I 01000200 07c96841 - 170370 ns IT 01000200 6841 LDR r1,[r0,#4] - 170390 ns MR4_I 01000204 6002d1fc - 170450 ns MR4_D 40006004 00000001 - 170450 ns R r1 00000001 - 170450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 170470 ns R r1 80000000 - 170470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 170490 ns R psr 81000200 - 170490 ns MR4_I 01000208 2a001c5b - 170510 ns MR4_I 01000200 07c96841 - 170530 ns IT 01000200 6841 LDR r1,[r0,#4] - 170550 ns MR4_I 01000204 6002d1fc - 170610 ns MR4_D 40006004 00000001 - 170610 ns R r1 00000001 - 170610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 170630 ns R r1 80000000 - 170630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 170650 ns R psr 81000200 - 170650 ns MR4_I 01000208 2a001c5b - 170670 ns MR4_I 01000200 07c96841 - 170690 ns IT 01000200 6841 LDR r1,[r0,#4] - 170710 ns MR4_I 01000204 6002d1fc - 170770 ns MR4_D 40006004 00000001 - 170770 ns R r1 00000001 - 170770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 170790 ns R r1 80000000 - 170790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 170810 ns R psr 81000200 - 170810 ns MR4_I 01000208 2a001c5b - 170830 ns MR4_I 01000200 07c96841 - 170850 ns IT 01000200 6841 LDR r1,[r0,#4] - 170870 ns MR4_I 01000204 6002d1fc - 170930 ns MR4_D 40006004 00000001 - 170930 ns R r1 00000001 - 170930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 170950 ns R r1 80000000 - 170950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 170970 ns R psr 81000200 - 170970 ns MR4_I 01000208 2a001c5b - 170990 ns MR4_I 01000200 07c96841 - 171010 ns IT 01000200 6841 LDR r1,[r0,#4] - 171030 ns MR4_I 01000204 6002d1fc - 171090 ns MR4_D 40006004 00000001 - 171090 ns R r1 00000001 - 171090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 171110 ns R r1 80000000 - 171110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 171130 ns R psr 81000200 - 171130 ns MR4_I 01000208 2a001c5b - 171150 ns MR4_I 01000200 07c96841 - 171170 ns IT 01000200 6841 LDR r1,[r0,#4] - 171190 ns MR4_I 01000204 6002d1fc - 171250 ns MR4_D 40006004 00000001 - 171250 ns R r1 00000001 - 171250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 171270 ns R r1 80000000 - 171270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 171290 ns R psr 81000200 - 171290 ns MR4_I 01000208 2a001c5b - 171310 ns MR4_I 01000200 07c96841 - 171330 ns IT 01000200 6841 LDR r1,[r0,#4] - 171350 ns MR4_I 01000204 6002d1fc - 171410 ns MR4_D 40006004 00000001 - 171410 ns R r1 00000001 - 171410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 171430 ns R r1 80000000 - 171430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 171450 ns R psr 81000200 - 171450 ns MR4_I 01000208 2a001c5b - 171470 ns MR4_I 01000200 07c96841 - 171490 ns IT 01000200 6841 LDR r1,[r0,#4] - 171510 ns MR4_I 01000204 6002d1fc - 171570 ns MR4_D 40006004 00000001 - 171570 ns R r1 00000001 - 171570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 171590 ns R r1 80000000 - 171590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 171610 ns R psr 81000200 - 171610 ns MR4_I 01000208 2a001c5b - 171630 ns MR4_I 01000200 07c96841 - 171650 ns IT 01000200 6841 LDR r1,[r0,#4] - 171670 ns MR4_I 01000204 6002d1fc - 171730 ns MR4_D 40006004 00000001 - 171730 ns R r1 00000001 - 171730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 171750 ns R r1 80000000 - 171750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 171770 ns R psr 81000200 - 171770 ns MR4_I 01000208 2a001c5b - 171790 ns MR4_I 01000200 07c96841 - 171810 ns IT 01000200 6841 LDR r1,[r0,#4] - 171830 ns MR4_I 01000204 6002d1fc - 171890 ns MR4_D 40006004 00000001 - 171890 ns R r1 00000001 - 171890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 171910 ns R r1 80000000 - 171910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 171930 ns R psr 81000200 - 171930 ns MR4_I 01000208 2a001c5b - 171950 ns MR4_I 01000200 07c96841 - 171970 ns IT 01000200 6841 LDR r1,[r0,#4] - 171990 ns MR4_I 01000204 6002d1fc - 172050 ns MR4_D 40006004 00000001 - 172050 ns R r1 00000001 - 172050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 172070 ns R r1 80000000 - 172070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 172090 ns R psr 81000200 - 172090 ns MR4_I 01000208 2a001c5b - 172110 ns MR4_I 01000200 07c96841 - 172130 ns IT 01000200 6841 LDR r1,[r0,#4] - 172150 ns MR4_I 01000204 6002d1fc - 172210 ns MR4_D 40006004 00000001 - 172210 ns R r1 00000001 - 172210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 172230 ns R r1 80000000 - 172230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 172250 ns R psr 81000200 - 172250 ns MR4_I 01000208 2a001c5b - 172270 ns MR4_I 01000200 07c96841 - 172290 ns IT 01000200 6841 LDR r1,[r0,#4] - 172310 ns MR4_I 01000204 6002d1fc - 172370 ns MR4_D 40006004 00000001 - 172370 ns R r1 00000001 - 172370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 172390 ns R r1 80000000 - 172390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 172410 ns R psr 81000200 - 172410 ns MR4_I 01000208 2a001c5b - 172430 ns MR4_I 01000200 07c96841 - 172450 ns IT 01000200 6841 LDR r1,[r0,#4] - 172470 ns MR4_I 01000204 6002d1fc - 172530 ns MR4_D 40006004 00000001 - 172530 ns R r1 00000001 - 172530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 172550 ns R r1 80000000 - 172550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 172570 ns R psr 81000200 - 172570 ns MR4_I 01000208 2a001c5b - 172590 ns MR4_I 01000200 07c96841 - 172610 ns IT 01000200 6841 LDR r1,[r0,#4] - 172630 ns MR4_I 01000204 6002d1fc - 172690 ns MR4_D 40006004 00000001 - 172690 ns R r1 00000001 - 172690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 172710 ns R r1 80000000 - 172710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 172730 ns R psr 81000200 - 172730 ns MR4_I 01000208 2a001c5b - 172750 ns MR4_I 01000200 07c96841 - 172770 ns IT 01000200 6841 LDR r1,[r0,#4] - 172790 ns MR4_I 01000204 6002d1fc - 172850 ns MR4_D 40006004 00000001 - 172850 ns R r1 00000001 - 172850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 172870 ns R r1 80000000 - 172870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 172890 ns R psr 81000200 - 172890 ns MR4_I 01000208 2a001c5b - 172910 ns MR4_I 01000200 07c96841 - 172930 ns IT 01000200 6841 LDR r1,[r0,#4] - 172950 ns MR4_I 01000204 6002d1fc - 173010 ns MR4_D 40006004 00000001 - 173010 ns R r1 00000001 - 173010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 173030 ns R r1 80000000 - 173030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 173050 ns R psr 81000200 - 173050 ns MR4_I 01000208 2a001c5b - 173070 ns MR4_I 01000200 07c96841 - 173090 ns IT 01000200 6841 LDR r1,[r0,#4] - 173110 ns MR4_I 01000204 6002d1fc - 173170 ns MR4_D 40006004 00000001 - 173170 ns R r1 00000001 - 173170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 173190 ns R r1 80000000 - 173190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 173210 ns R psr 81000200 - 173210 ns MR4_I 01000208 2a001c5b - 173230 ns MR4_I 01000200 07c96841 - 173250 ns IT 01000200 6841 LDR r1,[r0,#4] - 173270 ns MR4_I 01000204 6002d1fc - 173330 ns MR4_D 40006004 00000001 - 173330 ns R r1 00000001 - 173330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 173350 ns R r1 80000000 - 173350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 173370 ns R psr 81000200 - 173370 ns MR4_I 01000208 2a001c5b - 173390 ns MR4_I 01000200 07c96841 - 173410 ns IT 01000200 6841 LDR r1,[r0,#4] - 173430 ns MR4_I 01000204 6002d1fc - 173490 ns MR4_D 40006004 00000001 - 173490 ns R r1 00000001 - 173490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 173510 ns R r1 80000000 - 173510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 173530 ns R psr 81000200 - 173530 ns MR4_I 01000208 2a001c5b - 173550 ns MR4_I 01000200 07c96841 - 173570 ns IT 01000200 6841 LDR r1,[r0,#4] - 173590 ns MR4_I 01000204 6002d1fc - 173650 ns MR4_D 40006004 00000001 - 173650 ns R r1 00000001 - 173650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 173670 ns R r1 80000000 - 173670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 173690 ns R psr 81000200 - 173690 ns MR4_I 01000208 2a001c5b - 173710 ns MR4_I 01000200 07c96841 - 173730 ns IT 01000200 6841 LDR r1,[r0,#4] - 173750 ns MR4_I 01000204 6002d1fc - 173810 ns MR4_D 40006004 00000001 - 173810 ns R r1 00000001 - 173810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 173830 ns R r1 80000000 - 173830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 173850 ns R psr 81000200 - 173850 ns MR4_I 01000208 2a001c5b - 173870 ns MR4_I 01000200 07c96841 - 173890 ns IT 01000200 6841 LDR r1,[r0,#4] - 173910 ns MR4_I 01000204 6002d1fc - 173970 ns MR4_D 40006004 00000001 - 173970 ns R r1 00000001 - 173970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 173990 ns R r1 80000000 - 173990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 174010 ns R psr 81000200 - 174010 ns MR4_I 01000208 2a001c5b - 174030 ns MR4_I 01000200 07c96841 - 174050 ns IT 01000200 6841 LDR r1,[r0,#4] - 174070 ns MR4_I 01000204 6002d1fc - 174130 ns MR4_D 40006004 00000001 - 174130 ns R r1 00000001 - 174130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 174150 ns R r1 80000000 - 174150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 174170 ns R psr 81000200 - 174170 ns MR4_I 01000208 2a001c5b - 174190 ns MR4_I 01000200 07c96841 - 174210 ns IT 01000200 6841 LDR r1,[r0,#4] - 174230 ns MR4_I 01000204 6002d1fc - 174290 ns MR4_D 40006004 00000001 - 174290 ns R r1 00000001 - 174290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 174310 ns R r1 80000000 - 174310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 174330 ns R psr 81000200 - 174330 ns MR4_I 01000208 2a001c5b - 174350 ns MR4_I 01000200 07c96841 - 174370 ns IT 01000200 6841 LDR r1,[r0,#4] - 174390 ns MR4_I 01000204 6002d1fc - 174450 ns MR4_D 40006004 00000001 - 174450 ns R r1 00000001 - 174450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 174470 ns R r1 80000000 - 174470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 174490 ns R psr 81000200 - 174490 ns MR4_I 01000208 2a001c5b - 174510 ns MR4_I 01000200 07c96841 - 174530 ns IT 01000200 6841 LDR r1,[r0,#4] - 174550 ns MR4_I 01000204 6002d1fc - 174610 ns MR4_D 40006004 00000001 - 174610 ns R r1 00000001 - 174610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 174630 ns R r1 80000000 - 174630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 174650 ns R psr 81000200 - 174650 ns MR4_I 01000208 2a001c5b - 174670 ns MR4_I 01000200 07c96841 - 174690 ns IT 01000200 6841 LDR r1,[r0,#4] - 174710 ns MR4_I 01000204 6002d1fc - 174770 ns MR4_D 40006004 00000001 - 174770 ns R r1 00000001 - 174770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 174790 ns R r1 80000000 - 174790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 174810 ns R psr 81000200 - 174810 ns MR4_I 01000208 2a001c5b - 174830 ns MR4_I 01000200 07c96841 - 174850 ns IT 01000200 6841 LDR r1,[r0,#4] - 174870 ns MR4_I 01000204 6002d1fc - 174930 ns MR4_D 40006004 00000001 - 174930 ns R r1 00000001 - 174930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 174950 ns R r1 80000000 - 174950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 174970 ns R psr 81000200 - 174970 ns MR4_I 01000208 2a001c5b - 174990 ns MR4_I 01000200 07c96841 - 175010 ns IT 01000200 6841 LDR r1,[r0,#4] - 175030 ns MR4_I 01000204 6002d1fc - 175090 ns MR4_D 40006004 00000001 - 175090 ns R r1 00000001 - 175090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 175110 ns R r1 80000000 - 175110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 175130 ns R psr 81000200 - 175130 ns MR4_I 01000208 2a001c5b - 175150 ns MR4_I 01000200 07c96841 - 175170 ns IT 01000200 6841 LDR r1,[r0,#4] - 175190 ns MR4_I 01000204 6002d1fc - 175250 ns MR4_D 40006004 00000001 - 175250 ns R r1 00000001 - 175250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 175270 ns R r1 80000000 - 175270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 175290 ns R psr 81000200 - 175290 ns MR4_I 01000208 2a001c5b - 175310 ns MR4_I 01000200 07c96841 - 175330 ns IT 01000200 6841 LDR r1,[r0,#4] - 175350 ns MR4_I 01000204 6002d1fc - 175410 ns MR4_D 40006004 00000001 - 175410 ns R r1 00000001 - 175410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 175430 ns R r1 80000000 - 175430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 175450 ns R psr 81000200 - 175450 ns MR4_I 01000208 2a001c5b - 175470 ns MR4_I 01000200 07c96841 - 175490 ns IT 01000200 6841 LDR r1,[r0,#4] - 175510 ns MR4_I 01000204 6002d1fc - 175570 ns MR4_D 40006004 00000001 - 175570 ns R r1 00000001 - 175570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 175590 ns R r1 80000000 - 175590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 175610 ns R psr 81000200 - 175610 ns MR4_I 01000208 2a001c5b - 175630 ns MR4_I 01000200 07c96841 - 175650 ns IT 01000200 6841 LDR r1,[r0,#4] - 175670 ns MR4_I 01000204 6002d1fc - 175730 ns MR4_D 40006004 00000001 - 175730 ns R r1 00000001 - 175730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 175750 ns R r1 80000000 - 175750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 175770 ns R psr 81000200 - 175770 ns MR4_I 01000208 2a001c5b - 175790 ns MR4_I 01000200 07c96841 - 175810 ns IT 01000200 6841 LDR r1,[r0,#4] - 175830 ns MR4_I 01000204 6002d1fc - 175890 ns MR4_D 40006004 00000001 - 175890 ns R r1 00000001 - 175890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 175910 ns R r1 80000000 - 175910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 175930 ns R psr 81000200 - 175930 ns MR4_I 01000208 2a001c5b - 175950 ns MR4_I 01000200 07c96841 - 175970 ns IT 01000200 6841 LDR r1,[r0,#4] - 175990 ns MR4_I 01000204 6002d1fc - 176050 ns MR4_D 40006004 00000001 - 176050 ns R r1 00000001 - 176050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 176070 ns R r1 80000000 - 176070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 176090 ns R psr 81000200 - 176090 ns MR4_I 01000208 2a001c5b - 176110 ns MR4_I 01000200 07c96841 - 176130 ns IT 01000200 6841 LDR r1,[r0,#4] - 176150 ns MR4_I 01000204 6002d1fc - 176210 ns MR4_D 40006004 00000001 - 176210 ns R r1 00000001 - 176210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 176230 ns R r1 80000000 - 176230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 176250 ns R psr 81000200 - 176250 ns MR4_I 01000208 2a001c5b - 176270 ns MR4_I 01000200 07c96841 - 176290 ns IT 01000200 6841 LDR r1,[r0,#4] - 176310 ns MR4_I 01000204 6002d1fc - 176370 ns MR4_D 40006004 00000001 - 176370 ns R r1 00000001 - 176370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 176390 ns R r1 80000000 - 176390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 176410 ns R psr 81000200 - 176410 ns MR4_I 01000208 2a001c5b - 176430 ns MR4_I 01000200 07c96841 - 176450 ns IT 01000200 6841 LDR r1,[r0,#4] - 176470 ns MR4_I 01000204 6002d1fc - 176530 ns MR4_D 40006004 00000001 - 176530 ns R r1 00000001 - 176530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 176550 ns R r1 80000000 - 176550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 176570 ns R psr 81000200 - 176570 ns MR4_I 01000208 2a001c5b - 176590 ns MR4_I 01000200 07c96841 - 176610 ns IT 01000200 6841 LDR r1,[r0,#4] - 176630 ns MR4_I 01000204 6002d1fc - 176690 ns MR4_D 40006004 00000001 - 176690 ns R r1 00000001 - 176690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 176710 ns R r1 80000000 - 176710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 176730 ns R psr 81000200 - 176730 ns MR4_I 01000208 2a001c5b - 176750 ns MR4_I 01000200 07c96841 - 176770 ns IT 01000200 6841 LDR r1,[r0,#4] - 176790 ns MR4_I 01000204 6002d1fc - 176850 ns MR4_D 40006004 00000001 - 176850 ns R r1 00000001 - 176850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 176870 ns R r1 80000000 - 176870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 176890 ns R psr 81000200 - 176890 ns MR4_I 01000208 2a001c5b - 176910 ns MR4_I 01000200 07c96841 - 176930 ns IT 01000200 6841 LDR r1,[r0,#4] - 176950 ns MR4_I 01000204 6002d1fc - 177010 ns MR4_D 40006004 00000001 - 177010 ns R r1 00000001 - 177010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 177030 ns R r1 80000000 - 177030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 177050 ns R psr 81000200 - 177050 ns MR4_I 01000208 2a001c5b - 177070 ns MR4_I 01000200 07c96841 - 177090 ns IT 01000200 6841 LDR r1,[r0,#4] - 177110 ns MR4_I 01000204 6002d1fc - 177170 ns MR4_D 40006004 00000001 - 177170 ns R r1 00000001 - 177170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 177190 ns R r1 80000000 - 177190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 177210 ns R psr 81000200 - 177210 ns MR4_I 01000208 2a001c5b - 177230 ns MR4_I 01000200 07c96841 - 177250 ns IT 01000200 6841 LDR r1,[r0,#4] - 177270 ns MR4_I 01000204 6002d1fc - 177330 ns MR4_D 40006004 00000001 - 177330 ns R r1 00000001 - 177330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 177350 ns R r1 80000000 - 177350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 177370 ns R psr 81000200 - 177370 ns MR4_I 01000208 2a001c5b - 177390 ns MR4_I 01000200 07c96841 - 177410 ns IT 01000200 6841 LDR r1,[r0,#4] - 177430 ns MR4_I 01000204 6002d1fc - 177490 ns MR4_D 40006004 00000001 - 177490 ns R r1 00000001 - 177490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 177510 ns R r1 80000000 - 177510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 177530 ns R psr 81000200 - 177530 ns MR4_I 01000208 2a001c5b - 177550 ns MR4_I 01000200 07c96841 - 177570 ns IT 01000200 6841 LDR r1,[r0,#4] - 177590 ns MR4_I 01000204 6002d1fc - 177650 ns MR4_D 40006004 00000001 - 177650 ns R r1 00000001 - 177650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 177670 ns R r1 80000000 - 177670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 177690 ns R psr 81000200 - 177690 ns MR4_I 01000208 2a001c5b - 177710 ns MR4_I 01000200 07c96841 - 177730 ns IT 01000200 6841 LDR r1,[r0,#4] - 177750 ns MR4_I 01000204 6002d1fc - 177810 ns MR4_D 40006004 00000001 - 177810 ns R r1 00000001 - 177810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 177830 ns R r1 80000000 - 177830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 177850 ns R psr 81000200 - 177850 ns MR4_I 01000208 2a001c5b - 177870 ns MR4_I 01000200 07c96841 - 177890 ns IT 01000200 6841 LDR r1,[r0,#4] - 177910 ns MR4_I 01000204 6002d1fc - 177970 ns MR4_D 40006004 00000001 - 177970 ns R r1 00000001 - 177970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 177990 ns R r1 80000000 - 177990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 178010 ns R psr 81000200 - 178010 ns MR4_I 01000208 2a001c5b - 178030 ns MR4_I 01000200 07c96841 - 178050 ns IT 01000200 6841 LDR r1,[r0,#4] - 178070 ns MR4_I 01000204 6002d1fc - 178130 ns MR4_D 40006004 00000001 - 178130 ns R r1 00000001 - 178130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 178150 ns R r1 80000000 - 178150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 178170 ns R psr 81000200 - 178170 ns MR4_I 01000208 2a001c5b - 178190 ns MR4_I 01000200 07c96841 - 178210 ns IT 01000200 6841 LDR r1,[r0,#4] - 178230 ns MR4_I 01000204 6002d1fc - 178290 ns MR4_D 40006004 00000001 - 178290 ns R r1 00000001 - 178290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 178310 ns R r1 80000000 - 178310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 178330 ns R psr 81000200 - 178330 ns MR4_I 01000208 2a001c5b - 178350 ns MR4_I 01000200 07c96841 - 178370 ns IT 01000200 6841 LDR r1,[r0,#4] - 178390 ns MR4_I 01000204 6002d1fc - 178450 ns MR4_D 40006004 00000001 - 178450 ns R r1 00000001 - 178450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 178470 ns R r1 80000000 - 178470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 178490 ns R psr 81000200 - 178490 ns MR4_I 01000208 2a001c5b - 178510 ns MR4_I 01000200 07c96841 - 178530 ns IT 01000200 6841 LDR r1,[r0,#4] - 178550 ns MR4_I 01000204 6002d1fc - 178610 ns MR4_D 40006004 00000001 - 178610 ns R r1 00000001 - 178610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 178630 ns R r1 80000000 - 178630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 178650 ns R psr 81000200 - 178650 ns MR4_I 01000208 2a001c5b - 178670 ns MR4_I 01000200 07c96841 - 178690 ns IT 01000200 6841 LDR r1,[r0,#4] - 178710 ns MR4_I 01000204 6002d1fc - 178770 ns MR4_D 40006004 00000001 - 178770 ns R r1 00000001 - 178770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 178790 ns R r1 80000000 - 178790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 178810 ns R psr 81000200 - 178810 ns MR4_I 01000208 2a001c5b - 178830 ns MR4_I 01000200 07c96841 - 178850 ns IT 01000200 6841 LDR r1,[r0,#4] - 178870 ns MR4_I 01000204 6002d1fc - 178930 ns MR4_D 40006004 00000001 - 178930 ns R r1 00000001 - 178930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 178950 ns R r1 80000000 - 178950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 178970 ns R psr 81000200 - 178970 ns MR4_I 01000208 2a001c5b - 178990 ns MR4_I 01000200 07c96841 - 179010 ns IT 01000200 6841 LDR r1,[r0,#4] - 179030 ns MR4_I 01000204 6002d1fc - 179090 ns MR4_D 40006004 00000001 - 179090 ns R r1 00000001 - 179090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 179110 ns R r1 80000000 - 179110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 179130 ns R psr 81000200 - 179130 ns MR4_I 01000208 2a001c5b - 179150 ns MR4_I 01000200 07c96841 - 179170 ns IT 01000200 6841 LDR r1,[r0,#4] - 179190 ns MR4_I 01000204 6002d1fc - 179250 ns MR4_D 40006004 00000001 - 179250 ns R r1 00000001 - 179250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 179270 ns R r1 80000000 - 179270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 179290 ns R psr 81000200 - 179290 ns MR4_I 01000208 2a001c5b - 179310 ns MR4_I 01000200 07c96841 - 179330 ns IT 01000200 6841 LDR r1,[r0,#4] - 179350 ns MR4_I 01000204 6002d1fc - 179410 ns MR4_D 40006004 00000001 - 179410 ns R r1 00000001 - 179410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 179430 ns R r1 80000000 - 179430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 179450 ns R psr 81000200 - 179450 ns MR4_I 01000208 2a001c5b - 179470 ns MR4_I 01000200 07c96841 - 179490 ns IT 01000200 6841 LDR r1,[r0,#4] - 179510 ns MR4_I 01000204 6002d1fc - 179570 ns MR4_D 40006004 00000001 - 179570 ns R r1 00000001 - 179570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 179590 ns R r1 80000000 - 179590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 179610 ns R psr 81000200 - 179610 ns MR4_I 01000208 2a001c5b - 179630 ns MR4_I 01000200 07c96841 - 179650 ns IT 01000200 6841 LDR r1,[r0,#4] - 179670 ns MR4_I 01000204 6002d1fc - 179730 ns MR4_D 40006004 00000001 - 179730 ns R r1 00000001 - 179730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 179750 ns R r1 80000000 - 179750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 179770 ns R psr 81000200 - 179770 ns MR4_I 01000208 2a001c5b - 179790 ns MR4_I 01000200 07c96841 - 179810 ns IT 01000200 6841 LDR r1,[r0,#4] - 179830 ns MR4_I 01000204 6002d1fc - 179890 ns MR4_D 40006004 00000001 - 179890 ns R r1 00000001 - 179890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 179910 ns R r1 80000000 - 179910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 179930 ns R psr 81000200 - 179930 ns MR4_I 01000208 2a001c5b - 179950 ns MR4_I 01000200 07c96841 - 179970 ns IT 01000200 6841 LDR r1,[r0,#4] - 179990 ns MR4_I 01000204 6002d1fc - 180050 ns MR4_D 40006004 00000001 - 180050 ns R r1 00000001 - 180050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 180070 ns R r1 80000000 - 180070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 180090 ns R psr 81000200 - 180090 ns MR4_I 01000208 2a001c5b - 180110 ns MR4_I 01000200 07c96841 - 180130 ns IT 01000200 6841 LDR r1,[r0,#4] - 180150 ns MR4_I 01000204 6002d1fc - 180210 ns MR4_D 40006004 00000001 - 180210 ns R r1 00000001 - 180210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 180230 ns R r1 80000000 - 180230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 180250 ns R psr 81000200 - 180250 ns MR4_I 01000208 2a001c5b - 180270 ns MR4_I 01000200 07c96841 - 180290 ns IT 01000200 6841 LDR r1,[r0,#4] - 180310 ns MR4_I 01000204 6002d1fc - 180370 ns MR4_D 40006004 00000001 - 180370 ns R r1 00000001 - 180370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 180390 ns R r1 80000000 - 180390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 180410 ns R psr 81000200 - 180410 ns MR4_I 01000208 2a001c5b - 180430 ns MR4_I 01000200 07c96841 - 180450 ns IT 01000200 6841 LDR r1,[r0,#4] - 180470 ns MR4_I 01000204 6002d1fc - 180530 ns MR4_D 40006004 00000001 - 180530 ns R r1 00000001 - 180530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 180550 ns R r1 80000000 - 180550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 180570 ns R psr 81000200 - 180570 ns MR4_I 01000208 2a001c5b - 180590 ns MR4_I 01000200 07c96841 - 180610 ns IT 01000200 6841 LDR r1,[r0,#4] - 180630 ns MR4_I 01000204 6002d1fc - 180690 ns MR4_D 40006004 00000001 - 180690 ns R r1 00000001 - 180690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 180710 ns R r1 80000000 - 180710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 180730 ns R psr 81000200 - 180730 ns MR4_I 01000208 2a001c5b - 180750 ns MR4_I 01000200 07c96841 - 180770 ns IT 01000200 6841 LDR r1,[r0,#4] - 180790 ns MR4_I 01000204 6002d1fc - 180850 ns MR4_D 40006004 00000001 - 180850 ns R r1 00000001 - 180850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 180870 ns R r1 80000000 - 180870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 180890 ns R psr 81000200 - 180890 ns MR4_I 01000208 2a001c5b - 180910 ns MR4_I 01000200 07c96841 - 180930 ns IT 01000200 6841 LDR r1,[r0,#4] - 180950 ns MR4_I 01000204 6002d1fc - 181010 ns MR4_D 40006004 00000001 - 181010 ns R r1 00000001 - 181010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 181030 ns R r1 80000000 - 181030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 181050 ns R psr 81000200 - 181050 ns MR4_I 01000208 2a001c5b - 181070 ns MR4_I 01000200 07c96841 - 181090 ns IT 01000200 6841 LDR r1,[r0,#4] - 181110 ns MR4_I 01000204 6002d1fc - 181170 ns MR4_D 40006004 00000001 - 181170 ns R r1 00000001 - 181170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 181190 ns R r1 80000000 - 181190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 181210 ns R psr 81000200 - 181210 ns MR4_I 01000208 2a001c5b - 181230 ns MR4_I 01000200 07c96841 - 181250 ns IT 01000200 6841 LDR r1,[r0,#4] - 181270 ns MR4_I 01000204 6002d1fc - 181330 ns MR4_D 40006004 00000001 - 181330 ns R r1 00000001 - 181330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 181350 ns R r1 80000000 - 181350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 181370 ns R psr 81000200 - 181370 ns MR4_I 01000208 2a001c5b - 181390 ns MR4_I 01000200 07c96841 - 181410 ns IT 01000200 6841 LDR r1,[r0,#4] - 181430 ns MR4_I 01000204 6002d1fc - 181490 ns MR4_D 40006004 00000001 - 181490 ns R r1 00000001 - 181490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 181510 ns R r1 80000000 - 181510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 181530 ns R psr 81000200 - 181530 ns MR4_I 01000208 2a001c5b - 181550 ns MR4_I 01000200 07c96841 - 181570 ns IT 01000200 6841 LDR r1,[r0,#4] - 181590 ns MR4_I 01000204 6002d1fc - 181650 ns MR4_D 40006004 00000001 - 181650 ns R r1 00000001 - 181650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 181670 ns R r1 80000000 - 181670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 181690 ns R psr 81000200 - 181690 ns MR4_I 01000208 2a001c5b - 181710 ns MR4_I 01000200 07c96841 - 181730 ns IT 01000200 6841 LDR r1,[r0,#4] - 181750 ns MR4_I 01000204 6002d1fc - 181810 ns MR4_D 40006004 00000001 - 181810 ns R r1 00000001 - 181810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 181830 ns R r1 80000000 - 181830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 181850 ns R psr 81000200 - 181850 ns MR4_I 01000208 2a001c5b - 181870 ns MR4_I 01000200 07c96841 - 181890 ns IT 01000200 6841 LDR r1,[r0,#4] - 181910 ns MR4_I 01000204 6002d1fc - 181970 ns MR4_D 40006004 00000001 - 181970 ns R r1 00000001 - 181970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 181990 ns R r1 80000000 - 181990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 182010 ns R psr 81000200 - 182010 ns MR4_I 01000208 2a001c5b - 182030 ns MR4_I 01000200 07c96841 - 182050 ns IT 01000200 6841 LDR r1,[r0,#4] - 182070 ns MR4_I 01000204 6002d1fc - 182130 ns MR4_D 40006004 00000001 - 182130 ns R r1 00000001 - 182130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 182150 ns R r1 80000000 - 182150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 182170 ns R psr 81000200 - 182170 ns MR4_I 01000208 2a001c5b - 182190 ns MR4_I 01000200 07c96841 - 182210 ns IT 01000200 6841 LDR r1,[r0,#4] - 182230 ns MR4_I 01000204 6002d1fc - 182290 ns MR4_D 40006004 00000001 - 182290 ns R r1 00000001 - 182290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 182310 ns R r1 80000000 - 182310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 182330 ns R psr 81000200 - 182330 ns MR4_I 01000208 2a001c5b - 182350 ns MR4_I 01000200 07c96841 - 182370 ns IT 01000200 6841 LDR r1,[r0,#4] - 182390 ns MR4_I 01000204 6002d1fc - 182450 ns MR4_D 40006004 00000001 - 182450 ns R r1 00000001 - 182450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 182470 ns R r1 80000000 - 182470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 182490 ns R psr 81000200 - 182490 ns MR4_I 01000208 2a001c5b - 182510 ns MR4_I 01000200 07c96841 - 182530 ns IT 01000200 6841 LDR r1,[r0,#4] - 182550 ns MR4_I 01000204 6002d1fc - 182610 ns MR4_D 40006004 00000001 - 182610 ns R r1 00000001 - 182610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 182630 ns R r1 80000000 - 182630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 182650 ns R psr 81000200 - 182650 ns MR4_I 01000208 2a001c5b - 182670 ns MR4_I 01000200 07c96841 - 182690 ns IT 01000200 6841 LDR r1,[r0,#4] - 182710 ns MR4_I 01000204 6002d1fc - 182770 ns MR4_D 40006004 00000001 - 182770 ns R r1 00000001 - 182770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 182790 ns R r1 80000000 - 182790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 182810 ns R psr 81000200 - 182810 ns MR4_I 01000208 2a001c5b - 182830 ns MR4_I 01000200 07c96841 - 182850 ns IT 01000200 6841 LDR r1,[r0,#4] - 182870 ns MR4_I 01000204 6002d1fc - 182930 ns MR4_D 40006004 00000001 - 182930 ns R r1 00000001 - 182930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 182950 ns R r1 80000000 - 182950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 182970 ns R psr 81000200 - 182970 ns MR4_I 01000208 2a001c5b - 182990 ns MR4_I 01000200 07c96841 - 183010 ns IT 01000200 6841 LDR r1,[r0,#4] - 183030 ns MR4_I 01000204 6002d1fc - 183090 ns MR4_D 40006004 00000000 - 183090 ns R r1 00000000 - 183090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 183110 ns R r1 00000000 - 183110 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 183130 ns R psr 41000200 - 183130 ns MR4_I 01000208 2a001c5b - 183130 ns IT 01000206 6002 STR r2,[r0,#0] - 183210 ns MW4_D 40006000 0000002d - 183210 ns IT 01000208 1c5b ADDS r3,r3,#1 - 183230 ns MR4_I 0100020c a32ad1f5 - 183230 ns R r3 0100029a - 183230 ns IT 0100020a 2a00 CMP r2,#0 - 183250 ns R psr 01000200 - 183250 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 183270 ns R psr 21000200 - 183270 ns MR4_I 01000210 2a00781a - 183290 ns MR4_I 010001f8 781aa326 - 183310 ns MR4_I 010001fc d0062a00 - 183310 ns IT 010001fa 781a LDRB r2,[r3,#0] - 183350 ns MR1_D 0100029a 6f732d49 - 183350 ns R r2 00000073 - 183350 ns IT 010001fc 2a00 CMP r2,#0 - 183370 ns MR4_I 01000200 07c96841 - 183370 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 183390 ns R psr 21000200 - 183390 ns IT 01000200 6841 LDR r1,[r0,#4] - 183410 ns MR4_I 01000204 6002d1fc - 183470 ns MR4_D 40006004 00000001 - 183470 ns R r1 00000001 - 183470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 183490 ns R r1 80000000 - 183490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 183510 ns R psr 81000200 - 183510 ns MR4_I 01000208 2a001c5b - 183530 ns MR4_I 01000200 07c96841 - 183550 ns IT 01000200 6841 LDR r1,[r0,#4] - 183570 ns MR4_I 01000204 6002d1fc - 183630 ns MR4_D 40006004 00000001 - 183630 ns R r1 00000001 - 183630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 183650 ns R r1 80000000 - 183650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 183670 ns R psr 81000200 - 183670 ns MR4_I 01000208 2a001c5b - 183690 ns MR4_I 01000200 07c96841 - 183710 ns IT 01000200 6841 LDR r1,[r0,#4] - 183730 ns MR4_I 01000204 6002d1fc - 183790 ns MR4_D 40006004 00000001 - 183790 ns R r1 00000001 - 183790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 183810 ns R r1 80000000 - 183810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 183830 ns R psr 81000200 - 183830 ns MR4_I 01000208 2a001c5b - 183850 ns MR4_I 01000200 07c96841 - 183870 ns IT 01000200 6841 LDR r1,[r0,#4] - 183890 ns MR4_I 01000204 6002d1fc - 183950 ns MR4_D 40006004 00000001 - 183950 ns R r1 00000001 - 183950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 183970 ns R r1 80000000 - 183970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 183990 ns R psr 81000200 - 183990 ns MR4_I 01000208 2a001c5b - 184010 ns MR4_I 01000200 07c96841 - 184030 ns IT 01000200 6841 LDR r1,[r0,#4] - 184050 ns MR4_I 01000204 6002d1fc - 184110 ns MR4_D 40006004 00000001 - 184110 ns R r1 00000001 - 184110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 184130 ns R r1 80000000 - 184130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 184150 ns R psr 81000200 - 184150 ns MR4_I 01000208 2a001c5b - 184170 ns MR4_I 01000200 07c96841 - 184190 ns IT 01000200 6841 LDR r1,[r0,#4] - 184210 ns MR4_I 01000204 6002d1fc - 184270 ns MR4_D 40006004 00000001 - 184270 ns R r1 00000001 - 184270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 184290 ns R r1 80000000 - 184290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 184310 ns R psr 81000200 - 184310 ns MR4_I 01000208 2a001c5b - 184330 ns MR4_I 01000200 07c96841 - 184350 ns IT 01000200 6841 LDR r1,[r0,#4] - 184370 ns MR4_I 01000204 6002d1fc - 184430 ns MR4_D 40006004 00000001 - 184430 ns R r1 00000001 - 184430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 184450 ns R r1 80000000 - 184450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 184470 ns R psr 81000200 - 184470 ns MR4_I 01000208 2a001c5b - 184490 ns MR4_I 01000200 07c96841 - 184510 ns IT 01000200 6841 LDR r1,[r0,#4] - 184530 ns MR4_I 01000204 6002d1fc - 184590 ns MR4_D 40006004 00000001 - 184590 ns R r1 00000001 - 184590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 184610 ns R r1 80000000 - 184610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 184630 ns R psr 81000200 - 184630 ns MR4_I 01000208 2a001c5b - 184650 ns MR4_I 01000200 07c96841 - 184670 ns IT 01000200 6841 LDR r1,[r0,#4] - 184690 ns MR4_I 01000204 6002d1fc - 184750 ns MR4_D 40006004 00000001 - 184750 ns R r1 00000001 - 184750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 184770 ns R r1 80000000 - 184770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 184790 ns R psr 81000200 - 184790 ns MR4_I 01000208 2a001c5b - 184810 ns MR4_I 01000200 07c96841 - 184830 ns IT 01000200 6841 LDR r1,[r0,#4] - 184850 ns MR4_I 01000204 6002d1fc - 184910 ns MR4_D 40006004 00000001 - 184910 ns R r1 00000001 - 184910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 184930 ns R r1 80000000 - 184930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 184950 ns R psr 81000200 - 184950 ns MR4_I 01000208 2a001c5b - 184970 ns MR4_I 01000200 07c96841 - 184990 ns IT 01000200 6841 LDR r1,[r0,#4] - 185010 ns MR4_I 01000204 6002d1fc - 185070 ns MR4_D 40006004 00000001 - 185070 ns R r1 00000001 - 185070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 185090 ns R r1 80000000 - 185090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 185110 ns R psr 81000200 - 185110 ns MR4_I 01000208 2a001c5b - 185130 ns MR4_I 01000200 07c96841 - 185150 ns IT 01000200 6841 LDR r1,[r0,#4] - 185170 ns MR4_I 01000204 6002d1fc - 185230 ns MR4_D 40006004 00000001 - 185230 ns R r1 00000001 - 185230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 185250 ns R r1 80000000 - 185250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 185270 ns R psr 81000200 - 185270 ns MR4_I 01000208 2a001c5b - 185290 ns MR4_I 01000200 07c96841 - 185310 ns IT 01000200 6841 LDR r1,[r0,#4] - 185330 ns MR4_I 01000204 6002d1fc - 185390 ns MR4_D 40006004 00000001 - 185390 ns R r1 00000001 - 185390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 185410 ns R r1 80000000 - 185410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 185430 ns R psr 81000200 - 185430 ns MR4_I 01000208 2a001c5b - 185450 ns MR4_I 01000200 07c96841 - 185470 ns IT 01000200 6841 LDR r1,[r0,#4] - 185490 ns MR4_I 01000204 6002d1fc - 185550 ns MR4_D 40006004 00000001 - 185550 ns R r1 00000001 - 185550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 185570 ns R r1 80000000 - 185570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 185590 ns R psr 81000200 - 185590 ns MR4_I 01000208 2a001c5b - 185610 ns MR4_I 01000200 07c96841 - 185630 ns IT 01000200 6841 LDR r1,[r0,#4] - 185650 ns MR4_I 01000204 6002d1fc - 185710 ns MR4_D 40006004 00000001 - 185710 ns R r1 00000001 - 185710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 185730 ns R r1 80000000 - 185730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 185750 ns R psr 81000200 - 185750 ns MR4_I 01000208 2a001c5b - 185770 ns MR4_I 01000200 07c96841 - 185790 ns IT 01000200 6841 LDR r1,[r0,#4] - 185810 ns MR4_I 01000204 6002d1fc - 185870 ns MR4_D 40006004 00000001 - 185870 ns R r1 00000001 - 185870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 185890 ns R r1 80000000 - 185890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 185910 ns R psr 81000200 - 185910 ns MR4_I 01000208 2a001c5b - 185930 ns MR4_I 01000200 07c96841 - 185950 ns IT 01000200 6841 LDR r1,[r0,#4] - 185970 ns MR4_I 01000204 6002d1fc - 186030 ns MR4_D 40006004 00000001 - 186030 ns R r1 00000001 - 186030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 186050 ns R r1 80000000 - 186050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 186070 ns R psr 81000200 - 186070 ns MR4_I 01000208 2a001c5b - 186090 ns MR4_I 01000200 07c96841 - 186110 ns IT 01000200 6841 LDR r1,[r0,#4] - 186130 ns MR4_I 01000204 6002d1fc - 186190 ns MR4_D 40006004 00000001 - 186190 ns R r1 00000001 - 186190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 186210 ns R r1 80000000 - 186210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 186230 ns R psr 81000200 - 186230 ns MR4_I 01000208 2a001c5b - 186250 ns MR4_I 01000200 07c96841 - 186270 ns IT 01000200 6841 LDR r1,[r0,#4] - 186290 ns MR4_I 01000204 6002d1fc - 186350 ns MR4_D 40006004 00000001 - 186350 ns R r1 00000001 - 186350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 186370 ns R r1 80000000 - 186370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 186390 ns R psr 81000200 - 186390 ns MR4_I 01000208 2a001c5b - 186410 ns MR4_I 01000200 07c96841 - 186430 ns IT 01000200 6841 LDR r1,[r0,#4] - 186450 ns MR4_I 01000204 6002d1fc - 186510 ns MR4_D 40006004 00000001 - 186510 ns R r1 00000001 - 186510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 186530 ns R r1 80000000 - 186530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 186550 ns R psr 81000200 - 186550 ns MR4_I 01000208 2a001c5b - 186570 ns MR4_I 01000200 07c96841 - 186590 ns IT 01000200 6841 LDR r1,[r0,#4] - 186610 ns MR4_I 01000204 6002d1fc - 186670 ns MR4_D 40006004 00000001 - 186670 ns R r1 00000001 - 186670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 186690 ns R r1 80000000 - 186690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 186710 ns R psr 81000200 - 186710 ns MR4_I 01000208 2a001c5b - 186730 ns MR4_I 01000200 07c96841 - 186750 ns IT 01000200 6841 LDR r1,[r0,#4] - 186770 ns MR4_I 01000204 6002d1fc - 186830 ns MR4_D 40006004 00000001 - 186830 ns R r1 00000001 - 186830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 186850 ns R r1 80000000 - 186850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 186870 ns R psr 81000200 - 186870 ns MR4_I 01000208 2a001c5b - 186890 ns MR4_I 01000200 07c96841 - 186910 ns IT 01000200 6841 LDR r1,[r0,#4] - 186930 ns MR4_I 01000204 6002d1fc - 186990 ns MR4_D 40006004 00000001 - 186990 ns R r1 00000001 - 186990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 187010 ns R r1 80000000 - 187010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 187030 ns R psr 81000200 - 187030 ns MR4_I 01000208 2a001c5b - 187050 ns MR4_I 01000200 07c96841 - 187070 ns IT 01000200 6841 LDR r1,[r0,#4] - 187090 ns MR4_I 01000204 6002d1fc - 187150 ns MR4_D 40006004 00000001 - 187150 ns R r1 00000001 - 187150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 187170 ns R r1 80000000 - 187170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 187190 ns R psr 81000200 - 187190 ns MR4_I 01000208 2a001c5b - 187210 ns MR4_I 01000200 07c96841 - 187230 ns IT 01000200 6841 LDR r1,[r0,#4] - 187250 ns MR4_I 01000204 6002d1fc - 187310 ns MR4_D 40006004 00000001 - 187310 ns R r1 00000001 - 187310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 187330 ns R r1 80000000 - 187330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 187350 ns R psr 81000200 - 187350 ns MR4_I 01000208 2a001c5b - 187370 ns MR4_I 01000200 07c96841 - 187390 ns IT 01000200 6841 LDR r1,[r0,#4] - 187410 ns MR4_I 01000204 6002d1fc - 187470 ns MR4_D 40006004 00000001 - 187470 ns R r1 00000001 - 187470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 187490 ns R r1 80000000 - 187490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 187510 ns R psr 81000200 - 187510 ns MR4_I 01000208 2a001c5b - 187530 ns MR4_I 01000200 07c96841 - 187550 ns IT 01000200 6841 LDR r1,[r0,#4] - 187570 ns MR4_I 01000204 6002d1fc - 187630 ns MR4_D 40006004 00000001 - 187630 ns R r1 00000001 - 187630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 187650 ns R r1 80000000 - 187650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 187670 ns R psr 81000200 - 187670 ns MR4_I 01000208 2a001c5b - 187690 ns MR4_I 01000200 07c96841 - 187710 ns IT 01000200 6841 LDR r1,[r0,#4] - 187730 ns MR4_I 01000204 6002d1fc - 187790 ns MR4_D 40006004 00000001 - 187790 ns R r1 00000001 - 187790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 187810 ns R r1 80000000 - 187810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 187830 ns R psr 81000200 - 187830 ns MR4_I 01000208 2a001c5b - 187850 ns MR4_I 01000200 07c96841 - 187870 ns IT 01000200 6841 LDR r1,[r0,#4] - 187890 ns MR4_I 01000204 6002d1fc - 187950 ns MR4_D 40006004 00000001 - 187950 ns R r1 00000001 - 187950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 187970 ns R r1 80000000 - 187970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 187990 ns R psr 81000200 - 187990 ns MR4_I 01000208 2a001c5b - 188010 ns MR4_I 01000200 07c96841 - 188030 ns IT 01000200 6841 LDR r1,[r0,#4] - 188050 ns MR4_I 01000204 6002d1fc - 188110 ns MR4_D 40006004 00000001 - 188110 ns R r1 00000001 - 188110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 188130 ns R r1 80000000 - 188130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 188150 ns R psr 81000200 - 188150 ns MR4_I 01000208 2a001c5b - 188170 ns MR4_I 01000200 07c96841 - 188190 ns IT 01000200 6841 LDR r1,[r0,#4] - 188210 ns MR4_I 01000204 6002d1fc - 188270 ns MR4_D 40006004 00000001 - 188270 ns R r1 00000001 - 188270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 188290 ns R r1 80000000 - 188290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 188310 ns R psr 81000200 - 188310 ns MR4_I 01000208 2a001c5b - 188330 ns MR4_I 01000200 07c96841 - 188350 ns IT 01000200 6841 LDR r1,[r0,#4] - 188370 ns MR4_I 01000204 6002d1fc - 188430 ns MR4_D 40006004 00000001 - 188430 ns R r1 00000001 - 188430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 188450 ns R r1 80000000 - 188450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 188470 ns R psr 81000200 - 188470 ns MR4_I 01000208 2a001c5b - 188490 ns MR4_I 01000200 07c96841 - 188510 ns IT 01000200 6841 LDR r1,[r0,#4] - 188530 ns MR4_I 01000204 6002d1fc - 188590 ns MR4_D 40006004 00000001 - 188590 ns R r1 00000001 - 188590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 188610 ns R r1 80000000 - 188610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 188630 ns R psr 81000200 - 188630 ns MR4_I 01000208 2a001c5b - 188650 ns MR4_I 01000200 07c96841 - 188670 ns IT 01000200 6841 LDR r1,[r0,#4] - 188690 ns MR4_I 01000204 6002d1fc - 188750 ns MR4_D 40006004 00000001 - 188750 ns R r1 00000001 - 188750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 188770 ns R r1 80000000 - 188770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 188790 ns R psr 81000200 - 188790 ns MR4_I 01000208 2a001c5b - 188810 ns MR4_I 01000200 07c96841 - 188830 ns IT 01000200 6841 LDR r1,[r0,#4] - 188850 ns MR4_I 01000204 6002d1fc - 188910 ns MR4_D 40006004 00000001 - 188910 ns R r1 00000001 - 188910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 188930 ns R r1 80000000 - 188930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 188950 ns R psr 81000200 - 188950 ns MR4_I 01000208 2a001c5b - 188970 ns MR4_I 01000200 07c96841 - 188990 ns IT 01000200 6841 LDR r1,[r0,#4] - 189010 ns MR4_I 01000204 6002d1fc - 189070 ns MR4_D 40006004 00000001 - 189070 ns R r1 00000001 - 189070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 189090 ns R r1 80000000 - 189090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 189110 ns R psr 81000200 - 189110 ns MR4_I 01000208 2a001c5b - 189130 ns MR4_I 01000200 07c96841 - 189150 ns IT 01000200 6841 LDR r1,[r0,#4] - 189170 ns MR4_I 01000204 6002d1fc - 189230 ns MR4_D 40006004 00000001 - 189230 ns R r1 00000001 - 189230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 189250 ns R r1 80000000 - 189250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 189270 ns R psr 81000200 - 189270 ns MR4_I 01000208 2a001c5b - 189290 ns MR4_I 01000200 07c96841 - 189310 ns IT 01000200 6841 LDR r1,[r0,#4] - 189330 ns MR4_I 01000204 6002d1fc - 189390 ns MR4_D 40006004 00000001 - 189390 ns R r1 00000001 - 189390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 189410 ns R r1 80000000 - 189410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 189430 ns R psr 81000200 - 189430 ns MR4_I 01000208 2a001c5b - 189450 ns MR4_I 01000200 07c96841 - 189470 ns IT 01000200 6841 LDR r1,[r0,#4] - 189490 ns MR4_I 01000204 6002d1fc - 189550 ns MR4_D 40006004 00000001 - 189550 ns R r1 00000001 - 189550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 189570 ns R r1 80000000 - 189570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 189590 ns R psr 81000200 - 189590 ns MR4_I 01000208 2a001c5b - 189610 ns MR4_I 01000200 07c96841 - 189630 ns IT 01000200 6841 LDR r1,[r0,#4] - 189650 ns MR4_I 01000204 6002d1fc - 189710 ns MR4_D 40006004 00000001 - 189710 ns R r1 00000001 - 189710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 189730 ns R r1 80000000 - 189730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 189750 ns R psr 81000200 - 189750 ns MR4_I 01000208 2a001c5b - 189770 ns MR4_I 01000200 07c96841 - 189790 ns IT 01000200 6841 LDR r1,[r0,#4] - 189810 ns MR4_I 01000204 6002d1fc - 189870 ns MR4_D 40006004 00000001 - 189870 ns R r1 00000001 - 189870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 189890 ns R r1 80000000 - 189890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 189910 ns R psr 81000200 - 189910 ns MR4_I 01000208 2a001c5b - 189930 ns MR4_I 01000200 07c96841 - 189950 ns IT 01000200 6841 LDR r1,[r0,#4] - 189970 ns MR4_I 01000204 6002d1fc - 190030 ns MR4_D 40006004 00000001 - 190030 ns R r1 00000001 - 190030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 190050 ns R r1 80000000 - 190050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 190070 ns R psr 81000200 - 190070 ns MR4_I 01000208 2a001c5b - 190090 ns MR4_I 01000200 07c96841 - 190110 ns IT 01000200 6841 LDR r1,[r0,#4] - 190130 ns MR4_I 01000204 6002d1fc - 190190 ns MR4_D 40006004 00000001 - 190190 ns R r1 00000001 - 190190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 190210 ns R r1 80000000 - 190210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 190230 ns R psr 81000200 - 190230 ns MR4_I 01000208 2a001c5b - 190250 ns MR4_I 01000200 07c96841 - 190270 ns IT 01000200 6841 LDR r1,[r0,#4] - 190290 ns MR4_I 01000204 6002d1fc - 190350 ns MR4_D 40006004 00000001 - 190350 ns R r1 00000001 - 190350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 190370 ns R r1 80000000 - 190370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 190390 ns R psr 81000200 - 190390 ns MR4_I 01000208 2a001c5b - 190410 ns MR4_I 01000200 07c96841 - 190430 ns IT 01000200 6841 LDR r1,[r0,#4] - 190450 ns MR4_I 01000204 6002d1fc - 190510 ns MR4_D 40006004 00000001 - 190510 ns R r1 00000001 - 190510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 190530 ns R r1 80000000 - 190530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 190550 ns R psr 81000200 - 190550 ns MR4_I 01000208 2a001c5b - 190570 ns MR4_I 01000200 07c96841 - 190590 ns IT 01000200 6841 LDR r1,[r0,#4] - 190610 ns MR4_I 01000204 6002d1fc - 190670 ns MR4_D 40006004 00000001 - 190670 ns R r1 00000001 - 190670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 190690 ns R r1 80000000 - 190690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 190710 ns R psr 81000200 - 190710 ns MR4_I 01000208 2a001c5b - 190730 ns MR4_I 01000200 07c96841 - 190750 ns IT 01000200 6841 LDR r1,[r0,#4] - 190770 ns MR4_I 01000204 6002d1fc - 190830 ns MR4_D 40006004 00000001 - 190830 ns R r1 00000001 - 190830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 190850 ns R r1 80000000 - 190850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 190870 ns R psr 81000200 - 190870 ns MR4_I 01000208 2a001c5b - 190890 ns MR4_I 01000200 07c96841 - 190910 ns IT 01000200 6841 LDR r1,[r0,#4] - 190930 ns MR4_I 01000204 6002d1fc - 190990 ns MR4_D 40006004 00000001 - 190990 ns R r1 00000001 - 190990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 191010 ns R r1 80000000 - 191010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 191030 ns R psr 81000200 - 191030 ns MR4_I 01000208 2a001c5b - 191050 ns MR4_I 01000200 07c96841 - 191070 ns IT 01000200 6841 LDR r1,[r0,#4] - 191090 ns MR4_I 01000204 6002d1fc - 191150 ns MR4_D 40006004 00000001 - 191150 ns R r1 00000001 - 191150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 191170 ns R r1 80000000 - 191170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 191190 ns R psr 81000200 - 191190 ns MR4_I 01000208 2a001c5b - 191210 ns MR4_I 01000200 07c96841 - 191230 ns IT 01000200 6841 LDR r1,[r0,#4] - 191250 ns MR4_I 01000204 6002d1fc - 191310 ns MR4_D 40006004 00000001 - 191310 ns R r1 00000001 - 191310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 191330 ns R r1 80000000 - 191330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 191350 ns R psr 81000200 - 191350 ns MR4_I 01000208 2a001c5b - 191370 ns MR4_I 01000200 07c96841 - 191390 ns IT 01000200 6841 LDR r1,[r0,#4] - 191410 ns MR4_I 01000204 6002d1fc - 191470 ns MR4_D 40006004 00000001 - 191470 ns R r1 00000001 - 191470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 191490 ns R r1 80000000 - 191490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 191510 ns R psr 81000200 - 191510 ns MR4_I 01000208 2a001c5b - 191530 ns MR4_I 01000200 07c96841 - 191550 ns IT 01000200 6841 LDR r1,[r0,#4] - 191570 ns MR4_I 01000204 6002d1fc - 191630 ns MR4_D 40006004 00000001 - 191630 ns R r1 00000001 - 191630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 191650 ns R r1 80000000 - 191650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 191670 ns R psr 81000200 - 191670 ns MR4_I 01000208 2a001c5b - 191690 ns MR4_I 01000200 07c96841 - 191710 ns IT 01000200 6841 LDR r1,[r0,#4] - 191730 ns MR4_I 01000204 6002d1fc - 191790 ns MR4_D 40006004 00000001 - 191790 ns R r1 00000001 - 191790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 191810 ns R r1 80000000 - 191810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 191830 ns R psr 81000200 - 191830 ns MR4_I 01000208 2a001c5b - 191850 ns MR4_I 01000200 07c96841 - 191870 ns IT 01000200 6841 LDR r1,[r0,#4] - 191890 ns MR4_I 01000204 6002d1fc - 191950 ns MR4_D 40006004 00000001 - 191950 ns R r1 00000001 - 191950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 191970 ns R r1 80000000 - 191970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 191990 ns R psr 81000200 - 191990 ns MR4_I 01000208 2a001c5b - 192010 ns MR4_I 01000200 07c96841 - 192030 ns IT 01000200 6841 LDR r1,[r0,#4] - 192050 ns MR4_I 01000204 6002d1fc - 192110 ns MR4_D 40006004 00000001 - 192110 ns R r1 00000001 - 192110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 192130 ns R r1 80000000 - 192130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 192150 ns R psr 81000200 - 192150 ns MR4_I 01000208 2a001c5b - 192170 ns MR4_I 01000200 07c96841 - 192190 ns IT 01000200 6841 LDR r1,[r0,#4] - 192210 ns MR4_I 01000204 6002d1fc - 192270 ns MR4_D 40006004 00000001 - 192270 ns R r1 00000001 - 192270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 192290 ns R r1 80000000 - 192290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 192310 ns R psr 81000200 - 192310 ns MR4_I 01000208 2a001c5b - 192330 ns MR4_I 01000200 07c96841 - 192350 ns IT 01000200 6841 LDR r1,[r0,#4] - 192370 ns MR4_I 01000204 6002d1fc - 192430 ns MR4_D 40006004 00000001 - 192430 ns R r1 00000001 - 192430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 192450 ns R r1 80000000 - 192450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 192470 ns R psr 81000200 - 192470 ns MR4_I 01000208 2a001c5b - 192490 ns MR4_I 01000200 07c96841 - 192510 ns IT 01000200 6841 LDR r1,[r0,#4] - 192530 ns MR4_I 01000204 6002d1fc - 192590 ns MR4_D 40006004 00000001 - 192590 ns R r1 00000001 - 192590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 192610 ns R r1 80000000 - 192610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 192630 ns R psr 81000200 - 192630 ns MR4_I 01000208 2a001c5b - 192650 ns MR4_I 01000200 07c96841 - 192670 ns IT 01000200 6841 LDR r1,[r0,#4] - 192690 ns MR4_I 01000204 6002d1fc - 192750 ns MR4_D 40006004 00000001 - 192750 ns R r1 00000001 - 192750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 192770 ns R r1 80000000 - 192770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 192790 ns R psr 81000200 - 192790 ns MR4_I 01000208 2a001c5b - 192810 ns MR4_I 01000200 07c96841 - 192830 ns IT 01000200 6841 LDR r1,[r0,#4] - 192850 ns MR4_I 01000204 6002d1fc - 192910 ns MR4_D 40006004 00000001 - 192910 ns R r1 00000001 - 192910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 192930 ns R r1 80000000 - 192930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 192950 ns R psr 81000200 - 192950 ns MR4_I 01000208 2a001c5b - 192970 ns MR4_I 01000200 07c96841 - 192990 ns IT 01000200 6841 LDR r1,[r0,#4] - 193010 ns MR4_I 01000204 6002d1fc - 193070 ns MR4_D 40006004 00000001 - 193070 ns R r1 00000001 - 193070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 193090 ns R r1 80000000 - 193090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 193110 ns R psr 81000200 - 193110 ns MR4_I 01000208 2a001c5b - 193130 ns MR4_I 01000200 07c96841 - 193150 ns IT 01000200 6841 LDR r1,[r0,#4] - 193170 ns MR4_I 01000204 6002d1fc - 193230 ns MR4_D 40006004 00000001 - 193230 ns R r1 00000001 - 193230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 193250 ns R r1 80000000 - 193250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 193270 ns R psr 81000200 - 193270 ns MR4_I 01000208 2a001c5b - 193290 ns MR4_I 01000200 07c96841 - 193310 ns IT 01000200 6841 LDR r1,[r0,#4] - 193330 ns MR4_I 01000204 6002d1fc - 193390 ns MR4_D 40006004 00000001 - 193390 ns R r1 00000001 - 193390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 193410 ns R r1 80000000 - 193410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 193430 ns R psr 81000200 - 193430 ns MR4_I 01000208 2a001c5b - 193450 ns MR4_I 01000200 07c96841 - 193470 ns IT 01000200 6841 LDR r1,[r0,#4] - 193490 ns MR4_I 01000204 6002d1fc - 193550 ns MR4_D 40006004 00000001 - 193550 ns R r1 00000001 - 193550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 193570 ns R r1 80000000 - 193570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 193590 ns R psr 81000200 - 193590 ns MR4_I 01000208 2a001c5b - 193610 ns MR4_I 01000200 07c96841 - 193630 ns IT 01000200 6841 LDR r1,[r0,#4] - 193650 ns MR4_I 01000204 6002d1fc - 193710 ns MR4_D 40006004 00000001 - 193710 ns R r1 00000001 - 193710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 193730 ns R r1 80000000 - 193730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 193750 ns R psr 81000200 - 193750 ns MR4_I 01000208 2a001c5b - 193770 ns MR4_I 01000200 07c96841 - 193790 ns IT 01000200 6841 LDR r1,[r0,#4] - 193810 ns MR4_I 01000204 6002d1fc - 193870 ns MR4_D 40006004 00000001 - 193870 ns R r1 00000001 - 193870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 193890 ns R r1 80000000 - 193890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 193910 ns R psr 81000200 - 193910 ns MR4_I 01000208 2a001c5b - 193930 ns MR4_I 01000200 07c96841 - 193950 ns IT 01000200 6841 LDR r1,[r0,#4] - 193970 ns MR4_I 01000204 6002d1fc - 194030 ns MR4_D 40006004 00000001 - 194030 ns R r1 00000001 - 194030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 194050 ns R r1 80000000 - 194050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 194070 ns R psr 81000200 - 194070 ns MR4_I 01000208 2a001c5b - 194090 ns MR4_I 01000200 07c96841 - 194110 ns IT 01000200 6841 LDR r1,[r0,#4] - 194130 ns MR4_I 01000204 6002d1fc - 194190 ns MR4_D 40006004 00000001 - 194190 ns R r1 00000001 - 194190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 194210 ns R r1 80000000 - 194210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 194230 ns R psr 81000200 - 194230 ns MR4_I 01000208 2a001c5b - 194250 ns MR4_I 01000200 07c96841 - 194270 ns IT 01000200 6841 LDR r1,[r0,#4] - 194290 ns MR4_I 01000204 6002d1fc - 194350 ns MR4_D 40006004 00000001 - 194350 ns R r1 00000001 - 194350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 194370 ns R r1 80000000 - 194370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 194390 ns R psr 81000200 - 194390 ns MR4_I 01000208 2a001c5b - 194410 ns MR4_I 01000200 07c96841 - 194430 ns IT 01000200 6841 LDR r1,[r0,#4] - 194450 ns MR4_I 01000204 6002d1fc - 194510 ns MR4_D 40006004 00000001 - 194510 ns R r1 00000001 - 194510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 194530 ns R r1 80000000 - 194530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 194550 ns R psr 81000200 - 194550 ns MR4_I 01000208 2a001c5b - 194570 ns MR4_I 01000200 07c96841 - 194590 ns IT 01000200 6841 LDR r1,[r0,#4] - 194610 ns MR4_I 01000204 6002d1fc - 194670 ns MR4_D 40006004 00000001 - 194670 ns R r1 00000001 - 194670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 194690 ns R r1 80000000 - 194690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 194710 ns R psr 81000200 - 194710 ns MR4_I 01000208 2a001c5b - 194730 ns MR4_I 01000200 07c96841 - 194750 ns IT 01000200 6841 LDR r1,[r0,#4] - 194770 ns MR4_I 01000204 6002d1fc - 194830 ns MR4_D 40006004 00000001 - 194830 ns R r1 00000001 - 194830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 194850 ns R r1 80000000 - 194850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 194870 ns R psr 81000200 - 194870 ns MR4_I 01000208 2a001c5b - 194890 ns MR4_I 01000200 07c96841 - 194910 ns IT 01000200 6841 LDR r1,[r0,#4] - 194930 ns MR4_I 01000204 6002d1fc - 194990 ns MR4_D 40006004 00000001 - 194990 ns R r1 00000001 - 194990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 195010 ns R r1 80000000 - 195010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 195030 ns R psr 81000200 - 195030 ns MR4_I 01000208 2a001c5b - 195050 ns MR4_I 01000200 07c96841 - 195070 ns IT 01000200 6841 LDR r1,[r0,#4] - 195090 ns MR4_I 01000204 6002d1fc - 195150 ns MR4_D 40006004 00000001 - 195150 ns R r1 00000001 - 195150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 195170 ns R r1 80000000 - 195170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 195190 ns R psr 81000200 - 195190 ns MR4_I 01000208 2a001c5b - 195210 ns MR4_I 01000200 07c96841 - 195230 ns IT 01000200 6841 LDR r1,[r0,#4] - 195250 ns MR4_I 01000204 6002d1fc - 195310 ns MR4_D 40006004 00000001 - 195310 ns R r1 00000001 - 195310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 195330 ns R r1 80000000 - 195330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 195350 ns R psr 81000200 - 195350 ns MR4_I 01000208 2a001c5b - 195370 ns MR4_I 01000200 07c96841 - 195390 ns IT 01000200 6841 LDR r1,[r0,#4] - 195410 ns MR4_I 01000204 6002d1fc - 195470 ns MR4_D 40006004 00000001 - 195470 ns R r1 00000001 - 195470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 195490 ns R r1 80000000 - 195490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 195510 ns R psr 81000200 - 195510 ns MR4_I 01000208 2a001c5b - 195530 ns MR4_I 01000200 07c96841 - 195550 ns IT 01000200 6841 LDR r1,[r0,#4] - 195570 ns MR4_I 01000204 6002d1fc - 195630 ns MR4_D 40006004 00000001 - 195630 ns R r1 00000001 - 195630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 195650 ns R r1 80000000 - 195650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 195670 ns R psr 81000200 - 195670 ns MR4_I 01000208 2a001c5b - 195690 ns MR4_I 01000200 07c96841 - 195710 ns IT 01000200 6841 LDR r1,[r0,#4] - 195730 ns MR4_I 01000204 6002d1fc - 195790 ns MR4_D 40006004 00000001 - 195790 ns R r1 00000001 - 195790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 195810 ns R r1 80000000 - 195810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 195830 ns R psr 81000200 - 195830 ns MR4_I 01000208 2a001c5b - 195850 ns MR4_I 01000200 07c96841 - 195870 ns IT 01000200 6841 LDR r1,[r0,#4] - 195890 ns MR4_I 01000204 6002d1fc - 195950 ns MR4_D 40006004 00000001 - 195950 ns R r1 00000001 - 195950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 195970 ns R r1 80000000 - 195970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 195990 ns R psr 81000200 - 195990 ns MR4_I 01000208 2a001c5b - 196010 ns MR4_I 01000200 07c96841 - 196030 ns IT 01000200 6841 LDR r1,[r0,#4] - 196050 ns MR4_I 01000204 6002d1fc - 196110 ns MR4_D 40006004 00000001 - 196110 ns R r1 00000001 - 196110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 196130 ns R r1 80000000 - 196130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 196150 ns R psr 81000200 - 196150 ns MR4_I 01000208 2a001c5b - 196170 ns MR4_I 01000200 07c96841 - 196190 ns IT 01000200 6841 LDR r1,[r0,#4] - 196210 ns MR4_I 01000204 6002d1fc - 196270 ns MR4_D 40006004 00000001 - 196270 ns R r1 00000001 - 196270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 196290 ns R r1 80000000 - 196290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 196310 ns R psr 81000200 - 196310 ns MR4_I 01000208 2a001c5b - 196330 ns MR4_I 01000200 07c96841 - 196350 ns IT 01000200 6841 LDR r1,[r0,#4] - 196370 ns MR4_I 01000204 6002d1fc - 196430 ns MR4_D 40006004 00000001 - 196430 ns R r1 00000001 - 196430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 196450 ns R r1 80000000 - 196450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 196470 ns R psr 81000200 - 196470 ns MR4_I 01000208 2a001c5b - 196490 ns MR4_I 01000200 07c96841 - 196510 ns IT 01000200 6841 LDR r1,[r0,#4] - 196530 ns MR4_I 01000204 6002d1fc - 196590 ns MR4_D 40006004 00000001 - 196590 ns R r1 00000001 - 196590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 196610 ns R r1 80000000 - 196610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 196630 ns R psr 81000200 - 196630 ns MR4_I 01000208 2a001c5b - 196650 ns MR4_I 01000200 07c96841 - 196670 ns IT 01000200 6841 LDR r1,[r0,#4] - 196690 ns MR4_I 01000204 6002d1fc - 196750 ns MR4_D 40006004 00000001 - 196750 ns R r1 00000001 - 196750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 196770 ns R r1 80000000 - 196770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 196790 ns R psr 81000200 - 196790 ns MR4_I 01000208 2a001c5b - 196810 ns MR4_I 01000200 07c96841 - 196830 ns IT 01000200 6841 LDR r1,[r0,#4] - 196850 ns MR4_I 01000204 6002d1fc - 196910 ns MR4_D 40006004 00000001 - 196910 ns R r1 00000001 - 196910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 196930 ns R r1 80000000 - 196930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 196950 ns R psr 81000200 - 196950 ns MR4_I 01000208 2a001c5b - 196970 ns MR4_I 01000200 07c96841 - 196990 ns IT 01000200 6841 LDR r1,[r0,#4] - 197010 ns MR4_I 01000204 6002d1fc - 197070 ns MR4_D 40006004 00000001 - 197070 ns R r1 00000001 - 197070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 197090 ns R r1 80000000 - 197090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 197110 ns R psr 81000200 - 197110 ns MR4_I 01000208 2a001c5b - 197130 ns MR4_I 01000200 07c96841 - 197150 ns IT 01000200 6841 LDR r1,[r0,#4] - 197170 ns MR4_I 01000204 6002d1fc - 197230 ns MR4_D 40006004 00000001 - 197230 ns R r1 00000001 - 197230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 197250 ns R r1 80000000 - 197250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 197270 ns R psr 81000200 - 197270 ns MR4_I 01000208 2a001c5b - 197290 ns MR4_I 01000200 07c96841 - 197310 ns IT 01000200 6841 LDR r1,[r0,#4] - 197330 ns MR4_I 01000204 6002d1fc - 197390 ns MR4_D 40006004 00000001 - 197390 ns R r1 00000001 - 197390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 197410 ns R r1 80000000 - 197410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 197430 ns R psr 81000200 - 197430 ns MR4_I 01000208 2a001c5b - 197450 ns MR4_I 01000200 07c96841 - 197470 ns IT 01000200 6841 LDR r1,[r0,#4] - 197490 ns MR4_I 01000204 6002d1fc - 197550 ns MR4_D 40006004 00000001 - 197550 ns R r1 00000001 - 197550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 197570 ns R r1 80000000 - 197570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 197590 ns R psr 81000200 - 197590 ns MR4_I 01000208 2a001c5b - 197610 ns MR4_I 01000200 07c96841 - 197630 ns IT 01000200 6841 LDR r1,[r0,#4] - 197650 ns MR4_I 01000204 6002d1fc - 197710 ns MR4_D 40006004 00000001 - 197710 ns R r1 00000001 - 197710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 197730 ns R r1 80000000 - 197730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 197750 ns R psr 81000200 - 197750 ns MR4_I 01000208 2a001c5b - 197770 ns MR4_I 01000200 07c96841 - 197790 ns IT 01000200 6841 LDR r1,[r0,#4] - 197810 ns MR4_I 01000204 6002d1fc - 197870 ns MR4_D 40006004 00000001 - 197870 ns R r1 00000001 - 197870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 197890 ns R r1 80000000 - 197890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 197910 ns R psr 81000200 - 197910 ns MR4_I 01000208 2a001c5b - 197930 ns MR4_I 01000200 07c96841 - 197950 ns IT 01000200 6841 LDR r1,[r0,#4] - 197970 ns MR4_I 01000204 6002d1fc - 198030 ns MR4_D 40006004 00000001 - 198030 ns R r1 00000001 - 198030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 198050 ns R r1 80000000 - 198050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 198070 ns R psr 81000200 - 198070 ns MR4_I 01000208 2a001c5b - 198090 ns MR4_I 01000200 07c96841 - 198110 ns IT 01000200 6841 LDR r1,[r0,#4] - 198130 ns MR4_I 01000204 6002d1fc - 198190 ns MR4_D 40006004 00000001 - 198190 ns R r1 00000001 - 198190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 198210 ns R r1 80000000 - 198210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 198230 ns R psr 81000200 - 198230 ns MR4_I 01000208 2a001c5b - 198250 ns MR4_I 01000200 07c96841 - 198270 ns IT 01000200 6841 LDR r1,[r0,#4] - 198290 ns MR4_I 01000204 6002d1fc - 198350 ns MR4_D 40006004 00000001 - 198350 ns R r1 00000001 - 198350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 198370 ns R r1 80000000 - 198370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 198390 ns R psr 81000200 - 198390 ns MR4_I 01000208 2a001c5b - 198410 ns MR4_I 01000200 07c96841 - 198430 ns IT 01000200 6841 LDR r1,[r0,#4] - 198450 ns MR4_I 01000204 6002d1fc - 198510 ns MR4_D 40006004 00000001 - 198510 ns R r1 00000001 - 198510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 198530 ns R r1 80000000 - 198530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 198550 ns R psr 81000200 - 198550 ns MR4_I 01000208 2a001c5b - 198570 ns MR4_I 01000200 07c96841 - 198590 ns IT 01000200 6841 LDR r1,[r0,#4] - 198610 ns MR4_I 01000204 6002d1fc - 198670 ns MR4_D 40006004 00000001 - 198670 ns R r1 00000001 - 198670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 198690 ns R r1 80000000 - 198690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 198710 ns R psr 81000200 - 198710 ns MR4_I 01000208 2a001c5b - 198730 ns MR4_I 01000200 07c96841 - 198750 ns IT 01000200 6841 LDR r1,[r0,#4] - 198770 ns MR4_I 01000204 6002d1fc - 198830 ns MR4_D 40006004 00000001 - 198830 ns R r1 00000001 - 198830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 198850 ns R r1 80000000 - 198850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 198870 ns R psr 81000200 - 198870 ns MR4_I 01000208 2a001c5b - 198890 ns MR4_I 01000200 07c96841 - 198910 ns IT 01000200 6841 LDR r1,[r0,#4] - 198930 ns MR4_I 01000204 6002d1fc - 198990 ns MR4_D 40006004 00000001 - 198990 ns R r1 00000001 - 198990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 199010 ns R r1 80000000 - 199010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 199030 ns R psr 81000200 - 199030 ns MR4_I 01000208 2a001c5b - 199050 ns MR4_I 01000200 07c96841 - 199070 ns IT 01000200 6841 LDR r1,[r0,#4] - 199090 ns MR4_I 01000204 6002d1fc - 199150 ns MR4_D 40006004 00000001 - 199150 ns R r1 00000001 - 199150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 199170 ns R r1 80000000 - 199170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 199190 ns R psr 81000200 - 199190 ns MR4_I 01000208 2a001c5b - 199210 ns MR4_I 01000200 07c96841 - 199230 ns IT 01000200 6841 LDR r1,[r0,#4] - 199250 ns MR4_I 01000204 6002d1fc - 199310 ns MR4_D 40006004 00000001 - 199310 ns R r1 00000001 - 199310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 199330 ns R r1 80000000 - 199330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 199350 ns R psr 81000200 - 199350 ns MR4_I 01000208 2a001c5b - 199370 ns MR4_I 01000200 07c96841 - 199390 ns IT 01000200 6841 LDR r1,[r0,#4] - 199410 ns MR4_I 01000204 6002d1fc - 199470 ns MR4_D 40006004 00000001 - 199470 ns R r1 00000001 - 199470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 199490 ns R r1 80000000 - 199490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 199510 ns R psr 81000200 - 199510 ns MR4_I 01000208 2a001c5b - 199530 ns MR4_I 01000200 07c96841 - 199550 ns IT 01000200 6841 LDR r1,[r0,#4] - 199570 ns MR4_I 01000204 6002d1fc - 199630 ns MR4_D 40006004 00000001 - 199630 ns R r1 00000001 - 199630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 199650 ns R r1 80000000 - 199650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 199670 ns R psr 81000200 - 199670 ns MR4_I 01000208 2a001c5b - 199690 ns MR4_I 01000200 07c96841 - 199710 ns IT 01000200 6841 LDR r1,[r0,#4] - 199730 ns MR4_I 01000204 6002d1fc - 199790 ns MR4_D 40006004 00000001 - 199790 ns R r1 00000001 - 199790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 199810 ns R r1 80000000 - 199810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 199830 ns R psr 81000200 - 199830 ns MR4_I 01000208 2a001c5b - 199850 ns MR4_I 01000200 07c96841 - 199870 ns IT 01000200 6841 LDR r1,[r0,#4] - 199890 ns MR4_I 01000204 6002d1fc - 199950 ns MR4_D 40006004 00000001 - 199950 ns R r1 00000001 - 199950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 199970 ns R r1 80000000 - 199970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 199990 ns R psr 81000200 - 199990 ns MR4_I 01000208 2a001c5b - 200010 ns MR4_I 01000200 07c96841 - 200030 ns IT 01000200 6841 LDR r1,[r0,#4] - 200050 ns MR4_I 01000204 6002d1fc - 200110 ns MR4_D 40006004 00000001 - 200110 ns R r1 00000001 - 200110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 200130 ns R r1 80000000 - 200130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 200150 ns R psr 81000200 - 200150 ns MR4_I 01000208 2a001c5b - 200170 ns MR4_I 01000200 07c96841 - 200190 ns IT 01000200 6841 LDR r1,[r0,#4] - 200210 ns MR4_I 01000204 6002d1fc - 200270 ns MR4_D 40006004 00000001 - 200270 ns R r1 00000001 - 200270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 200290 ns R r1 80000000 - 200290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 200310 ns R psr 81000200 - 200310 ns MR4_I 01000208 2a001c5b - 200330 ns MR4_I 01000200 07c96841 - 200350 ns IT 01000200 6841 LDR r1,[r0,#4] - 200370 ns MR4_I 01000204 6002d1fc - 200430 ns MR4_D 40006004 00000001 - 200430 ns R r1 00000001 - 200430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 200450 ns R r1 80000000 - 200450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 200470 ns R psr 81000200 - 200470 ns MR4_I 01000208 2a001c5b - 200490 ns MR4_I 01000200 07c96841 - 200510 ns IT 01000200 6841 LDR r1,[r0,#4] - 200530 ns MR4_I 01000204 6002d1fc - 200590 ns MR4_D 40006004 00000001 - 200590 ns R r1 00000001 - 200590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 200610 ns R r1 80000000 - 200610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 200630 ns R psr 81000200 - 200630 ns MR4_I 01000208 2a001c5b - 200650 ns MR4_I 01000200 07c96841 - 200670 ns IT 01000200 6841 LDR r1,[r0,#4] - 200690 ns MR4_I 01000204 6002d1fc - 200750 ns MR4_D 40006004 00000001 - 200750 ns R r1 00000001 - 200750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 200770 ns R r1 80000000 - 200770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 200790 ns R psr 81000200 - 200790 ns MR4_I 01000208 2a001c5b - 200810 ns MR4_I 01000200 07c96841 - 200830 ns IT 01000200 6841 LDR r1,[r0,#4] - 200850 ns MR4_I 01000204 6002d1fc - 200910 ns MR4_D 40006004 00000001 - 200910 ns R r1 00000001 - 200910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 200930 ns R r1 80000000 - 200930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 200950 ns R psr 81000200 - 200950 ns MR4_I 01000208 2a001c5b - 200970 ns MR4_I 01000200 07c96841 - 200990 ns IT 01000200 6841 LDR r1,[r0,#4] - 201010 ns MR4_I 01000204 6002d1fc - 201070 ns MR4_D 40006004 00000001 - 201070 ns R r1 00000001 - 201070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 201090 ns R r1 80000000 - 201090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 201110 ns R psr 81000200 - 201110 ns MR4_I 01000208 2a001c5b - 201130 ns MR4_I 01000200 07c96841 - 201150 ns IT 01000200 6841 LDR r1,[r0,#4] - 201170 ns MR4_I 01000204 6002d1fc - 201230 ns MR4_D 40006004 00000001 - 201230 ns R r1 00000001 - 201230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 201250 ns R r1 80000000 - 201250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 201270 ns R psr 81000200 - 201270 ns MR4_I 01000208 2a001c5b - 201290 ns MR4_I 01000200 07c96841 - 201310 ns IT 01000200 6841 LDR r1,[r0,#4] - 201330 ns MR4_I 01000204 6002d1fc - 201390 ns MR4_D 40006004 00000001 - 201390 ns R r1 00000001 - 201390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 201410 ns R r1 80000000 - 201410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 201430 ns R psr 81000200 - 201430 ns MR4_I 01000208 2a001c5b - 201450 ns MR4_I 01000200 07c96841 - 201470 ns IT 01000200 6841 LDR r1,[r0,#4] - 201490 ns MR4_I 01000204 6002d1fc - 201550 ns MR4_D 40006004 00000001 - 201550 ns R r1 00000001 - 201550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 201570 ns R r1 80000000 - 201570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 201590 ns R psr 81000200 - 201590 ns MR4_I 01000208 2a001c5b - 201610 ns MR4_I 01000200 07c96841 - 201630 ns IT 01000200 6841 LDR r1,[r0,#4] - 201650 ns MR4_I 01000204 6002d1fc - 201710 ns MR4_D 40006004 00000001 - 201710 ns R r1 00000001 - 201710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 201730 ns R r1 80000000 - 201730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 201750 ns R psr 81000200 - 201750 ns MR4_I 01000208 2a001c5b - 201770 ns MR4_I 01000200 07c96841 - 201790 ns IT 01000200 6841 LDR r1,[r0,#4] - 201810 ns MR4_I 01000204 6002d1fc - 201870 ns MR4_D 40006004 00000001 - 201870 ns R r1 00000001 - 201870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 201890 ns R r1 80000000 - 201890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 201910 ns R psr 81000200 - 201910 ns MR4_I 01000208 2a001c5b - 201930 ns MR4_I 01000200 07c96841 - 201950 ns IT 01000200 6841 LDR r1,[r0,#4] - 201970 ns MR4_I 01000204 6002d1fc - 202030 ns MR4_D 40006004 00000001 - 202030 ns R r1 00000001 - 202030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 202050 ns R r1 80000000 - 202050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 202070 ns R psr 81000200 - 202070 ns MR4_I 01000208 2a001c5b - 202090 ns MR4_I 01000200 07c96841 - 202110 ns IT 01000200 6841 LDR r1,[r0,#4] - 202130 ns MR4_I 01000204 6002d1fc - 202190 ns MR4_D 40006004 00000001 - 202190 ns R r1 00000001 - 202190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 202210 ns R r1 80000000 - 202210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 202230 ns R psr 81000200 - 202230 ns MR4_I 01000208 2a001c5b - 202250 ns MR4_I 01000200 07c96841 - 202270 ns IT 01000200 6841 LDR r1,[r0,#4] - 202290 ns MR4_I 01000204 6002d1fc - 202350 ns MR4_D 40006004 00000001 - 202350 ns R r1 00000001 - 202350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 202370 ns R r1 80000000 - 202370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 202390 ns R psr 81000200 - 202390 ns MR4_I 01000208 2a001c5b - 202410 ns MR4_I 01000200 07c96841 - 202430 ns IT 01000200 6841 LDR r1,[r0,#4] - 202450 ns MR4_I 01000204 6002d1fc - 202510 ns MR4_D 40006004 00000001 - 202510 ns R r1 00000001 - 202510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 202530 ns R r1 80000000 - 202530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 202550 ns R psr 81000200 - 202550 ns MR4_I 01000208 2a001c5b - 202570 ns MR4_I 01000200 07c96841 - 202590 ns IT 01000200 6841 LDR r1,[r0,#4] - 202610 ns MR4_I 01000204 6002d1fc - 202670 ns MR4_D 40006004 00000001 - 202670 ns R r1 00000001 - 202670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 202690 ns R r1 80000000 - 202690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 202710 ns R psr 81000200 - 202710 ns MR4_I 01000208 2a001c5b - 202730 ns MR4_I 01000200 07c96841 - 202750 ns IT 01000200 6841 LDR r1,[r0,#4] - 202770 ns MR4_I 01000204 6002d1fc - 202830 ns MR4_D 40006004 00000001 - 202830 ns R r1 00000001 - 202830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 202850 ns R r1 80000000 - 202850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 202870 ns R psr 81000200 - 202870 ns MR4_I 01000208 2a001c5b - 202890 ns MR4_I 01000200 07c96841 - 202910 ns IT 01000200 6841 LDR r1,[r0,#4] - 202930 ns MR4_I 01000204 6002d1fc - 202990 ns MR4_D 40006004 00000001 - 202990 ns R r1 00000001 - 202990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 203010 ns R r1 80000000 - 203010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 203030 ns R psr 81000200 - 203030 ns MR4_I 01000208 2a001c5b - 203050 ns MR4_I 01000200 07c96841 - 203070 ns IT 01000200 6841 LDR r1,[r0,#4] - 203090 ns MR4_I 01000204 6002d1fc - 203150 ns MR4_D 40006004 00000001 - 203150 ns R r1 00000001 - 203150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 203170 ns R r1 80000000 - 203170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 203190 ns R psr 81000200 - 203190 ns MR4_I 01000208 2a001c5b - 203210 ns MR4_I 01000200 07c96841 - 203230 ns IT 01000200 6841 LDR r1,[r0,#4] - 203250 ns MR4_I 01000204 6002d1fc - 203310 ns MR4_D 40006004 00000001 - 203310 ns R r1 00000001 - 203310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 203330 ns R r1 80000000 - 203330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 203350 ns R psr 81000200 - 203350 ns MR4_I 01000208 2a001c5b - 203370 ns MR4_I 01000200 07c96841 - 203390 ns IT 01000200 6841 LDR r1,[r0,#4] - 203410 ns MR4_I 01000204 6002d1fc - 203470 ns MR4_D 40006004 00000001 - 203470 ns R r1 00000001 - 203470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 203490 ns R r1 80000000 - 203490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 203510 ns R psr 81000200 - 203510 ns MR4_I 01000208 2a001c5b - 203530 ns MR4_I 01000200 07c96841 - 203550 ns IT 01000200 6841 LDR r1,[r0,#4] - 203570 ns MR4_I 01000204 6002d1fc - 203630 ns MR4_D 40006004 00000001 - 203630 ns R r1 00000001 - 203630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 203650 ns R r1 80000000 - 203650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 203670 ns R psr 81000200 - 203670 ns MR4_I 01000208 2a001c5b - 203690 ns MR4_I 01000200 07c96841 - 203710 ns IT 01000200 6841 LDR r1,[r0,#4] - 203730 ns MR4_I 01000204 6002d1fc - 203790 ns MR4_D 40006004 00000001 - 203790 ns R r1 00000001 - 203790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 203810 ns R r1 80000000 - 203810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 203830 ns R psr 81000200 - 203830 ns MR4_I 01000208 2a001c5b - 203850 ns MR4_I 01000200 07c96841 - 203870 ns IT 01000200 6841 LDR r1,[r0,#4] - 203890 ns MR4_I 01000204 6002d1fc - 203950 ns MR4_D 40006004 00000001 - 203950 ns R r1 00000001 - 203950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 203970 ns R r1 80000000 - 203970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 203990 ns R psr 81000200 - 203990 ns MR4_I 01000208 2a001c5b - 204010 ns MR4_I 01000200 07c96841 - 204030 ns IT 01000200 6841 LDR r1,[r0,#4] - 204050 ns MR4_I 01000204 6002d1fc - 204110 ns MR4_D 40006004 00000001 - 204110 ns R r1 00000001 - 204110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 204130 ns R r1 80000000 - 204130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 204150 ns R psr 81000200 - 204150 ns MR4_I 01000208 2a001c5b - 204170 ns MR4_I 01000200 07c96841 - 204190 ns IT 01000200 6841 LDR r1,[r0,#4] - 204210 ns MR4_I 01000204 6002d1fc - 204270 ns MR4_D 40006004 00000001 - 204270 ns R r1 00000001 - 204270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 204290 ns R r1 80000000 - 204290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 204310 ns R psr 81000200 - 204310 ns MR4_I 01000208 2a001c5b - 204330 ns MR4_I 01000200 07c96841 - 204350 ns IT 01000200 6841 LDR r1,[r0,#4] - 204370 ns MR4_I 01000204 6002d1fc - 204430 ns MR4_D 40006004 00000001 - 204430 ns R r1 00000001 - 204430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 204450 ns R r1 80000000 - 204450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 204470 ns R psr 81000200 - 204470 ns MR4_I 01000208 2a001c5b - 204490 ns MR4_I 01000200 07c96841 - 204510 ns IT 01000200 6841 LDR r1,[r0,#4] - 204530 ns MR4_I 01000204 6002d1fc - 204590 ns MR4_D 40006004 00000001 - 204590 ns R r1 00000001 - 204590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 204610 ns R r1 80000000 - 204610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 204630 ns R psr 81000200 - 204630 ns MR4_I 01000208 2a001c5b - 204650 ns MR4_I 01000200 07c96841 - 204670 ns IT 01000200 6841 LDR r1,[r0,#4] - 204690 ns MR4_I 01000204 6002d1fc - 204750 ns MR4_D 40006004 00000001 - 204750 ns R r1 00000001 - 204750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 204770 ns R r1 80000000 - 204770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 204790 ns R psr 81000200 - 204790 ns MR4_I 01000208 2a001c5b - 204810 ns MR4_I 01000200 07c96841 - 204830 ns IT 01000200 6841 LDR r1,[r0,#4] - 204850 ns MR4_I 01000204 6002d1fc - 204910 ns MR4_D 40006004 00000001 - 204910 ns R r1 00000001 - 204910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 204930 ns R r1 80000000 - 204930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 204950 ns R psr 81000200 - 204950 ns MR4_I 01000208 2a001c5b - 204970 ns MR4_I 01000200 07c96841 - 204990 ns IT 01000200 6841 LDR r1,[r0,#4] - 205010 ns MR4_I 01000204 6002d1fc - 205070 ns MR4_D 40006004 00000001 - 205070 ns R r1 00000001 - 205070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 205090 ns R r1 80000000 - 205090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 205110 ns R psr 81000200 - 205110 ns MR4_I 01000208 2a001c5b - 205130 ns MR4_I 01000200 07c96841 - 205150 ns IT 01000200 6841 LDR r1,[r0,#4] - 205170 ns MR4_I 01000204 6002d1fc - 205230 ns MR4_D 40006004 00000001 - 205230 ns R r1 00000001 - 205230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 205250 ns R r1 80000000 - 205250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 205270 ns R psr 81000200 - 205270 ns MR4_I 01000208 2a001c5b - 205290 ns MR4_I 01000200 07c96841 - 205310 ns IT 01000200 6841 LDR r1,[r0,#4] - 205330 ns MR4_I 01000204 6002d1fc - 205390 ns MR4_D 40006004 00000001 - 205390 ns R r1 00000001 - 205390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 205410 ns R r1 80000000 - 205410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 205430 ns R psr 81000200 - 205430 ns MR4_I 01000208 2a001c5b - 205450 ns MR4_I 01000200 07c96841 - 205470 ns IT 01000200 6841 LDR r1,[r0,#4] - 205490 ns MR4_I 01000204 6002d1fc - 205550 ns MR4_D 40006004 00000001 - 205550 ns R r1 00000001 - 205550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 205570 ns R r1 80000000 - 205570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 205590 ns R psr 81000200 - 205590 ns MR4_I 01000208 2a001c5b - 205610 ns MR4_I 01000200 07c96841 - 205630 ns IT 01000200 6841 LDR r1,[r0,#4] - 205650 ns MR4_I 01000204 6002d1fc - 205710 ns MR4_D 40006004 00000001 - 205710 ns R r1 00000001 - 205710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 205730 ns R r1 80000000 - 205730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 205750 ns R psr 81000200 - 205750 ns MR4_I 01000208 2a001c5b - 205770 ns MR4_I 01000200 07c96841 - 205790 ns IT 01000200 6841 LDR r1,[r0,#4] - 205810 ns MR4_I 01000204 6002d1fc - 205870 ns MR4_D 40006004 00000001 - 205870 ns R r1 00000001 - 205870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 205890 ns R r1 80000000 - 205890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 205910 ns R psr 81000200 - 205910 ns MR4_I 01000208 2a001c5b - 205930 ns MR4_I 01000200 07c96841 - 205950 ns IT 01000200 6841 LDR r1,[r0,#4] - 205970 ns MR4_I 01000204 6002d1fc - 206030 ns MR4_D 40006004 00000001 - 206030 ns R r1 00000001 - 206030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 206050 ns R r1 80000000 - 206050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 206070 ns R psr 81000200 - 206070 ns MR4_I 01000208 2a001c5b - 206090 ns MR4_I 01000200 07c96841 - 206110 ns IT 01000200 6841 LDR r1,[r0,#4] - 206130 ns MR4_I 01000204 6002d1fc - 206190 ns MR4_D 40006004 00000001 - 206190 ns R r1 00000001 - 206190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 206210 ns R r1 80000000 - 206210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 206230 ns R psr 81000200 - 206230 ns MR4_I 01000208 2a001c5b - 206250 ns MR4_I 01000200 07c96841 - 206270 ns IT 01000200 6841 LDR r1,[r0,#4] - 206290 ns MR4_I 01000204 6002d1fc - 206350 ns MR4_D 40006004 00000001 - 206350 ns R r1 00000001 - 206350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 206370 ns R r1 80000000 - 206370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 206390 ns R psr 81000200 - 206390 ns MR4_I 01000208 2a001c5b - 206410 ns MR4_I 01000200 07c96841 - 206430 ns IT 01000200 6841 LDR r1,[r0,#4] - 206450 ns MR4_I 01000204 6002d1fc - 206510 ns MR4_D 40006004 00000001 - 206510 ns R r1 00000001 - 206510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 206530 ns R r1 80000000 - 206530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 206550 ns R psr 81000200 - 206550 ns MR4_I 01000208 2a001c5b - 206570 ns MR4_I 01000200 07c96841 - 206590 ns IT 01000200 6841 LDR r1,[r0,#4] - 206610 ns MR4_I 01000204 6002d1fc - 206670 ns MR4_D 40006004 00000001 - 206670 ns R r1 00000001 - 206670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 206690 ns R r1 80000000 - 206690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 206710 ns R psr 81000200 - 206710 ns MR4_I 01000208 2a001c5b - 206730 ns MR4_I 01000200 07c96841 - 206750 ns IT 01000200 6841 LDR r1,[r0,#4] - 206770 ns MR4_I 01000204 6002d1fc - 206830 ns MR4_D 40006004 00000001 - 206830 ns R r1 00000001 - 206830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 206850 ns R r1 80000000 - 206850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 206870 ns R psr 81000200 - 206870 ns MR4_I 01000208 2a001c5b - 206890 ns MR4_I 01000200 07c96841 - 206910 ns IT 01000200 6841 LDR r1,[r0,#4] - 206930 ns MR4_I 01000204 6002d1fc - 206990 ns MR4_D 40006004 00000001 - 206990 ns R r1 00000001 - 206990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 207010 ns R r1 80000000 - 207010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 207030 ns R psr 81000200 - 207030 ns MR4_I 01000208 2a001c5b - 207050 ns MR4_I 01000200 07c96841 - 207070 ns IT 01000200 6841 LDR r1,[r0,#4] - 207090 ns MR4_I 01000204 6002d1fc - 207150 ns MR4_D 40006004 00000001 - 207150 ns R r1 00000001 - 207150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 207170 ns R r1 80000000 - 207170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 207190 ns R psr 81000200 - 207190 ns MR4_I 01000208 2a001c5b - 207210 ns MR4_I 01000200 07c96841 - 207230 ns IT 01000200 6841 LDR r1,[r0,#4] - 207250 ns MR4_I 01000204 6002d1fc - 207310 ns MR4_D 40006004 00000001 - 207310 ns R r1 00000001 - 207310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 207330 ns R r1 80000000 - 207330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 207350 ns R psr 81000200 - 207350 ns MR4_I 01000208 2a001c5b - 207370 ns MR4_I 01000200 07c96841 - 207390 ns IT 01000200 6841 LDR r1,[r0,#4] - 207410 ns MR4_I 01000204 6002d1fc - 207470 ns MR4_D 40006004 00000001 - 207470 ns R r1 00000001 - 207470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 207490 ns R r1 80000000 - 207490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 207510 ns R psr 81000200 - 207510 ns MR4_I 01000208 2a001c5b - 207530 ns MR4_I 01000200 07c96841 - 207550 ns IT 01000200 6841 LDR r1,[r0,#4] - 207570 ns MR4_I 01000204 6002d1fc - 207630 ns MR4_D 40006004 00000001 - 207630 ns R r1 00000001 - 207630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 207650 ns R r1 80000000 - 207650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 207670 ns R psr 81000200 - 207670 ns MR4_I 01000208 2a001c5b - 207690 ns MR4_I 01000200 07c96841 - 207710 ns IT 01000200 6841 LDR r1,[r0,#4] - 207730 ns MR4_I 01000204 6002d1fc - 207790 ns MR4_D 40006004 00000001 - 207790 ns R r1 00000001 - 207790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 207810 ns R r1 80000000 - 207810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 207830 ns R psr 81000200 - 207830 ns MR4_I 01000208 2a001c5b - 207850 ns MR4_I 01000200 07c96841 - 207870 ns IT 01000200 6841 LDR r1,[r0,#4] - 207890 ns MR4_I 01000204 6002d1fc - 207950 ns MR4_D 40006004 00000001 - 207950 ns R r1 00000001 - 207950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 207970 ns R r1 80000000 - 207970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 207990 ns R psr 81000200 - 207990 ns MR4_I 01000208 2a001c5b - 208010 ns MR4_I 01000200 07c96841 - 208030 ns IT 01000200 6841 LDR r1,[r0,#4] - 208050 ns MR4_I 01000204 6002d1fc - 208110 ns MR4_D 40006004 00000001 - 208110 ns R r1 00000001 - 208110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 208130 ns R r1 80000000 - 208130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 208150 ns R psr 81000200 - 208150 ns MR4_I 01000208 2a001c5b - 208170 ns MR4_I 01000200 07c96841 - 208190 ns IT 01000200 6841 LDR r1,[r0,#4] - 208210 ns MR4_I 01000204 6002d1fc - 208270 ns MR4_D 40006004 00000001 - 208270 ns R r1 00000001 - 208270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 208290 ns R r1 80000000 - 208290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 208310 ns R psr 81000200 - 208310 ns MR4_I 01000208 2a001c5b - 208330 ns MR4_I 01000200 07c96841 - 208350 ns IT 01000200 6841 LDR r1,[r0,#4] - 208370 ns MR4_I 01000204 6002d1fc - 208430 ns MR4_D 40006004 00000001 - 208430 ns R r1 00000001 - 208430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 208450 ns R r1 80000000 - 208450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 208470 ns R psr 81000200 - 208470 ns MR4_I 01000208 2a001c5b - 208490 ns MR4_I 01000200 07c96841 - 208510 ns IT 01000200 6841 LDR r1,[r0,#4] - 208530 ns MR4_I 01000204 6002d1fc - 208590 ns MR4_D 40006004 00000001 - 208590 ns R r1 00000001 - 208590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 208610 ns R r1 80000000 - 208610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 208630 ns R psr 81000200 - 208630 ns MR4_I 01000208 2a001c5b - 208650 ns MR4_I 01000200 07c96841 - 208670 ns IT 01000200 6841 LDR r1,[r0,#4] - 208690 ns MR4_I 01000204 6002d1fc - 208750 ns MR4_D 40006004 00000001 - 208750 ns R r1 00000001 - 208750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 208770 ns R r1 80000000 - 208770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 208790 ns R psr 81000200 - 208790 ns MR4_I 01000208 2a001c5b - 208810 ns MR4_I 01000200 07c96841 - 208830 ns IT 01000200 6841 LDR r1,[r0,#4] - 208850 ns MR4_I 01000204 6002d1fc - 208910 ns MR4_D 40006004 00000001 - 208910 ns R r1 00000001 - 208910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 208930 ns R r1 80000000 - 208930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 208950 ns R psr 81000200 - 208950 ns MR4_I 01000208 2a001c5b - 208970 ns MR4_I 01000200 07c96841 - 208990 ns IT 01000200 6841 LDR r1,[r0,#4] - 209010 ns MR4_I 01000204 6002d1fc - 209070 ns MR4_D 40006004 00000001 - 209070 ns R r1 00000001 - 209070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 209090 ns R r1 80000000 - 209090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 209110 ns R psr 81000200 - 209110 ns MR4_I 01000208 2a001c5b - 209130 ns MR4_I 01000200 07c96841 - 209150 ns IT 01000200 6841 LDR r1,[r0,#4] - 209170 ns MR4_I 01000204 6002d1fc - 209230 ns MR4_D 40006004 00000001 - 209230 ns R r1 00000001 - 209230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 209250 ns R r1 80000000 - 209250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 209270 ns R psr 81000200 - 209270 ns MR4_I 01000208 2a001c5b - 209290 ns MR4_I 01000200 07c96841 - 209310 ns IT 01000200 6841 LDR r1,[r0,#4] - 209330 ns MR4_I 01000204 6002d1fc - 209390 ns MR4_D 40006004 00000001 - 209390 ns R r1 00000001 - 209390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 209410 ns R r1 80000000 - 209410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 209430 ns R psr 81000200 - 209430 ns MR4_I 01000208 2a001c5b - 209450 ns MR4_I 01000200 07c96841 - 209470 ns IT 01000200 6841 LDR r1,[r0,#4] - 209490 ns MR4_I 01000204 6002d1fc - 209550 ns MR4_D 40006004 00000001 - 209550 ns R r1 00000001 - 209550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 209570 ns R r1 80000000 - 209570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 209590 ns R psr 81000200 - 209590 ns MR4_I 01000208 2a001c5b - 209610 ns MR4_I 01000200 07c96841 - 209630 ns IT 01000200 6841 LDR r1,[r0,#4] - 209650 ns MR4_I 01000204 6002d1fc - 209710 ns MR4_D 40006004 00000001 - 209710 ns R r1 00000001 - 209710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 209730 ns R r1 80000000 - 209730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 209750 ns R psr 81000200 - 209750 ns MR4_I 01000208 2a001c5b - 209770 ns MR4_I 01000200 07c96841 - 209790 ns IT 01000200 6841 LDR r1,[r0,#4] - 209810 ns MR4_I 01000204 6002d1fc - 209870 ns MR4_D 40006004 00000001 - 209870 ns R r1 00000001 - 209870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 209890 ns R r1 80000000 - 209890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 209910 ns R psr 81000200 - 209910 ns MR4_I 01000208 2a001c5b - 209930 ns MR4_I 01000200 07c96841 - 209950 ns IT 01000200 6841 LDR r1,[r0,#4] - 209970 ns MR4_I 01000204 6002d1fc - 210030 ns MR4_D 40006004 00000001 - 210030 ns R r1 00000001 - 210030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 210050 ns R r1 80000000 - 210050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 210070 ns R psr 81000200 - 210070 ns MR4_I 01000208 2a001c5b - 210090 ns MR4_I 01000200 07c96841 - 210110 ns IT 01000200 6841 LDR r1,[r0,#4] - 210130 ns MR4_I 01000204 6002d1fc - 210190 ns MR4_D 40006004 00000001 - 210190 ns R r1 00000001 - 210190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 210210 ns R r1 80000000 - 210210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 210230 ns R psr 81000200 - 210230 ns MR4_I 01000208 2a001c5b - 210250 ns MR4_I 01000200 07c96841 - 210270 ns IT 01000200 6841 LDR r1,[r0,#4] - 210290 ns MR4_I 01000204 6002d1fc - 210350 ns MR4_D 40006004 00000001 - 210350 ns R r1 00000001 - 210350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 210370 ns R r1 80000000 - 210370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 210390 ns R psr 81000200 - 210390 ns MR4_I 01000208 2a001c5b - 210410 ns MR4_I 01000200 07c96841 - 210430 ns IT 01000200 6841 LDR r1,[r0,#4] - 210450 ns MR4_I 01000204 6002d1fc - 210510 ns MR4_D 40006004 00000001 - 210510 ns R r1 00000001 - 210510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 210530 ns R r1 80000000 - 210530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 210550 ns R psr 81000200 - 210550 ns MR4_I 01000208 2a001c5b - 210570 ns MR4_I 01000200 07c96841 - 210590 ns IT 01000200 6841 LDR r1,[r0,#4] - 210610 ns MR4_I 01000204 6002d1fc - 210670 ns MR4_D 40006004 00000001 - 210670 ns R r1 00000001 - 210670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 210690 ns R r1 80000000 - 210690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 210710 ns R psr 81000200 - 210710 ns MR4_I 01000208 2a001c5b - 210730 ns MR4_I 01000200 07c96841 - 210750 ns IT 01000200 6841 LDR r1,[r0,#4] - 210770 ns MR4_I 01000204 6002d1fc - 210830 ns MR4_D 40006004 00000001 - 210830 ns R r1 00000001 - 210830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 210850 ns R r1 80000000 - 210850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 210870 ns R psr 81000200 - 210870 ns MR4_I 01000208 2a001c5b - 210890 ns MR4_I 01000200 07c96841 - 210910 ns IT 01000200 6841 LDR r1,[r0,#4] - 210930 ns MR4_I 01000204 6002d1fc - 210990 ns MR4_D 40006004 00000001 - 210990 ns R r1 00000001 - 210990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 211010 ns R r1 80000000 - 211010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 211030 ns R psr 81000200 - 211030 ns MR4_I 01000208 2a001c5b - 211050 ns MR4_I 01000200 07c96841 - 211070 ns IT 01000200 6841 LDR r1,[r0,#4] - 211090 ns MR4_I 01000204 6002d1fc - 211150 ns MR4_D 40006004 00000001 - 211150 ns R r1 00000001 - 211150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 211170 ns R r1 80000000 - 211170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 211190 ns R psr 81000200 - 211190 ns MR4_I 01000208 2a001c5b - 211210 ns MR4_I 01000200 07c96841 - 211230 ns IT 01000200 6841 LDR r1,[r0,#4] - 211250 ns MR4_I 01000204 6002d1fc - 211310 ns MR4_D 40006004 00000001 - 211310 ns R r1 00000001 - 211310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 211330 ns R r1 80000000 - 211330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 211350 ns R psr 81000200 - 211350 ns MR4_I 01000208 2a001c5b - 211370 ns MR4_I 01000200 07c96841 - 211390 ns IT 01000200 6841 LDR r1,[r0,#4] - 211410 ns MR4_I 01000204 6002d1fc - 211470 ns MR4_D 40006004 00000001 - 211470 ns R r1 00000001 - 211470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 211490 ns R r1 80000000 - 211490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 211510 ns R psr 81000200 - 211510 ns MR4_I 01000208 2a001c5b - 211530 ns MR4_I 01000200 07c96841 - 211550 ns IT 01000200 6841 LDR r1,[r0,#4] - 211570 ns MR4_I 01000204 6002d1fc - 211630 ns MR4_D 40006004 00000001 - 211630 ns R r1 00000001 - 211630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 211650 ns R r1 80000000 - 211650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 211670 ns R psr 81000200 - 211670 ns MR4_I 01000208 2a001c5b - 211690 ns MR4_I 01000200 07c96841 - 211710 ns IT 01000200 6841 LDR r1,[r0,#4] - 211730 ns MR4_I 01000204 6002d1fc - 211790 ns MR4_D 40006004 00000001 - 211790 ns R r1 00000001 - 211790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 211810 ns R r1 80000000 - 211810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 211830 ns R psr 81000200 - 211830 ns MR4_I 01000208 2a001c5b - 211850 ns MR4_I 01000200 07c96841 - 211870 ns IT 01000200 6841 LDR r1,[r0,#4] - 211890 ns MR4_I 01000204 6002d1fc - 211950 ns MR4_D 40006004 00000001 - 211950 ns R r1 00000001 - 211950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 211970 ns R r1 80000000 - 211970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 211990 ns R psr 81000200 - 211990 ns MR4_I 01000208 2a001c5b - 212010 ns MR4_I 01000200 07c96841 - 212030 ns IT 01000200 6841 LDR r1,[r0,#4] - 212050 ns MR4_I 01000204 6002d1fc - 212110 ns MR4_D 40006004 00000001 - 212110 ns R r1 00000001 - 212110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 212130 ns R r1 80000000 - 212130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 212150 ns R psr 81000200 - 212150 ns MR4_I 01000208 2a001c5b - 212170 ns MR4_I 01000200 07c96841 - 212190 ns IT 01000200 6841 LDR r1,[r0,#4] - 212210 ns MR4_I 01000204 6002d1fc - 212270 ns MR4_D 40006004 00000001 - 212270 ns R r1 00000001 - 212270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 212290 ns R r1 80000000 - 212290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 212310 ns R psr 81000200 - 212310 ns MR4_I 01000208 2a001c5b - 212330 ns MR4_I 01000200 07c96841 - 212350 ns IT 01000200 6841 LDR r1,[r0,#4] - 212370 ns MR4_I 01000204 6002d1fc - 212430 ns MR4_D 40006004 00000001 - 212430 ns R r1 00000001 - 212430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 212450 ns R r1 80000000 - 212450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 212470 ns R psr 81000200 - 212470 ns MR4_I 01000208 2a001c5b - 212490 ns MR4_I 01000200 07c96841 - 212510 ns IT 01000200 6841 LDR r1,[r0,#4] - 212530 ns MR4_I 01000204 6002d1fc - 212590 ns MR4_D 40006004 00000001 - 212590 ns R r1 00000001 - 212590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 212610 ns R r1 80000000 - 212610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 212630 ns R psr 81000200 - 212630 ns MR4_I 01000208 2a001c5b - 212650 ns MR4_I 01000200 07c96841 - 212670 ns IT 01000200 6841 LDR r1,[r0,#4] - 212690 ns MR4_I 01000204 6002d1fc - 212750 ns MR4_D 40006004 00000001 - 212750 ns R r1 00000001 - 212750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 212770 ns R r1 80000000 - 212770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 212790 ns R psr 81000200 - 212790 ns MR4_I 01000208 2a001c5b - 212810 ns MR4_I 01000200 07c96841 - 212830 ns IT 01000200 6841 LDR r1,[r0,#4] - 212850 ns MR4_I 01000204 6002d1fc - 212910 ns MR4_D 40006004 00000001 - 212910 ns R r1 00000001 - 212910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 212930 ns R r1 80000000 - 212930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 212950 ns R psr 81000200 - 212950 ns MR4_I 01000208 2a001c5b - 212970 ns MR4_I 01000200 07c96841 - 212990 ns IT 01000200 6841 LDR r1,[r0,#4] - 213010 ns MR4_I 01000204 6002d1fc - 213070 ns MR4_D 40006004 00000001 - 213070 ns R r1 00000001 - 213070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 213090 ns R r1 80000000 - 213090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 213110 ns R psr 81000200 - 213110 ns MR4_I 01000208 2a001c5b - 213130 ns MR4_I 01000200 07c96841 - 213150 ns IT 01000200 6841 LDR r1,[r0,#4] - 213170 ns MR4_I 01000204 6002d1fc - 213230 ns MR4_D 40006004 00000001 - 213230 ns R r1 00000001 - 213230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 213250 ns R r1 80000000 - 213250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 213270 ns R psr 81000200 - 213270 ns MR4_I 01000208 2a001c5b - 213290 ns MR4_I 01000200 07c96841 - 213310 ns IT 01000200 6841 LDR r1,[r0,#4] - 213330 ns MR4_I 01000204 6002d1fc - 213390 ns MR4_D 40006004 00000001 - 213390 ns R r1 00000001 - 213390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 213410 ns R r1 80000000 - 213410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 213430 ns R psr 81000200 - 213430 ns MR4_I 01000208 2a001c5b - 213450 ns MR4_I 01000200 07c96841 - 213470 ns IT 01000200 6841 LDR r1,[r0,#4] - 213490 ns MR4_I 01000204 6002d1fc - 213550 ns MR4_D 40006004 00000001 - 213550 ns R r1 00000001 - 213550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 213570 ns R r1 80000000 - 213570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 213590 ns R psr 81000200 - 213590 ns MR4_I 01000208 2a001c5b - 213610 ns MR4_I 01000200 07c96841 - 213630 ns IT 01000200 6841 LDR r1,[r0,#4] - 213650 ns MR4_I 01000204 6002d1fc - 213710 ns MR4_D 40006004 00000001 - 213710 ns R r1 00000001 - 213710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 213730 ns R r1 80000000 - 213730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 213750 ns R psr 81000200 - 213750 ns MR4_I 01000208 2a001c5b - 213770 ns MR4_I 01000200 07c96841 - 213790 ns IT 01000200 6841 LDR r1,[r0,#4] - 213810 ns MR4_I 01000204 6002d1fc - 213870 ns MR4_D 40006004 00000001 - 213870 ns R r1 00000001 - 213870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 213890 ns R r1 80000000 - 213890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 213910 ns R psr 81000200 - 213910 ns MR4_I 01000208 2a001c5b - 213930 ns MR4_I 01000200 07c96841 - 213950 ns IT 01000200 6841 LDR r1,[r0,#4] - 213970 ns MR4_I 01000204 6002d1fc - 214030 ns MR4_D 40006004 00000001 - 214030 ns R r1 00000001 - 214030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 214050 ns R r1 80000000 - 214050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 214070 ns R psr 81000200 - 214070 ns MR4_I 01000208 2a001c5b - 214090 ns MR4_I 01000200 07c96841 - 214110 ns IT 01000200 6841 LDR r1,[r0,#4] - 214130 ns MR4_I 01000204 6002d1fc - 214190 ns MR4_D 40006004 00000001 - 214190 ns R r1 00000001 - 214190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 214210 ns R r1 80000000 - 214210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 214230 ns R psr 81000200 - 214230 ns MR4_I 01000208 2a001c5b - 214250 ns MR4_I 01000200 07c96841 - 214270 ns IT 01000200 6841 LDR r1,[r0,#4] - 214290 ns MR4_I 01000204 6002d1fc - 214350 ns MR4_D 40006004 00000001 - 214350 ns R r1 00000001 - 214350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 214370 ns R r1 80000000 - 214370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 214390 ns R psr 81000200 - 214390 ns MR4_I 01000208 2a001c5b - 214410 ns MR4_I 01000200 07c96841 - 214430 ns IT 01000200 6841 LDR r1,[r0,#4] - 214450 ns MR4_I 01000204 6002d1fc - 214510 ns MR4_D 40006004 00000001 - 214510 ns R r1 00000001 - 214510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 214530 ns R r1 80000000 - 214530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 214550 ns R psr 81000200 - 214550 ns MR4_I 01000208 2a001c5b - 214570 ns MR4_I 01000200 07c96841 - 214590 ns IT 01000200 6841 LDR r1,[r0,#4] - 214610 ns MR4_I 01000204 6002d1fc - 214670 ns MR4_D 40006004 00000001 - 214670 ns R r1 00000001 - 214670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 214690 ns R r1 80000000 - 214690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 214710 ns R psr 81000200 - 214710 ns MR4_I 01000208 2a001c5b - 214730 ns MR4_I 01000200 07c96841 - 214750 ns IT 01000200 6841 LDR r1,[r0,#4] - 214770 ns MR4_I 01000204 6002d1fc - 214830 ns MR4_D 40006004 00000001 - 214830 ns R r1 00000001 - 214830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 214850 ns R r1 80000000 - 214850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 214870 ns R psr 81000200 - 214870 ns MR4_I 01000208 2a001c5b - 214890 ns MR4_I 01000200 07c96841 - 214910 ns IT 01000200 6841 LDR r1,[r0,#4] - 214930 ns MR4_I 01000204 6002d1fc - 214990 ns MR4_D 40006004 00000001 - 214990 ns R r1 00000001 - 214990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 215010 ns R r1 80000000 - 215010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 215030 ns R psr 81000200 - 215030 ns MR4_I 01000208 2a001c5b - 215050 ns MR4_I 01000200 07c96841 - 215070 ns IT 01000200 6841 LDR r1,[r0,#4] - 215090 ns MR4_I 01000204 6002d1fc - 215150 ns MR4_D 40006004 00000001 - 215150 ns R r1 00000001 - 215150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 215170 ns R r1 80000000 - 215170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 215190 ns R psr 81000200 - 215190 ns MR4_I 01000208 2a001c5b - 215210 ns MR4_I 01000200 07c96841 - 215230 ns IT 01000200 6841 LDR r1,[r0,#4] - 215250 ns MR4_I 01000204 6002d1fc - 215310 ns MR4_D 40006004 00000001 - 215310 ns R r1 00000001 - 215310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 215330 ns R r1 80000000 - 215330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 215350 ns R psr 81000200 - 215350 ns MR4_I 01000208 2a001c5b - 215370 ns MR4_I 01000200 07c96841 - 215390 ns IT 01000200 6841 LDR r1,[r0,#4] - 215410 ns MR4_I 01000204 6002d1fc - 215470 ns MR4_D 40006004 00000001 - 215470 ns R r1 00000001 - 215470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 215490 ns R r1 80000000 - 215490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 215510 ns R psr 81000200 - 215510 ns MR4_I 01000208 2a001c5b - 215530 ns MR4_I 01000200 07c96841 - 215550 ns IT 01000200 6841 LDR r1,[r0,#4] - 215570 ns MR4_I 01000204 6002d1fc - 215630 ns MR4_D 40006004 00000001 - 215630 ns R r1 00000001 - 215630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 215650 ns R r1 80000000 - 215650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 215670 ns R psr 81000200 - 215670 ns MR4_I 01000208 2a001c5b - 215690 ns MR4_I 01000200 07c96841 - 215710 ns IT 01000200 6841 LDR r1,[r0,#4] - 215730 ns MR4_I 01000204 6002d1fc - 215790 ns MR4_D 40006004 00000001 - 215790 ns R r1 00000001 - 215790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 215810 ns R r1 80000000 - 215810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 215830 ns R psr 81000200 - 215830 ns MR4_I 01000208 2a001c5b - 215850 ns MR4_I 01000200 07c96841 - 215870 ns IT 01000200 6841 LDR r1,[r0,#4] - 215890 ns MR4_I 01000204 6002d1fc - 215950 ns MR4_D 40006004 00000001 - 215950 ns R r1 00000001 - 215950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 215970 ns R r1 80000000 - 215970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 215990 ns R psr 81000200 - 215990 ns MR4_I 01000208 2a001c5b - 216010 ns MR4_I 01000200 07c96841 - 216030 ns IT 01000200 6841 LDR r1,[r0,#4] - 216050 ns MR4_I 01000204 6002d1fc - 216110 ns MR4_D 40006004 00000001 - 216110 ns R r1 00000001 - 216110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 216130 ns R r1 80000000 - 216130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 216150 ns R psr 81000200 - 216150 ns MR4_I 01000208 2a001c5b - 216170 ns MR4_I 01000200 07c96841 - 216190 ns IT 01000200 6841 LDR r1,[r0,#4] - 216210 ns MR4_I 01000204 6002d1fc - 216270 ns MR4_D 40006004 00000001 - 216270 ns R r1 00000001 - 216270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 216290 ns R r1 80000000 - 216290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 216310 ns R psr 81000200 - 216310 ns MR4_I 01000208 2a001c5b - 216330 ns MR4_I 01000200 07c96841 - 216350 ns IT 01000200 6841 LDR r1,[r0,#4] - 216370 ns MR4_I 01000204 6002d1fc - 216430 ns MR4_D 40006004 00000001 - 216430 ns R r1 00000001 - 216430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 216450 ns R r1 80000000 - 216450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 216470 ns R psr 81000200 - 216470 ns MR4_I 01000208 2a001c5b - 216490 ns MR4_I 01000200 07c96841 - 216510 ns IT 01000200 6841 LDR r1,[r0,#4] - 216530 ns MR4_I 01000204 6002d1fc - 216590 ns MR4_D 40006004 00000001 - 216590 ns R r1 00000001 - 216590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 216610 ns R r1 80000000 - 216610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 216630 ns R psr 81000200 - 216630 ns MR4_I 01000208 2a001c5b - 216650 ns MR4_I 01000200 07c96841 - 216670 ns IT 01000200 6841 LDR r1,[r0,#4] - 216690 ns MR4_I 01000204 6002d1fc - 216750 ns MR4_D 40006004 00000001 - 216750 ns R r1 00000001 - 216750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 216770 ns R r1 80000000 - 216770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 216790 ns R psr 81000200 - 216790 ns MR4_I 01000208 2a001c5b - 216810 ns MR4_I 01000200 07c96841 - 216830 ns IT 01000200 6841 LDR r1,[r0,#4] - 216850 ns MR4_I 01000204 6002d1fc - 216910 ns MR4_D 40006004 00000001 - 216910 ns R r1 00000001 - 216910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 216930 ns R r1 80000000 - 216930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 216950 ns R psr 81000200 - 216950 ns MR4_I 01000208 2a001c5b - 216970 ns MR4_I 01000200 07c96841 - 216990 ns IT 01000200 6841 LDR r1,[r0,#4] - 217010 ns MR4_I 01000204 6002d1fc - 217070 ns MR4_D 40006004 00000001 - 217070 ns R r1 00000001 - 217070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 217090 ns R r1 80000000 - 217090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 217110 ns R psr 81000200 - 217110 ns MR4_I 01000208 2a001c5b - 217130 ns MR4_I 01000200 07c96841 - 217150 ns IT 01000200 6841 LDR r1,[r0,#4] - 217170 ns MR4_I 01000204 6002d1fc - 217230 ns MR4_D 40006004 00000001 - 217230 ns R r1 00000001 - 217230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 217250 ns R r1 80000000 - 217250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 217270 ns R psr 81000200 - 217270 ns MR4_I 01000208 2a001c5b - 217290 ns MR4_I 01000200 07c96841 - 217310 ns IT 01000200 6841 LDR r1,[r0,#4] - 217330 ns MR4_I 01000204 6002d1fc - 217390 ns MR4_D 40006004 00000001 - 217390 ns R r1 00000001 - 217390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 217410 ns R r1 80000000 - 217410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 217430 ns R psr 81000200 - 217430 ns MR4_I 01000208 2a001c5b - 217450 ns MR4_I 01000200 07c96841 - 217470 ns IT 01000200 6841 LDR r1,[r0,#4] - 217490 ns MR4_I 01000204 6002d1fc - 217550 ns MR4_D 40006004 00000001 - 217550 ns R r1 00000001 - 217550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 217570 ns R r1 80000000 - 217570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 217590 ns R psr 81000200 - 217590 ns MR4_I 01000208 2a001c5b - 217610 ns MR4_I 01000200 07c96841 - 217630 ns IT 01000200 6841 LDR r1,[r0,#4] - 217650 ns MR4_I 01000204 6002d1fc - 217710 ns MR4_D 40006004 00000001 - 217710 ns R r1 00000001 - 217710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 217730 ns R r1 80000000 - 217730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 217750 ns R psr 81000200 - 217750 ns MR4_I 01000208 2a001c5b - 217770 ns MR4_I 01000200 07c96841 - 217790 ns IT 01000200 6841 LDR r1,[r0,#4] - 217810 ns MR4_I 01000204 6002d1fc - 217870 ns MR4_D 40006004 00000001 - 217870 ns R r1 00000001 - 217870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 217890 ns R r1 80000000 - 217890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 217910 ns R psr 81000200 - 217910 ns MR4_I 01000208 2a001c5b - 217930 ns MR4_I 01000200 07c96841 - 217950 ns IT 01000200 6841 LDR r1,[r0,#4] - 217970 ns MR4_I 01000204 6002d1fc - 218030 ns MR4_D 40006004 00000001 - 218030 ns R r1 00000001 - 218030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 218050 ns R r1 80000000 - 218050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 218070 ns R psr 81000200 - 218070 ns MR4_I 01000208 2a001c5b - 218090 ns MR4_I 01000200 07c96841 - 218110 ns IT 01000200 6841 LDR r1,[r0,#4] - 218130 ns MR4_I 01000204 6002d1fc - 218190 ns MR4_D 40006004 00000001 - 218190 ns R r1 00000001 - 218190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 218210 ns R r1 80000000 - 218210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 218230 ns R psr 81000200 - 218230 ns MR4_I 01000208 2a001c5b - 218250 ns MR4_I 01000200 07c96841 - 218270 ns IT 01000200 6841 LDR r1,[r0,#4] - 218290 ns MR4_I 01000204 6002d1fc - 218350 ns MR4_D 40006004 00000001 - 218350 ns R r1 00000001 - 218350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 218370 ns R r1 80000000 - 218370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 218390 ns R psr 81000200 - 218390 ns MR4_I 01000208 2a001c5b - 218410 ns MR4_I 01000200 07c96841 - 218430 ns IT 01000200 6841 LDR r1,[r0,#4] - 218450 ns MR4_I 01000204 6002d1fc - 218510 ns MR4_D 40006004 00000001 - 218510 ns R r1 00000001 - 218510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 218530 ns R r1 80000000 - 218530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 218550 ns R psr 81000200 - 218550 ns MR4_I 01000208 2a001c5b - 218570 ns MR4_I 01000200 07c96841 - 218590 ns IT 01000200 6841 LDR r1,[r0,#4] - 218610 ns MR4_I 01000204 6002d1fc - 218670 ns MR4_D 40006004 00000001 - 218670 ns R r1 00000001 - 218670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 218690 ns R r1 80000000 - 218690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 218710 ns R psr 81000200 - 218710 ns MR4_I 01000208 2a001c5b - 218730 ns MR4_I 01000200 07c96841 - 218750 ns IT 01000200 6841 LDR r1,[r0,#4] - 218770 ns MR4_I 01000204 6002d1fc - 218830 ns MR4_D 40006004 00000001 - 218830 ns R r1 00000001 - 218830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 218850 ns R r1 80000000 - 218850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 218870 ns R psr 81000200 - 218870 ns MR4_I 01000208 2a001c5b - 218890 ns MR4_I 01000200 07c96841 - 218910 ns IT 01000200 6841 LDR r1,[r0,#4] - 218930 ns MR4_I 01000204 6002d1fc - 218990 ns MR4_D 40006004 00000001 - 218990 ns R r1 00000001 - 218990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 219010 ns R r1 80000000 - 219010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 219030 ns R psr 81000200 - 219030 ns MR4_I 01000208 2a001c5b - 219050 ns MR4_I 01000200 07c96841 - 219070 ns IT 01000200 6841 LDR r1,[r0,#4] - 219090 ns MR4_I 01000204 6002d1fc - 219150 ns MR4_D 40006004 00000001 - 219150 ns R r1 00000001 - 219150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 219170 ns R r1 80000000 - 219170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 219190 ns R psr 81000200 - 219190 ns MR4_I 01000208 2a001c5b - 219210 ns MR4_I 01000200 07c96841 - 219230 ns IT 01000200 6841 LDR r1,[r0,#4] - 219250 ns MR4_I 01000204 6002d1fc - 219310 ns MR4_D 40006004 00000001 - 219310 ns R r1 00000001 - 219310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 219330 ns R r1 80000000 - 219330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 219350 ns R psr 81000200 - 219350 ns MR4_I 01000208 2a001c5b - 219370 ns MR4_I 01000200 07c96841 - 219390 ns IT 01000200 6841 LDR r1,[r0,#4] - 219410 ns MR4_I 01000204 6002d1fc - 219470 ns MR4_D 40006004 00000001 - 219470 ns R r1 00000001 - 219470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 219490 ns R r1 80000000 - 219490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 219510 ns R psr 81000200 - 219510 ns MR4_I 01000208 2a001c5b - 219530 ns MR4_I 01000200 07c96841 - 219550 ns IT 01000200 6841 LDR r1,[r0,#4] - 219570 ns MR4_I 01000204 6002d1fc - 219630 ns MR4_D 40006004 00000001 - 219630 ns R r1 00000001 - 219630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 219650 ns R r1 80000000 - 219650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 219670 ns R psr 81000200 - 219670 ns MR4_I 01000208 2a001c5b - 219690 ns MR4_I 01000200 07c96841 - 219710 ns IT 01000200 6841 LDR r1,[r0,#4] - 219730 ns MR4_I 01000204 6002d1fc - 219790 ns MR4_D 40006004 00000001 - 219790 ns R r1 00000001 - 219790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 219810 ns R r1 80000000 - 219810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 219830 ns R psr 81000200 - 219830 ns MR4_I 01000208 2a001c5b - 219850 ns MR4_I 01000200 07c96841 - 219870 ns IT 01000200 6841 LDR r1,[r0,#4] - 219890 ns MR4_I 01000204 6002d1fc - 219950 ns MR4_D 40006004 00000001 - 219950 ns R r1 00000001 - 219950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 219970 ns R r1 80000000 - 219970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 219990 ns R psr 81000200 - 219990 ns MR4_I 01000208 2a001c5b - 220010 ns MR4_I 01000200 07c96841 - 220030 ns IT 01000200 6841 LDR r1,[r0,#4] - 220050 ns MR4_I 01000204 6002d1fc - 220110 ns MR4_D 40006004 00000001 - 220110 ns R r1 00000001 - 220110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 220130 ns R r1 80000000 - 220130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 220150 ns R psr 81000200 - 220150 ns MR4_I 01000208 2a001c5b - 220170 ns MR4_I 01000200 07c96841 - 220190 ns IT 01000200 6841 LDR r1,[r0,#4] - 220210 ns MR4_I 01000204 6002d1fc - 220270 ns MR4_D 40006004 00000001 - 220270 ns R r1 00000001 - 220270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 220290 ns R r1 80000000 - 220290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 220310 ns R psr 81000200 - 220310 ns MR4_I 01000208 2a001c5b - 220330 ns MR4_I 01000200 07c96841 - 220350 ns IT 01000200 6841 LDR r1,[r0,#4] - 220370 ns MR4_I 01000204 6002d1fc - 220430 ns MR4_D 40006004 00000001 - 220430 ns R r1 00000001 - 220430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 220450 ns R r1 80000000 - 220450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 220470 ns R psr 81000200 - 220470 ns MR4_I 01000208 2a001c5b - 220490 ns MR4_I 01000200 07c96841 - 220510 ns IT 01000200 6841 LDR r1,[r0,#4] - 220530 ns MR4_I 01000204 6002d1fc - 220590 ns MR4_D 40006004 00000001 - 220590 ns R r1 00000001 - 220590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 220610 ns R r1 80000000 - 220610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 220630 ns R psr 81000200 - 220630 ns MR4_I 01000208 2a001c5b - 220650 ns MR4_I 01000200 07c96841 - 220670 ns IT 01000200 6841 LDR r1,[r0,#4] - 220690 ns MR4_I 01000204 6002d1fc - 220750 ns MR4_D 40006004 00000001 - 220750 ns R r1 00000001 - 220750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 220770 ns R r1 80000000 - 220770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 220790 ns R psr 81000200 - 220790 ns MR4_I 01000208 2a001c5b - 220810 ns MR4_I 01000200 07c96841 - 220830 ns IT 01000200 6841 LDR r1,[r0,#4] - 220850 ns MR4_I 01000204 6002d1fc - 220910 ns MR4_D 40006004 00000001 - 220910 ns R r1 00000001 - 220910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 220930 ns R r1 80000000 - 220930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 220950 ns R psr 81000200 - 220950 ns MR4_I 01000208 2a001c5b - 220970 ns MR4_I 01000200 07c96841 - 220990 ns IT 01000200 6841 LDR r1,[r0,#4] - 221010 ns MR4_I 01000204 6002d1fc - 221070 ns MR4_D 40006004 00000001 - 221070 ns R r1 00000001 - 221070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 221090 ns R r1 80000000 - 221090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 221110 ns R psr 81000200 - 221110 ns MR4_I 01000208 2a001c5b - 221130 ns MR4_I 01000200 07c96841 - 221150 ns IT 01000200 6841 LDR r1,[r0,#4] - 221170 ns MR4_I 01000204 6002d1fc - 221230 ns MR4_D 40006004 00000001 - 221230 ns R r1 00000001 - 221230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 221250 ns R r1 80000000 - 221250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 221270 ns R psr 81000200 - 221270 ns MR4_I 01000208 2a001c5b - 221290 ns MR4_I 01000200 07c96841 - 221310 ns IT 01000200 6841 LDR r1,[r0,#4] - 221330 ns MR4_I 01000204 6002d1fc - 221390 ns MR4_D 40006004 00000001 - 221390 ns R r1 00000001 - 221390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 221410 ns R r1 80000000 - 221410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 221430 ns R psr 81000200 - 221430 ns MR4_I 01000208 2a001c5b - 221450 ns MR4_I 01000200 07c96841 - 221470 ns IT 01000200 6841 LDR r1,[r0,#4] - 221490 ns MR4_I 01000204 6002d1fc - 221550 ns MR4_D 40006004 00000001 - 221550 ns R r1 00000001 - 221550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 221570 ns R r1 80000000 - 221570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 221590 ns R psr 81000200 - 221590 ns MR4_I 01000208 2a001c5b - 221610 ns MR4_I 01000200 07c96841 - 221630 ns IT 01000200 6841 LDR r1,[r0,#4] - 221650 ns MR4_I 01000204 6002d1fc - 221710 ns MR4_D 40006004 00000001 - 221710 ns R r1 00000001 - 221710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 221730 ns R r1 80000000 - 221730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 221750 ns R psr 81000200 - 221750 ns MR4_I 01000208 2a001c5b - 221770 ns MR4_I 01000200 07c96841 - 221790 ns IT 01000200 6841 LDR r1,[r0,#4] - 221810 ns MR4_I 01000204 6002d1fc - 221870 ns MR4_D 40006004 00000001 - 221870 ns R r1 00000001 - 221870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 221890 ns R r1 80000000 - 221890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 221910 ns R psr 81000200 - 221910 ns MR4_I 01000208 2a001c5b - 221930 ns MR4_I 01000200 07c96841 - 221950 ns IT 01000200 6841 LDR r1,[r0,#4] - 221970 ns MR4_I 01000204 6002d1fc - 222030 ns MR4_D 40006004 00000001 - 222030 ns R r1 00000001 - 222030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 222050 ns R r1 80000000 - 222050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 222070 ns R psr 81000200 - 222070 ns MR4_I 01000208 2a001c5b - 222090 ns MR4_I 01000200 07c96841 - 222110 ns IT 01000200 6841 LDR r1,[r0,#4] - 222130 ns MR4_I 01000204 6002d1fc - 222190 ns MR4_D 40006004 00000001 - 222190 ns R r1 00000001 - 222190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 222210 ns R r1 80000000 - 222210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 222230 ns R psr 81000200 - 222230 ns MR4_I 01000208 2a001c5b - 222250 ns MR4_I 01000200 07c96841 - 222270 ns IT 01000200 6841 LDR r1,[r0,#4] - 222290 ns MR4_I 01000204 6002d1fc - 222350 ns MR4_D 40006004 00000001 - 222350 ns R r1 00000001 - 222350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 222370 ns R r1 80000000 - 222370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 222390 ns R psr 81000200 - 222390 ns MR4_I 01000208 2a001c5b - 222410 ns MR4_I 01000200 07c96841 - 222430 ns IT 01000200 6841 LDR r1,[r0,#4] - 222450 ns MR4_I 01000204 6002d1fc - 222510 ns MR4_D 40006004 00000001 - 222510 ns R r1 00000001 - 222510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 222530 ns R r1 80000000 - 222530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 222550 ns R psr 81000200 - 222550 ns MR4_I 01000208 2a001c5b - 222570 ns MR4_I 01000200 07c96841 - 222590 ns IT 01000200 6841 LDR r1,[r0,#4] - 222610 ns MR4_I 01000204 6002d1fc - 222670 ns MR4_D 40006004 00000001 - 222670 ns R r1 00000001 - 222670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 222690 ns R r1 80000000 - 222690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 222710 ns R psr 81000200 - 222710 ns MR4_I 01000208 2a001c5b - 222730 ns MR4_I 01000200 07c96841 - 222750 ns IT 01000200 6841 LDR r1,[r0,#4] - 222770 ns MR4_I 01000204 6002d1fc - 222830 ns MR4_D 40006004 00000001 - 222830 ns R r1 00000001 - 222830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 222850 ns R r1 80000000 - 222850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 222870 ns R psr 81000200 - 222870 ns MR4_I 01000208 2a001c5b - 222890 ns MR4_I 01000200 07c96841 - 222910 ns IT 01000200 6841 LDR r1,[r0,#4] - 222930 ns MR4_I 01000204 6002d1fc - 222990 ns MR4_D 40006004 00000001 - 222990 ns R r1 00000001 - 222990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 223010 ns R r1 80000000 - 223010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 223030 ns R psr 81000200 - 223030 ns MR4_I 01000208 2a001c5b - 223050 ns MR4_I 01000200 07c96841 - 223070 ns IT 01000200 6841 LDR r1,[r0,#4] - 223090 ns MR4_I 01000204 6002d1fc - 223150 ns MR4_D 40006004 00000001 - 223150 ns R r1 00000001 - 223150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 223170 ns R r1 80000000 - 223170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 223190 ns R psr 81000200 - 223190 ns MR4_I 01000208 2a001c5b - 223210 ns MR4_I 01000200 07c96841 - 223230 ns IT 01000200 6841 LDR r1,[r0,#4] - 223250 ns MR4_I 01000204 6002d1fc - 223310 ns MR4_D 40006004 00000001 - 223310 ns R r1 00000001 - 223310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 223330 ns R r1 80000000 - 223330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 223350 ns R psr 81000200 - 223350 ns MR4_I 01000208 2a001c5b - 223370 ns MR4_I 01000200 07c96841 - 223390 ns IT 01000200 6841 LDR r1,[r0,#4] - 223410 ns MR4_I 01000204 6002d1fc - 223470 ns MR4_D 40006004 00000001 - 223470 ns R r1 00000001 - 223470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 223490 ns R r1 80000000 - 223490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 223510 ns R psr 81000200 - 223510 ns MR4_I 01000208 2a001c5b - 223530 ns MR4_I 01000200 07c96841 - 223550 ns IT 01000200 6841 LDR r1,[r0,#4] - 223570 ns MR4_I 01000204 6002d1fc - 223630 ns MR4_D 40006004 00000001 - 223630 ns R r1 00000001 - 223630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 223650 ns R r1 80000000 - 223650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 223670 ns R psr 81000200 - 223670 ns MR4_I 01000208 2a001c5b - 223690 ns MR4_I 01000200 07c96841 - 223710 ns IT 01000200 6841 LDR r1,[r0,#4] - 223730 ns MR4_I 01000204 6002d1fc - 223790 ns MR4_D 40006004 00000001 - 223790 ns R r1 00000001 - 223790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 223810 ns R r1 80000000 - 223810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 223830 ns R psr 81000200 - 223830 ns MR4_I 01000208 2a001c5b - 223850 ns MR4_I 01000200 07c96841 - 223870 ns IT 01000200 6841 LDR r1,[r0,#4] - 223890 ns MR4_I 01000204 6002d1fc - 223950 ns MR4_D 40006004 00000001 - 223950 ns R r1 00000001 - 223950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 223970 ns R r1 80000000 - 223970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 223990 ns R psr 81000200 - 223990 ns MR4_I 01000208 2a001c5b - 224010 ns MR4_I 01000200 07c96841 - 224030 ns IT 01000200 6841 LDR r1,[r0,#4] - 224050 ns MR4_I 01000204 6002d1fc - 224110 ns MR4_D 40006004 00000001 - 224110 ns R r1 00000001 - 224110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 224130 ns R r1 80000000 - 224130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 224150 ns R psr 81000200 - 224150 ns MR4_I 01000208 2a001c5b - 224170 ns MR4_I 01000200 07c96841 - 224190 ns IT 01000200 6841 LDR r1,[r0,#4] - 224210 ns MR4_I 01000204 6002d1fc - 224270 ns MR4_D 40006004 00000001 - 224270 ns R r1 00000001 - 224270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 224290 ns R r1 80000000 - 224290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 224310 ns R psr 81000200 - 224310 ns MR4_I 01000208 2a001c5b - 224330 ns MR4_I 01000200 07c96841 - 224350 ns IT 01000200 6841 LDR r1,[r0,#4] - 224370 ns MR4_I 01000204 6002d1fc - 224430 ns MR4_D 40006004 00000001 - 224430 ns R r1 00000001 - 224430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 224450 ns R r1 80000000 - 224450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 224470 ns R psr 81000200 - 224470 ns MR4_I 01000208 2a001c5b - 224490 ns MR4_I 01000200 07c96841 - 224510 ns IT 01000200 6841 LDR r1,[r0,#4] - 224530 ns MR4_I 01000204 6002d1fc - 224590 ns MR4_D 40006004 00000001 - 224590 ns R r1 00000001 - 224590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 224610 ns R r1 80000000 - 224610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 224630 ns R psr 81000200 - 224630 ns MR4_I 01000208 2a001c5b - 224650 ns MR4_I 01000200 07c96841 - 224670 ns IT 01000200 6841 LDR r1,[r0,#4] - 224690 ns MR4_I 01000204 6002d1fc - 224750 ns MR4_D 40006004 00000000 - 224750 ns R r1 00000000 - 224750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 224770 ns R r1 00000000 - 224770 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 224790 ns R psr 41000200 - 224790 ns MR4_I 01000208 2a001c5b - 224790 ns IT 01000206 6002 STR r2,[r0,#0] - 224870 ns MW4_D 40006000 00000073 - 224870 ns IT 01000208 1c5b ADDS r3,r3,#1 - 224890 ns MR4_I 0100020c a32ad1f5 - 224890 ns R r3 0100029b - 224890 ns IT 0100020a 2a00 CMP r2,#0 - 224910 ns R psr 01000200 - 224910 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 224930 ns R psr 21000200 - 224930 ns MR4_I 01000210 2a00781a - 224950 ns MR4_I 010001f8 781aa326 - 224970 ns MR4_I 010001fc d0062a00 - 224970 ns IT 010001fa 781a LDRB r2,[r3,#0] - 225010 ns MR1_D 0100029b 6f732d49 - 225010 ns R r2 0000006f - 225010 ns IT 010001fc 2a00 CMP r2,#0 - 225030 ns MR4_I 01000200 07c96841 - 225030 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 225050 ns R psr 21000200 - 225050 ns IT 01000200 6841 LDR r1,[r0,#4] - 225070 ns MR4_I 01000204 6002d1fc - 225130 ns MR4_D 40006004 00000001 - 225130 ns R r1 00000001 - 225130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 225150 ns R r1 80000000 - 225150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 225170 ns R psr 81000200 - 225170 ns MR4_I 01000208 2a001c5b - 225190 ns MR4_I 01000200 07c96841 - 225210 ns IT 01000200 6841 LDR r1,[r0,#4] - 225230 ns MR4_I 01000204 6002d1fc - 225290 ns MR4_D 40006004 00000001 - 225290 ns R r1 00000001 - 225290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 225310 ns R r1 80000000 - 225310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 225330 ns R psr 81000200 - 225330 ns MR4_I 01000208 2a001c5b - 225350 ns MR4_I 01000200 07c96841 - 225370 ns IT 01000200 6841 LDR r1,[r0,#4] - 225390 ns MR4_I 01000204 6002d1fc - 225450 ns MR4_D 40006004 00000001 - 225450 ns R r1 00000001 - 225450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 225470 ns R r1 80000000 - 225470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 225490 ns R psr 81000200 - 225490 ns MR4_I 01000208 2a001c5b - 225510 ns MR4_I 01000200 07c96841 - 225530 ns IT 01000200 6841 LDR r1,[r0,#4] - 225550 ns MR4_I 01000204 6002d1fc - 225610 ns MR4_D 40006004 00000001 - 225610 ns R r1 00000001 - 225610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 225630 ns R r1 80000000 - 225630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 225650 ns R psr 81000200 - 225650 ns MR4_I 01000208 2a001c5b - 225670 ns MR4_I 01000200 07c96841 - 225690 ns IT 01000200 6841 LDR r1,[r0,#4] - 225710 ns MR4_I 01000204 6002d1fc - 225770 ns MR4_D 40006004 00000001 - 225770 ns R r1 00000001 - 225770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 225790 ns R r1 80000000 - 225790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 225810 ns R psr 81000200 - 225810 ns MR4_I 01000208 2a001c5b - 225830 ns MR4_I 01000200 07c96841 - 225850 ns IT 01000200 6841 LDR r1,[r0,#4] - 225870 ns MR4_I 01000204 6002d1fc - 225930 ns MR4_D 40006004 00000001 - 225930 ns R r1 00000001 - 225930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 225950 ns R r1 80000000 - 225950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 225970 ns R psr 81000200 - 225970 ns MR4_I 01000208 2a001c5b - 225990 ns MR4_I 01000200 07c96841 - 226010 ns IT 01000200 6841 LDR r1,[r0,#4] - 226030 ns MR4_I 01000204 6002d1fc - 226090 ns MR4_D 40006004 00000001 - 226090 ns R r1 00000001 - 226090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 226110 ns R r1 80000000 - 226110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 226130 ns R psr 81000200 - 226130 ns MR4_I 01000208 2a001c5b - 226150 ns MR4_I 01000200 07c96841 - 226170 ns IT 01000200 6841 LDR r1,[r0,#4] - 226190 ns MR4_I 01000204 6002d1fc - 226250 ns MR4_D 40006004 00000001 - 226250 ns R r1 00000001 - 226250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 226270 ns R r1 80000000 - 226270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 226290 ns R psr 81000200 - 226290 ns MR4_I 01000208 2a001c5b - 226310 ns MR4_I 01000200 07c96841 - 226330 ns IT 01000200 6841 LDR r1,[r0,#4] - 226350 ns MR4_I 01000204 6002d1fc - 226410 ns MR4_D 40006004 00000001 - 226410 ns R r1 00000001 - 226410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 226430 ns R r1 80000000 - 226430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 226450 ns R psr 81000200 - 226450 ns MR4_I 01000208 2a001c5b - 226470 ns MR4_I 01000200 07c96841 - 226490 ns IT 01000200 6841 LDR r1,[r0,#4] - 226510 ns MR4_I 01000204 6002d1fc - 226570 ns MR4_D 40006004 00000001 - 226570 ns R r1 00000001 - 226570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 226590 ns R r1 80000000 - 226590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 226610 ns R psr 81000200 - 226610 ns MR4_I 01000208 2a001c5b - 226630 ns MR4_I 01000200 07c96841 - 226650 ns IT 01000200 6841 LDR r1,[r0,#4] - 226670 ns MR4_I 01000204 6002d1fc - 226730 ns MR4_D 40006004 00000001 - 226730 ns R r1 00000001 - 226730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 226750 ns R r1 80000000 - 226750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 226770 ns R psr 81000200 - 226770 ns MR4_I 01000208 2a001c5b - 226790 ns MR4_I 01000200 07c96841 - 226810 ns IT 01000200 6841 LDR r1,[r0,#4] - 226830 ns MR4_I 01000204 6002d1fc - 226890 ns MR4_D 40006004 00000001 - 226890 ns R r1 00000001 - 226890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 226910 ns R r1 80000000 - 226910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 226930 ns R psr 81000200 - 226930 ns MR4_I 01000208 2a001c5b - 226950 ns MR4_I 01000200 07c96841 - 226970 ns IT 01000200 6841 LDR r1,[r0,#4] - 226990 ns MR4_I 01000204 6002d1fc - 227050 ns MR4_D 40006004 00000001 - 227050 ns R r1 00000001 - 227050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 227070 ns R r1 80000000 - 227070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 227090 ns R psr 81000200 - 227090 ns MR4_I 01000208 2a001c5b - 227110 ns MR4_I 01000200 07c96841 - 227130 ns IT 01000200 6841 LDR r1,[r0,#4] - 227150 ns MR4_I 01000204 6002d1fc - 227210 ns MR4_D 40006004 00000001 - 227210 ns R r1 00000001 - 227210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 227230 ns R r1 80000000 - 227230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 227250 ns R psr 81000200 - 227250 ns MR4_I 01000208 2a001c5b - 227270 ns MR4_I 01000200 07c96841 - 227290 ns IT 01000200 6841 LDR r1,[r0,#4] - 227310 ns MR4_I 01000204 6002d1fc - 227370 ns MR4_D 40006004 00000001 - 227370 ns R r1 00000001 - 227370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 227390 ns R r1 80000000 - 227390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 227410 ns R psr 81000200 - 227410 ns MR4_I 01000208 2a001c5b - 227430 ns MR4_I 01000200 07c96841 - 227450 ns IT 01000200 6841 LDR r1,[r0,#4] - 227470 ns MR4_I 01000204 6002d1fc - 227530 ns MR4_D 40006004 00000001 - 227530 ns R r1 00000001 - 227530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 227550 ns R r1 80000000 - 227550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 227570 ns R psr 81000200 - 227570 ns MR4_I 01000208 2a001c5b - 227590 ns MR4_I 01000200 07c96841 - 227610 ns IT 01000200 6841 LDR r1,[r0,#4] - 227630 ns MR4_I 01000204 6002d1fc - 227690 ns MR4_D 40006004 00000001 - 227690 ns R r1 00000001 - 227690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 227710 ns R r1 80000000 - 227710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 227730 ns R psr 81000200 - 227730 ns MR4_I 01000208 2a001c5b - 227750 ns MR4_I 01000200 07c96841 - 227770 ns IT 01000200 6841 LDR r1,[r0,#4] - 227790 ns MR4_I 01000204 6002d1fc - 227850 ns MR4_D 40006004 00000001 - 227850 ns R r1 00000001 - 227850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 227870 ns R r1 80000000 - 227870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 227890 ns R psr 81000200 - 227890 ns MR4_I 01000208 2a001c5b - 227910 ns MR4_I 01000200 07c96841 - 227930 ns IT 01000200 6841 LDR r1,[r0,#4] - 227950 ns MR4_I 01000204 6002d1fc - 228010 ns MR4_D 40006004 00000001 - 228010 ns R r1 00000001 - 228010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 228030 ns R r1 80000000 - 228030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 228050 ns R psr 81000200 - 228050 ns MR4_I 01000208 2a001c5b - 228070 ns MR4_I 01000200 07c96841 - 228090 ns IT 01000200 6841 LDR r1,[r0,#4] - 228110 ns MR4_I 01000204 6002d1fc - 228170 ns MR4_D 40006004 00000001 - 228170 ns R r1 00000001 - 228170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 228190 ns R r1 80000000 - 228190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 228210 ns R psr 81000200 - 228210 ns MR4_I 01000208 2a001c5b - 228230 ns MR4_I 01000200 07c96841 - 228250 ns IT 01000200 6841 LDR r1,[r0,#4] - 228270 ns MR4_I 01000204 6002d1fc - 228330 ns MR4_D 40006004 00000001 - 228330 ns R r1 00000001 - 228330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 228350 ns R r1 80000000 - 228350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 228370 ns R psr 81000200 - 228370 ns MR4_I 01000208 2a001c5b - 228390 ns MR4_I 01000200 07c96841 - 228410 ns IT 01000200 6841 LDR r1,[r0,#4] - 228430 ns MR4_I 01000204 6002d1fc - 228490 ns MR4_D 40006004 00000001 - 228490 ns R r1 00000001 - 228490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 228510 ns R r1 80000000 - 228510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 228530 ns R psr 81000200 - 228530 ns MR4_I 01000208 2a001c5b - 228550 ns MR4_I 01000200 07c96841 - 228570 ns IT 01000200 6841 LDR r1,[r0,#4] - 228590 ns MR4_I 01000204 6002d1fc - 228650 ns MR4_D 40006004 00000001 - 228650 ns R r1 00000001 - 228650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 228670 ns R r1 80000000 - 228670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 228690 ns R psr 81000200 - 228690 ns MR4_I 01000208 2a001c5b - 228710 ns MR4_I 01000200 07c96841 - 228730 ns IT 01000200 6841 LDR r1,[r0,#4] - 228750 ns MR4_I 01000204 6002d1fc - 228810 ns MR4_D 40006004 00000001 - 228810 ns R r1 00000001 - 228810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 228830 ns R r1 80000000 - 228830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 228850 ns R psr 81000200 - 228850 ns MR4_I 01000208 2a001c5b - 228870 ns MR4_I 01000200 07c96841 - 228890 ns IT 01000200 6841 LDR r1,[r0,#4] - 228910 ns MR4_I 01000204 6002d1fc - 228970 ns MR4_D 40006004 00000001 - 228970 ns R r1 00000001 - 228970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 228990 ns R r1 80000000 - 228990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 229010 ns R psr 81000200 - 229010 ns MR4_I 01000208 2a001c5b - 229030 ns MR4_I 01000200 07c96841 - 229050 ns IT 01000200 6841 LDR r1,[r0,#4] - 229070 ns MR4_I 01000204 6002d1fc - 229130 ns MR4_D 40006004 00000001 - 229130 ns R r1 00000001 - 229130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 229150 ns R r1 80000000 - 229150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 229170 ns R psr 81000200 - 229170 ns MR4_I 01000208 2a001c5b - 229190 ns MR4_I 01000200 07c96841 - 229210 ns IT 01000200 6841 LDR r1,[r0,#4] - 229230 ns MR4_I 01000204 6002d1fc - 229290 ns MR4_D 40006004 00000001 - 229290 ns R r1 00000001 - 229290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 229310 ns R r1 80000000 - 229310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 229330 ns R psr 81000200 - 229330 ns MR4_I 01000208 2a001c5b - 229350 ns MR4_I 01000200 07c96841 - 229370 ns IT 01000200 6841 LDR r1,[r0,#4] - 229390 ns MR4_I 01000204 6002d1fc - 229450 ns MR4_D 40006004 00000001 - 229450 ns R r1 00000001 - 229450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 229470 ns R r1 80000000 - 229470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 229490 ns R psr 81000200 - 229490 ns MR4_I 01000208 2a001c5b - 229510 ns MR4_I 01000200 07c96841 - 229530 ns IT 01000200 6841 LDR r1,[r0,#4] - 229550 ns MR4_I 01000204 6002d1fc - 229610 ns MR4_D 40006004 00000001 - 229610 ns R r1 00000001 - 229610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 229630 ns R r1 80000000 - 229630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 229650 ns R psr 81000200 - 229650 ns MR4_I 01000208 2a001c5b - 229670 ns MR4_I 01000200 07c96841 - 229690 ns IT 01000200 6841 LDR r1,[r0,#4] - 229710 ns MR4_I 01000204 6002d1fc - 229770 ns MR4_D 40006004 00000001 - 229770 ns R r1 00000001 - 229770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 229790 ns R r1 80000000 - 229790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 229810 ns R psr 81000200 - 229810 ns MR4_I 01000208 2a001c5b - 229830 ns MR4_I 01000200 07c96841 - 229850 ns IT 01000200 6841 LDR r1,[r0,#4] - 229870 ns MR4_I 01000204 6002d1fc - 229930 ns MR4_D 40006004 00000001 - 229930 ns R r1 00000001 - 229930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 229950 ns R r1 80000000 - 229950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 229970 ns R psr 81000200 - 229970 ns MR4_I 01000208 2a001c5b - 229990 ns MR4_I 01000200 07c96841 - 230010 ns IT 01000200 6841 LDR r1,[r0,#4] - 230030 ns MR4_I 01000204 6002d1fc - 230090 ns MR4_D 40006004 00000001 - 230090 ns R r1 00000001 - 230090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 230110 ns R r1 80000000 - 230110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 230130 ns R psr 81000200 - 230130 ns MR4_I 01000208 2a001c5b - 230150 ns MR4_I 01000200 07c96841 - 230170 ns IT 01000200 6841 LDR r1,[r0,#4] - 230190 ns MR4_I 01000204 6002d1fc - 230250 ns MR4_D 40006004 00000001 - 230250 ns R r1 00000001 - 230250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 230270 ns R r1 80000000 - 230270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 230290 ns R psr 81000200 - 230290 ns MR4_I 01000208 2a001c5b - 230310 ns MR4_I 01000200 07c96841 - 230330 ns IT 01000200 6841 LDR r1,[r0,#4] - 230350 ns MR4_I 01000204 6002d1fc - 230410 ns MR4_D 40006004 00000001 - 230410 ns R r1 00000001 - 230410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 230430 ns R r1 80000000 - 230430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 230450 ns R psr 81000200 - 230450 ns MR4_I 01000208 2a001c5b - 230470 ns MR4_I 01000200 07c96841 - 230490 ns IT 01000200 6841 LDR r1,[r0,#4] - 230510 ns MR4_I 01000204 6002d1fc - 230570 ns MR4_D 40006004 00000001 - 230570 ns R r1 00000001 - 230570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 230590 ns R r1 80000000 - 230590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 230610 ns R psr 81000200 - 230610 ns MR4_I 01000208 2a001c5b - 230630 ns MR4_I 01000200 07c96841 - 230650 ns IT 01000200 6841 LDR r1,[r0,#4] - 230670 ns MR4_I 01000204 6002d1fc - 230730 ns MR4_D 40006004 00000001 - 230730 ns R r1 00000001 - 230730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 230750 ns R r1 80000000 - 230750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 230770 ns R psr 81000200 - 230770 ns MR4_I 01000208 2a001c5b - 230790 ns MR4_I 01000200 07c96841 - 230810 ns IT 01000200 6841 LDR r1,[r0,#4] - 230830 ns MR4_I 01000204 6002d1fc - 230890 ns MR4_D 40006004 00000001 - 230890 ns R r1 00000001 - 230890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 230910 ns R r1 80000000 - 230910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 230930 ns R psr 81000200 - 230930 ns MR4_I 01000208 2a001c5b - 230950 ns MR4_I 01000200 07c96841 - 230970 ns IT 01000200 6841 LDR r1,[r0,#4] - 230990 ns MR4_I 01000204 6002d1fc - 231050 ns MR4_D 40006004 00000001 - 231050 ns R r1 00000001 - 231050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 231070 ns R r1 80000000 - 231070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 231090 ns R psr 81000200 - 231090 ns MR4_I 01000208 2a001c5b - 231110 ns MR4_I 01000200 07c96841 - 231130 ns IT 01000200 6841 LDR r1,[r0,#4] - 231150 ns MR4_I 01000204 6002d1fc - 231210 ns MR4_D 40006004 00000001 - 231210 ns R r1 00000001 - 231210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 231230 ns R r1 80000000 - 231230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 231250 ns R psr 81000200 - 231250 ns MR4_I 01000208 2a001c5b - 231270 ns MR4_I 01000200 07c96841 - 231290 ns IT 01000200 6841 LDR r1,[r0,#4] - 231310 ns MR4_I 01000204 6002d1fc - 231370 ns MR4_D 40006004 00000001 - 231370 ns R r1 00000001 - 231370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 231390 ns R r1 80000000 - 231390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 231410 ns R psr 81000200 - 231410 ns MR4_I 01000208 2a001c5b - 231430 ns MR4_I 01000200 07c96841 - 231450 ns IT 01000200 6841 LDR r1,[r0,#4] - 231470 ns MR4_I 01000204 6002d1fc - 231530 ns MR4_D 40006004 00000001 - 231530 ns R r1 00000001 - 231530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 231550 ns R r1 80000000 - 231550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 231570 ns R psr 81000200 - 231570 ns MR4_I 01000208 2a001c5b - 231590 ns MR4_I 01000200 07c96841 - 231610 ns IT 01000200 6841 LDR r1,[r0,#4] - 231630 ns MR4_I 01000204 6002d1fc - 231690 ns MR4_D 40006004 00000001 - 231690 ns R r1 00000001 - 231690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 231710 ns R r1 80000000 - 231710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 231730 ns R psr 81000200 - 231730 ns MR4_I 01000208 2a001c5b - 231750 ns MR4_I 01000200 07c96841 - 231770 ns IT 01000200 6841 LDR r1,[r0,#4] - 231790 ns MR4_I 01000204 6002d1fc - 231850 ns MR4_D 40006004 00000001 - 231850 ns R r1 00000001 - 231850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 231870 ns R r1 80000000 - 231870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 231890 ns R psr 81000200 - 231890 ns MR4_I 01000208 2a001c5b - 231910 ns MR4_I 01000200 07c96841 - 231930 ns IT 01000200 6841 LDR r1,[r0,#4] - 231950 ns MR4_I 01000204 6002d1fc - 232010 ns MR4_D 40006004 00000001 - 232010 ns R r1 00000001 - 232010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 232030 ns R r1 80000000 - 232030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 232050 ns R psr 81000200 - 232050 ns MR4_I 01000208 2a001c5b - 232070 ns MR4_I 01000200 07c96841 - 232090 ns IT 01000200 6841 LDR r1,[r0,#4] - 232110 ns MR4_I 01000204 6002d1fc - 232170 ns MR4_D 40006004 00000001 - 232170 ns R r1 00000001 - 232170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 232190 ns R r1 80000000 - 232190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 232210 ns R psr 81000200 - 232210 ns MR4_I 01000208 2a001c5b - 232230 ns MR4_I 01000200 07c96841 - 232250 ns IT 01000200 6841 LDR r1,[r0,#4] - 232270 ns MR4_I 01000204 6002d1fc - 232330 ns MR4_D 40006004 00000001 - 232330 ns R r1 00000001 - 232330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 232350 ns R r1 80000000 - 232350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 232370 ns R psr 81000200 - 232370 ns MR4_I 01000208 2a001c5b - 232390 ns MR4_I 01000200 07c96841 - 232410 ns IT 01000200 6841 LDR r1,[r0,#4] - 232430 ns MR4_I 01000204 6002d1fc - 232490 ns MR4_D 40006004 00000001 - 232490 ns R r1 00000001 - 232490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 232510 ns R r1 80000000 - 232510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 232530 ns R psr 81000200 - 232530 ns MR4_I 01000208 2a001c5b - 232550 ns MR4_I 01000200 07c96841 - 232570 ns IT 01000200 6841 LDR r1,[r0,#4] - 232590 ns MR4_I 01000204 6002d1fc - 232650 ns MR4_D 40006004 00000001 - 232650 ns R r1 00000001 - 232650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 232670 ns R r1 80000000 - 232670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 232690 ns R psr 81000200 - 232690 ns MR4_I 01000208 2a001c5b - 232710 ns MR4_I 01000200 07c96841 - 232730 ns IT 01000200 6841 LDR r1,[r0,#4] - 232750 ns MR4_I 01000204 6002d1fc - 232810 ns MR4_D 40006004 00000001 - 232810 ns R r1 00000001 - 232810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 232830 ns R r1 80000000 - 232830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 232850 ns R psr 81000200 - 232850 ns MR4_I 01000208 2a001c5b - 232870 ns MR4_I 01000200 07c96841 - 232890 ns IT 01000200 6841 LDR r1,[r0,#4] - 232910 ns MR4_I 01000204 6002d1fc - 232970 ns MR4_D 40006004 00000001 - 232970 ns R r1 00000001 - 232970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 232990 ns R r1 80000000 - 232990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 233010 ns R psr 81000200 - 233010 ns MR4_I 01000208 2a001c5b - 233030 ns MR4_I 01000200 07c96841 - 233050 ns IT 01000200 6841 LDR r1,[r0,#4] - 233070 ns MR4_I 01000204 6002d1fc - 233130 ns MR4_D 40006004 00000001 - 233130 ns R r1 00000001 - 233130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 233150 ns R r1 80000000 - 233150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 233170 ns R psr 81000200 - 233170 ns MR4_I 01000208 2a001c5b - 233190 ns MR4_I 01000200 07c96841 - 233210 ns IT 01000200 6841 LDR r1,[r0,#4] - 233230 ns MR4_I 01000204 6002d1fc - 233290 ns MR4_D 40006004 00000001 - 233290 ns R r1 00000001 - 233290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 233310 ns R r1 80000000 - 233310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 233330 ns R psr 81000200 - 233330 ns MR4_I 01000208 2a001c5b - 233350 ns MR4_I 01000200 07c96841 - 233370 ns IT 01000200 6841 LDR r1,[r0,#4] - 233390 ns MR4_I 01000204 6002d1fc - 233450 ns MR4_D 40006004 00000001 - 233450 ns R r1 00000001 - 233450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 233470 ns R r1 80000000 - 233470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 233490 ns R psr 81000200 - 233490 ns MR4_I 01000208 2a001c5b - 233510 ns MR4_I 01000200 07c96841 - 233530 ns IT 01000200 6841 LDR r1,[r0,#4] - 233550 ns MR4_I 01000204 6002d1fc - 233610 ns MR4_D 40006004 00000001 - 233610 ns R r1 00000001 - 233610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 233630 ns R r1 80000000 - 233630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 233650 ns R psr 81000200 - 233650 ns MR4_I 01000208 2a001c5b - 233670 ns MR4_I 01000200 07c96841 - 233690 ns IT 01000200 6841 LDR r1,[r0,#4] - 233710 ns MR4_I 01000204 6002d1fc - 233770 ns MR4_D 40006004 00000001 - 233770 ns R r1 00000001 - 233770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 233790 ns R r1 80000000 - 233790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 233810 ns R psr 81000200 - 233810 ns MR4_I 01000208 2a001c5b - 233830 ns MR4_I 01000200 07c96841 - 233850 ns IT 01000200 6841 LDR r1,[r0,#4] - 233870 ns MR4_I 01000204 6002d1fc - 233930 ns MR4_D 40006004 00000001 - 233930 ns R r1 00000001 - 233930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 233950 ns R r1 80000000 - 233950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 233970 ns R psr 81000200 - 233970 ns MR4_I 01000208 2a001c5b - 233990 ns MR4_I 01000200 07c96841 - 234010 ns IT 01000200 6841 LDR r1,[r0,#4] - 234030 ns MR4_I 01000204 6002d1fc - 234090 ns MR4_D 40006004 00000001 - 234090 ns R r1 00000001 - 234090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 234110 ns R r1 80000000 - 234110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 234130 ns R psr 81000200 - 234130 ns MR4_I 01000208 2a001c5b - 234150 ns MR4_I 01000200 07c96841 - 234170 ns IT 01000200 6841 LDR r1,[r0,#4] - 234190 ns MR4_I 01000204 6002d1fc - 234250 ns MR4_D 40006004 00000001 - 234250 ns R r1 00000001 - 234250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 234270 ns R r1 80000000 - 234270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 234290 ns R psr 81000200 - 234290 ns MR4_I 01000208 2a001c5b - 234310 ns MR4_I 01000200 07c96841 - 234330 ns IT 01000200 6841 LDR r1,[r0,#4] - 234350 ns MR4_I 01000204 6002d1fc - 234410 ns MR4_D 40006004 00000001 - 234410 ns R r1 00000001 - 234410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 234430 ns R r1 80000000 - 234430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 234450 ns R psr 81000200 - 234450 ns MR4_I 01000208 2a001c5b - 234470 ns MR4_I 01000200 07c96841 - 234490 ns IT 01000200 6841 LDR r1,[r0,#4] - 234510 ns MR4_I 01000204 6002d1fc - 234570 ns MR4_D 40006004 00000001 - 234570 ns R r1 00000001 - 234570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 234590 ns R r1 80000000 - 234590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 234610 ns R psr 81000200 - 234610 ns MR4_I 01000208 2a001c5b - 234630 ns MR4_I 01000200 07c96841 - 234650 ns IT 01000200 6841 LDR r1,[r0,#4] - 234670 ns MR4_I 01000204 6002d1fc - 234730 ns MR4_D 40006004 00000001 - 234730 ns R r1 00000001 - 234730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 234750 ns R r1 80000000 - 234750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 234770 ns R psr 81000200 - 234770 ns MR4_I 01000208 2a001c5b - 234790 ns MR4_I 01000200 07c96841 - 234810 ns IT 01000200 6841 LDR r1,[r0,#4] - 234830 ns MR4_I 01000204 6002d1fc - 234890 ns MR4_D 40006004 00000001 - 234890 ns R r1 00000001 - 234890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 234910 ns R r1 80000000 - 234910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 234930 ns R psr 81000200 - 234930 ns MR4_I 01000208 2a001c5b - 234950 ns MR4_I 01000200 07c96841 - 234970 ns IT 01000200 6841 LDR r1,[r0,#4] - 234990 ns MR4_I 01000204 6002d1fc - 235050 ns MR4_D 40006004 00000001 - 235050 ns R r1 00000001 - 235050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 235070 ns R r1 80000000 - 235070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 235090 ns R psr 81000200 - 235090 ns MR4_I 01000208 2a001c5b - 235110 ns MR4_I 01000200 07c96841 - 235130 ns IT 01000200 6841 LDR r1,[r0,#4] - 235150 ns MR4_I 01000204 6002d1fc - 235210 ns MR4_D 40006004 00000001 - 235210 ns R r1 00000001 - 235210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 235230 ns R r1 80000000 - 235230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 235250 ns R psr 81000200 - 235250 ns MR4_I 01000208 2a001c5b - 235270 ns MR4_I 01000200 07c96841 - 235290 ns IT 01000200 6841 LDR r1,[r0,#4] - 235310 ns MR4_I 01000204 6002d1fc - 235370 ns MR4_D 40006004 00000001 - 235370 ns R r1 00000001 - 235370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 235390 ns R r1 80000000 - 235390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 235410 ns R psr 81000200 - 235410 ns MR4_I 01000208 2a001c5b - 235430 ns MR4_I 01000200 07c96841 - 235450 ns IT 01000200 6841 LDR r1,[r0,#4] - 235470 ns MR4_I 01000204 6002d1fc - 235530 ns MR4_D 40006004 00000001 - 235530 ns R r1 00000001 - 235530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 235550 ns R r1 80000000 - 235550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 235570 ns R psr 81000200 - 235570 ns MR4_I 01000208 2a001c5b - 235590 ns MR4_I 01000200 07c96841 - 235610 ns IT 01000200 6841 LDR r1,[r0,#4] - 235630 ns MR4_I 01000204 6002d1fc - 235690 ns MR4_D 40006004 00000001 - 235690 ns R r1 00000001 - 235690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 235710 ns R r1 80000000 - 235710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 235730 ns R psr 81000200 - 235730 ns MR4_I 01000208 2a001c5b - 235750 ns MR4_I 01000200 07c96841 - 235770 ns IT 01000200 6841 LDR r1,[r0,#4] - 235790 ns MR4_I 01000204 6002d1fc - 235850 ns MR4_D 40006004 00000001 - 235850 ns R r1 00000001 - 235850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 235870 ns R r1 80000000 - 235870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 235890 ns R psr 81000200 - 235890 ns MR4_I 01000208 2a001c5b - 235910 ns MR4_I 01000200 07c96841 - 235930 ns IT 01000200 6841 LDR r1,[r0,#4] - 235950 ns MR4_I 01000204 6002d1fc - 236010 ns MR4_D 40006004 00000001 - 236010 ns R r1 00000001 - 236010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 236030 ns R r1 80000000 - 236030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 236050 ns R psr 81000200 - 236050 ns MR4_I 01000208 2a001c5b - 236070 ns MR4_I 01000200 07c96841 - 236090 ns IT 01000200 6841 LDR r1,[r0,#4] - 236110 ns MR4_I 01000204 6002d1fc - 236170 ns MR4_D 40006004 00000001 - 236170 ns R r1 00000001 - 236170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 236190 ns R r1 80000000 - 236190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 236210 ns R psr 81000200 - 236210 ns MR4_I 01000208 2a001c5b - 236230 ns MR4_I 01000200 07c96841 - 236250 ns IT 01000200 6841 LDR r1,[r0,#4] - 236270 ns MR4_I 01000204 6002d1fc - 236330 ns MR4_D 40006004 00000001 - 236330 ns R r1 00000001 - 236330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 236350 ns R r1 80000000 - 236350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 236370 ns R psr 81000200 - 236370 ns MR4_I 01000208 2a001c5b - 236390 ns MR4_I 01000200 07c96841 - 236410 ns IT 01000200 6841 LDR r1,[r0,#4] - 236430 ns MR4_I 01000204 6002d1fc - 236490 ns MR4_D 40006004 00000001 - 236490 ns R r1 00000001 - 236490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 236510 ns R r1 80000000 - 236510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 236530 ns R psr 81000200 - 236530 ns MR4_I 01000208 2a001c5b - 236550 ns MR4_I 01000200 07c96841 - 236570 ns IT 01000200 6841 LDR r1,[r0,#4] - 236590 ns MR4_I 01000204 6002d1fc - 236650 ns MR4_D 40006004 00000001 - 236650 ns R r1 00000001 - 236650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 236670 ns R r1 80000000 - 236670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 236690 ns R psr 81000200 - 236690 ns MR4_I 01000208 2a001c5b - 236710 ns MR4_I 01000200 07c96841 - 236730 ns IT 01000200 6841 LDR r1,[r0,#4] - 236750 ns MR4_I 01000204 6002d1fc - 236810 ns MR4_D 40006004 00000001 - 236810 ns R r1 00000001 - 236810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 236830 ns R r1 80000000 - 236830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 236850 ns R psr 81000200 - 236850 ns MR4_I 01000208 2a001c5b - 236870 ns MR4_I 01000200 07c96841 - 236890 ns IT 01000200 6841 LDR r1,[r0,#4] - 236910 ns MR4_I 01000204 6002d1fc - 236970 ns MR4_D 40006004 00000001 - 236970 ns R r1 00000001 - 236970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 236990 ns R r1 80000000 - 236990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 237010 ns R psr 81000200 - 237010 ns MR4_I 01000208 2a001c5b - 237030 ns MR4_I 01000200 07c96841 - 237050 ns IT 01000200 6841 LDR r1,[r0,#4] - 237070 ns MR4_I 01000204 6002d1fc - 237130 ns MR4_D 40006004 00000001 - 237130 ns R r1 00000001 - 237130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 237150 ns R r1 80000000 - 237150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 237170 ns R psr 81000200 - 237170 ns MR4_I 01000208 2a001c5b - 237190 ns MR4_I 01000200 07c96841 - 237210 ns IT 01000200 6841 LDR r1,[r0,#4] - 237230 ns MR4_I 01000204 6002d1fc - 237290 ns MR4_D 40006004 00000001 - 237290 ns R r1 00000001 - 237290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 237310 ns R r1 80000000 - 237310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 237330 ns R psr 81000200 - 237330 ns MR4_I 01000208 2a001c5b - 237350 ns MR4_I 01000200 07c96841 - 237370 ns IT 01000200 6841 LDR r1,[r0,#4] - 237390 ns MR4_I 01000204 6002d1fc - 237450 ns MR4_D 40006004 00000001 - 237450 ns R r1 00000001 - 237450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 237470 ns R r1 80000000 - 237470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 237490 ns R psr 81000200 - 237490 ns MR4_I 01000208 2a001c5b - 237510 ns MR4_I 01000200 07c96841 - 237530 ns IT 01000200 6841 LDR r1,[r0,#4] - 237550 ns MR4_I 01000204 6002d1fc - 237610 ns MR4_D 40006004 00000001 - 237610 ns R r1 00000001 - 237610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 237630 ns R r1 80000000 - 237630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 237650 ns R psr 81000200 - 237650 ns MR4_I 01000208 2a001c5b - 237670 ns MR4_I 01000200 07c96841 - 237690 ns IT 01000200 6841 LDR r1,[r0,#4] - 237710 ns MR4_I 01000204 6002d1fc - 237770 ns MR4_D 40006004 00000001 - 237770 ns R r1 00000001 - 237770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 237790 ns R r1 80000000 - 237790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 237810 ns R psr 81000200 - 237810 ns MR4_I 01000208 2a001c5b - 237830 ns MR4_I 01000200 07c96841 - 237850 ns IT 01000200 6841 LDR r1,[r0,#4] - 237870 ns MR4_I 01000204 6002d1fc - 237930 ns MR4_D 40006004 00000001 - 237930 ns R r1 00000001 - 237930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 237950 ns R r1 80000000 - 237950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 237970 ns R psr 81000200 - 237970 ns MR4_I 01000208 2a001c5b - 237990 ns MR4_I 01000200 07c96841 - 238010 ns IT 01000200 6841 LDR r1,[r0,#4] - 238030 ns MR4_I 01000204 6002d1fc - 238090 ns MR4_D 40006004 00000001 - 238090 ns R r1 00000001 - 238090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 238110 ns R r1 80000000 - 238110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 238130 ns R psr 81000200 - 238130 ns MR4_I 01000208 2a001c5b - 238150 ns MR4_I 01000200 07c96841 - 238170 ns IT 01000200 6841 LDR r1,[r0,#4] - 238190 ns MR4_I 01000204 6002d1fc - 238250 ns MR4_D 40006004 00000001 - 238250 ns R r1 00000001 - 238250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 238270 ns R r1 80000000 - 238270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 238290 ns R psr 81000200 - 238290 ns MR4_I 01000208 2a001c5b - 238310 ns MR4_I 01000200 07c96841 - 238330 ns IT 01000200 6841 LDR r1,[r0,#4] - 238350 ns MR4_I 01000204 6002d1fc - 238410 ns MR4_D 40006004 00000001 - 238410 ns R r1 00000001 - 238410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 238430 ns R r1 80000000 - 238430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 238450 ns R psr 81000200 - 238450 ns MR4_I 01000208 2a001c5b - 238470 ns MR4_I 01000200 07c96841 - 238490 ns IT 01000200 6841 LDR r1,[r0,#4] - 238510 ns MR4_I 01000204 6002d1fc - 238570 ns MR4_D 40006004 00000001 - 238570 ns R r1 00000001 - 238570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 238590 ns R r1 80000000 - 238590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 238610 ns R psr 81000200 - 238610 ns MR4_I 01000208 2a001c5b - 238630 ns MR4_I 01000200 07c96841 - 238650 ns IT 01000200 6841 LDR r1,[r0,#4] - 238670 ns MR4_I 01000204 6002d1fc - 238730 ns MR4_D 40006004 00000001 - 238730 ns R r1 00000001 - 238730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 238750 ns R r1 80000000 - 238750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 238770 ns R psr 81000200 - 238770 ns MR4_I 01000208 2a001c5b - 238790 ns MR4_I 01000200 07c96841 - 238810 ns IT 01000200 6841 LDR r1,[r0,#4] - 238830 ns MR4_I 01000204 6002d1fc - 238890 ns MR4_D 40006004 00000001 - 238890 ns R r1 00000001 - 238890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 238910 ns R r1 80000000 - 238910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 238930 ns R psr 81000200 - 238930 ns MR4_I 01000208 2a001c5b - 238950 ns MR4_I 01000200 07c96841 - 238970 ns IT 01000200 6841 LDR r1,[r0,#4] - 238990 ns MR4_I 01000204 6002d1fc - 239050 ns MR4_D 40006004 00000001 - 239050 ns R r1 00000001 - 239050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 239070 ns R r1 80000000 - 239070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 239090 ns R psr 81000200 - 239090 ns MR4_I 01000208 2a001c5b - 239110 ns MR4_I 01000200 07c96841 - 239130 ns IT 01000200 6841 LDR r1,[r0,#4] - 239150 ns MR4_I 01000204 6002d1fc - 239210 ns MR4_D 40006004 00000001 - 239210 ns R r1 00000001 - 239210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 239230 ns R r1 80000000 - 239230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 239250 ns R psr 81000200 - 239250 ns MR4_I 01000208 2a001c5b - 239270 ns MR4_I 01000200 07c96841 - 239290 ns IT 01000200 6841 LDR r1,[r0,#4] - 239310 ns MR4_I 01000204 6002d1fc - 239370 ns MR4_D 40006004 00000001 - 239370 ns R r1 00000001 - 239370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 239390 ns R r1 80000000 - 239390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 239410 ns R psr 81000200 - 239410 ns MR4_I 01000208 2a001c5b - 239430 ns MR4_I 01000200 07c96841 - 239450 ns IT 01000200 6841 LDR r1,[r0,#4] - 239470 ns MR4_I 01000204 6002d1fc - 239530 ns MR4_D 40006004 00000001 - 239530 ns R r1 00000001 - 239530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 239550 ns R r1 80000000 - 239550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 239570 ns R psr 81000200 - 239570 ns MR4_I 01000208 2a001c5b - 239590 ns MR4_I 01000200 07c96841 - 239610 ns IT 01000200 6841 LDR r1,[r0,#4] - 239630 ns MR4_I 01000204 6002d1fc - 239690 ns MR4_D 40006004 00000001 - 239690 ns R r1 00000001 - 239690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 239710 ns R r1 80000000 - 239710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 239730 ns R psr 81000200 - 239730 ns MR4_I 01000208 2a001c5b - 239750 ns MR4_I 01000200 07c96841 - 239770 ns IT 01000200 6841 LDR r1,[r0,#4] - 239790 ns MR4_I 01000204 6002d1fc - 239850 ns MR4_D 40006004 00000001 - 239850 ns R r1 00000001 - 239850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 239870 ns R r1 80000000 - 239870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 239890 ns R psr 81000200 - 239890 ns MR4_I 01000208 2a001c5b - 239910 ns MR4_I 01000200 07c96841 - 239930 ns IT 01000200 6841 LDR r1,[r0,#4] - 239950 ns MR4_I 01000204 6002d1fc - 240010 ns MR4_D 40006004 00000001 - 240010 ns R r1 00000001 - 240010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 240030 ns R r1 80000000 - 240030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 240050 ns R psr 81000200 - 240050 ns MR4_I 01000208 2a001c5b - 240070 ns MR4_I 01000200 07c96841 - 240090 ns IT 01000200 6841 LDR r1,[r0,#4] - 240110 ns MR4_I 01000204 6002d1fc - 240170 ns MR4_D 40006004 00000001 - 240170 ns R r1 00000001 - 240170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 240190 ns R r1 80000000 - 240190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 240210 ns R psr 81000200 - 240210 ns MR4_I 01000208 2a001c5b - 240230 ns MR4_I 01000200 07c96841 - 240250 ns IT 01000200 6841 LDR r1,[r0,#4] - 240270 ns MR4_I 01000204 6002d1fc - 240330 ns MR4_D 40006004 00000001 - 240330 ns R r1 00000001 - 240330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 240350 ns R r1 80000000 - 240350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 240370 ns R psr 81000200 - 240370 ns MR4_I 01000208 2a001c5b - 240390 ns MR4_I 01000200 07c96841 - 240410 ns IT 01000200 6841 LDR r1,[r0,#4] - 240430 ns MR4_I 01000204 6002d1fc - 240490 ns MR4_D 40006004 00000001 - 240490 ns R r1 00000001 - 240490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 240510 ns R r1 80000000 - 240510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 240530 ns R psr 81000200 - 240530 ns MR4_I 01000208 2a001c5b - 240550 ns MR4_I 01000200 07c96841 - 240570 ns IT 01000200 6841 LDR r1,[r0,#4] - 240590 ns MR4_I 01000204 6002d1fc - 240650 ns MR4_D 40006004 00000001 - 240650 ns R r1 00000001 - 240650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 240670 ns R r1 80000000 - 240670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 240690 ns R psr 81000200 - 240690 ns MR4_I 01000208 2a001c5b - 240710 ns MR4_I 01000200 07c96841 - 240730 ns IT 01000200 6841 LDR r1,[r0,#4] - 240750 ns MR4_I 01000204 6002d1fc - 240810 ns MR4_D 40006004 00000001 - 240810 ns R r1 00000001 - 240810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 240830 ns R r1 80000000 - 240830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 240850 ns R psr 81000200 - 240850 ns MR4_I 01000208 2a001c5b - 240870 ns MR4_I 01000200 07c96841 - 240890 ns IT 01000200 6841 LDR r1,[r0,#4] - 240910 ns MR4_I 01000204 6002d1fc - 240970 ns MR4_D 40006004 00000001 - 240970 ns R r1 00000001 - 240970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 240990 ns R r1 80000000 - 240990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 241010 ns R psr 81000200 - 241010 ns MR4_I 01000208 2a001c5b - 241030 ns MR4_I 01000200 07c96841 - 241050 ns IT 01000200 6841 LDR r1,[r0,#4] - 241070 ns MR4_I 01000204 6002d1fc - 241130 ns MR4_D 40006004 00000001 - 241130 ns R r1 00000001 - 241130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 241150 ns R r1 80000000 - 241150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 241170 ns R psr 81000200 - 241170 ns MR4_I 01000208 2a001c5b - 241190 ns MR4_I 01000200 07c96841 - 241210 ns IT 01000200 6841 LDR r1,[r0,#4] - 241230 ns MR4_I 01000204 6002d1fc - 241290 ns MR4_D 40006004 00000001 - 241290 ns R r1 00000001 - 241290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 241310 ns R r1 80000000 - 241310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 241330 ns R psr 81000200 - 241330 ns MR4_I 01000208 2a001c5b - 241350 ns MR4_I 01000200 07c96841 - 241370 ns IT 01000200 6841 LDR r1,[r0,#4] - 241390 ns MR4_I 01000204 6002d1fc - 241450 ns MR4_D 40006004 00000001 - 241450 ns R r1 00000001 - 241450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 241470 ns R r1 80000000 - 241470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 241490 ns R psr 81000200 - 241490 ns MR4_I 01000208 2a001c5b - 241510 ns MR4_I 01000200 07c96841 - 241530 ns IT 01000200 6841 LDR r1,[r0,#4] - 241550 ns MR4_I 01000204 6002d1fc - 241610 ns MR4_D 40006004 00000001 - 241610 ns R r1 00000001 - 241610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 241630 ns R r1 80000000 - 241630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 241650 ns R psr 81000200 - 241650 ns MR4_I 01000208 2a001c5b - 241670 ns MR4_I 01000200 07c96841 - 241690 ns IT 01000200 6841 LDR r1,[r0,#4] - 241710 ns MR4_I 01000204 6002d1fc - 241770 ns MR4_D 40006004 00000001 - 241770 ns R r1 00000001 - 241770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 241790 ns R r1 80000000 - 241790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 241810 ns R psr 81000200 - 241810 ns MR4_I 01000208 2a001c5b - 241830 ns MR4_I 01000200 07c96841 - 241850 ns IT 01000200 6841 LDR r1,[r0,#4] - 241870 ns MR4_I 01000204 6002d1fc - 241930 ns MR4_D 40006004 00000001 - 241930 ns R r1 00000001 - 241930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 241950 ns R r1 80000000 - 241950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 241970 ns R psr 81000200 - 241970 ns MR4_I 01000208 2a001c5b - 241990 ns MR4_I 01000200 07c96841 - 242010 ns IT 01000200 6841 LDR r1,[r0,#4] - 242030 ns MR4_I 01000204 6002d1fc - 242090 ns MR4_D 40006004 00000001 - 242090 ns R r1 00000001 - 242090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 242110 ns R r1 80000000 - 242110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 242130 ns R psr 81000200 - 242130 ns MR4_I 01000208 2a001c5b - 242150 ns MR4_I 01000200 07c96841 - 242170 ns IT 01000200 6841 LDR r1,[r0,#4] - 242190 ns MR4_I 01000204 6002d1fc - 242250 ns MR4_D 40006004 00000001 - 242250 ns R r1 00000001 - 242250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 242270 ns R r1 80000000 - 242270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 242290 ns R psr 81000200 - 242290 ns MR4_I 01000208 2a001c5b - 242310 ns MR4_I 01000200 07c96841 - 242330 ns IT 01000200 6841 LDR r1,[r0,#4] - 242350 ns MR4_I 01000204 6002d1fc - 242410 ns MR4_D 40006004 00000001 - 242410 ns R r1 00000001 - 242410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 242430 ns R r1 80000000 - 242430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 242450 ns R psr 81000200 - 242450 ns MR4_I 01000208 2a001c5b - 242470 ns MR4_I 01000200 07c96841 - 242490 ns IT 01000200 6841 LDR r1,[r0,#4] - 242510 ns MR4_I 01000204 6002d1fc - 242570 ns MR4_D 40006004 00000001 - 242570 ns R r1 00000001 - 242570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 242590 ns R r1 80000000 - 242590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 242610 ns R psr 81000200 - 242610 ns MR4_I 01000208 2a001c5b - 242630 ns MR4_I 01000200 07c96841 - 242650 ns IT 01000200 6841 LDR r1,[r0,#4] - 242670 ns MR4_I 01000204 6002d1fc - 242730 ns MR4_D 40006004 00000001 - 242730 ns R r1 00000001 - 242730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 242750 ns R r1 80000000 - 242750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 242770 ns R psr 81000200 - 242770 ns MR4_I 01000208 2a001c5b - 242790 ns MR4_I 01000200 07c96841 - 242810 ns IT 01000200 6841 LDR r1,[r0,#4] - 242830 ns MR4_I 01000204 6002d1fc - 242890 ns MR4_D 40006004 00000001 - 242890 ns R r1 00000001 - 242890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 242910 ns R r1 80000000 - 242910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 242930 ns R psr 81000200 - 242930 ns MR4_I 01000208 2a001c5b - 242950 ns MR4_I 01000200 07c96841 - 242970 ns IT 01000200 6841 LDR r1,[r0,#4] - 242990 ns MR4_I 01000204 6002d1fc - 243050 ns MR4_D 40006004 00000001 - 243050 ns R r1 00000001 - 243050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 243070 ns R r1 80000000 - 243070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 243090 ns R psr 81000200 - 243090 ns MR4_I 01000208 2a001c5b - 243110 ns MR4_I 01000200 07c96841 - 243130 ns IT 01000200 6841 LDR r1,[r0,#4] - 243150 ns MR4_I 01000204 6002d1fc - 243210 ns MR4_D 40006004 00000001 - 243210 ns R r1 00000001 - 243210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 243230 ns R r1 80000000 - 243230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 243250 ns R psr 81000200 - 243250 ns MR4_I 01000208 2a001c5b - 243270 ns MR4_I 01000200 07c96841 - 243290 ns IT 01000200 6841 LDR r1,[r0,#4] - 243310 ns MR4_I 01000204 6002d1fc - 243370 ns MR4_D 40006004 00000001 - 243370 ns R r1 00000001 - 243370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 243390 ns R r1 80000000 - 243390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 243410 ns R psr 81000200 - 243410 ns MR4_I 01000208 2a001c5b - 243430 ns MR4_I 01000200 07c96841 - 243450 ns IT 01000200 6841 LDR r1,[r0,#4] - 243470 ns MR4_I 01000204 6002d1fc - 243530 ns MR4_D 40006004 00000001 - 243530 ns R r1 00000001 - 243530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 243550 ns R r1 80000000 - 243550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 243570 ns R psr 81000200 - 243570 ns MR4_I 01000208 2a001c5b - 243590 ns MR4_I 01000200 07c96841 - 243610 ns IT 01000200 6841 LDR r1,[r0,#4] - 243630 ns MR4_I 01000204 6002d1fc - 243690 ns MR4_D 40006004 00000001 - 243690 ns R r1 00000001 - 243690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 243710 ns R r1 80000000 - 243710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 243730 ns R psr 81000200 - 243730 ns MR4_I 01000208 2a001c5b - 243750 ns MR4_I 01000200 07c96841 - 243770 ns IT 01000200 6841 LDR r1,[r0,#4] - 243790 ns MR4_I 01000204 6002d1fc - 243850 ns MR4_D 40006004 00000001 - 243850 ns R r1 00000001 - 243850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 243870 ns R r1 80000000 - 243870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 243890 ns R psr 81000200 - 243890 ns MR4_I 01000208 2a001c5b - 243910 ns MR4_I 01000200 07c96841 - 243930 ns IT 01000200 6841 LDR r1,[r0,#4] - 243950 ns MR4_I 01000204 6002d1fc - 244010 ns MR4_D 40006004 00000001 - 244010 ns R r1 00000001 - 244010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 244030 ns R r1 80000000 - 244030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 244050 ns R psr 81000200 - 244050 ns MR4_I 01000208 2a001c5b - 244070 ns MR4_I 01000200 07c96841 - 244090 ns IT 01000200 6841 LDR r1,[r0,#4] - 244110 ns MR4_I 01000204 6002d1fc - 244170 ns MR4_D 40006004 00000001 - 244170 ns R r1 00000001 - 244170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 244190 ns R r1 80000000 - 244190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 244210 ns R psr 81000200 - 244210 ns MR4_I 01000208 2a001c5b - 244230 ns MR4_I 01000200 07c96841 - 244250 ns IT 01000200 6841 LDR r1,[r0,#4] - 244270 ns MR4_I 01000204 6002d1fc - 244330 ns MR4_D 40006004 00000001 - 244330 ns R r1 00000001 - 244330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 244350 ns R r1 80000000 - 244350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 244370 ns R psr 81000200 - 244370 ns MR4_I 01000208 2a001c5b - 244390 ns MR4_I 01000200 07c96841 - 244410 ns IT 01000200 6841 LDR r1,[r0,#4] - 244430 ns MR4_I 01000204 6002d1fc - 244490 ns MR4_D 40006004 00000001 - 244490 ns R r1 00000001 - 244490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 244510 ns R r1 80000000 - 244510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 244530 ns R psr 81000200 - 244530 ns MR4_I 01000208 2a001c5b - 244550 ns MR4_I 01000200 07c96841 - 244570 ns IT 01000200 6841 LDR r1,[r0,#4] - 244590 ns MR4_I 01000204 6002d1fc - 244650 ns MR4_D 40006004 00000001 - 244650 ns R r1 00000001 - 244650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 244670 ns R r1 80000000 - 244670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 244690 ns R psr 81000200 - 244690 ns MR4_I 01000208 2a001c5b - 244710 ns MR4_I 01000200 07c96841 - 244730 ns IT 01000200 6841 LDR r1,[r0,#4] - 244750 ns MR4_I 01000204 6002d1fc - 244810 ns MR4_D 40006004 00000001 - 244810 ns R r1 00000001 - 244810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 244830 ns R r1 80000000 - 244830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 244850 ns R psr 81000200 - 244850 ns MR4_I 01000208 2a001c5b - 244870 ns MR4_I 01000200 07c96841 - 244890 ns IT 01000200 6841 LDR r1,[r0,#4] - 244910 ns MR4_I 01000204 6002d1fc - 244970 ns MR4_D 40006004 00000001 - 244970 ns R r1 00000001 - 244970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 244990 ns R r1 80000000 - 244990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 245010 ns R psr 81000200 - 245010 ns MR4_I 01000208 2a001c5b - 245030 ns MR4_I 01000200 07c96841 - 245050 ns IT 01000200 6841 LDR r1,[r0,#4] - 245070 ns MR4_I 01000204 6002d1fc - 245130 ns MR4_D 40006004 00000001 - 245130 ns R r1 00000001 - 245130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 245150 ns R r1 80000000 - 245150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 245170 ns R psr 81000200 - 245170 ns MR4_I 01000208 2a001c5b - 245190 ns MR4_I 01000200 07c96841 - 245210 ns IT 01000200 6841 LDR r1,[r0,#4] - 245230 ns MR4_I 01000204 6002d1fc - 245290 ns MR4_D 40006004 00000001 - 245290 ns R r1 00000001 - 245290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 245310 ns R r1 80000000 - 245310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 245330 ns R psr 81000200 - 245330 ns MR4_I 01000208 2a001c5b - 245350 ns MR4_I 01000200 07c96841 - 245370 ns IT 01000200 6841 LDR r1,[r0,#4] - 245390 ns MR4_I 01000204 6002d1fc - 245450 ns MR4_D 40006004 00000001 - 245450 ns R r1 00000001 - 245450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 245470 ns R r1 80000000 - 245470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 245490 ns R psr 81000200 - 245490 ns MR4_I 01000208 2a001c5b - 245510 ns MR4_I 01000200 07c96841 - 245530 ns IT 01000200 6841 LDR r1,[r0,#4] - 245550 ns MR4_I 01000204 6002d1fc - 245610 ns MR4_D 40006004 00000001 - 245610 ns R r1 00000001 - 245610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 245630 ns R r1 80000000 - 245630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 245650 ns R psr 81000200 - 245650 ns MR4_I 01000208 2a001c5b - 245670 ns MR4_I 01000200 07c96841 - 245690 ns IT 01000200 6841 LDR r1,[r0,#4] - 245710 ns MR4_I 01000204 6002d1fc - 245770 ns MR4_D 40006004 00000001 - 245770 ns R r1 00000001 - 245770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 245790 ns R r1 80000000 - 245790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 245810 ns R psr 81000200 - 245810 ns MR4_I 01000208 2a001c5b - 245830 ns MR4_I 01000200 07c96841 - 245850 ns IT 01000200 6841 LDR r1,[r0,#4] - 245870 ns MR4_I 01000204 6002d1fc - 245930 ns MR4_D 40006004 00000001 - 245930 ns R r1 00000001 - 245930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 245950 ns R r1 80000000 - 245950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 245970 ns R psr 81000200 - 245970 ns MR4_I 01000208 2a001c5b - 245990 ns MR4_I 01000200 07c96841 - 246010 ns IT 01000200 6841 LDR r1,[r0,#4] - 246030 ns MR4_I 01000204 6002d1fc - 246090 ns MR4_D 40006004 00000001 - 246090 ns R r1 00000001 - 246090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 246110 ns R r1 80000000 - 246110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 246130 ns R psr 81000200 - 246130 ns MR4_I 01000208 2a001c5b - 246150 ns MR4_I 01000200 07c96841 - 246170 ns IT 01000200 6841 LDR r1,[r0,#4] - 246190 ns MR4_I 01000204 6002d1fc - 246250 ns MR4_D 40006004 00000001 - 246250 ns R r1 00000001 - 246250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 246270 ns R r1 80000000 - 246270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 246290 ns R psr 81000200 - 246290 ns MR4_I 01000208 2a001c5b - 246310 ns MR4_I 01000200 07c96841 - 246330 ns IT 01000200 6841 LDR r1,[r0,#4] - 246350 ns MR4_I 01000204 6002d1fc - 246410 ns MR4_D 40006004 00000001 - 246410 ns R r1 00000001 - 246410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 246430 ns R r1 80000000 - 246430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 246450 ns R psr 81000200 - 246450 ns MR4_I 01000208 2a001c5b - 246470 ns MR4_I 01000200 07c96841 - 246490 ns IT 01000200 6841 LDR r1,[r0,#4] - 246510 ns MR4_I 01000204 6002d1fc - 246570 ns MR4_D 40006004 00000001 - 246570 ns R r1 00000001 - 246570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 246590 ns R r1 80000000 - 246590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 246610 ns R psr 81000200 - 246610 ns MR4_I 01000208 2a001c5b - 246630 ns MR4_I 01000200 07c96841 - 246650 ns IT 01000200 6841 LDR r1,[r0,#4] - 246670 ns MR4_I 01000204 6002d1fc - 246730 ns MR4_D 40006004 00000001 - 246730 ns R r1 00000001 - 246730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 246750 ns R r1 80000000 - 246750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 246770 ns R psr 81000200 - 246770 ns MR4_I 01000208 2a001c5b - 246790 ns MR4_I 01000200 07c96841 - 246810 ns IT 01000200 6841 LDR r1,[r0,#4] - 246830 ns MR4_I 01000204 6002d1fc - 246890 ns MR4_D 40006004 00000001 - 246890 ns R r1 00000001 - 246890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 246910 ns R r1 80000000 - 246910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 246930 ns R psr 81000200 - 246930 ns MR4_I 01000208 2a001c5b - 246950 ns MR4_I 01000200 07c96841 - 246970 ns IT 01000200 6841 LDR r1,[r0,#4] - 246990 ns MR4_I 01000204 6002d1fc - 247050 ns MR4_D 40006004 00000001 - 247050 ns R r1 00000001 - 247050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 247070 ns R r1 80000000 - 247070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 247090 ns R psr 81000200 - 247090 ns MR4_I 01000208 2a001c5b - 247110 ns MR4_I 01000200 07c96841 - 247130 ns IT 01000200 6841 LDR r1,[r0,#4] - 247150 ns MR4_I 01000204 6002d1fc - 247210 ns MR4_D 40006004 00000001 - 247210 ns R r1 00000001 - 247210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 247230 ns R r1 80000000 - 247230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 247250 ns R psr 81000200 - 247250 ns MR4_I 01000208 2a001c5b - 247270 ns MR4_I 01000200 07c96841 - 247290 ns IT 01000200 6841 LDR r1,[r0,#4] - 247310 ns MR4_I 01000204 6002d1fc - 247370 ns MR4_D 40006004 00000001 - 247370 ns R r1 00000001 - 247370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 247390 ns R r1 80000000 - 247390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 247410 ns R psr 81000200 - 247410 ns MR4_I 01000208 2a001c5b - 247430 ns MR4_I 01000200 07c96841 - 247450 ns IT 01000200 6841 LDR r1,[r0,#4] - 247470 ns MR4_I 01000204 6002d1fc - 247530 ns MR4_D 40006004 00000001 - 247530 ns R r1 00000001 - 247530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 247550 ns R r1 80000000 - 247550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 247570 ns R psr 81000200 - 247570 ns MR4_I 01000208 2a001c5b - 247590 ns MR4_I 01000200 07c96841 - 247610 ns IT 01000200 6841 LDR r1,[r0,#4] - 247630 ns MR4_I 01000204 6002d1fc - 247690 ns MR4_D 40006004 00000001 - 247690 ns R r1 00000001 - 247690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 247710 ns R r1 80000000 - 247710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 247730 ns R psr 81000200 - 247730 ns MR4_I 01000208 2a001c5b - 247750 ns MR4_I 01000200 07c96841 - 247770 ns IT 01000200 6841 LDR r1,[r0,#4] - 247790 ns MR4_I 01000204 6002d1fc - 247850 ns MR4_D 40006004 00000001 - 247850 ns R r1 00000001 - 247850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 247870 ns R r1 80000000 - 247870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 247890 ns R psr 81000200 - 247890 ns MR4_I 01000208 2a001c5b - 247910 ns MR4_I 01000200 07c96841 - 247930 ns IT 01000200 6841 LDR r1,[r0,#4] - 247950 ns MR4_I 01000204 6002d1fc - 248010 ns MR4_D 40006004 00000001 - 248010 ns R r1 00000001 - 248010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 248030 ns R r1 80000000 - 248030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 248050 ns R psr 81000200 - 248050 ns MR4_I 01000208 2a001c5b - 248070 ns MR4_I 01000200 07c96841 - 248090 ns IT 01000200 6841 LDR r1,[r0,#4] - 248110 ns MR4_I 01000204 6002d1fc - 248170 ns MR4_D 40006004 00000001 - 248170 ns R r1 00000001 - 248170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 248190 ns R r1 80000000 - 248190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 248210 ns R psr 81000200 - 248210 ns MR4_I 01000208 2a001c5b - 248230 ns MR4_I 01000200 07c96841 - 248250 ns IT 01000200 6841 LDR r1,[r0,#4] - 248270 ns MR4_I 01000204 6002d1fc - 248330 ns MR4_D 40006004 00000001 - 248330 ns R r1 00000001 - 248330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 248350 ns R r1 80000000 - 248350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 248370 ns R psr 81000200 - 248370 ns MR4_I 01000208 2a001c5b - 248390 ns MR4_I 01000200 07c96841 - 248410 ns IT 01000200 6841 LDR r1,[r0,#4] - 248430 ns MR4_I 01000204 6002d1fc - 248490 ns MR4_D 40006004 00000001 - 248490 ns R r1 00000001 - 248490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 248510 ns R r1 80000000 - 248510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 248530 ns R psr 81000200 - 248530 ns MR4_I 01000208 2a001c5b - 248550 ns MR4_I 01000200 07c96841 - 248570 ns IT 01000200 6841 LDR r1,[r0,#4] - 248590 ns MR4_I 01000204 6002d1fc - 248650 ns MR4_D 40006004 00000001 - 248650 ns R r1 00000001 - 248650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 248670 ns R r1 80000000 - 248670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 248690 ns R psr 81000200 - 248690 ns MR4_I 01000208 2a001c5b - 248710 ns MR4_I 01000200 07c96841 - 248730 ns IT 01000200 6841 LDR r1,[r0,#4] - 248750 ns MR4_I 01000204 6002d1fc - 248810 ns MR4_D 40006004 00000001 - 248810 ns R r1 00000001 - 248810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 248830 ns R r1 80000000 - 248830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 248850 ns R psr 81000200 - 248850 ns MR4_I 01000208 2a001c5b - 248870 ns MR4_I 01000200 07c96841 - 248890 ns IT 01000200 6841 LDR r1,[r0,#4] - 248910 ns MR4_I 01000204 6002d1fc - 248970 ns MR4_D 40006004 00000001 - 248970 ns R r1 00000001 - 248970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 248990 ns R r1 80000000 - 248990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 249010 ns R psr 81000200 - 249010 ns MR4_I 01000208 2a001c5b - 249030 ns MR4_I 01000200 07c96841 - 249050 ns IT 01000200 6841 LDR r1,[r0,#4] - 249070 ns MR4_I 01000204 6002d1fc - 249130 ns MR4_D 40006004 00000001 - 249130 ns R r1 00000001 - 249130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 249150 ns R r1 80000000 - 249150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 249170 ns R psr 81000200 - 249170 ns MR4_I 01000208 2a001c5b - 249190 ns MR4_I 01000200 07c96841 - 249210 ns IT 01000200 6841 LDR r1,[r0,#4] - 249230 ns MR4_I 01000204 6002d1fc - 249290 ns MR4_D 40006004 00000001 - 249290 ns R r1 00000001 - 249290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 249310 ns R r1 80000000 - 249310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 249330 ns R psr 81000200 - 249330 ns MR4_I 01000208 2a001c5b - 249350 ns MR4_I 01000200 07c96841 - 249370 ns IT 01000200 6841 LDR r1,[r0,#4] - 249390 ns MR4_I 01000204 6002d1fc - 249450 ns MR4_D 40006004 00000001 - 249450 ns R r1 00000001 - 249450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 249470 ns R r1 80000000 - 249470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 249490 ns R psr 81000200 - 249490 ns MR4_I 01000208 2a001c5b - 249510 ns MR4_I 01000200 07c96841 - 249530 ns IT 01000200 6841 LDR r1,[r0,#4] - 249550 ns MR4_I 01000204 6002d1fc - 249610 ns MR4_D 40006004 00000001 - 249610 ns R r1 00000001 - 249610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 249630 ns R r1 80000000 - 249630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 249650 ns R psr 81000200 - 249650 ns MR4_I 01000208 2a001c5b - 249670 ns MR4_I 01000200 07c96841 - 249690 ns IT 01000200 6841 LDR r1,[r0,#4] - 249710 ns MR4_I 01000204 6002d1fc - 249770 ns MR4_D 40006004 00000001 - 249770 ns R r1 00000001 - 249770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 249790 ns R r1 80000000 - 249790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 249810 ns R psr 81000200 - 249810 ns MR4_I 01000208 2a001c5b - 249830 ns MR4_I 01000200 07c96841 - 249850 ns IT 01000200 6841 LDR r1,[r0,#4] - 249870 ns MR4_I 01000204 6002d1fc - 249930 ns MR4_D 40006004 00000001 - 249930 ns R r1 00000001 - 249930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 249950 ns R r1 80000000 - 249950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 249970 ns R psr 81000200 - 249970 ns MR4_I 01000208 2a001c5b - 249990 ns MR4_I 01000200 07c96841 - 250010 ns IT 01000200 6841 LDR r1,[r0,#4] - 250030 ns MR4_I 01000204 6002d1fc - 250090 ns MR4_D 40006004 00000001 - 250090 ns R r1 00000001 - 250090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 250110 ns R r1 80000000 - 250110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 250130 ns R psr 81000200 - 250130 ns MR4_I 01000208 2a001c5b - 250150 ns MR4_I 01000200 07c96841 - 250170 ns IT 01000200 6841 LDR r1,[r0,#4] - 250190 ns MR4_I 01000204 6002d1fc - 250250 ns MR4_D 40006004 00000001 - 250250 ns R r1 00000001 - 250250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 250270 ns R r1 80000000 - 250270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 250290 ns R psr 81000200 - 250290 ns MR4_I 01000208 2a001c5b - 250310 ns MR4_I 01000200 07c96841 - 250330 ns IT 01000200 6841 LDR r1,[r0,#4] - 250350 ns MR4_I 01000204 6002d1fc - 250410 ns MR4_D 40006004 00000001 - 250410 ns R r1 00000001 - 250410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 250430 ns R r1 80000000 - 250430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 250450 ns R psr 81000200 - 250450 ns MR4_I 01000208 2a001c5b - 250470 ns MR4_I 01000200 07c96841 - 250490 ns IT 01000200 6841 LDR r1,[r0,#4] - 250510 ns MR4_I 01000204 6002d1fc - 250570 ns MR4_D 40006004 00000001 - 250570 ns R r1 00000001 - 250570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 250590 ns R r1 80000000 - 250590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 250610 ns R psr 81000200 - 250610 ns MR4_I 01000208 2a001c5b - 250630 ns MR4_I 01000200 07c96841 - 250650 ns IT 01000200 6841 LDR r1,[r0,#4] - 250670 ns MR4_I 01000204 6002d1fc - 250730 ns MR4_D 40006004 00000001 - 250730 ns R r1 00000001 - 250730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 250750 ns R r1 80000000 - 250750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 250770 ns R psr 81000200 - 250770 ns MR4_I 01000208 2a001c5b - 250790 ns MR4_I 01000200 07c96841 - 250810 ns IT 01000200 6841 LDR r1,[r0,#4] - 250830 ns MR4_I 01000204 6002d1fc - 250890 ns MR4_D 40006004 00000001 - 250890 ns R r1 00000001 - 250890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 250910 ns R r1 80000000 - 250910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 250930 ns R psr 81000200 - 250930 ns MR4_I 01000208 2a001c5b - 250950 ns MR4_I 01000200 07c96841 - 250970 ns IT 01000200 6841 LDR r1,[r0,#4] - 250990 ns MR4_I 01000204 6002d1fc - 251050 ns MR4_D 40006004 00000001 - 251050 ns R r1 00000001 - 251050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 251070 ns R r1 80000000 - 251070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 251090 ns R psr 81000200 - 251090 ns MR4_I 01000208 2a001c5b - 251110 ns MR4_I 01000200 07c96841 - 251130 ns IT 01000200 6841 LDR r1,[r0,#4] - 251150 ns MR4_I 01000204 6002d1fc - 251210 ns MR4_D 40006004 00000001 - 251210 ns R r1 00000001 - 251210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 251230 ns R r1 80000000 - 251230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 251250 ns R psr 81000200 - 251250 ns MR4_I 01000208 2a001c5b - 251270 ns MR4_I 01000200 07c96841 - 251290 ns IT 01000200 6841 LDR r1,[r0,#4] - 251310 ns MR4_I 01000204 6002d1fc - 251370 ns MR4_D 40006004 00000001 - 251370 ns R r1 00000001 - 251370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 251390 ns R r1 80000000 - 251390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 251410 ns R psr 81000200 - 251410 ns MR4_I 01000208 2a001c5b - 251430 ns MR4_I 01000200 07c96841 - 251450 ns IT 01000200 6841 LDR r1,[r0,#4] - 251470 ns MR4_I 01000204 6002d1fc - 251530 ns MR4_D 40006004 00000001 - 251530 ns R r1 00000001 - 251530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 251550 ns R r1 80000000 - 251550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 251570 ns R psr 81000200 - 251570 ns MR4_I 01000208 2a001c5b - 251590 ns MR4_I 01000200 07c96841 - 251610 ns IT 01000200 6841 LDR r1,[r0,#4] - 251630 ns MR4_I 01000204 6002d1fc - 251690 ns MR4_D 40006004 00000001 - 251690 ns R r1 00000001 - 251690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 251710 ns R r1 80000000 - 251710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 251730 ns R psr 81000200 - 251730 ns MR4_I 01000208 2a001c5b - 251750 ns MR4_I 01000200 07c96841 - 251770 ns IT 01000200 6841 LDR r1,[r0,#4] - 251790 ns MR4_I 01000204 6002d1fc - 251850 ns MR4_D 40006004 00000001 - 251850 ns R r1 00000001 - 251850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 251870 ns R r1 80000000 - 251870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 251890 ns R psr 81000200 - 251890 ns MR4_I 01000208 2a001c5b - 251910 ns MR4_I 01000200 07c96841 - 251930 ns IT 01000200 6841 LDR r1,[r0,#4] - 251950 ns MR4_I 01000204 6002d1fc - 252010 ns MR4_D 40006004 00000001 - 252010 ns R r1 00000001 - 252010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 252030 ns R r1 80000000 - 252030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 252050 ns R psr 81000200 - 252050 ns MR4_I 01000208 2a001c5b - 252070 ns MR4_I 01000200 07c96841 - 252090 ns IT 01000200 6841 LDR r1,[r0,#4] - 252110 ns MR4_I 01000204 6002d1fc - 252170 ns MR4_D 40006004 00000001 - 252170 ns R r1 00000001 - 252170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 252190 ns R r1 80000000 - 252190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 252210 ns R psr 81000200 - 252210 ns MR4_I 01000208 2a001c5b - 252230 ns MR4_I 01000200 07c96841 - 252250 ns IT 01000200 6841 LDR r1,[r0,#4] - 252270 ns MR4_I 01000204 6002d1fc - 252330 ns MR4_D 40006004 00000001 - 252330 ns R r1 00000001 - 252330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 252350 ns R r1 80000000 - 252350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 252370 ns R psr 81000200 - 252370 ns MR4_I 01000208 2a001c5b - 252390 ns MR4_I 01000200 07c96841 - 252410 ns IT 01000200 6841 LDR r1,[r0,#4] - 252430 ns MR4_I 01000204 6002d1fc - 252490 ns MR4_D 40006004 00000001 - 252490 ns R r1 00000001 - 252490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 252510 ns R r1 80000000 - 252510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 252530 ns R psr 81000200 - 252530 ns MR4_I 01000208 2a001c5b - 252550 ns MR4_I 01000200 07c96841 - 252570 ns IT 01000200 6841 LDR r1,[r0,#4] - 252590 ns MR4_I 01000204 6002d1fc - 252650 ns MR4_D 40006004 00000001 - 252650 ns R r1 00000001 - 252650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 252670 ns R r1 80000000 - 252670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 252690 ns R psr 81000200 - 252690 ns MR4_I 01000208 2a001c5b - 252710 ns MR4_I 01000200 07c96841 - 252730 ns IT 01000200 6841 LDR r1,[r0,#4] - 252750 ns MR4_I 01000204 6002d1fc - 252810 ns MR4_D 40006004 00000001 - 252810 ns R r1 00000001 - 252810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 252830 ns R r1 80000000 - 252830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 252850 ns R psr 81000200 - 252850 ns MR4_I 01000208 2a001c5b - 252870 ns MR4_I 01000200 07c96841 - 252890 ns IT 01000200 6841 LDR r1,[r0,#4] - 252910 ns MR4_I 01000204 6002d1fc - 252970 ns MR4_D 40006004 00000001 - 252970 ns R r1 00000001 - 252970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 252990 ns R r1 80000000 - 252990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 253010 ns R psr 81000200 - 253010 ns MR4_I 01000208 2a001c5b - 253030 ns MR4_I 01000200 07c96841 - 253050 ns IT 01000200 6841 LDR r1,[r0,#4] - 253070 ns MR4_I 01000204 6002d1fc - 253130 ns MR4_D 40006004 00000001 - 253130 ns R r1 00000001 - 253130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 253150 ns R r1 80000000 - 253150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 253170 ns R psr 81000200 - 253170 ns MR4_I 01000208 2a001c5b - 253190 ns MR4_I 01000200 07c96841 - 253210 ns IT 01000200 6841 LDR r1,[r0,#4] - 253230 ns MR4_I 01000204 6002d1fc - 253290 ns MR4_D 40006004 00000001 - 253290 ns R r1 00000001 - 253290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 253310 ns R r1 80000000 - 253310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 253330 ns R psr 81000200 - 253330 ns MR4_I 01000208 2a001c5b - 253350 ns MR4_I 01000200 07c96841 - 253370 ns IT 01000200 6841 LDR r1,[r0,#4] - 253390 ns MR4_I 01000204 6002d1fc - 253450 ns MR4_D 40006004 00000001 - 253450 ns R r1 00000001 - 253450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 253470 ns R r1 80000000 - 253470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 253490 ns R psr 81000200 - 253490 ns MR4_I 01000208 2a001c5b - 253510 ns MR4_I 01000200 07c96841 - 253530 ns IT 01000200 6841 LDR r1,[r0,#4] - 253550 ns MR4_I 01000204 6002d1fc - 253610 ns MR4_D 40006004 00000001 - 253610 ns R r1 00000001 - 253610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 253630 ns R r1 80000000 - 253630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 253650 ns R psr 81000200 - 253650 ns MR4_I 01000208 2a001c5b - 253670 ns MR4_I 01000200 07c96841 - 253690 ns IT 01000200 6841 LDR r1,[r0,#4] - 253710 ns MR4_I 01000204 6002d1fc - 253770 ns MR4_D 40006004 00000001 - 253770 ns R r1 00000001 - 253770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 253790 ns R r1 80000000 - 253790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 253810 ns R psr 81000200 - 253810 ns MR4_I 01000208 2a001c5b - 253830 ns MR4_I 01000200 07c96841 - 253850 ns IT 01000200 6841 LDR r1,[r0,#4] - 253870 ns MR4_I 01000204 6002d1fc - 253930 ns MR4_D 40006004 00000001 - 253930 ns R r1 00000001 - 253930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 253950 ns R r1 80000000 - 253950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 253970 ns R psr 81000200 - 253970 ns MR4_I 01000208 2a001c5b - 253990 ns MR4_I 01000200 07c96841 - 254010 ns IT 01000200 6841 LDR r1,[r0,#4] - 254030 ns MR4_I 01000204 6002d1fc - 254090 ns MR4_D 40006004 00000001 - 254090 ns R r1 00000001 - 254090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 254110 ns R r1 80000000 - 254110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 254130 ns R psr 81000200 - 254130 ns MR4_I 01000208 2a001c5b - 254150 ns MR4_I 01000200 07c96841 - 254170 ns IT 01000200 6841 LDR r1,[r0,#4] - 254190 ns MR4_I 01000204 6002d1fc - 254250 ns MR4_D 40006004 00000001 - 254250 ns R r1 00000001 - 254250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 254270 ns R r1 80000000 - 254270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 254290 ns R psr 81000200 - 254290 ns MR4_I 01000208 2a001c5b - 254310 ns MR4_I 01000200 07c96841 - 254330 ns IT 01000200 6841 LDR r1,[r0,#4] - 254350 ns MR4_I 01000204 6002d1fc - 254410 ns MR4_D 40006004 00000001 - 254410 ns R r1 00000001 - 254410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 254430 ns R r1 80000000 - 254430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 254450 ns R psr 81000200 - 254450 ns MR4_I 01000208 2a001c5b - 254470 ns MR4_I 01000200 07c96841 - 254490 ns IT 01000200 6841 LDR r1,[r0,#4] - 254510 ns MR4_I 01000204 6002d1fc - 254570 ns MR4_D 40006004 00000001 - 254570 ns R r1 00000001 - 254570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 254590 ns R r1 80000000 - 254590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 254610 ns R psr 81000200 - 254610 ns MR4_I 01000208 2a001c5b - 254630 ns MR4_I 01000200 07c96841 - 254650 ns IT 01000200 6841 LDR r1,[r0,#4] - 254670 ns MR4_I 01000204 6002d1fc - 254730 ns MR4_D 40006004 00000001 - 254730 ns R r1 00000001 - 254730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 254750 ns R r1 80000000 - 254750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 254770 ns R psr 81000200 - 254770 ns MR4_I 01000208 2a001c5b - 254790 ns MR4_I 01000200 07c96841 - 254810 ns IT 01000200 6841 LDR r1,[r0,#4] - 254830 ns MR4_I 01000204 6002d1fc - 254890 ns MR4_D 40006004 00000001 - 254890 ns R r1 00000001 - 254890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 254910 ns R r1 80000000 - 254910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 254930 ns R psr 81000200 - 254930 ns MR4_I 01000208 2a001c5b - 254950 ns MR4_I 01000200 07c96841 - 254970 ns IT 01000200 6841 LDR r1,[r0,#4] - 254990 ns MR4_I 01000204 6002d1fc - 255050 ns MR4_D 40006004 00000001 - 255050 ns R r1 00000001 - 255050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 255070 ns R r1 80000000 - 255070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 255090 ns R psr 81000200 - 255090 ns MR4_I 01000208 2a001c5b - 255110 ns MR4_I 01000200 07c96841 - 255130 ns IT 01000200 6841 LDR r1,[r0,#4] - 255150 ns MR4_I 01000204 6002d1fc - 255210 ns MR4_D 40006004 00000001 - 255210 ns R r1 00000001 - 255210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 255230 ns R r1 80000000 - 255230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 255250 ns R psr 81000200 - 255250 ns MR4_I 01000208 2a001c5b - 255270 ns MR4_I 01000200 07c96841 - 255290 ns IT 01000200 6841 LDR r1,[r0,#4] - 255310 ns MR4_I 01000204 6002d1fc - 255370 ns MR4_D 40006004 00000001 - 255370 ns R r1 00000001 - 255370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 255390 ns R r1 80000000 - 255390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 255410 ns R psr 81000200 - 255410 ns MR4_I 01000208 2a001c5b - 255430 ns MR4_I 01000200 07c96841 - 255450 ns IT 01000200 6841 LDR r1,[r0,#4] - 255470 ns MR4_I 01000204 6002d1fc - 255530 ns MR4_D 40006004 00000001 - 255530 ns R r1 00000001 - 255530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 255550 ns R r1 80000000 - 255550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 255570 ns R psr 81000200 - 255570 ns MR4_I 01000208 2a001c5b - 255590 ns MR4_I 01000200 07c96841 - 255610 ns IT 01000200 6841 LDR r1,[r0,#4] - 255630 ns MR4_I 01000204 6002d1fc - 255690 ns MR4_D 40006004 00000001 - 255690 ns R r1 00000001 - 255690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 255710 ns R r1 80000000 - 255710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 255730 ns R psr 81000200 - 255730 ns MR4_I 01000208 2a001c5b - 255750 ns MR4_I 01000200 07c96841 - 255770 ns IT 01000200 6841 LDR r1,[r0,#4] - 255790 ns MR4_I 01000204 6002d1fc - 255850 ns MR4_D 40006004 00000001 - 255850 ns R r1 00000001 - 255850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 255870 ns R r1 80000000 - 255870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 255890 ns R psr 81000200 - 255890 ns MR4_I 01000208 2a001c5b - 255910 ns MR4_I 01000200 07c96841 - 255930 ns IT 01000200 6841 LDR r1,[r0,#4] - 255950 ns MR4_I 01000204 6002d1fc - 256010 ns MR4_D 40006004 00000001 - 256010 ns R r1 00000001 - 256010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 256030 ns R r1 80000000 - 256030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 256050 ns R psr 81000200 - 256050 ns MR4_I 01000208 2a001c5b - 256070 ns MR4_I 01000200 07c96841 - 256090 ns IT 01000200 6841 LDR r1,[r0,#4] - 256110 ns MR4_I 01000204 6002d1fc - 256170 ns MR4_D 40006004 00000001 - 256170 ns R r1 00000001 - 256170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 256190 ns R r1 80000000 - 256190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 256210 ns R psr 81000200 - 256210 ns MR4_I 01000208 2a001c5b - 256230 ns MR4_I 01000200 07c96841 - 256250 ns IT 01000200 6841 LDR r1,[r0,#4] - 256270 ns MR4_I 01000204 6002d1fc - 256330 ns MR4_D 40006004 00000001 - 256330 ns R r1 00000001 - 256330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 256350 ns R r1 80000000 - 256350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 256370 ns R psr 81000200 - 256370 ns MR4_I 01000208 2a001c5b - 256390 ns MR4_I 01000200 07c96841 - 256410 ns IT 01000200 6841 LDR r1,[r0,#4] - 256430 ns MR4_I 01000204 6002d1fc - 256490 ns MR4_D 40006004 00000001 - 256490 ns R r1 00000001 - 256490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 256510 ns R r1 80000000 - 256510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 256530 ns R psr 81000200 - 256530 ns MR4_I 01000208 2a001c5b - 256550 ns MR4_I 01000200 07c96841 - 256570 ns IT 01000200 6841 LDR r1,[r0,#4] - 256590 ns MR4_I 01000204 6002d1fc - 256650 ns MR4_D 40006004 00000001 - 256650 ns R r1 00000001 - 256650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 256670 ns R r1 80000000 - 256670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 256690 ns R psr 81000200 - 256690 ns MR4_I 01000208 2a001c5b - 256710 ns MR4_I 01000200 07c96841 - 256730 ns IT 01000200 6841 LDR r1,[r0,#4] - 256750 ns MR4_I 01000204 6002d1fc - 256810 ns MR4_D 40006004 00000001 - 256810 ns R r1 00000001 - 256810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 256830 ns R r1 80000000 - 256830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 256850 ns R psr 81000200 - 256850 ns MR4_I 01000208 2a001c5b - 256870 ns MR4_I 01000200 07c96841 - 256890 ns IT 01000200 6841 LDR r1,[r0,#4] - 256910 ns MR4_I 01000204 6002d1fc - 256970 ns MR4_D 40006004 00000001 - 256970 ns R r1 00000001 - 256970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 256990 ns R r1 80000000 - 256990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 257010 ns R psr 81000200 - 257010 ns MR4_I 01000208 2a001c5b - 257030 ns MR4_I 01000200 07c96841 - 257050 ns IT 01000200 6841 LDR r1,[r0,#4] - 257070 ns MR4_I 01000204 6002d1fc - 257130 ns MR4_D 40006004 00000001 - 257130 ns R r1 00000001 - 257130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 257150 ns R r1 80000000 - 257150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 257170 ns R psr 81000200 - 257170 ns MR4_I 01000208 2a001c5b - 257190 ns MR4_I 01000200 07c96841 - 257210 ns IT 01000200 6841 LDR r1,[r0,#4] - 257230 ns MR4_I 01000204 6002d1fc - 257290 ns MR4_D 40006004 00000001 - 257290 ns R r1 00000001 - 257290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 257310 ns R r1 80000000 - 257310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 257330 ns R psr 81000200 - 257330 ns MR4_I 01000208 2a001c5b - 257350 ns MR4_I 01000200 07c96841 - 257370 ns IT 01000200 6841 LDR r1,[r0,#4] - 257390 ns MR4_I 01000204 6002d1fc - 257450 ns MR4_D 40006004 00000001 - 257450 ns R r1 00000001 - 257450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 257470 ns R r1 80000000 - 257470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 257490 ns R psr 81000200 - 257490 ns MR4_I 01000208 2a001c5b - 257510 ns MR4_I 01000200 07c96841 - 257530 ns IT 01000200 6841 LDR r1,[r0,#4] - 257550 ns MR4_I 01000204 6002d1fc - 257610 ns MR4_D 40006004 00000001 - 257610 ns R r1 00000001 - 257610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 257630 ns R r1 80000000 - 257630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 257650 ns R psr 81000200 - 257650 ns MR4_I 01000208 2a001c5b - 257670 ns MR4_I 01000200 07c96841 - 257690 ns IT 01000200 6841 LDR r1,[r0,#4] - 257710 ns MR4_I 01000204 6002d1fc - 257770 ns MR4_D 40006004 00000001 - 257770 ns R r1 00000001 - 257770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 257790 ns R r1 80000000 - 257790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 257810 ns R psr 81000200 - 257810 ns MR4_I 01000208 2a001c5b - 257830 ns MR4_I 01000200 07c96841 - 257850 ns IT 01000200 6841 LDR r1,[r0,#4] - 257870 ns MR4_I 01000204 6002d1fc - 257930 ns MR4_D 40006004 00000001 - 257930 ns R r1 00000001 - 257930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 257950 ns R r1 80000000 - 257950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 257970 ns R psr 81000200 - 257970 ns MR4_I 01000208 2a001c5b - 257990 ns MR4_I 01000200 07c96841 - 258010 ns IT 01000200 6841 LDR r1,[r0,#4] - 258030 ns MR4_I 01000204 6002d1fc - 258090 ns MR4_D 40006004 00000001 - 258090 ns R r1 00000001 - 258090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 258110 ns R r1 80000000 - 258110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 258130 ns R psr 81000200 - 258130 ns MR4_I 01000208 2a001c5b - 258150 ns MR4_I 01000200 07c96841 - 258170 ns IT 01000200 6841 LDR r1,[r0,#4] - 258190 ns MR4_I 01000204 6002d1fc - 258250 ns MR4_D 40006004 00000001 - 258250 ns R r1 00000001 - 258250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 258270 ns R r1 80000000 - 258270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 258290 ns R psr 81000200 - 258290 ns MR4_I 01000208 2a001c5b - 258310 ns MR4_I 01000200 07c96841 - 258330 ns IT 01000200 6841 LDR r1,[r0,#4] - 258350 ns MR4_I 01000204 6002d1fc - 258410 ns MR4_D 40006004 00000001 - 258410 ns R r1 00000001 - 258410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 258430 ns R r1 80000000 - 258430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 258450 ns R psr 81000200 - 258450 ns MR4_I 01000208 2a001c5b - 258470 ns MR4_I 01000200 07c96841 - 258490 ns IT 01000200 6841 LDR r1,[r0,#4] - 258510 ns MR4_I 01000204 6002d1fc - 258570 ns MR4_D 40006004 00000001 - 258570 ns R r1 00000001 - 258570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 258590 ns R r1 80000000 - 258590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 258610 ns R psr 81000200 - 258610 ns MR4_I 01000208 2a001c5b - 258630 ns MR4_I 01000200 07c96841 - 258650 ns IT 01000200 6841 LDR r1,[r0,#4] - 258670 ns MR4_I 01000204 6002d1fc - 258730 ns MR4_D 40006004 00000001 - 258730 ns R r1 00000001 - 258730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 258750 ns R r1 80000000 - 258750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 258770 ns R psr 81000200 - 258770 ns MR4_I 01000208 2a001c5b - 258790 ns MR4_I 01000200 07c96841 - 258810 ns IT 01000200 6841 LDR r1,[r0,#4] - 258830 ns MR4_I 01000204 6002d1fc - 258890 ns MR4_D 40006004 00000001 - 258890 ns R r1 00000001 - 258890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 258910 ns R r1 80000000 - 258910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 258930 ns R psr 81000200 - 258930 ns MR4_I 01000208 2a001c5b - 258950 ns MR4_I 01000200 07c96841 - 258970 ns IT 01000200 6841 LDR r1,[r0,#4] - 258990 ns MR4_I 01000204 6002d1fc - 259050 ns MR4_D 40006004 00000001 - 259050 ns R r1 00000001 - 259050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 259070 ns R r1 80000000 - 259070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 259090 ns R psr 81000200 - 259090 ns MR4_I 01000208 2a001c5b - 259110 ns MR4_I 01000200 07c96841 - 259130 ns IT 01000200 6841 LDR r1,[r0,#4] - 259150 ns MR4_I 01000204 6002d1fc - 259210 ns MR4_D 40006004 00000001 - 259210 ns R r1 00000001 - 259210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 259230 ns R r1 80000000 - 259230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 259250 ns R psr 81000200 - 259250 ns MR4_I 01000208 2a001c5b - 259270 ns MR4_I 01000200 07c96841 - 259290 ns IT 01000200 6841 LDR r1,[r0,#4] - 259310 ns MR4_I 01000204 6002d1fc - 259370 ns MR4_D 40006004 00000001 - 259370 ns R r1 00000001 - 259370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 259390 ns R r1 80000000 - 259390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 259410 ns R psr 81000200 - 259410 ns MR4_I 01000208 2a001c5b - 259430 ns MR4_I 01000200 07c96841 - 259450 ns IT 01000200 6841 LDR r1,[r0,#4] - 259470 ns MR4_I 01000204 6002d1fc - 259530 ns MR4_D 40006004 00000001 - 259530 ns R r1 00000001 - 259530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 259550 ns R r1 80000000 - 259550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 259570 ns R psr 81000200 - 259570 ns MR4_I 01000208 2a001c5b - 259590 ns MR4_I 01000200 07c96841 - 259610 ns IT 01000200 6841 LDR r1,[r0,#4] - 259630 ns MR4_I 01000204 6002d1fc - 259690 ns MR4_D 40006004 00000001 - 259690 ns R r1 00000001 - 259690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 259710 ns R r1 80000000 - 259710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 259730 ns R psr 81000200 - 259730 ns MR4_I 01000208 2a001c5b - 259750 ns MR4_I 01000200 07c96841 - 259770 ns IT 01000200 6841 LDR r1,[r0,#4] - 259790 ns MR4_I 01000204 6002d1fc - 259850 ns MR4_D 40006004 00000001 - 259850 ns R r1 00000001 - 259850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 259870 ns R r1 80000000 - 259870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 259890 ns R psr 81000200 - 259890 ns MR4_I 01000208 2a001c5b - 259910 ns MR4_I 01000200 07c96841 - 259930 ns IT 01000200 6841 LDR r1,[r0,#4] - 259950 ns MR4_I 01000204 6002d1fc - 260010 ns MR4_D 40006004 00000001 - 260010 ns R r1 00000001 - 260010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 260030 ns R r1 80000000 - 260030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 260050 ns R psr 81000200 - 260050 ns MR4_I 01000208 2a001c5b - 260070 ns MR4_I 01000200 07c96841 - 260090 ns IT 01000200 6841 LDR r1,[r0,#4] - 260110 ns MR4_I 01000204 6002d1fc - 260170 ns MR4_D 40006004 00000001 - 260170 ns R r1 00000001 - 260170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 260190 ns R r1 80000000 - 260190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 260210 ns R psr 81000200 - 260210 ns MR4_I 01000208 2a001c5b - 260230 ns MR4_I 01000200 07c96841 - 260250 ns IT 01000200 6841 LDR r1,[r0,#4] - 260270 ns MR4_I 01000204 6002d1fc - 260330 ns MR4_D 40006004 00000001 - 260330 ns R r1 00000001 - 260330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 260350 ns R r1 80000000 - 260350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 260370 ns R psr 81000200 - 260370 ns MR4_I 01000208 2a001c5b - 260390 ns MR4_I 01000200 07c96841 - 260410 ns IT 01000200 6841 LDR r1,[r0,#4] - 260430 ns MR4_I 01000204 6002d1fc - 260490 ns MR4_D 40006004 00000001 - 260490 ns R r1 00000001 - 260490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 260510 ns R r1 80000000 - 260510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 260530 ns R psr 81000200 - 260530 ns MR4_I 01000208 2a001c5b - 260550 ns MR4_I 01000200 07c96841 - 260570 ns IT 01000200 6841 LDR r1,[r0,#4] - 260590 ns MR4_I 01000204 6002d1fc - 260650 ns MR4_D 40006004 00000001 - 260650 ns R r1 00000001 - 260650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 260670 ns R r1 80000000 - 260670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 260690 ns R psr 81000200 - 260690 ns MR4_I 01000208 2a001c5b - 260710 ns MR4_I 01000200 07c96841 - 260730 ns IT 01000200 6841 LDR r1,[r0,#4] - 260750 ns MR4_I 01000204 6002d1fc - 260810 ns MR4_D 40006004 00000001 - 260810 ns R r1 00000001 - 260810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 260830 ns R r1 80000000 - 260830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 260850 ns R psr 81000200 - 260850 ns MR4_I 01000208 2a001c5b - 260870 ns MR4_I 01000200 07c96841 - 260890 ns IT 01000200 6841 LDR r1,[r0,#4] - 260910 ns MR4_I 01000204 6002d1fc - 260970 ns MR4_D 40006004 00000001 - 260970 ns R r1 00000001 - 260970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 260990 ns R r1 80000000 - 260990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 261010 ns R psr 81000200 - 261010 ns MR4_I 01000208 2a001c5b - 261030 ns MR4_I 01000200 07c96841 - 261050 ns IT 01000200 6841 LDR r1,[r0,#4] - 261070 ns MR4_I 01000204 6002d1fc - 261130 ns MR4_D 40006004 00000001 - 261130 ns R r1 00000001 - 261130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 261150 ns R r1 80000000 - 261150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 261170 ns R psr 81000200 - 261170 ns MR4_I 01000208 2a001c5b - 261190 ns MR4_I 01000200 07c96841 - 261210 ns IT 01000200 6841 LDR r1,[r0,#4] - 261230 ns MR4_I 01000204 6002d1fc - 261290 ns MR4_D 40006004 00000001 - 261290 ns R r1 00000001 - 261290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 261310 ns R r1 80000000 - 261310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 261330 ns R psr 81000200 - 261330 ns MR4_I 01000208 2a001c5b - 261350 ns MR4_I 01000200 07c96841 - 261370 ns IT 01000200 6841 LDR r1,[r0,#4] - 261390 ns MR4_I 01000204 6002d1fc - 261450 ns MR4_D 40006004 00000001 - 261450 ns R r1 00000001 - 261450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 261470 ns R r1 80000000 - 261470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 261490 ns R psr 81000200 - 261490 ns MR4_I 01000208 2a001c5b - 261510 ns MR4_I 01000200 07c96841 - 261530 ns IT 01000200 6841 LDR r1,[r0,#4] - 261550 ns MR4_I 01000204 6002d1fc - 261610 ns MR4_D 40006004 00000001 - 261610 ns R r1 00000001 - 261610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 261630 ns R r1 80000000 - 261630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 261650 ns R psr 81000200 - 261650 ns MR4_I 01000208 2a001c5b - 261670 ns MR4_I 01000200 07c96841 - 261690 ns IT 01000200 6841 LDR r1,[r0,#4] - 261710 ns MR4_I 01000204 6002d1fc - 261770 ns MR4_D 40006004 00000001 - 261770 ns R r1 00000001 - 261770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 261790 ns R r1 80000000 - 261790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 261810 ns R psr 81000200 - 261810 ns MR4_I 01000208 2a001c5b - 261830 ns MR4_I 01000200 07c96841 - 261850 ns IT 01000200 6841 LDR r1,[r0,#4] - 261870 ns MR4_I 01000204 6002d1fc - 261930 ns MR4_D 40006004 00000001 - 261930 ns R r1 00000001 - 261930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 261950 ns R r1 80000000 - 261950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 261970 ns R psr 81000200 - 261970 ns MR4_I 01000208 2a001c5b - 261990 ns MR4_I 01000200 07c96841 - 262010 ns IT 01000200 6841 LDR r1,[r0,#4] - 262030 ns MR4_I 01000204 6002d1fc - 262090 ns MR4_D 40006004 00000001 - 262090 ns R r1 00000001 - 262090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 262110 ns R r1 80000000 - 262110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 262130 ns R psr 81000200 - 262130 ns MR4_I 01000208 2a001c5b - 262150 ns MR4_I 01000200 07c96841 - 262170 ns IT 01000200 6841 LDR r1,[r0,#4] - 262190 ns MR4_I 01000204 6002d1fc - 262250 ns MR4_D 40006004 00000001 - 262250 ns R r1 00000001 - 262250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 262270 ns R r1 80000000 - 262270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 262290 ns R psr 81000200 - 262290 ns MR4_I 01000208 2a001c5b - 262310 ns MR4_I 01000200 07c96841 - 262330 ns IT 01000200 6841 LDR r1,[r0,#4] - 262350 ns MR4_I 01000204 6002d1fc - 262410 ns MR4_D 40006004 00000001 - 262410 ns R r1 00000001 - 262410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 262430 ns R r1 80000000 - 262430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 262450 ns R psr 81000200 - 262450 ns MR4_I 01000208 2a001c5b - 262470 ns MR4_I 01000200 07c96841 - 262490 ns IT 01000200 6841 LDR r1,[r0,#4] - 262510 ns MR4_I 01000204 6002d1fc - 262570 ns MR4_D 40006004 00000001 - 262570 ns R r1 00000001 - 262570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 262590 ns R r1 80000000 - 262590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 262610 ns R psr 81000200 - 262610 ns MR4_I 01000208 2a001c5b - 262630 ns MR4_I 01000200 07c96841 - 262650 ns IT 01000200 6841 LDR r1,[r0,#4] - 262670 ns MR4_I 01000204 6002d1fc - 262730 ns MR4_D 40006004 00000001 - 262730 ns R r1 00000001 - 262730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 262750 ns R r1 80000000 - 262750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 262770 ns R psr 81000200 - 262770 ns MR4_I 01000208 2a001c5b - 262790 ns MR4_I 01000200 07c96841 - 262810 ns IT 01000200 6841 LDR r1,[r0,#4] - 262830 ns MR4_I 01000204 6002d1fc - 262890 ns MR4_D 40006004 00000001 - 262890 ns R r1 00000001 - 262890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 262910 ns R r1 80000000 - 262910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 262930 ns R psr 81000200 - 262930 ns MR4_I 01000208 2a001c5b - 262950 ns MR4_I 01000200 07c96841 - 262970 ns IT 01000200 6841 LDR r1,[r0,#4] - 262990 ns MR4_I 01000204 6002d1fc - 263050 ns MR4_D 40006004 00000001 - 263050 ns R r1 00000001 - 263050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 263070 ns R r1 80000000 - 263070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 263090 ns R psr 81000200 - 263090 ns MR4_I 01000208 2a001c5b - 263110 ns MR4_I 01000200 07c96841 - 263130 ns IT 01000200 6841 LDR r1,[r0,#4] - 263150 ns MR4_I 01000204 6002d1fc - 263210 ns MR4_D 40006004 00000001 - 263210 ns R r1 00000001 - 263210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 263230 ns R r1 80000000 - 263230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 263250 ns R psr 81000200 - 263250 ns MR4_I 01000208 2a001c5b - 263270 ns MR4_I 01000200 07c96841 - 263290 ns IT 01000200 6841 LDR r1,[r0,#4] - 263310 ns MR4_I 01000204 6002d1fc - 263370 ns MR4_D 40006004 00000001 - 263370 ns R r1 00000001 - 263370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 263390 ns R r1 80000000 - 263390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 263410 ns R psr 81000200 - 263410 ns MR4_I 01000208 2a001c5b - 263430 ns MR4_I 01000200 07c96841 - 263450 ns IT 01000200 6841 LDR r1,[r0,#4] - 263470 ns MR4_I 01000204 6002d1fc - 263530 ns MR4_D 40006004 00000001 - 263530 ns R r1 00000001 - 263530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 263550 ns R r1 80000000 - 263550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 263570 ns R psr 81000200 - 263570 ns MR4_I 01000208 2a001c5b - 263590 ns MR4_I 01000200 07c96841 - 263610 ns IT 01000200 6841 LDR r1,[r0,#4] - 263630 ns MR4_I 01000204 6002d1fc - 263690 ns MR4_D 40006004 00000001 - 263690 ns R r1 00000001 - 263690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 263710 ns R r1 80000000 - 263710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 263730 ns R psr 81000200 - 263730 ns MR4_I 01000208 2a001c5b - 263750 ns MR4_I 01000200 07c96841 - 263770 ns IT 01000200 6841 LDR r1,[r0,#4] - 263790 ns MR4_I 01000204 6002d1fc - 263850 ns MR4_D 40006004 00000001 - 263850 ns R r1 00000001 - 263850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 263870 ns R r1 80000000 - 263870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 263890 ns R psr 81000200 - 263890 ns MR4_I 01000208 2a001c5b - 263910 ns MR4_I 01000200 07c96841 - 263930 ns IT 01000200 6841 LDR r1,[r0,#4] - 263950 ns MR4_I 01000204 6002d1fc - 264010 ns MR4_D 40006004 00000001 - 264010 ns R r1 00000001 - 264010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 264030 ns R r1 80000000 - 264030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 264050 ns R psr 81000200 - 264050 ns MR4_I 01000208 2a001c5b - 264070 ns MR4_I 01000200 07c96841 - 264090 ns IT 01000200 6841 LDR r1,[r0,#4] - 264110 ns MR4_I 01000204 6002d1fc - 264170 ns MR4_D 40006004 00000001 - 264170 ns R r1 00000001 - 264170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 264190 ns R r1 80000000 - 264190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 264210 ns R psr 81000200 - 264210 ns MR4_I 01000208 2a001c5b - 264230 ns MR4_I 01000200 07c96841 - 264250 ns IT 01000200 6841 LDR r1,[r0,#4] - 264270 ns MR4_I 01000204 6002d1fc - 264330 ns MR4_D 40006004 00000001 - 264330 ns R r1 00000001 - 264330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 264350 ns R r1 80000000 - 264350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 264370 ns R psr 81000200 - 264370 ns MR4_I 01000208 2a001c5b - 264390 ns MR4_I 01000200 07c96841 - 264410 ns IT 01000200 6841 LDR r1,[r0,#4] - 264430 ns MR4_I 01000204 6002d1fc - 264490 ns MR4_D 40006004 00000001 - 264490 ns R r1 00000001 - 264490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 264510 ns R r1 80000000 - 264510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 264530 ns R psr 81000200 - 264530 ns MR4_I 01000208 2a001c5b - 264550 ns MR4_I 01000200 07c96841 - 264570 ns IT 01000200 6841 LDR r1,[r0,#4] - 264590 ns MR4_I 01000204 6002d1fc - 264650 ns MR4_D 40006004 00000001 - 264650 ns R r1 00000001 - 264650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 264670 ns R r1 80000000 - 264670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 264690 ns R psr 81000200 - 264690 ns MR4_I 01000208 2a001c5b - 264710 ns MR4_I 01000200 07c96841 - 264730 ns IT 01000200 6841 LDR r1,[r0,#4] - 264750 ns MR4_I 01000204 6002d1fc - 264810 ns MR4_D 40006004 00000001 - 264810 ns R r1 00000001 - 264810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 264830 ns R r1 80000000 - 264830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 264850 ns R psr 81000200 - 264850 ns MR4_I 01000208 2a001c5b - 264870 ns MR4_I 01000200 07c96841 - 264890 ns IT 01000200 6841 LDR r1,[r0,#4] - 264910 ns MR4_I 01000204 6002d1fc - 264970 ns MR4_D 40006004 00000001 - 264970 ns R r1 00000001 - 264970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 264990 ns R r1 80000000 - 264990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 265010 ns R psr 81000200 - 265010 ns MR4_I 01000208 2a001c5b - 265030 ns MR4_I 01000200 07c96841 - 265050 ns IT 01000200 6841 LDR r1,[r0,#4] - 265070 ns MR4_I 01000204 6002d1fc - 265130 ns MR4_D 40006004 00000001 - 265130 ns R r1 00000001 - 265130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 265150 ns R r1 80000000 - 265150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 265170 ns R psr 81000200 - 265170 ns MR4_I 01000208 2a001c5b - 265190 ns MR4_I 01000200 07c96841 - 265210 ns IT 01000200 6841 LDR r1,[r0,#4] - 265230 ns MR4_I 01000204 6002d1fc - 265290 ns MR4_D 40006004 00000001 - 265290 ns R r1 00000001 - 265290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 265310 ns R r1 80000000 - 265310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 265330 ns R psr 81000200 - 265330 ns MR4_I 01000208 2a001c5b - 265350 ns MR4_I 01000200 07c96841 - 265370 ns IT 01000200 6841 LDR r1,[r0,#4] - 265390 ns MR4_I 01000204 6002d1fc - 265450 ns MR4_D 40006004 00000001 - 265450 ns R r1 00000001 - 265450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 265470 ns R r1 80000000 - 265470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 265490 ns R psr 81000200 - 265490 ns MR4_I 01000208 2a001c5b - 265510 ns MR4_I 01000200 07c96841 - 265530 ns IT 01000200 6841 LDR r1,[r0,#4] - 265550 ns MR4_I 01000204 6002d1fc - 265610 ns MR4_D 40006004 00000001 - 265610 ns R r1 00000001 - 265610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 265630 ns R r1 80000000 - 265630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 265650 ns R psr 81000200 - 265650 ns MR4_I 01000208 2a001c5b - 265670 ns MR4_I 01000200 07c96841 - 265690 ns IT 01000200 6841 LDR r1,[r0,#4] - 265710 ns MR4_I 01000204 6002d1fc - 265770 ns MR4_D 40006004 00000001 - 265770 ns R r1 00000001 - 265770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 265790 ns R r1 80000000 - 265790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 265810 ns R psr 81000200 - 265810 ns MR4_I 01000208 2a001c5b - 265830 ns MR4_I 01000200 07c96841 - 265850 ns IT 01000200 6841 LDR r1,[r0,#4] - 265870 ns MR4_I 01000204 6002d1fc - 265930 ns MR4_D 40006004 00000001 - 265930 ns R r1 00000001 - 265930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 265950 ns R r1 80000000 - 265950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 265970 ns R psr 81000200 - 265970 ns MR4_I 01000208 2a001c5b - 265990 ns MR4_I 01000200 07c96841 - 266010 ns IT 01000200 6841 LDR r1,[r0,#4] - 266030 ns MR4_I 01000204 6002d1fc - 266090 ns MR4_D 40006004 00000001 - 266090 ns R r1 00000001 - 266090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 266110 ns R r1 80000000 - 266110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 266130 ns R psr 81000200 - 266130 ns MR4_I 01000208 2a001c5b - 266150 ns MR4_I 01000200 07c96841 - 266170 ns IT 01000200 6841 LDR r1,[r0,#4] - 266190 ns MR4_I 01000204 6002d1fc - 266250 ns MR4_D 40006004 00000001 - 266250 ns R r1 00000001 - 266250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 266270 ns R r1 80000000 - 266270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 266290 ns R psr 81000200 - 266290 ns MR4_I 01000208 2a001c5b - 266310 ns MR4_I 01000200 07c96841 - 266330 ns IT 01000200 6841 LDR r1,[r0,#4] - 266350 ns MR4_I 01000204 6002d1fc - 266410 ns MR4_D 40006004 00000000 - 266410 ns R r1 00000000 - 266410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 266430 ns R r1 00000000 - 266430 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 266450 ns R psr 41000200 - 266450 ns MR4_I 01000208 2a001c5b - 266450 ns IT 01000206 6002 STR r2,[r0,#0] - 266530 ns MW4_D 40006000 0000006f - 266530 ns IT 01000208 1c5b ADDS r3,r3,#1 - 266550 ns MR4_I 0100020c a32ad1f5 - 266550 ns R r3 0100029c - 266550 ns IT 0100020a 2a00 CMP r2,#0 - 266570 ns R psr 01000200 - 266570 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 266590 ns R psr 21000200 - 266590 ns MR4_I 01000210 2a00781a - 266610 ns MR4_I 010001f8 781aa326 - 266630 ns MR4_I 010001fc d0062a00 - 266630 ns IT 010001fa 781a LDRB r2,[r3,#0] - 266670 ns MR1_D 0100029c 62616c63 - 266670 ns R r2 00000063 - 266670 ns IT 010001fc 2a00 CMP r2,#0 - 266690 ns MR4_I 01000200 07c96841 - 266690 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 266710 ns R psr 21000200 - 266710 ns IT 01000200 6841 LDR r1,[r0,#4] - 266730 ns MR4_I 01000204 6002d1fc - 266790 ns MR4_D 40006004 00000001 - 266790 ns R r1 00000001 - 266790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 266810 ns R r1 80000000 - 266810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 266830 ns R psr 81000200 - 266830 ns MR4_I 01000208 2a001c5b - 266850 ns MR4_I 01000200 07c96841 - 266870 ns IT 01000200 6841 LDR r1,[r0,#4] - 266890 ns MR4_I 01000204 6002d1fc - 266950 ns MR4_D 40006004 00000001 - 266950 ns R r1 00000001 - 266950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 266970 ns R r1 80000000 - 266970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 266990 ns R psr 81000200 - 266990 ns MR4_I 01000208 2a001c5b - 267010 ns MR4_I 01000200 07c96841 - 267030 ns IT 01000200 6841 LDR r1,[r0,#4] - 267050 ns MR4_I 01000204 6002d1fc - 267110 ns MR4_D 40006004 00000001 - 267110 ns R r1 00000001 - 267110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 267130 ns R r1 80000000 - 267130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 267150 ns R psr 81000200 - 267150 ns MR4_I 01000208 2a001c5b - 267170 ns MR4_I 01000200 07c96841 - 267190 ns IT 01000200 6841 LDR r1,[r0,#4] - 267210 ns MR4_I 01000204 6002d1fc - 267270 ns MR4_D 40006004 00000001 - 267270 ns R r1 00000001 - 267270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 267290 ns R r1 80000000 - 267290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 267310 ns R psr 81000200 - 267310 ns MR4_I 01000208 2a001c5b - 267330 ns MR4_I 01000200 07c96841 - 267350 ns IT 01000200 6841 LDR r1,[r0,#4] - 267370 ns MR4_I 01000204 6002d1fc - 267430 ns MR4_D 40006004 00000001 - 267430 ns R r1 00000001 - 267430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 267450 ns R r1 80000000 - 267450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 267470 ns R psr 81000200 - 267470 ns MR4_I 01000208 2a001c5b - 267490 ns MR4_I 01000200 07c96841 - 267510 ns IT 01000200 6841 LDR r1,[r0,#4] - 267530 ns MR4_I 01000204 6002d1fc - 267590 ns MR4_D 40006004 00000001 - 267590 ns R r1 00000001 - 267590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 267610 ns R r1 80000000 - 267610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 267630 ns R psr 81000200 - 267630 ns MR4_I 01000208 2a001c5b - 267650 ns MR4_I 01000200 07c96841 - 267670 ns IT 01000200 6841 LDR r1,[r0,#4] - 267690 ns MR4_I 01000204 6002d1fc - 267750 ns MR4_D 40006004 00000001 - 267750 ns R r1 00000001 - 267750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 267770 ns R r1 80000000 - 267770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 267790 ns R psr 81000200 - 267790 ns MR4_I 01000208 2a001c5b - 267810 ns MR4_I 01000200 07c96841 - 267830 ns IT 01000200 6841 LDR r1,[r0,#4] - 267850 ns MR4_I 01000204 6002d1fc - 267910 ns MR4_D 40006004 00000001 - 267910 ns R r1 00000001 - 267910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 267930 ns R r1 80000000 - 267930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 267950 ns R psr 81000200 - 267950 ns MR4_I 01000208 2a001c5b - 267970 ns MR4_I 01000200 07c96841 - 267990 ns IT 01000200 6841 LDR r1,[r0,#4] - 268010 ns MR4_I 01000204 6002d1fc - 268070 ns MR4_D 40006004 00000001 - 268070 ns R r1 00000001 - 268070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 268090 ns R r1 80000000 - 268090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 268110 ns R psr 81000200 - 268110 ns MR4_I 01000208 2a001c5b - 268130 ns MR4_I 01000200 07c96841 - 268150 ns IT 01000200 6841 LDR r1,[r0,#4] - 268170 ns MR4_I 01000204 6002d1fc - 268230 ns MR4_D 40006004 00000001 - 268230 ns R r1 00000001 - 268230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 268250 ns R r1 80000000 - 268250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 268270 ns R psr 81000200 - 268270 ns MR4_I 01000208 2a001c5b - 268290 ns MR4_I 01000200 07c96841 - 268310 ns IT 01000200 6841 LDR r1,[r0,#4] - 268330 ns MR4_I 01000204 6002d1fc - 268390 ns MR4_D 40006004 00000001 - 268390 ns R r1 00000001 - 268390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 268410 ns R r1 80000000 - 268410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 268430 ns R psr 81000200 - 268430 ns MR4_I 01000208 2a001c5b - 268450 ns MR4_I 01000200 07c96841 - 268470 ns IT 01000200 6841 LDR r1,[r0,#4] - 268490 ns MR4_I 01000204 6002d1fc - 268550 ns MR4_D 40006004 00000001 - 268550 ns R r1 00000001 - 268550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 268570 ns R r1 80000000 - 268570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 268590 ns R psr 81000200 - 268590 ns MR4_I 01000208 2a001c5b - 268610 ns MR4_I 01000200 07c96841 - 268630 ns IT 01000200 6841 LDR r1,[r0,#4] - 268650 ns MR4_I 01000204 6002d1fc - 268710 ns MR4_D 40006004 00000001 - 268710 ns R r1 00000001 - 268710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 268730 ns R r1 80000000 - 268730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 268750 ns R psr 81000200 - 268750 ns MR4_I 01000208 2a001c5b - 268770 ns MR4_I 01000200 07c96841 - 268790 ns IT 01000200 6841 LDR r1,[r0,#4] - 268810 ns MR4_I 01000204 6002d1fc - 268870 ns MR4_D 40006004 00000001 - 268870 ns R r1 00000001 - 268870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 268890 ns R r1 80000000 - 268890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 268910 ns R psr 81000200 - 268910 ns MR4_I 01000208 2a001c5b - 268930 ns MR4_I 01000200 07c96841 - 268950 ns IT 01000200 6841 LDR r1,[r0,#4] - 268970 ns MR4_I 01000204 6002d1fc - 269030 ns MR4_D 40006004 00000001 - 269030 ns R r1 00000001 - 269030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 269050 ns R r1 80000000 - 269050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 269070 ns R psr 81000200 - 269070 ns MR4_I 01000208 2a001c5b - 269090 ns MR4_I 01000200 07c96841 - 269110 ns IT 01000200 6841 LDR r1,[r0,#4] - 269130 ns MR4_I 01000204 6002d1fc - 269190 ns MR4_D 40006004 00000001 - 269190 ns R r1 00000001 - 269190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 269210 ns R r1 80000000 - 269210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 269230 ns R psr 81000200 - 269230 ns MR4_I 01000208 2a001c5b - 269250 ns MR4_I 01000200 07c96841 - 269270 ns IT 01000200 6841 LDR r1,[r0,#4] - 269290 ns MR4_I 01000204 6002d1fc - 269350 ns MR4_D 40006004 00000001 - 269350 ns R r1 00000001 - 269350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 269370 ns R r1 80000000 - 269370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 269390 ns R psr 81000200 - 269390 ns MR4_I 01000208 2a001c5b - 269410 ns MR4_I 01000200 07c96841 - 269430 ns IT 01000200 6841 LDR r1,[r0,#4] - 269450 ns MR4_I 01000204 6002d1fc - 269510 ns MR4_D 40006004 00000001 - 269510 ns R r1 00000001 - 269510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 269530 ns R r1 80000000 - 269530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 269550 ns R psr 81000200 - 269550 ns MR4_I 01000208 2a001c5b - 269570 ns MR4_I 01000200 07c96841 - 269590 ns IT 01000200 6841 LDR r1,[r0,#4] - 269610 ns MR4_I 01000204 6002d1fc - 269670 ns MR4_D 40006004 00000001 - 269670 ns R r1 00000001 - 269670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 269690 ns R r1 80000000 - 269690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 269710 ns R psr 81000200 - 269710 ns MR4_I 01000208 2a001c5b - 269730 ns MR4_I 01000200 07c96841 - 269750 ns IT 01000200 6841 LDR r1,[r0,#4] - 269770 ns MR4_I 01000204 6002d1fc - 269830 ns MR4_D 40006004 00000001 - 269830 ns R r1 00000001 - 269830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 269850 ns R r1 80000000 - 269850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 269870 ns R psr 81000200 - 269870 ns MR4_I 01000208 2a001c5b - 269890 ns MR4_I 01000200 07c96841 - 269910 ns IT 01000200 6841 LDR r1,[r0,#4] - 269930 ns MR4_I 01000204 6002d1fc - 269990 ns MR4_D 40006004 00000001 - 269990 ns R r1 00000001 - 269990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 270010 ns R r1 80000000 - 270010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 270030 ns R psr 81000200 - 270030 ns MR4_I 01000208 2a001c5b - 270050 ns MR4_I 01000200 07c96841 - 270070 ns IT 01000200 6841 LDR r1,[r0,#4] - 270090 ns MR4_I 01000204 6002d1fc - 270150 ns MR4_D 40006004 00000001 - 270150 ns R r1 00000001 - 270150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 270170 ns R r1 80000000 - 270170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 270190 ns R psr 81000200 - 270190 ns MR4_I 01000208 2a001c5b - 270210 ns MR4_I 01000200 07c96841 - 270230 ns IT 01000200 6841 LDR r1,[r0,#4] - 270250 ns MR4_I 01000204 6002d1fc - 270310 ns MR4_D 40006004 00000001 - 270310 ns R r1 00000001 - 270310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 270330 ns R r1 80000000 - 270330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 270350 ns R psr 81000200 - 270350 ns MR4_I 01000208 2a001c5b - 270370 ns MR4_I 01000200 07c96841 - 270390 ns IT 01000200 6841 LDR r1,[r0,#4] - 270410 ns MR4_I 01000204 6002d1fc - 270470 ns MR4_D 40006004 00000001 - 270470 ns R r1 00000001 - 270470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 270490 ns R r1 80000000 - 270490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 270510 ns R psr 81000200 - 270510 ns MR4_I 01000208 2a001c5b - 270530 ns MR4_I 01000200 07c96841 - 270550 ns IT 01000200 6841 LDR r1,[r0,#4] - 270570 ns MR4_I 01000204 6002d1fc - 270630 ns MR4_D 40006004 00000001 - 270630 ns R r1 00000001 - 270630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 270650 ns R r1 80000000 - 270650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 270670 ns R psr 81000200 - 270670 ns MR4_I 01000208 2a001c5b - 270690 ns MR4_I 01000200 07c96841 - 270710 ns IT 01000200 6841 LDR r1,[r0,#4] - 270730 ns MR4_I 01000204 6002d1fc - 270790 ns MR4_D 40006004 00000001 - 270790 ns R r1 00000001 - 270790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 270810 ns R r1 80000000 - 270810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 270830 ns R psr 81000200 - 270830 ns MR4_I 01000208 2a001c5b - 270850 ns MR4_I 01000200 07c96841 - 270870 ns IT 01000200 6841 LDR r1,[r0,#4] - 270890 ns MR4_I 01000204 6002d1fc - 270950 ns MR4_D 40006004 00000001 - 270950 ns R r1 00000001 - 270950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 270970 ns R r1 80000000 - 270970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 270990 ns R psr 81000200 - 270990 ns MR4_I 01000208 2a001c5b - 271010 ns MR4_I 01000200 07c96841 - 271030 ns IT 01000200 6841 LDR r1,[r0,#4] - 271050 ns MR4_I 01000204 6002d1fc - 271110 ns MR4_D 40006004 00000001 - 271110 ns R r1 00000001 - 271110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 271130 ns R r1 80000000 - 271130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 271150 ns R psr 81000200 - 271150 ns MR4_I 01000208 2a001c5b - 271170 ns MR4_I 01000200 07c96841 - 271190 ns IT 01000200 6841 LDR r1,[r0,#4] - 271210 ns MR4_I 01000204 6002d1fc - 271270 ns MR4_D 40006004 00000001 - 271270 ns R r1 00000001 - 271270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 271290 ns R r1 80000000 - 271290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 271310 ns R psr 81000200 - 271310 ns MR4_I 01000208 2a001c5b - 271330 ns MR4_I 01000200 07c96841 - 271350 ns IT 01000200 6841 LDR r1,[r0,#4] - 271370 ns MR4_I 01000204 6002d1fc - 271430 ns MR4_D 40006004 00000001 - 271430 ns R r1 00000001 - 271430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 271450 ns R r1 80000000 - 271450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 271470 ns R psr 81000200 - 271470 ns MR4_I 01000208 2a001c5b - 271490 ns MR4_I 01000200 07c96841 - 271510 ns IT 01000200 6841 LDR r1,[r0,#4] - 271530 ns MR4_I 01000204 6002d1fc - 271590 ns MR4_D 40006004 00000001 - 271590 ns R r1 00000001 - 271590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 271610 ns R r1 80000000 - 271610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 271630 ns R psr 81000200 - 271630 ns MR4_I 01000208 2a001c5b - 271650 ns MR4_I 01000200 07c96841 - 271670 ns IT 01000200 6841 LDR r1,[r0,#4] - 271690 ns MR4_I 01000204 6002d1fc - 271750 ns MR4_D 40006004 00000001 - 271750 ns R r1 00000001 - 271750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 271770 ns R r1 80000000 - 271770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 271790 ns R psr 81000200 - 271790 ns MR4_I 01000208 2a001c5b - 271810 ns MR4_I 01000200 07c96841 - 271830 ns IT 01000200 6841 LDR r1,[r0,#4] - 271850 ns MR4_I 01000204 6002d1fc - 271910 ns MR4_D 40006004 00000001 - 271910 ns R r1 00000001 - 271910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 271930 ns R r1 80000000 - 271930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 271950 ns R psr 81000200 - 271950 ns MR4_I 01000208 2a001c5b - 271970 ns MR4_I 01000200 07c96841 - 271990 ns IT 01000200 6841 LDR r1,[r0,#4] - 272010 ns MR4_I 01000204 6002d1fc - 272070 ns MR4_D 40006004 00000001 - 272070 ns R r1 00000001 - 272070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 272090 ns R r1 80000000 - 272090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 272110 ns R psr 81000200 - 272110 ns MR4_I 01000208 2a001c5b - 272130 ns MR4_I 01000200 07c96841 - 272150 ns IT 01000200 6841 LDR r1,[r0,#4] - 272170 ns MR4_I 01000204 6002d1fc - 272230 ns MR4_D 40006004 00000001 - 272230 ns R r1 00000001 - 272230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 272250 ns R r1 80000000 - 272250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 272270 ns R psr 81000200 - 272270 ns MR4_I 01000208 2a001c5b - 272290 ns MR4_I 01000200 07c96841 - 272310 ns IT 01000200 6841 LDR r1,[r0,#4] - 272330 ns MR4_I 01000204 6002d1fc - 272390 ns MR4_D 40006004 00000001 - 272390 ns R r1 00000001 - 272390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 272410 ns R r1 80000000 - 272410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 272430 ns R psr 81000200 - 272430 ns MR4_I 01000208 2a001c5b - 272450 ns MR4_I 01000200 07c96841 - 272470 ns IT 01000200 6841 LDR r1,[r0,#4] - 272490 ns MR4_I 01000204 6002d1fc - 272550 ns MR4_D 40006004 00000001 - 272550 ns R r1 00000001 - 272550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 272570 ns R r1 80000000 - 272570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 272590 ns R psr 81000200 - 272590 ns MR4_I 01000208 2a001c5b - 272610 ns MR4_I 01000200 07c96841 - 272630 ns IT 01000200 6841 LDR r1,[r0,#4] - 272650 ns MR4_I 01000204 6002d1fc - 272710 ns MR4_D 40006004 00000001 - 272710 ns R r1 00000001 - 272710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 272730 ns R r1 80000000 - 272730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 272750 ns R psr 81000200 - 272750 ns MR4_I 01000208 2a001c5b - 272770 ns MR4_I 01000200 07c96841 - 272790 ns IT 01000200 6841 LDR r1,[r0,#4] - 272810 ns MR4_I 01000204 6002d1fc - 272870 ns MR4_D 40006004 00000001 - 272870 ns R r1 00000001 - 272870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 272890 ns R r1 80000000 - 272890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 272910 ns R psr 81000200 - 272910 ns MR4_I 01000208 2a001c5b - 272930 ns MR4_I 01000200 07c96841 - 272950 ns IT 01000200 6841 LDR r1,[r0,#4] - 272970 ns MR4_I 01000204 6002d1fc - 273030 ns MR4_D 40006004 00000001 - 273030 ns R r1 00000001 - 273030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 273050 ns R r1 80000000 - 273050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 273070 ns R psr 81000200 - 273070 ns MR4_I 01000208 2a001c5b - 273090 ns MR4_I 01000200 07c96841 - 273110 ns IT 01000200 6841 LDR r1,[r0,#4] - 273130 ns MR4_I 01000204 6002d1fc - 273190 ns MR4_D 40006004 00000001 - 273190 ns R r1 00000001 - 273190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 273210 ns R r1 80000000 - 273210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 273230 ns R psr 81000200 - 273230 ns MR4_I 01000208 2a001c5b - 273250 ns MR4_I 01000200 07c96841 - 273270 ns IT 01000200 6841 LDR r1,[r0,#4] - 273290 ns MR4_I 01000204 6002d1fc - 273350 ns MR4_D 40006004 00000001 - 273350 ns R r1 00000001 - 273350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 273370 ns R r1 80000000 - 273370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 273390 ns R psr 81000200 - 273390 ns MR4_I 01000208 2a001c5b - 273410 ns MR4_I 01000200 07c96841 - 273430 ns IT 01000200 6841 LDR r1,[r0,#4] - 273450 ns MR4_I 01000204 6002d1fc - 273510 ns MR4_D 40006004 00000001 - 273510 ns R r1 00000001 - 273510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 273530 ns R r1 80000000 - 273530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 273550 ns R psr 81000200 - 273550 ns MR4_I 01000208 2a001c5b - 273570 ns MR4_I 01000200 07c96841 - 273590 ns IT 01000200 6841 LDR r1,[r0,#4] - 273610 ns MR4_I 01000204 6002d1fc - 273670 ns MR4_D 40006004 00000001 - 273670 ns R r1 00000001 - 273670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 273690 ns R r1 80000000 - 273690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 273710 ns R psr 81000200 - 273710 ns MR4_I 01000208 2a001c5b - 273730 ns MR4_I 01000200 07c96841 - 273750 ns IT 01000200 6841 LDR r1,[r0,#4] - 273770 ns MR4_I 01000204 6002d1fc - 273830 ns MR4_D 40006004 00000001 - 273830 ns R r1 00000001 - 273830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 273850 ns R r1 80000000 - 273850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 273870 ns R psr 81000200 - 273870 ns MR4_I 01000208 2a001c5b - 273890 ns MR4_I 01000200 07c96841 - 273910 ns IT 01000200 6841 LDR r1,[r0,#4] - 273930 ns MR4_I 01000204 6002d1fc - 273990 ns MR4_D 40006004 00000001 - 273990 ns R r1 00000001 - 273990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 274010 ns R r1 80000000 - 274010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 274030 ns R psr 81000200 - 274030 ns MR4_I 01000208 2a001c5b - 274050 ns MR4_I 01000200 07c96841 - 274070 ns IT 01000200 6841 LDR r1,[r0,#4] - 274090 ns MR4_I 01000204 6002d1fc - 274150 ns MR4_D 40006004 00000001 - 274150 ns R r1 00000001 - 274150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 274170 ns R r1 80000000 - 274170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 274190 ns R psr 81000200 - 274190 ns MR4_I 01000208 2a001c5b - 274210 ns MR4_I 01000200 07c96841 - 274230 ns IT 01000200 6841 LDR r1,[r0,#4] - 274250 ns MR4_I 01000204 6002d1fc - 274310 ns MR4_D 40006004 00000001 - 274310 ns R r1 00000001 - 274310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 274330 ns R r1 80000000 - 274330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 274350 ns R psr 81000200 - 274350 ns MR4_I 01000208 2a001c5b - 274370 ns MR4_I 01000200 07c96841 - 274390 ns IT 01000200 6841 LDR r1,[r0,#4] - 274410 ns MR4_I 01000204 6002d1fc - 274470 ns MR4_D 40006004 00000001 - 274470 ns R r1 00000001 - 274470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 274490 ns R r1 80000000 - 274490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 274510 ns R psr 81000200 - 274510 ns MR4_I 01000208 2a001c5b - 274530 ns MR4_I 01000200 07c96841 - 274550 ns IT 01000200 6841 LDR r1,[r0,#4] - 274570 ns MR4_I 01000204 6002d1fc - 274630 ns MR4_D 40006004 00000001 - 274630 ns R r1 00000001 - 274630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 274650 ns R r1 80000000 - 274650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 274670 ns R psr 81000200 - 274670 ns MR4_I 01000208 2a001c5b - 274690 ns MR4_I 01000200 07c96841 - 274710 ns IT 01000200 6841 LDR r1,[r0,#4] - 274730 ns MR4_I 01000204 6002d1fc - 274790 ns MR4_D 40006004 00000001 - 274790 ns R r1 00000001 - 274790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 274810 ns R r1 80000000 - 274810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 274830 ns R psr 81000200 - 274830 ns MR4_I 01000208 2a001c5b - 274850 ns MR4_I 01000200 07c96841 - 274870 ns IT 01000200 6841 LDR r1,[r0,#4] - 274890 ns MR4_I 01000204 6002d1fc - 274950 ns MR4_D 40006004 00000001 - 274950 ns R r1 00000001 - 274950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 274970 ns R r1 80000000 - 274970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 274990 ns R psr 81000200 - 274990 ns MR4_I 01000208 2a001c5b - 275010 ns MR4_I 01000200 07c96841 - 275030 ns IT 01000200 6841 LDR r1,[r0,#4] - 275050 ns MR4_I 01000204 6002d1fc - 275110 ns MR4_D 40006004 00000001 - 275110 ns R r1 00000001 - 275110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 275130 ns R r1 80000000 - 275130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 275150 ns R psr 81000200 - 275150 ns MR4_I 01000208 2a001c5b - 275170 ns MR4_I 01000200 07c96841 - 275190 ns IT 01000200 6841 LDR r1,[r0,#4] - 275210 ns MR4_I 01000204 6002d1fc - 275270 ns MR4_D 40006004 00000001 - 275270 ns R r1 00000001 - 275270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 275290 ns R r1 80000000 - 275290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 275310 ns R psr 81000200 - 275310 ns MR4_I 01000208 2a001c5b - 275330 ns MR4_I 01000200 07c96841 - 275350 ns IT 01000200 6841 LDR r1,[r0,#4] - 275370 ns MR4_I 01000204 6002d1fc - 275430 ns MR4_D 40006004 00000001 - 275430 ns R r1 00000001 - 275430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 275450 ns R r1 80000000 - 275450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 275470 ns R psr 81000200 - 275470 ns MR4_I 01000208 2a001c5b - 275490 ns MR4_I 01000200 07c96841 - 275510 ns IT 01000200 6841 LDR r1,[r0,#4] - 275530 ns MR4_I 01000204 6002d1fc - 275590 ns MR4_D 40006004 00000001 - 275590 ns R r1 00000001 - 275590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 275610 ns R r1 80000000 - 275610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 275630 ns R psr 81000200 - 275630 ns MR4_I 01000208 2a001c5b - 275650 ns MR4_I 01000200 07c96841 - 275670 ns IT 01000200 6841 LDR r1,[r0,#4] - 275690 ns MR4_I 01000204 6002d1fc - 275750 ns MR4_D 40006004 00000001 - 275750 ns R r1 00000001 - 275750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 275770 ns R r1 80000000 - 275770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 275790 ns R psr 81000200 - 275790 ns MR4_I 01000208 2a001c5b - 275810 ns MR4_I 01000200 07c96841 - 275830 ns IT 01000200 6841 LDR r1,[r0,#4] - 275850 ns MR4_I 01000204 6002d1fc - 275910 ns MR4_D 40006004 00000001 - 275910 ns R r1 00000001 - 275910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 275930 ns R r1 80000000 - 275930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 275950 ns R psr 81000200 - 275950 ns MR4_I 01000208 2a001c5b - 275970 ns MR4_I 01000200 07c96841 - 275990 ns IT 01000200 6841 LDR r1,[r0,#4] - 276010 ns MR4_I 01000204 6002d1fc - 276070 ns MR4_D 40006004 00000001 - 276070 ns R r1 00000001 - 276070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 276090 ns R r1 80000000 - 276090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 276110 ns R psr 81000200 - 276110 ns MR4_I 01000208 2a001c5b - 276130 ns MR4_I 01000200 07c96841 - 276150 ns IT 01000200 6841 LDR r1,[r0,#4] - 276170 ns MR4_I 01000204 6002d1fc - 276230 ns MR4_D 40006004 00000001 - 276230 ns R r1 00000001 - 276230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 276250 ns R r1 80000000 - 276250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 276270 ns R psr 81000200 - 276270 ns MR4_I 01000208 2a001c5b - 276290 ns MR4_I 01000200 07c96841 - 276310 ns IT 01000200 6841 LDR r1,[r0,#4] - 276330 ns MR4_I 01000204 6002d1fc - 276390 ns MR4_D 40006004 00000001 - 276390 ns R r1 00000001 - 276390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 276410 ns R r1 80000000 - 276410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 276430 ns R psr 81000200 - 276430 ns MR4_I 01000208 2a001c5b - 276450 ns MR4_I 01000200 07c96841 - 276470 ns IT 01000200 6841 LDR r1,[r0,#4] - 276490 ns MR4_I 01000204 6002d1fc - 276550 ns MR4_D 40006004 00000001 - 276550 ns R r1 00000001 - 276550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 276570 ns R r1 80000000 - 276570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 276590 ns R psr 81000200 - 276590 ns MR4_I 01000208 2a001c5b - 276610 ns MR4_I 01000200 07c96841 - 276630 ns IT 01000200 6841 LDR r1,[r0,#4] - 276650 ns MR4_I 01000204 6002d1fc - 276710 ns MR4_D 40006004 00000001 - 276710 ns R r1 00000001 - 276710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 276730 ns R r1 80000000 - 276730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 276750 ns R psr 81000200 - 276750 ns MR4_I 01000208 2a001c5b - 276770 ns MR4_I 01000200 07c96841 - 276790 ns IT 01000200 6841 LDR r1,[r0,#4] - 276810 ns MR4_I 01000204 6002d1fc - 276870 ns MR4_D 40006004 00000001 - 276870 ns R r1 00000001 - 276870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 276890 ns R r1 80000000 - 276890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 276910 ns R psr 81000200 - 276910 ns MR4_I 01000208 2a001c5b - 276930 ns MR4_I 01000200 07c96841 - 276950 ns IT 01000200 6841 LDR r1,[r0,#4] - 276970 ns MR4_I 01000204 6002d1fc - 277030 ns MR4_D 40006004 00000001 - 277030 ns R r1 00000001 - 277030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 277050 ns R r1 80000000 - 277050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 277070 ns R psr 81000200 - 277070 ns MR4_I 01000208 2a001c5b - 277090 ns MR4_I 01000200 07c96841 - 277110 ns IT 01000200 6841 LDR r1,[r0,#4] - 277130 ns MR4_I 01000204 6002d1fc - 277190 ns MR4_D 40006004 00000001 - 277190 ns R r1 00000001 - 277190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 277210 ns R r1 80000000 - 277210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 277230 ns R psr 81000200 - 277230 ns MR4_I 01000208 2a001c5b - 277250 ns MR4_I 01000200 07c96841 - 277270 ns IT 01000200 6841 LDR r1,[r0,#4] - 277290 ns MR4_I 01000204 6002d1fc - 277350 ns MR4_D 40006004 00000001 - 277350 ns R r1 00000001 - 277350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 277370 ns R r1 80000000 - 277370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 277390 ns R psr 81000200 - 277390 ns MR4_I 01000208 2a001c5b - 277410 ns MR4_I 01000200 07c96841 - 277430 ns IT 01000200 6841 LDR r1,[r0,#4] - 277450 ns MR4_I 01000204 6002d1fc - 277510 ns MR4_D 40006004 00000001 - 277510 ns R r1 00000001 - 277510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 277530 ns R r1 80000000 - 277530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 277550 ns R psr 81000200 - 277550 ns MR4_I 01000208 2a001c5b - 277570 ns MR4_I 01000200 07c96841 - 277590 ns IT 01000200 6841 LDR r1,[r0,#4] - 277610 ns MR4_I 01000204 6002d1fc - 277670 ns MR4_D 40006004 00000001 - 277670 ns R r1 00000001 - 277670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 277690 ns R r1 80000000 - 277690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 277710 ns R psr 81000200 - 277710 ns MR4_I 01000208 2a001c5b - 277730 ns MR4_I 01000200 07c96841 - 277750 ns IT 01000200 6841 LDR r1,[r0,#4] - 277770 ns MR4_I 01000204 6002d1fc - 277830 ns MR4_D 40006004 00000001 - 277830 ns R r1 00000001 - 277830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 277850 ns R r1 80000000 - 277850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 277870 ns R psr 81000200 - 277870 ns MR4_I 01000208 2a001c5b - 277890 ns MR4_I 01000200 07c96841 - 277910 ns IT 01000200 6841 LDR r1,[r0,#4] - 277930 ns MR4_I 01000204 6002d1fc - 277990 ns MR4_D 40006004 00000001 - 277990 ns R r1 00000001 - 277990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 278010 ns R r1 80000000 - 278010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 278030 ns R psr 81000200 - 278030 ns MR4_I 01000208 2a001c5b - 278050 ns MR4_I 01000200 07c96841 - 278070 ns IT 01000200 6841 LDR r1,[r0,#4] - 278090 ns MR4_I 01000204 6002d1fc - 278150 ns MR4_D 40006004 00000001 - 278150 ns R r1 00000001 - 278150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 278170 ns R r1 80000000 - 278170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 278190 ns R psr 81000200 - 278190 ns MR4_I 01000208 2a001c5b - 278210 ns MR4_I 01000200 07c96841 - 278230 ns IT 01000200 6841 LDR r1,[r0,#4] - 278250 ns MR4_I 01000204 6002d1fc - 278310 ns MR4_D 40006004 00000001 - 278310 ns R r1 00000001 - 278310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 278330 ns R r1 80000000 - 278330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 278350 ns R psr 81000200 - 278350 ns MR4_I 01000208 2a001c5b - 278370 ns MR4_I 01000200 07c96841 - 278390 ns IT 01000200 6841 LDR r1,[r0,#4] - 278410 ns MR4_I 01000204 6002d1fc - 278470 ns MR4_D 40006004 00000001 - 278470 ns R r1 00000001 - 278470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 278490 ns R r1 80000000 - 278490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 278510 ns R psr 81000200 - 278510 ns MR4_I 01000208 2a001c5b - 278530 ns MR4_I 01000200 07c96841 - 278550 ns IT 01000200 6841 LDR r1,[r0,#4] - 278570 ns MR4_I 01000204 6002d1fc - 278630 ns MR4_D 40006004 00000001 - 278630 ns R r1 00000001 - 278630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 278650 ns R r1 80000000 - 278650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 278670 ns R psr 81000200 - 278670 ns MR4_I 01000208 2a001c5b - 278690 ns MR4_I 01000200 07c96841 - 278710 ns IT 01000200 6841 LDR r1,[r0,#4] - 278730 ns MR4_I 01000204 6002d1fc - 278790 ns MR4_D 40006004 00000001 - 278790 ns R r1 00000001 - 278790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 278810 ns R r1 80000000 - 278810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 278830 ns R psr 81000200 - 278830 ns MR4_I 01000208 2a001c5b - 278850 ns MR4_I 01000200 07c96841 - 278870 ns IT 01000200 6841 LDR r1,[r0,#4] - 278890 ns MR4_I 01000204 6002d1fc - 278950 ns MR4_D 40006004 00000001 - 278950 ns R r1 00000001 - 278950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 278970 ns R r1 80000000 - 278970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 278990 ns R psr 81000200 - 278990 ns MR4_I 01000208 2a001c5b - 279010 ns MR4_I 01000200 07c96841 - 279030 ns IT 01000200 6841 LDR r1,[r0,#4] - 279050 ns MR4_I 01000204 6002d1fc - 279110 ns MR4_D 40006004 00000001 - 279110 ns R r1 00000001 - 279110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 279130 ns R r1 80000000 - 279130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 279150 ns R psr 81000200 - 279150 ns MR4_I 01000208 2a001c5b - 279170 ns MR4_I 01000200 07c96841 - 279190 ns IT 01000200 6841 LDR r1,[r0,#4] - 279210 ns MR4_I 01000204 6002d1fc - 279270 ns MR4_D 40006004 00000001 - 279270 ns R r1 00000001 - 279270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 279290 ns R r1 80000000 - 279290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 279310 ns R psr 81000200 - 279310 ns MR4_I 01000208 2a001c5b - 279330 ns MR4_I 01000200 07c96841 - 279350 ns IT 01000200 6841 LDR r1,[r0,#4] - 279370 ns MR4_I 01000204 6002d1fc - 279430 ns MR4_D 40006004 00000001 - 279430 ns R r1 00000001 - 279430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 279450 ns R r1 80000000 - 279450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 279470 ns R psr 81000200 - 279470 ns MR4_I 01000208 2a001c5b - 279490 ns MR4_I 01000200 07c96841 - 279510 ns IT 01000200 6841 LDR r1,[r0,#4] - 279530 ns MR4_I 01000204 6002d1fc - 279590 ns MR4_D 40006004 00000001 - 279590 ns R r1 00000001 - 279590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 279610 ns R r1 80000000 - 279610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 279630 ns R psr 81000200 - 279630 ns MR4_I 01000208 2a001c5b - 279650 ns MR4_I 01000200 07c96841 - 279670 ns IT 01000200 6841 LDR r1,[r0,#4] - 279690 ns MR4_I 01000204 6002d1fc - 279750 ns MR4_D 40006004 00000001 - 279750 ns R r1 00000001 - 279750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 279770 ns R r1 80000000 - 279770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 279790 ns R psr 81000200 - 279790 ns MR4_I 01000208 2a001c5b - 279810 ns MR4_I 01000200 07c96841 - 279830 ns IT 01000200 6841 LDR r1,[r0,#4] - 279850 ns MR4_I 01000204 6002d1fc - 279910 ns MR4_D 40006004 00000001 - 279910 ns R r1 00000001 - 279910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 279930 ns R r1 80000000 - 279930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 279950 ns R psr 81000200 - 279950 ns MR4_I 01000208 2a001c5b - 279970 ns MR4_I 01000200 07c96841 - 279990 ns IT 01000200 6841 LDR r1,[r0,#4] - 280010 ns MR4_I 01000204 6002d1fc - 280070 ns MR4_D 40006004 00000001 - 280070 ns R r1 00000001 - 280070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 280090 ns R r1 80000000 - 280090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 280110 ns R psr 81000200 - 280110 ns MR4_I 01000208 2a001c5b - 280130 ns MR4_I 01000200 07c96841 - 280150 ns IT 01000200 6841 LDR r1,[r0,#4] - 280170 ns MR4_I 01000204 6002d1fc - 280230 ns MR4_D 40006004 00000001 - 280230 ns R r1 00000001 - 280230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 280250 ns R r1 80000000 - 280250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 280270 ns R psr 81000200 - 280270 ns MR4_I 01000208 2a001c5b - 280290 ns MR4_I 01000200 07c96841 - 280310 ns IT 01000200 6841 LDR r1,[r0,#4] - 280330 ns MR4_I 01000204 6002d1fc - 280390 ns MR4_D 40006004 00000001 - 280390 ns R r1 00000001 - 280390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 280410 ns R r1 80000000 - 280410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 280430 ns R psr 81000200 - 280430 ns MR4_I 01000208 2a001c5b - 280450 ns MR4_I 01000200 07c96841 - 280470 ns IT 01000200 6841 LDR r1,[r0,#4] - 280490 ns MR4_I 01000204 6002d1fc - 280550 ns MR4_D 40006004 00000001 - 280550 ns R r1 00000001 - 280550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 280570 ns R r1 80000000 - 280570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 280590 ns R psr 81000200 - 280590 ns MR4_I 01000208 2a001c5b - 280610 ns MR4_I 01000200 07c96841 - 280630 ns IT 01000200 6841 LDR r1,[r0,#4] - 280650 ns MR4_I 01000204 6002d1fc - 280710 ns MR4_D 40006004 00000001 - 280710 ns R r1 00000001 - 280710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 280730 ns R r1 80000000 - 280730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 280750 ns R psr 81000200 - 280750 ns MR4_I 01000208 2a001c5b - 280770 ns MR4_I 01000200 07c96841 - 280790 ns IT 01000200 6841 LDR r1,[r0,#4] - 280810 ns MR4_I 01000204 6002d1fc - 280870 ns MR4_D 40006004 00000001 - 280870 ns R r1 00000001 - 280870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 280890 ns R r1 80000000 - 280890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 280910 ns R psr 81000200 - 280910 ns MR4_I 01000208 2a001c5b - 280930 ns MR4_I 01000200 07c96841 - 280950 ns IT 01000200 6841 LDR r1,[r0,#4] - 280970 ns MR4_I 01000204 6002d1fc - 281030 ns MR4_D 40006004 00000001 - 281030 ns R r1 00000001 - 281030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 281050 ns R r1 80000000 - 281050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 281070 ns R psr 81000200 - 281070 ns MR4_I 01000208 2a001c5b - 281090 ns MR4_I 01000200 07c96841 - 281110 ns IT 01000200 6841 LDR r1,[r0,#4] - 281130 ns MR4_I 01000204 6002d1fc - 281190 ns MR4_D 40006004 00000001 - 281190 ns R r1 00000001 - 281190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 281210 ns R r1 80000000 - 281210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 281230 ns R psr 81000200 - 281230 ns MR4_I 01000208 2a001c5b - 281250 ns MR4_I 01000200 07c96841 - 281270 ns IT 01000200 6841 LDR r1,[r0,#4] - 281290 ns MR4_I 01000204 6002d1fc - 281350 ns MR4_D 40006004 00000001 - 281350 ns R r1 00000001 - 281350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 281370 ns R r1 80000000 - 281370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 281390 ns R psr 81000200 - 281390 ns MR4_I 01000208 2a001c5b - 281410 ns MR4_I 01000200 07c96841 - 281430 ns IT 01000200 6841 LDR r1,[r0,#4] - 281450 ns MR4_I 01000204 6002d1fc - 281510 ns MR4_D 40006004 00000001 - 281510 ns R r1 00000001 - 281510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 281530 ns R r1 80000000 - 281530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 281550 ns R psr 81000200 - 281550 ns MR4_I 01000208 2a001c5b - 281570 ns MR4_I 01000200 07c96841 - 281590 ns IT 01000200 6841 LDR r1,[r0,#4] - 281610 ns MR4_I 01000204 6002d1fc - 281670 ns MR4_D 40006004 00000001 - 281670 ns R r1 00000001 - 281670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 281690 ns R r1 80000000 - 281690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 281710 ns R psr 81000200 - 281710 ns MR4_I 01000208 2a001c5b - 281730 ns MR4_I 01000200 07c96841 - 281750 ns IT 01000200 6841 LDR r1,[r0,#4] - 281770 ns MR4_I 01000204 6002d1fc - 281830 ns MR4_D 40006004 00000001 - 281830 ns R r1 00000001 - 281830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 281850 ns R r1 80000000 - 281850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 281870 ns R psr 81000200 - 281870 ns MR4_I 01000208 2a001c5b - 281890 ns MR4_I 01000200 07c96841 - 281910 ns IT 01000200 6841 LDR r1,[r0,#4] - 281930 ns MR4_I 01000204 6002d1fc - 281990 ns MR4_D 40006004 00000001 - 281990 ns R r1 00000001 - 281990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 282010 ns R r1 80000000 - 282010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 282030 ns R psr 81000200 - 282030 ns MR4_I 01000208 2a001c5b - 282050 ns MR4_I 01000200 07c96841 - 282070 ns IT 01000200 6841 LDR r1,[r0,#4] - 282090 ns MR4_I 01000204 6002d1fc - 282150 ns MR4_D 40006004 00000001 - 282150 ns R r1 00000001 - 282150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 282170 ns R r1 80000000 - 282170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 282190 ns R psr 81000200 - 282190 ns MR4_I 01000208 2a001c5b - 282210 ns MR4_I 01000200 07c96841 - 282230 ns IT 01000200 6841 LDR r1,[r0,#4] - 282250 ns MR4_I 01000204 6002d1fc - 282310 ns MR4_D 40006004 00000001 - 282310 ns R r1 00000001 - 282310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 282330 ns R r1 80000000 - 282330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 282350 ns R psr 81000200 - 282350 ns MR4_I 01000208 2a001c5b - 282370 ns MR4_I 01000200 07c96841 - 282390 ns IT 01000200 6841 LDR r1,[r0,#4] - 282410 ns MR4_I 01000204 6002d1fc - 282470 ns MR4_D 40006004 00000001 - 282470 ns R r1 00000001 - 282470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 282490 ns R r1 80000000 - 282490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 282510 ns R psr 81000200 - 282510 ns MR4_I 01000208 2a001c5b - 282530 ns MR4_I 01000200 07c96841 - 282550 ns IT 01000200 6841 LDR r1,[r0,#4] - 282570 ns MR4_I 01000204 6002d1fc - 282630 ns MR4_D 40006004 00000001 - 282630 ns R r1 00000001 - 282630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 282650 ns R r1 80000000 - 282650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 282670 ns R psr 81000200 - 282670 ns MR4_I 01000208 2a001c5b - 282690 ns MR4_I 01000200 07c96841 - 282710 ns IT 01000200 6841 LDR r1,[r0,#4] - 282730 ns MR4_I 01000204 6002d1fc - 282790 ns MR4_D 40006004 00000001 - 282790 ns R r1 00000001 - 282790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 282810 ns R r1 80000000 - 282810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 282830 ns R psr 81000200 - 282830 ns MR4_I 01000208 2a001c5b - 282850 ns MR4_I 01000200 07c96841 - 282870 ns IT 01000200 6841 LDR r1,[r0,#4] - 282890 ns MR4_I 01000204 6002d1fc - 282950 ns MR4_D 40006004 00000001 - 282950 ns R r1 00000001 - 282950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 282970 ns R r1 80000000 - 282970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 282990 ns R psr 81000200 - 282990 ns MR4_I 01000208 2a001c5b - 283010 ns MR4_I 01000200 07c96841 - 283030 ns IT 01000200 6841 LDR r1,[r0,#4] - 283050 ns MR4_I 01000204 6002d1fc - 283110 ns MR4_D 40006004 00000001 - 283110 ns R r1 00000001 - 283110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 283130 ns R r1 80000000 - 283130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 283150 ns R psr 81000200 - 283150 ns MR4_I 01000208 2a001c5b - 283170 ns MR4_I 01000200 07c96841 - 283190 ns IT 01000200 6841 LDR r1,[r0,#4] - 283210 ns MR4_I 01000204 6002d1fc - 283270 ns MR4_D 40006004 00000001 - 283270 ns R r1 00000001 - 283270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 283290 ns R r1 80000000 - 283290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 283310 ns R psr 81000200 - 283310 ns MR4_I 01000208 2a001c5b - 283330 ns MR4_I 01000200 07c96841 - 283350 ns IT 01000200 6841 LDR r1,[r0,#4] - 283370 ns MR4_I 01000204 6002d1fc - 283430 ns MR4_D 40006004 00000001 - 283430 ns R r1 00000001 - 283430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 283450 ns R r1 80000000 - 283450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 283470 ns R psr 81000200 - 283470 ns MR4_I 01000208 2a001c5b - 283490 ns MR4_I 01000200 07c96841 - 283510 ns IT 01000200 6841 LDR r1,[r0,#4] - 283530 ns MR4_I 01000204 6002d1fc - 283590 ns MR4_D 40006004 00000001 - 283590 ns R r1 00000001 - 283590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 283610 ns R r1 80000000 - 283610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 283630 ns R psr 81000200 - 283630 ns MR4_I 01000208 2a001c5b - 283650 ns MR4_I 01000200 07c96841 - 283670 ns IT 01000200 6841 LDR r1,[r0,#4] - 283690 ns MR4_I 01000204 6002d1fc - 283750 ns MR4_D 40006004 00000001 - 283750 ns R r1 00000001 - 283750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 283770 ns R r1 80000000 - 283770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 283790 ns R psr 81000200 - 283790 ns MR4_I 01000208 2a001c5b - 283810 ns MR4_I 01000200 07c96841 - 283830 ns IT 01000200 6841 LDR r1,[r0,#4] - 283850 ns MR4_I 01000204 6002d1fc - 283910 ns MR4_D 40006004 00000001 - 283910 ns R r1 00000001 - 283910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 283930 ns R r1 80000000 - 283930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 283950 ns R psr 81000200 - 283950 ns MR4_I 01000208 2a001c5b - 283970 ns MR4_I 01000200 07c96841 - 283990 ns IT 01000200 6841 LDR r1,[r0,#4] - 284010 ns MR4_I 01000204 6002d1fc - 284070 ns MR4_D 40006004 00000001 - 284070 ns R r1 00000001 - 284070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 284090 ns R r1 80000000 - 284090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 284110 ns R psr 81000200 - 284110 ns MR4_I 01000208 2a001c5b - 284130 ns MR4_I 01000200 07c96841 - 284150 ns IT 01000200 6841 LDR r1,[r0,#4] - 284170 ns MR4_I 01000204 6002d1fc - 284230 ns MR4_D 40006004 00000001 - 284230 ns R r1 00000001 - 284230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 284250 ns R r1 80000000 - 284250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 284270 ns R psr 81000200 - 284270 ns MR4_I 01000208 2a001c5b - 284290 ns MR4_I 01000200 07c96841 - 284310 ns IT 01000200 6841 LDR r1,[r0,#4] - 284330 ns MR4_I 01000204 6002d1fc - 284390 ns MR4_D 40006004 00000001 - 284390 ns R r1 00000001 - 284390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 284410 ns R r1 80000000 - 284410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 284430 ns R psr 81000200 - 284430 ns MR4_I 01000208 2a001c5b - 284450 ns MR4_I 01000200 07c96841 - 284470 ns IT 01000200 6841 LDR r1,[r0,#4] - 284490 ns MR4_I 01000204 6002d1fc - 284550 ns MR4_D 40006004 00000001 - 284550 ns R r1 00000001 - 284550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 284570 ns R r1 80000000 - 284570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 284590 ns R psr 81000200 - 284590 ns MR4_I 01000208 2a001c5b - 284610 ns MR4_I 01000200 07c96841 - 284630 ns IT 01000200 6841 LDR r1,[r0,#4] - 284650 ns MR4_I 01000204 6002d1fc - 284710 ns MR4_D 40006004 00000001 - 284710 ns R r1 00000001 - 284710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 284730 ns R r1 80000000 - 284730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 284750 ns R psr 81000200 - 284750 ns MR4_I 01000208 2a001c5b - 284770 ns MR4_I 01000200 07c96841 - 284790 ns IT 01000200 6841 LDR r1,[r0,#4] - 284810 ns MR4_I 01000204 6002d1fc - 284870 ns MR4_D 40006004 00000001 - 284870 ns R r1 00000001 - 284870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 284890 ns R r1 80000000 - 284890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 284910 ns R psr 81000200 - 284910 ns MR4_I 01000208 2a001c5b - 284930 ns MR4_I 01000200 07c96841 - 284950 ns IT 01000200 6841 LDR r1,[r0,#4] - 284970 ns MR4_I 01000204 6002d1fc - 285030 ns MR4_D 40006004 00000001 - 285030 ns R r1 00000001 - 285030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 285050 ns R r1 80000000 - 285050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 285070 ns R psr 81000200 - 285070 ns MR4_I 01000208 2a001c5b - 285090 ns MR4_I 01000200 07c96841 - 285110 ns IT 01000200 6841 LDR r1,[r0,#4] - 285130 ns MR4_I 01000204 6002d1fc - 285190 ns MR4_D 40006004 00000001 - 285190 ns R r1 00000001 - 285190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 285210 ns R r1 80000000 - 285210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 285230 ns R psr 81000200 - 285230 ns MR4_I 01000208 2a001c5b - 285250 ns MR4_I 01000200 07c96841 - 285270 ns IT 01000200 6841 LDR r1,[r0,#4] - 285290 ns MR4_I 01000204 6002d1fc - 285350 ns MR4_D 40006004 00000001 - 285350 ns R r1 00000001 - 285350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 285370 ns R r1 80000000 - 285370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 285390 ns R psr 81000200 - 285390 ns MR4_I 01000208 2a001c5b - 285410 ns MR4_I 01000200 07c96841 - 285430 ns IT 01000200 6841 LDR r1,[r0,#4] - 285450 ns MR4_I 01000204 6002d1fc - 285510 ns MR4_D 40006004 00000001 - 285510 ns R r1 00000001 - 285510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 285530 ns R r1 80000000 - 285530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 285550 ns R psr 81000200 - 285550 ns MR4_I 01000208 2a001c5b - 285570 ns MR4_I 01000200 07c96841 - 285590 ns IT 01000200 6841 LDR r1,[r0,#4] - 285610 ns MR4_I 01000204 6002d1fc - 285670 ns MR4_D 40006004 00000001 - 285670 ns R r1 00000001 - 285670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 285690 ns R r1 80000000 - 285690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 285710 ns R psr 81000200 - 285710 ns MR4_I 01000208 2a001c5b - 285730 ns MR4_I 01000200 07c96841 - 285750 ns IT 01000200 6841 LDR r1,[r0,#4] - 285770 ns MR4_I 01000204 6002d1fc - 285830 ns MR4_D 40006004 00000001 - 285830 ns R r1 00000001 - 285830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 285850 ns R r1 80000000 - 285850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 285870 ns R psr 81000200 - 285870 ns MR4_I 01000208 2a001c5b - 285890 ns MR4_I 01000200 07c96841 - 285910 ns IT 01000200 6841 LDR r1,[r0,#4] - 285930 ns MR4_I 01000204 6002d1fc - 285990 ns MR4_D 40006004 00000001 - 285990 ns R r1 00000001 - 285990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 286010 ns R r1 80000000 - 286010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 286030 ns R psr 81000200 - 286030 ns MR4_I 01000208 2a001c5b - 286050 ns MR4_I 01000200 07c96841 - 286070 ns IT 01000200 6841 LDR r1,[r0,#4] - 286090 ns MR4_I 01000204 6002d1fc - 286150 ns MR4_D 40006004 00000001 - 286150 ns R r1 00000001 - 286150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 286170 ns R r1 80000000 - 286170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 286190 ns R psr 81000200 - 286190 ns MR4_I 01000208 2a001c5b - 286210 ns MR4_I 01000200 07c96841 - 286230 ns IT 01000200 6841 LDR r1,[r0,#4] - 286250 ns MR4_I 01000204 6002d1fc - 286310 ns MR4_D 40006004 00000001 - 286310 ns R r1 00000001 - 286310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 286330 ns R r1 80000000 - 286330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 286350 ns R psr 81000200 - 286350 ns MR4_I 01000208 2a001c5b - 286370 ns MR4_I 01000200 07c96841 - 286390 ns IT 01000200 6841 LDR r1,[r0,#4] - 286410 ns MR4_I 01000204 6002d1fc - 286470 ns MR4_D 40006004 00000001 - 286470 ns R r1 00000001 - 286470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 286490 ns R r1 80000000 - 286490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 286510 ns R psr 81000200 - 286510 ns MR4_I 01000208 2a001c5b - 286530 ns MR4_I 01000200 07c96841 - 286550 ns IT 01000200 6841 LDR r1,[r0,#4] - 286570 ns MR4_I 01000204 6002d1fc - 286630 ns MR4_D 40006004 00000001 - 286630 ns R r1 00000001 - 286630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 286650 ns R r1 80000000 - 286650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 286670 ns R psr 81000200 - 286670 ns MR4_I 01000208 2a001c5b - 286690 ns MR4_I 01000200 07c96841 - 286710 ns IT 01000200 6841 LDR r1,[r0,#4] - 286730 ns MR4_I 01000204 6002d1fc - 286790 ns MR4_D 40006004 00000001 - 286790 ns R r1 00000001 - 286790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 286810 ns R r1 80000000 - 286810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 286830 ns R psr 81000200 - 286830 ns MR4_I 01000208 2a001c5b - 286850 ns MR4_I 01000200 07c96841 - 286870 ns IT 01000200 6841 LDR r1,[r0,#4] - 286890 ns MR4_I 01000204 6002d1fc - 286950 ns MR4_D 40006004 00000001 - 286950 ns R r1 00000001 - 286950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 286970 ns R r1 80000000 - 286970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 286990 ns R psr 81000200 - 286990 ns MR4_I 01000208 2a001c5b - 287010 ns MR4_I 01000200 07c96841 - 287030 ns IT 01000200 6841 LDR r1,[r0,#4] - 287050 ns MR4_I 01000204 6002d1fc - 287110 ns MR4_D 40006004 00000001 - 287110 ns R r1 00000001 - 287110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 287130 ns R r1 80000000 - 287130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 287150 ns R psr 81000200 - 287150 ns MR4_I 01000208 2a001c5b - 287170 ns MR4_I 01000200 07c96841 - 287190 ns IT 01000200 6841 LDR r1,[r0,#4] - 287210 ns MR4_I 01000204 6002d1fc - 287270 ns MR4_D 40006004 00000001 - 287270 ns R r1 00000001 - 287270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 287290 ns R r1 80000000 - 287290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 287310 ns R psr 81000200 - 287310 ns MR4_I 01000208 2a001c5b - 287330 ns MR4_I 01000200 07c96841 - 287350 ns IT 01000200 6841 LDR r1,[r0,#4] - 287370 ns MR4_I 01000204 6002d1fc - 287430 ns MR4_D 40006004 00000001 - 287430 ns R r1 00000001 - 287430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 287450 ns R r1 80000000 - 287450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 287470 ns R psr 81000200 - 287470 ns MR4_I 01000208 2a001c5b - 287490 ns MR4_I 01000200 07c96841 - 287510 ns IT 01000200 6841 LDR r1,[r0,#4] - 287530 ns MR4_I 01000204 6002d1fc - 287590 ns MR4_D 40006004 00000001 - 287590 ns R r1 00000001 - 287590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 287610 ns R r1 80000000 - 287610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 287630 ns R psr 81000200 - 287630 ns MR4_I 01000208 2a001c5b - 287650 ns MR4_I 01000200 07c96841 - 287670 ns IT 01000200 6841 LDR r1,[r0,#4] - 287690 ns MR4_I 01000204 6002d1fc - 287750 ns MR4_D 40006004 00000001 - 287750 ns R r1 00000001 - 287750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 287770 ns R r1 80000000 - 287770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 287790 ns R psr 81000200 - 287790 ns MR4_I 01000208 2a001c5b - 287810 ns MR4_I 01000200 07c96841 - 287830 ns IT 01000200 6841 LDR r1,[r0,#4] - 287850 ns MR4_I 01000204 6002d1fc - 287910 ns MR4_D 40006004 00000001 - 287910 ns R r1 00000001 - 287910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 287930 ns R r1 80000000 - 287930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 287950 ns R psr 81000200 - 287950 ns MR4_I 01000208 2a001c5b - 287970 ns MR4_I 01000200 07c96841 - 287990 ns IT 01000200 6841 LDR r1,[r0,#4] - 288010 ns MR4_I 01000204 6002d1fc - 288070 ns MR4_D 40006004 00000001 - 288070 ns R r1 00000001 - 288070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 288090 ns R r1 80000000 - 288090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 288110 ns R psr 81000200 - 288110 ns MR4_I 01000208 2a001c5b - 288130 ns MR4_I 01000200 07c96841 - 288150 ns IT 01000200 6841 LDR r1,[r0,#4] - 288170 ns MR4_I 01000204 6002d1fc - 288230 ns MR4_D 40006004 00000001 - 288230 ns R r1 00000001 - 288230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 288250 ns R r1 80000000 - 288250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 288270 ns R psr 81000200 - 288270 ns MR4_I 01000208 2a001c5b - 288290 ns MR4_I 01000200 07c96841 - 288310 ns IT 01000200 6841 LDR r1,[r0,#4] - 288330 ns MR4_I 01000204 6002d1fc - 288390 ns MR4_D 40006004 00000001 - 288390 ns R r1 00000001 - 288390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 288410 ns R r1 80000000 - 288410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 288430 ns R psr 81000200 - 288430 ns MR4_I 01000208 2a001c5b - 288450 ns MR4_I 01000200 07c96841 - 288470 ns IT 01000200 6841 LDR r1,[r0,#4] - 288490 ns MR4_I 01000204 6002d1fc - 288550 ns MR4_D 40006004 00000001 - 288550 ns R r1 00000001 - 288550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 288570 ns R r1 80000000 - 288570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 288590 ns R psr 81000200 - 288590 ns MR4_I 01000208 2a001c5b - 288610 ns MR4_I 01000200 07c96841 - 288630 ns IT 01000200 6841 LDR r1,[r0,#4] - 288650 ns MR4_I 01000204 6002d1fc - 288710 ns MR4_D 40006004 00000001 - 288710 ns R r1 00000001 - 288710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 288730 ns R r1 80000000 - 288730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 288750 ns R psr 81000200 - 288750 ns MR4_I 01000208 2a001c5b - 288770 ns MR4_I 01000200 07c96841 - 288790 ns IT 01000200 6841 LDR r1,[r0,#4] - 288810 ns MR4_I 01000204 6002d1fc - 288870 ns MR4_D 40006004 00000001 - 288870 ns R r1 00000001 - 288870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 288890 ns R r1 80000000 - 288890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 288910 ns R psr 81000200 - 288910 ns MR4_I 01000208 2a001c5b - 288930 ns MR4_I 01000200 07c96841 - 288950 ns IT 01000200 6841 LDR r1,[r0,#4] - 288970 ns MR4_I 01000204 6002d1fc - 289030 ns MR4_D 40006004 00000001 - 289030 ns R r1 00000001 - 289030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 289050 ns R r1 80000000 - 289050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 289070 ns R psr 81000200 - 289070 ns MR4_I 01000208 2a001c5b - 289090 ns MR4_I 01000200 07c96841 - 289110 ns IT 01000200 6841 LDR r1,[r0,#4] - 289130 ns MR4_I 01000204 6002d1fc - 289190 ns MR4_D 40006004 00000001 - 289190 ns R r1 00000001 - 289190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 289210 ns R r1 80000000 - 289210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 289230 ns R psr 81000200 - 289230 ns MR4_I 01000208 2a001c5b - 289250 ns MR4_I 01000200 07c96841 - 289270 ns IT 01000200 6841 LDR r1,[r0,#4] - 289290 ns MR4_I 01000204 6002d1fc - 289350 ns MR4_D 40006004 00000001 - 289350 ns R r1 00000001 - 289350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 289370 ns R r1 80000000 - 289370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 289390 ns R psr 81000200 - 289390 ns MR4_I 01000208 2a001c5b - 289410 ns MR4_I 01000200 07c96841 - 289430 ns IT 01000200 6841 LDR r1,[r0,#4] - 289450 ns MR4_I 01000204 6002d1fc - 289510 ns MR4_D 40006004 00000001 - 289510 ns R r1 00000001 - 289510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 289530 ns R r1 80000000 - 289530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 289550 ns R psr 81000200 - 289550 ns MR4_I 01000208 2a001c5b - 289570 ns MR4_I 01000200 07c96841 - 289590 ns IT 01000200 6841 LDR r1,[r0,#4] - 289610 ns MR4_I 01000204 6002d1fc - 289670 ns MR4_D 40006004 00000001 - 289670 ns R r1 00000001 - 289670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 289690 ns R r1 80000000 - 289690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 289710 ns R psr 81000200 - 289710 ns MR4_I 01000208 2a001c5b - 289730 ns MR4_I 01000200 07c96841 - 289750 ns IT 01000200 6841 LDR r1,[r0,#4] - 289770 ns MR4_I 01000204 6002d1fc - 289830 ns MR4_D 40006004 00000001 - 289830 ns R r1 00000001 - 289830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 289850 ns R r1 80000000 - 289850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 289870 ns R psr 81000200 - 289870 ns MR4_I 01000208 2a001c5b - 289890 ns MR4_I 01000200 07c96841 - 289910 ns IT 01000200 6841 LDR r1,[r0,#4] - 289930 ns MR4_I 01000204 6002d1fc - 289990 ns MR4_D 40006004 00000001 - 289990 ns R r1 00000001 - 289990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 290010 ns R r1 80000000 - 290010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 290030 ns R psr 81000200 - 290030 ns MR4_I 01000208 2a001c5b - 290050 ns MR4_I 01000200 07c96841 - 290070 ns IT 01000200 6841 LDR r1,[r0,#4] - 290090 ns MR4_I 01000204 6002d1fc - 290150 ns MR4_D 40006004 00000001 - 290150 ns R r1 00000001 - 290150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 290170 ns R r1 80000000 - 290170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 290190 ns R psr 81000200 - 290190 ns MR4_I 01000208 2a001c5b - 290210 ns MR4_I 01000200 07c96841 - 290230 ns IT 01000200 6841 LDR r1,[r0,#4] - 290250 ns MR4_I 01000204 6002d1fc - 290310 ns MR4_D 40006004 00000001 - 290310 ns R r1 00000001 - 290310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 290330 ns R r1 80000000 - 290330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 290350 ns R psr 81000200 - 290350 ns MR4_I 01000208 2a001c5b - 290370 ns MR4_I 01000200 07c96841 - 290390 ns IT 01000200 6841 LDR r1,[r0,#4] - 290410 ns MR4_I 01000204 6002d1fc - 290470 ns MR4_D 40006004 00000001 - 290470 ns R r1 00000001 - 290470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 290490 ns R r1 80000000 - 290490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 290510 ns R psr 81000200 - 290510 ns MR4_I 01000208 2a001c5b - 290530 ns MR4_I 01000200 07c96841 - 290550 ns IT 01000200 6841 LDR r1,[r0,#4] - 290570 ns MR4_I 01000204 6002d1fc - 290630 ns MR4_D 40006004 00000001 - 290630 ns R r1 00000001 - 290630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 290650 ns R r1 80000000 - 290650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 290670 ns R psr 81000200 - 290670 ns MR4_I 01000208 2a001c5b - 290690 ns MR4_I 01000200 07c96841 - 290710 ns IT 01000200 6841 LDR r1,[r0,#4] - 290730 ns MR4_I 01000204 6002d1fc - 290790 ns MR4_D 40006004 00000001 - 290790 ns R r1 00000001 - 290790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 290810 ns R r1 80000000 - 290810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 290830 ns R psr 81000200 - 290830 ns MR4_I 01000208 2a001c5b - 290850 ns MR4_I 01000200 07c96841 - 290870 ns IT 01000200 6841 LDR r1,[r0,#4] - 290890 ns MR4_I 01000204 6002d1fc - 290950 ns MR4_D 40006004 00000001 - 290950 ns R r1 00000001 - 290950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 290970 ns R r1 80000000 - 290970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 290990 ns R psr 81000200 - 290990 ns MR4_I 01000208 2a001c5b - 291010 ns MR4_I 01000200 07c96841 - 291030 ns IT 01000200 6841 LDR r1,[r0,#4] - 291050 ns MR4_I 01000204 6002d1fc - 291110 ns MR4_D 40006004 00000001 - 291110 ns R r1 00000001 - 291110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 291130 ns R r1 80000000 - 291130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 291150 ns R psr 81000200 - 291150 ns MR4_I 01000208 2a001c5b - 291170 ns MR4_I 01000200 07c96841 - 291190 ns IT 01000200 6841 LDR r1,[r0,#4] - 291210 ns MR4_I 01000204 6002d1fc - 291270 ns MR4_D 40006004 00000001 - 291270 ns R r1 00000001 - 291270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 291290 ns R r1 80000000 - 291290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 291310 ns R psr 81000200 - 291310 ns MR4_I 01000208 2a001c5b - 291330 ns MR4_I 01000200 07c96841 - 291350 ns IT 01000200 6841 LDR r1,[r0,#4] - 291370 ns MR4_I 01000204 6002d1fc - 291430 ns MR4_D 40006004 00000001 - 291430 ns R r1 00000001 - 291430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 291450 ns R r1 80000000 - 291450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 291470 ns R psr 81000200 - 291470 ns MR4_I 01000208 2a001c5b - 291490 ns MR4_I 01000200 07c96841 - 291510 ns IT 01000200 6841 LDR r1,[r0,#4] - 291530 ns MR4_I 01000204 6002d1fc - 291590 ns MR4_D 40006004 00000001 - 291590 ns R r1 00000001 - 291590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 291610 ns R r1 80000000 - 291610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 291630 ns R psr 81000200 - 291630 ns MR4_I 01000208 2a001c5b - 291650 ns MR4_I 01000200 07c96841 - 291670 ns IT 01000200 6841 LDR r1,[r0,#4] - 291690 ns MR4_I 01000204 6002d1fc - 291750 ns MR4_D 40006004 00000001 - 291750 ns R r1 00000001 - 291750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 291770 ns R r1 80000000 - 291770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 291790 ns R psr 81000200 - 291790 ns MR4_I 01000208 2a001c5b - 291810 ns MR4_I 01000200 07c96841 - 291830 ns IT 01000200 6841 LDR r1,[r0,#4] - 291850 ns MR4_I 01000204 6002d1fc - 291910 ns MR4_D 40006004 00000001 - 291910 ns R r1 00000001 - 291910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 291930 ns R r1 80000000 - 291930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 291950 ns R psr 81000200 - 291950 ns MR4_I 01000208 2a001c5b - 291970 ns MR4_I 01000200 07c96841 - 291990 ns IT 01000200 6841 LDR r1,[r0,#4] - 292010 ns MR4_I 01000204 6002d1fc - 292070 ns MR4_D 40006004 00000001 - 292070 ns R r1 00000001 - 292070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 292090 ns R r1 80000000 - 292090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 292110 ns R psr 81000200 - 292110 ns MR4_I 01000208 2a001c5b - 292130 ns MR4_I 01000200 07c96841 - 292150 ns IT 01000200 6841 LDR r1,[r0,#4] - 292170 ns MR4_I 01000204 6002d1fc - 292230 ns MR4_D 40006004 00000001 - 292230 ns R r1 00000001 - 292230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 292250 ns R r1 80000000 - 292250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 292270 ns R psr 81000200 - 292270 ns MR4_I 01000208 2a001c5b - 292290 ns MR4_I 01000200 07c96841 - 292310 ns IT 01000200 6841 LDR r1,[r0,#4] - 292330 ns MR4_I 01000204 6002d1fc - 292390 ns MR4_D 40006004 00000001 - 292390 ns R r1 00000001 - 292390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 292410 ns R r1 80000000 - 292410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 292430 ns R psr 81000200 - 292430 ns MR4_I 01000208 2a001c5b - 292450 ns MR4_I 01000200 07c96841 - 292470 ns IT 01000200 6841 LDR r1,[r0,#4] - 292490 ns MR4_I 01000204 6002d1fc - 292550 ns MR4_D 40006004 00000001 - 292550 ns R r1 00000001 - 292550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 292570 ns R r1 80000000 - 292570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 292590 ns R psr 81000200 - 292590 ns MR4_I 01000208 2a001c5b - 292610 ns MR4_I 01000200 07c96841 - 292630 ns IT 01000200 6841 LDR r1,[r0,#4] - 292650 ns MR4_I 01000204 6002d1fc - 292710 ns MR4_D 40006004 00000001 - 292710 ns R r1 00000001 - 292710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 292730 ns R r1 80000000 - 292730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 292750 ns R psr 81000200 - 292750 ns MR4_I 01000208 2a001c5b - 292770 ns MR4_I 01000200 07c96841 - 292790 ns IT 01000200 6841 LDR r1,[r0,#4] - 292810 ns MR4_I 01000204 6002d1fc - 292870 ns MR4_D 40006004 00000001 - 292870 ns R r1 00000001 - 292870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 292890 ns R r1 80000000 - 292890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 292910 ns R psr 81000200 - 292910 ns MR4_I 01000208 2a001c5b - 292930 ns MR4_I 01000200 07c96841 - 292950 ns IT 01000200 6841 LDR r1,[r0,#4] - 292970 ns MR4_I 01000204 6002d1fc - 293030 ns MR4_D 40006004 00000001 - 293030 ns R r1 00000001 - 293030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 293050 ns R r1 80000000 - 293050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 293070 ns R psr 81000200 - 293070 ns MR4_I 01000208 2a001c5b - 293090 ns MR4_I 01000200 07c96841 - 293110 ns IT 01000200 6841 LDR r1,[r0,#4] - 293130 ns MR4_I 01000204 6002d1fc - 293190 ns MR4_D 40006004 00000001 - 293190 ns R r1 00000001 - 293190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 293210 ns R r1 80000000 - 293210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 293230 ns R psr 81000200 - 293230 ns MR4_I 01000208 2a001c5b - 293250 ns MR4_I 01000200 07c96841 - 293270 ns IT 01000200 6841 LDR r1,[r0,#4] - 293290 ns MR4_I 01000204 6002d1fc - 293350 ns MR4_D 40006004 00000001 - 293350 ns R r1 00000001 - 293350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 293370 ns R r1 80000000 - 293370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 293390 ns R psr 81000200 - 293390 ns MR4_I 01000208 2a001c5b - 293410 ns MR4_I 01000200 07c96841 - 293430 ns IT 01000200 6841 LDR r1,[r0,#4] - 293450 ns MR4_I 01000204 6002d1fc - 293510 ns MR4_D 40006004 00000001 - 293510 ns R r1 00000001 - 293510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 293530 ns R r1 80000000 - 293530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 293550 ns R psr 81000200 - 293550 ns MR4_I 01000208 2a001c5b - 293570 ns MR4_I 01000200 07c96841 - 293590 ns IT 01000200 6841 LDR r1,[r0,#4] - 293610 ns MR4_I 01000204 6002d1fc - 293670 ns MR4_D 40006004 00000001 - 293670 ns R r1 00000001 - 293670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 293690 ns R r1 80000000 - 293690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 293710 ns R psr 81000200 - 293710 ns MR4_I 01000208 2a001c5b - 293730 ns MR4_I 01000200 07c96841 - 293750 ns IT 01000200 6841 LDR r1,[r0,#4] - 293770 ns MR4_I 01000204 6002d1fc - 293830 ns MR4_D 40006004 00000001 - 293830 ns R r1 00000001 - 293830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 293850 ns R r1 80000000 - 293850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 293870 ns R psr 81000200 - 293870 ns MR4_I 01000208 2a001c5b - 293890 ns MR4_I 01000200 07c96841 - 293910 ns IT 01000200 6841 LDR r1,[r0,#4] - 293930 ns MR4_I 01000204 6002d1fc - 293990 ns MR4_D 40006004 00000001 - 293990 ns R r1 00000001 - 293990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 294010 ns R r1 80000000 - 294010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 294030 ns R psr 81000200 - 294030 ns MR4_I 01000208 2a001c5b - 294050 ns MR4_I 01000200 07c96841 - 294070 ns IT 01000200 6841 LDR r1,[r0,#4] - 294090 ns MR4_I 01000204 6002d1fc - 294150 ns MR4_D 40006004 00000001 - 294150 ns R r1 00000001 - 294150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 294170 ns R r1 80000000 - 294170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 294190 ns R psr 81000200 - 294190 ns MR4_I 01000208 2a001c5b - 294210 ns MR4_I 01000200 07c96841 - 294230 ns IT 01000200 6841 LDR r1,[r0,#4] - 294250 ns MR4_I 01000204 6002d1fc - 294310 ns MR4_D 40006004 00000001 - 294310 ns R r1 00000001 - 294310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 294330 ns R r1 80000000 - 294330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 294350 ns R psr 81000200 - 294350 ns MR4_I 01000208 2a001c5b - 294370 ns MR4_I 01000200 07c96841 - 294390 ns IT 01000200 6841 LDR r1,[r0,#4] - 294410 ns MR4_I 01000204 6002d1fc - 294470 ns MR4_D 40006004 00000001 - 294470 ns R r1 00000001 - 294470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 294490 ns R r1 80000000 - 294490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 294510 ns R psr 81000200 - 294510 ns MR4_I 01000208 2a001c5b - 294530 ns MR4_I 01000200 07c96841 - 294550 ns IT 01000200 6841 LDR r1,[r0,#4] - 294570 ns MR4_I 01000204 6002d1fc - 294630 ns MR4_D 40006004 00000001 - 294630 ns R r1 00000001 - 294630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 294650 ns R r1 80000000 - 294650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 294670 ns R psr 81000200 - 294670 ns MR4_I 01000208 2a001c5b - 294690 ns MR4_I 01000200 07c96841 - 294710 ns IT 01000200 6841 LDR r1,[r0,#4] - 294730 ns MR4_I 01000204 6002d1fc - 294790 ns MR4_D 40006004 00000001 - 294790 ns R r1 00000001 - 294790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 294810 ns R r1 80000000 - 294810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 294830 ns R psr 81000200 - 294830 ns MR4_I 01000208 2a001c5b - 294850 ns MR4_I 01000200 07c96841 - 294870 ns IT 01000200 6841 LDR r1,[r0,#4] - 294890 ns MR4_I 01000204 6002d1fc - 294950 ns MR4_D 40006004 00000001 - 294950 ns R r1 00000001 - 294950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 294970 ns R r1 80000000 - 294970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 294990 ns R psr 81000200 - 294990 ns MR4_I 01000208 2a001c5b - 295010 ns MR4_I 01000200 07c96841 - 295030 ns IT 01000200 6841 LDR r1,[r0,#4] - 295050 ns MR4_I 01000204 6002d1fc - 295110 ns MR4_D 40006004 00000001 - 295110 ns R r1 00000001 - 295110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 295130 ns R r1 80000000 - 295130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 295150 ns R psr 81000200 - 295150 ns MR4_I 01000208 2a001c5b - 295170 ns MR4_I 01000200 07c96841 - 295190 ns IT 01000200 6841 LDR r1,[r0,#4] - 295210 ns MR4_I 01000204 6002d1fc - 295270 ns MR4_D 40006004 00000001 - 295270 ns R r1 00000001 - 295270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 295290 ns R r1 80000000 - 295290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 295310 ns R psr 81000200 - 295310 ns MR4_I 01000208 2a001c5b - 295330 ns MR4_I 01000200 07c96841 - 295350 ns IT 01000200 6841 LDR r1,[r0,#4] - 295370 ns MR4_I 01000204 6002d1fc - 295430 ns MR4_D 40006004 00000001 - 295430 ns R r1 00000001 - 295430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 295450 ns R r1 80000000 - 295450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 295470 ns R psr 81000200 - 295470 ns MR4_I 01000208 2a001c5b - 295490 ns MR4_I 01000200 07c96841 - 295510 ns IT 01000200 6841 LDR r1,[r0,#4] - 295530 ns MR4_I 01000204 6002d1fc - 295590 ns MR4_D 40006004 00000001 - 295590 ns R r1 00000001 - 295590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 295610 ns R r1 80000000 - 295610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 295630 ns R psr 81000200 - 295630 ns MR4_I 01000208 2a001c5b - 295650 ns MR4_I 01000200 07c96841 - 295670 ns IT 01000200 6841 LDR r1,[r0,#4] - 295690 ns MR4_I 01000204 6002d1fc - 295750 ns MR4_D 40006004 00000001 - 295750 ns R r1 00000001 - 295750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 295770 ns R r1 80000000 - 295770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 295790 ns R psr 81000200 - 295790 ns MR4_I 01000208 2a001c5b - 295810 ns MR4_I 01000200 07c96841 - 295830 ns IT 01000200 6841 LDR r1,[r0,#4] - 295850 ns MR4_I 01000204 6002d1fc - 295910 ns MR4_D 40006004 00000001 - 295910 ns R r1 00000001 - 295910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 295930 ns R r1 80000000 - 295930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 295950 ns R psr 81000200 - 295950 ns MR4_I 01000208 2a001c5b - 295970 ns MR4_I 01000200 07c96841 - 295990 ns IT 01000200 6841 LDR r1,[r0,#4] - 296010 ns MR4_I 01000204 6002d1fc - 296070 ns MR4_D 40006004 00000001 - 296070 ns R r1 00000001 - 296070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 296090 ns R r1 80000000 - 296090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 296110 ns R psr 81000200 - 296110 ns MR4_I 01000208 2a001c5b - 296130 ns MR4_I 01000200 07c96841 - 296150 ns IT 01000200 6841 LDR r1,[r0,#4] - 296170 ns MR4_I 01000204 6002d1fc - 296230 ns MR4_D 40006004 00000001 - 296230 ns R r1 00000001 - 296230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 296250 ns R r1 80000000 - 296250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 296270 ns R psr 81000200 - 296270 ns MR4_I 01000208 2a001c5b - 296290 ns MR4_I 01000200 07c96841 - 296310 ns IT 01000200 6841 LDR r1,[r0,#4] - 296330 ns MR4_I 01000204 6002d1fc - 296390 ns MR4_D 40006004 00000001 - 296390 ns R r1 00000001 - 296390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 296410 ns R r1 80000000 - 296410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 296430 ns R psr 81000200 - 296430 ns MR4_I 01000208 2a001c5b - 296450 ns MR4_I 01000200 07c96841 - 296470 ns IT 01000200 6841 LDR r1,[r0,#4] - 296490 ns MR4_I 01000204 6002d1fc - 296550 ns MR4_D 40006004 00000001 - 296550 ns R r1 00000001 - 296550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 296570 ns R r1 80000000 - 296570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 296590 ns R psr 81000200 - 296590 ns MR4_I 01000208 2a001c5b - 296610 ns MR4_I 01000200 07c96841 - 296630 ns IT 01000200 6841 LDR r1,[r0,#4] - 296650 ns MR4_I 01000204 6002d1fc - 296710 ns MR4_D 40006004 00000001 - 296710 ns R r1 00000001 - 296710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 296730 ns R r1 80000000 - 296730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 296750 ns R psr 81000200 - 296750 ns MR4_I 01000208 2a001c5b - 296770 ns MR4_I 01000200 07c96841 - 296790 ns IT 01000200 6841 LDR r1,[r0,#4] - 296810 ns MR4_I 01000204 6002d1fc - 296870 ns MR4_D 40006004 00000001 - 296870 ns R r1 00000001 - 296870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 296890 ns R r1 80000000 - 296890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 296910 ns R psr 81000200 - 296910 ns MR4_I 01000208 2a001c5b - 296930 ns MR4_I 01000200 07c96841 - 296950 ns IT 01000200 6841 LDR r1,[r0,#4] - 296970 ns MR4_I 01000204 6002d1fc - 297030 ns MR4_D 40006004 00000001 - 297030 ns R r1 00000001 - 297030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 297050 ns R r1 80000000 - 297050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 297070 ns R psr 81000200 - 297070 ns MR4_I 01000208 2a001c5b - 297090 ns MR4_I 01000200 07c96841 - 297110 ns IT 01000200 6841 LDR r1,[r0,#4] - 297130 ns MR4_I 01000204 6002d1fc - 297190 ns MR4_D 40006004 00000001 - 297190 ns R r1 00000001 - 297190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 297210 ns R r1 80000000 - 297210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 297230 ns R psr 81000200 - 297230 ns MR4_I 01000208 2a001c5b - 297250 ns MR4_I 01000200 07c96841 - 297270 ns IT 01000200 6841 LDR r1,[r0,#4] - 297290 ns MR4_I 01000204 6002d1fc - 297350 ns MR4_D 40006004 00000001 - 297350 ns R r1 00000001 - 297350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 297370 ns R r1 80000000 - 297370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 297390 ns R psr 81000200 - 297390 ns MR4_I 01000208 2a001c5b - 297410 ns MR4_I 01000200 07c96841 - 297430 ns IT 01000200 6841 LDR r1,[r0,#4] - 297450 ns MR4_I 01000204 6002d1fc - 297510 ns MR4_D 40006004 00000001 - 297510 ns R r1 00000001 - 297510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 297530 ns R r1 80000000 - 297530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 297550 ns R psr 81000200 - 297550 ns MR4_I 01000208 2a001c5b - 297570 ns MR4_I 01000200 07c96841 - 297590 ns IT 01000200 6841 LDR r1,[r0,#4] - 297610 ns MR4_I 01000204 6002d1fc - 297670 ns MR4_D 40006004 00000001 - 297670 ns R r1 00000001 - 297670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 297690 ns R r1 80000000 - 297690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 297710 ns R psr 81000200 - 297710 ns MR4_I 01000208 2a001c5b - 297730 ns MR4_I 01000200 07c96841 - 297750 ns IT 01000200 6841 LDR r1,[r0,#4] - 297770 ns MR4_I 01000204 6002d1fc - 297830 ns MR4_D 40006004 00000001 - 297830 ns R r1 00000001 - 297830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 297850 ns R r1 80000000 - 297850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 297870 ns R psr 81000200 - 297870 ns MR4_I 01000208 2a001c5b - 297890 ns MR4_I 01000200 07c96841 - 297910 ns IT 01000200 6841 LDR r1,[r0,#4] - 297930 ns MR4_I 01000204 6002d1fc - 297990 ns MR4_D 40006004 00000001 - 297990 ns R r1 00000001 - 297990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 298010 ns R r1 80000000 - 298010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 298030 ns R psr 81000200 - 298030 ns MR4_I 01000208 2a001c5b - 298050 ns MR4_I 01000200 07c96841 - 298070 ns IT 01000200 6841 LDR r1,[r0,#4] - 298090 ns MR4_I 01000204 6002d1fc - 298150 ns MR4_D 40006004 00000001 - 298150 ns R r1 00000001 - 298150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 298170 ns R r1 80000000 - 298170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 298190 ns R psr 81000200 - 298190 ns MR4_I 01000208 2a001c5b - 298210 ns MR4_I 01000200 07c96841 - 298230 ns IT 01000200 6841 LDR r1,[r0,#4] - 298250 ns MR4_I 01000204 6002d1fc - 298310 ns MR4_D 40006004 00000001 - 298310 ns R r1 00000001 - 298310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 298330 ns R r1 80000000 - 298330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 298350 ns R psr 81000200 - 298350 ns MR4_I 01000208 2a001c5b - 298370 ns MR4_I 01000200 07c96841 - 298390 ns IT 01000200 6841 LDR r1,[r0,#4] - 298410 ns MR4_I 01000204 6002d1fc - 298470 ns MR4_D 40006004 00000001 - 298470 ns R r1 00000001 - 298470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 298490 ns R r1 80000000 - 298490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 298510 ns R psr 81000200 - 298510 ns MR4_I 01000208 2a001c5b - 298530 ns MR4_I 01000200 07c96841 - 298550 ns IT 01000200 6841 LDR r1,[r0,#4] - 298570 ns MR4_I 01000204 6002d1fc - 298630 ns MR4_D 40006004 00000001 - 298630 ns R r1 00000001 - 298630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 298650 ns R r1 80000000 - 298650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 298670 ns R psr 81000200 - 298670 ns MR4_I 01000208 2a001c5b - 298690 ns MR4_I 01000200 07c96841 - 298710 ns IT 01000200 6841 LDR r1,[r0,#4] - 298730 ns MR4_I 01000204 6002d1fc - 298790 ns MR4_D 40006004 00000001 - 298790 ns R r1 00000001 - 298790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 298810 ns R r1 80000000 - 298810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 298830 ns R psr 81000200 - 298830 ns MR4_I 01000208 2a001c5b - 298850 ns MR4_I 01000200 07c96841 - 298870 ns IT 01000200 6841 LDR r1,[r0,#4] - 298890 ns MR4_I 01000204 6002d1fc - 298950 ns MR4_D 40006004 00000001 - 298950 ns R r1 00000001 - 298950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 298970 ns R r1 80000000 - 298970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 298990 ns R psr 81000200 - 298990 ns MR4_I 01000208 2a001c5b - 299010 ns MR4_I 01000200 07c96841 - 299030 ns IT 01000200 6841 LDR r1,[r0,#4] - 299050 ns MR4_I 01000204 6002d1fc - 299110 ns MR4_D 40006004 00000001 - 299110 ns R r1 00000001 - 299110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 299130 ns R r1 80000000 - 299130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 299150 ns R psr 81000200 - 299150 ns MR4_I 01000208 2a001c5b - 299170 ns MR4_I 01000200 07c96841 - 299190 ns IT 01000200 6841 LDR r1,[r0,#4] - 299210 ns MR4_I 01000204 6002d1fc - 299270 ns MR4_D 40006004 00000001 - 299270 ns R r1 00000001 - 299270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 299290 ns R r1 80000000 - 299290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 299310 ns R psr 81000200 - 299310 ns MR4_I 01000208 2a001c5b - 299330 ns MR4_I 01000200 07c96841 - 299350 ns IT 01000200 6841 LDR r1,[r0,#4] - 299370 ns MR4_I 01000204 6002d1fc - 299430 ns MR4_D 40006004 00000001 - 299430 ns R r1 00000001 - 299430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 299450 ns R r1 80000000 - 299450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 299470 ns R psr 81000200 - 299470 ns MR4_I 01000208 2a001c5b - 299490 ns MR4_I 01000200 07c96841 - 299510 ns IT 01000200 6841 LDR r1,[r0,#4] - 299530 ns MR4_I 01000204 6002d1fc - 299590 ns MR4_D 40006004 00000001 - 299590 ns R r1 00000001 - 299590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 299610 ns R r1 80000000 - 299610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 299630 ns R psr 81000200 - 299630 ns MR4_I 01000208 2a001c5b - 299650 ns MR4_I 01000200 07c96841 - 299670 ns IT 01000200 6841 LDR r1,[r0,#4] - 299690 ns MR4_I 01000204 6002d1fc - 299750 ns MR4_D 40006004 00000001 - 299750 ns R r1 00000001 - 299750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 299770 ns R r1 80000000 - 299770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 299790 ns R psr 81000200 - 299790 ns MR4_I 01000208 2a001c5b - 299810 ns MR4_I 01000200 07c96841 - 299830 ns IT 01000200 6841 LDR r1,[r0,#4] - 299850 ns MR4_I 01000204 6002d1fc - 299910 ns MR4_D 40006004 00000001 - 299910 ns R r1 00000001 - 299910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 299930 ns R r1 80000000 - 299930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 299950 ns R psr 81000200 - 299950 ns MR4_I 01000208 2a001c5b - 299970 ns MR4_I 01000200 07c96841 - 299990 ns IT 01000200 6841 LDR r1,[r0,#4] - 300010 ns MR4_I 01000204 6002d1fc - 300070 ns MR4_D 40006004 00000001 - 300070 ns R r1 00000001 - 300070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 300090 ns R r1 80000000 - 300090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 300110 ns R psr 81000200 - 300110 ns MR4_I 01000208 2a001c5b - 300130 ns MR4_I 01000200 07c96841 - 300150 ns IT 01000200 6841 LDR r1,[r0,#4] - 300170 ns MR4_I 01000204 6002d1fc - 300230 ns MR4_D 40006004 00000001 - 300230 ns R r1 00000001 - 300230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 300250 ns R r1 80000000 - 300250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 300270 ns R psr 81000200 - 300270 ns MR4_I 01000208 2a001c5b - 300290 ns MR4_I 01000200 07c96841 - 300310 ns IT 01000200 6841 LDR r1,[r0,#4] - 300330 ns MR4_I 01000204 6002d1fc - 300390 ns MR4_D 40006004 00000001 - 300390 ns R r1 00000001 - 300390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 300410 ns R r1 80000000 - 300410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 300430 ns R psr 81000200 - 300430 ns MR4_I 01000208 2a001c5b - 300450 ns MR4_I 01000200 07c96841 - 300470 ns IT 01000200 6841 LDR r1,[r0,#4] - 300490 ns MR4_I 01000204 6002d1fc - 300550 ns MR4_D 40006004 00000001 - 300550 ns R r1 00000001 - 300550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 300570 ns R r1 80000000 - 300570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 300590 ns R psr 81000200 - 300590 ns MR4_I 01000208 2a001c5b - 300610 ns MR4_I 01000200 07c96841 - 300630 ns IT 01000200 6841 LDR r1,[r0,#4] - 300650 ns MR4_I 01000204 6002d1fc - 300710 ns MR4_D 40006004 00000001 - 300710 ns R r1 00000001 - 300710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 300730 ns R r1 80000000 - 300730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 300750 ns R psr 81000200 - 300750 ns MR4_I 01000208 2a001c5b - 300770 ns MR4_I 01000200 07c96841 - 300790 ns IT 01000200 6841 LDR r1,[r0,#4] - 300810 ns MR4_I 01000204 6002d1fc - 300870 ns MR4_D 40006004 00000001 - 300870 ns R r1 00000001 - 300870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 300890 ns R r1 80000000 - 300890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 300910 ns R psr 81000200 - 300910 ns MR4_I 01000208 2a001c5b - 300930 ns MR4_I 01000200 07c96841 - 300950 ns IT 01000200 6841 LDR r1,[r0,#4] - 300970 ns MR4_I 01000204 6002d1fc - 301030 ns MR4_D 40006004 00000001 - 301030 ns R r1 00000001 - 301030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 301050 ns R r1 80000000 - 301050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 301070 ns R psr 81000200 - 301070 ns MR4_I 01000208 2a001c5b - 301090 ns MR4_I 01000200 07c96841 - 301110 ns IT 01000200 6841 LDR r1,[r0,#4] - 301130 ns MR4_I 01000204 6002d1fc - 301190 ns MR4_D 40006004 00000001 - 301190 ns R r1 00000001 - 301190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 301210 ns R r1 80000000 - 301210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 301230 ns R psr 81000200 - 301230 ns MR4_I 01000208 2a001c5b - 301250 ns MR4_I 01000200 07c96841 - 301270 ns IT 01000200 6841 LDR r1,[r0,#4] - 301290 ns MR4_I 01000204 6002d1fc - 301350 ns MR4_D 40006004 00000001 - 301350 ns R r1 00000001 - 301350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 301370 ns R r1 80000000 - 301370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 301390 ns R psr 81000200 - 301390 ns MR4_I 01000208 2a001c5b - 301410 ns MR4_I 01000200 07c96841 - 301430 ns IT 01000200 6841 LDR r1,[r0,#4] - 301450 ns MR4_I 01000204 6002d1fc - 301510 ns MR4_D 40006004 00000001 - 301510 ns R r1 00000001 - 301510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 301530 ns R r1 80000000 - 301530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 301550 ns R psr 81000200 - 301550 ns MR4_I 01000208 2a001c5b - 301570 ns MR4_I 01000200 07c96841 - 301590 ns IT 01000200 6841 LDR r1,[r0,#4] - 301610 ns MR4_I 01000204 6002d1fc - 301670 ns MR4_D 40006004 00000001 - 301670 ns R r1 00000001 - 301670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 301690 ns R r1 80000000 - 301690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 301710 ns R psr 81000200 - 301710 ns MR4_I 01000208 2a001c5b - 301730 ns MR4_I 01000200 07c96841 - 301750 ns IT 01000200 6841 LDR r1,[r0,#4] - 301770 ns MR4_I 01000204 6002d1fc - 301830 ns MR4_D 40006004 00000001 - 301830 ns R r1 00000001 - 301830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 301850 ns R r1 80000000 - 301850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 301870 ns R psr 81000200 - 301870 ns MR4_I 01000208 2a001c5b - 301890 ns MR4_I 01000200 07c96841 - 301910 ns IT 01000200 6841 LDR r1,[r0,#4] - 301930 ns MR4_I 01000204 6002d1fc - 301990 ns MR4_D 40006004 00000001 - 301990 ns R r1 00000001 - 301990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 302010 ns R r1 80000000 - 302010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 302030 ns R psr 81000200 - 302030 ns MR4_I 01000208 2a001c5b - 302050 ns MR4_I 01000200 07c96841 - 302070 ns IT 01000200 6841 LDR r1,[r0,#4] - 302090 ns MR4_I 01000204 6002d1fc - 302150 ns MR4_D 40006004 00000001 - 302150 ns R r1 00000001 - 302150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 302170 ns R r1 80000000 - 302170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 302190 ns R psr 81000200 - 302190 ns MR4_I 01000208 2a001c5b - 302210 ns MR4_I 01000200 07c96841 - 302230 ns IT 01000200 6841 LDR r1,[r0,#4] - 302250 ns MR4_I 01000204 6002d1fc - 302310 ns MR4_D 40006004 00000001 - 302310 ns R r1 00000001 - 302310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 302330 ns R r1 80000000 - 302330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 302350 ns R psr 81000200 - 302350 ns MR4_I 01000208 2a001c5b - 302370 ns MR4_I 01000200 07c96841 - 302390 ns IT 01000200 6841 LDR r1,[r0,#4] - 302410 ns MR4_I 01000204 6002d1fc - 302470 ns MR4_D 40006004 00000001 - 302470 ns R r1 00000001 - 302470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 302490 ns R r1 80000000 - 302490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 302510 ns R psr 81000200 - 302510 ns MR4_I 01000208 2a001c5b - 302530 ns MR4_I 01000200 07c96841 - 302550 ns IT 01000200 6841 LDR r1,[r0,#4] - 302570 ns MR4_I 01000204 6002d1fc - 302630 ns MR4_D 40006004 00000001 - 302630 ns R r1 00000001 - 302630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 302650 ns R r1 80000000 - 302650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 302670 ns R psr 81000200 - 302670 ns MR4_I 01000208 2a001c5b - 302690 ns MR4_I 01000200 07c96841 - 302710 ns IT 01000200 6841 LDR r1,[r0,#4] - 302730 ns MR4_I 01000204 6002d1fc - 302790 ns MR4_D 40006004 00000001 - 302790 ns R r1 00000001 - 302790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 302810 ns R r1 80000000 - 302810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 302830 ns R psr 81000200 - 302830 ns MR4_I 01000208 2a001c5b - 302850 ns MR4_I 01000200 07c96841 - 302870 ns IT 01000200 6841 LDR r1,[r0,#4] - 302890 ns MR4_I 01000204 6002d1fc - 302950 ns MR4_D 40006004 00000001 - 302950 ns R r1 00000001 - 302950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 302970 ns R r1 80000000 - 302970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 302990 ns R psr 81000200 - 302990 ns MR4_I 01000208 2a001c5b - 303010 ns MR4_I 01000200 07c96841 - 303030 ns IT 01000200 6841 LDR r1,[r0,#4] - 303050 ns MR4_I 01000204 6002d1fc - 303110 ns MR4_D 40006004 00000001 - 303110 ns R r1 00000001 - 303110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 303130 ns R r1 80000000 - 303130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 303150 ns R psr 81000200 - 303150 ns MR4_I 01000208 2a001c5b - 303170 ns MR4_I 01000200 07c96841 - 303190 ns IT 01000200 6841 LDR r1,[r0,#4] - 303210 ns MR4_I 01000204 6002d1fc - 303270 ns MR4_D 40006004 00000001 - 303270 ns R r1 00000001 - 303270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 303290 ns R r1 80000000 - 303290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 303310 ns R psr 81000200 - 303310 ns MR4_I 01000208 2a001c5b - 303330 ns MR4_I 01000200 07c96841 - 303350 ns IT 01000200 6841 LDR r1,[r0,#4] - 303370 ns MR4_I 01000204 6002d1fc - 303430 ns MR4_D 40006004 00000001 - 303430 ns R r1 00000001 - 303430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 303450 ns R r1 80000000 - 303450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 303470 ns R psr 81000200 - 303470 ns MR4_I 01000208 2a001c5b - 303490 ns MR4_I 01000200 07c96841 - 303510 ns IT 01000200 6841 LDR r1,[r0,#4] - 303530 ns MR4_I 01000204 6002d1fc - 303590 ns MR4_D 40006004 00000001 - 303590 ns R r1 00000001 - 303590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 303610 ns R r1 80000000 - 303610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 303630 ns R psr 81000200 - 303630 ns MR4_I 01000208 2a001c5b - 303650 ns MR4_I 01000200 07c96841 - 303670 ns IT 01000200 6841 LDR r1,[r0,#4] - 303690 ns MR4_I 01000204 6002d1fc - 303750 ns MR4_D 40006004 00000001 - 303750 ns R r1 00000001 - 303750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 303770 ns R r1 80000000 - 303770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 303790 ns R psr 81000200 - 303790 ns MR4_I 01000208 2a001c5b - 303810 ns MR4_I 01000200 07c96841 - 303830 ns IT 01000200 6841 LDR r1,[r0,#4] - 303850 ns MR4_I 01000204 6002d1fc - 303910 ns MR4_D 40006004 00000001 - 303910 ns R r1 00000001 - 303910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 303930 ns R r1 80000000 - 303930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 303950 ns R psr 81000200 - 303950 ns MR4_I 01000208 2a001c5b - 303970 ns MR4_I 01000200 07c96841 - 303990 ns IT 01000200 6841 LDR r1,[r0,#4] - 304010 ns MR4_I 01000204 6002d1fc - 304070 ns MR4_D 40006004 00000001 - 304070 ns R r1 00000001 - 304070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 304090 ns R r1 80000000 - 304090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 304110 ns R psr 81000200 - 304110 ns MR4_I 01000208 2a001c5b - 304130 ns MR4_I 01000200 07c96841 - 304150 ns IT 01000200 6841 LDR r1,[r0,#4] - 304170 ns MR4_I 01000204 6002d1fc - 304230 ns MR4_D 40006004 00000001 - 304230 ns R r1 00000001 - 304230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 304250 ns R r1 80000000 - 304250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 304270 ns R psr 81000200 - 304270 ns MR4_I 01000208 2a001c5b - 304290 ns MR4_I 01000200 07c96841 - 304310 ns IT 01000200 6841 LDR r1,[r0,#4] - 304330 ns MR4_I 01000204 6002d1fc - 304390 ns MR4_D 40006004 00000001 - 304390 ns R r1 00000001 - 304390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 304410 ns R r1 80000000 - 304410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 304430 ns R psr 81000200 - 304430 ns MR4_I 01000208 2a001c5b - 304450 ns MR4_I 01000200 07c96841 - 304470 ns IT 01000200 6841 LDR r1,[r0,#4] - 304490 ns MR4_I 01000204 6002d1fc - 304550 ns MR4_D 40006004 00000001 - 304550 ns R r1 00000001 - 304550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 304570 ns R r1 80000000 - 304570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 304590 ns R psr 81000200 - 304590 ns MR4_I 01000208 2a001c5b - 304610 ns MR4_I 01000200 07c96841 - 304630 ns IT 01000200 6841 LDR r1,[r0,#4] - 304650 ns MR4_I 01000204 6002d1fc - 304710 ns MR4_D 40006004 00000001 - 304710 ns R r1 00000001 - 304710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 304730 ns R r1 80000000 - 304730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 304750 ns R psr 81000200 - 304750 ns MR4_I 01000208 2a001c5b - 304770 ns MR4_I 01000200 07c96841 - 304790 ns IT 01000200 6841 LDR r1,[r0,#4] - 304810 ns MR4_I 01000204 6002d1fc - 304870 ns MR4_D 40006004 00000001 - 304870 ns R r1 00000001 - 304870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 304890 ns R r1 80000000 - 304890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 304910 ns R psr 81000200 - 304910 ns MR4_I 01000208 2a001c5b - 304930 ns MR4_I 01000200 07c96841 - 304950 ns IT 01000200 6841 LDR r1,[r0,#4] - 304970 ns MR4_I 01000204 6002d1fc - 305030 ns MR4_D 40006004 00000001 - 305030 ns R r1 00000001 - 305030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 305050 ns R r1 80000000 - 305050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 305070 ns R psr 81000200 - 305070 ns MR4_I 01000208 2a001c5b - 305090 ns MR4_I 01000200 07c96841 - 305110 ns IT 01000200 6841 LDR r1,[r0,#4] - 305130 ns MR4_I 01000204 6002d1fc - 305190 ns MR4_D 40006004 00000001 - 305190 ns R r1 00000001 - 305190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 305210 ns R r1 80000000 - 305210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 305230 ns R psr 81000200 - 305230 ns MR4_I 01000208 2a001c5b - 305250 ns MR4_I 01000200 07c96841 - 305270 ns IT 01000200 6841 LDR r1,[r0,#4] - 305290 ns MR4_I 01000204 6002d1fc - 305350 ns MR4_D 40006004 00000001 - 305350 ns R r1 00000001 - 305350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 305370 ns R r1 80000000 - 305370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 305390 ns R psr 81000200 - 305390 ns MR4_I 01000208 2a001c5b - 305410 ns MR4_I 01000200 07c96841 - 305430 ns IT 01000200 6841 LDR r1,[r0,#4] - 305450 ns MR4_I 01000204 6002d1fc - 305510 ns MR4_D 40006004 00000001 - 305510 ns R r1 00000001 - 305510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 305530 ns R r1 80000000 - 305530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 305550 ns R psr 81000200 - 305550 ns MR4_I 01000208 2a001c5b - 305570 ns MR4_I 01000200 07c96841 - 305590 ns IT 01000200 6841 LDR r1,[r0,#4] - 305610 ns MR4_I 01000204 6002d1fc - 305670 ns MR4_D 40006004 00000001 - 305670 ns R r1 00000001 - 305670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 305690 ns R r1 80000000 - 305690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 305710 ns R psr 81000200 - 305710 ns MR4_I 01000208 2a001c5b - 305730 ns MR4_I 01000200 07c96841 - 305750 ns IT 01000200 6841 LDR r1,[r0,#4] - 305770 ns MR4_I 01000204 6002d1fc - 305830 ns MR4_D 40006004 00000001 - 305830 ns R r1 00000001 - 305830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 305850 ns R r1 80000000 - 305850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 305870 ns R psr 81000200 - 305870 ns MR4_I 01000208 2a001c5b - 305890 ns MR4_I 01000200 07c96841 - 305910 ns IT 01000200 6841 LDR r1,[r0,#4] - 305930 ns MR4_I 01000204 6002d1fc - 305990 ns MR4_D 40006004 00000001 - 305990 ns R r1 00000001 - 305990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 306010 ns R r1 80000000 - 306010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 306030 ns R psr 81000200 - 306030 ns MR4_I 01000208 2a001c5b - 306050 ns MR4_I 01000200 07c96841 - 306070 ns IT 01000200 6841 LDR r1,[r0,#4] - 306090 ns MR4_I 01000204 6002d1fc - 306150 ns MR4_D 40006004 00000001 - 306150 ns R r1 00000001 - 306150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 306170 ns R r1 80000000 - 306170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 306190 ns R psr 81000200 - 306190 ns MR4_I 01000208 2a001c5b - 306210 ns MR4_I 01000200 07c96841 - 306230 ns IT 01000200 6841 LDR r1,[r0,#4] - 306250 ns MR4_I 01000204 6002d1fc - 306310 ns MR4_D 40006004 00000001 - 306310 ns R r1 00000001 - 306310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 306330 ns R r1 80000000 - 306330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 306350 ns R psr 81000200 - 306350 ns MR4_I 01000208 2a001c5b - 306370 ns MR4_I 01000200 07c96841 - 306390 ns IT 01000200 6841 LDR r1,[r0,#4] - 306410 ns MR4_I 01000204 6002d1fc - 306470 ns MR4_D 40006004 00000001 - 306470 ns R r1 00000001 - 306470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 306490 ns R r1 80000000 - 306490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 306510 ns R psr 81000200 - 306510 ns MR4_I 01000208 2a001c5b - 306530 ns MR4_I 01000200 07c96841 - 306550 ns IT 01000200 6841 LDR r1,[r0,#4] - 306570 ns MR4_I 01000204 6002d1fc - 306630 ns MR4_D 40006004 00000001 - 306630 ns R r1 00000001 - 306630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 306650 ns R r1 80000000 - 306650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 306670 ns R psr 81000200 - 306670 ns MR4_I 01000208 2a001c5b - 306690 ns MR4_I 01000200 07c96841 - 306710 ns IT 01000200 6841 LDR r1,[r0,#4] - 306730 ns MR4_I 01000204 6002d1fc - 306790 ns MR4_D 40006004 00000001 - 306790 ns R r1 00000001 - 306790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 306810 ns R r1 80000000 - 306810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 306830 ns R psr 81000200 - 306830 ns MR4_I 01000208 2a001c5b - 306850 ns MR4_I 01000200 07c96841 - 306870 ns IT 01000200 6841 LDR r1,[r0,#4] - 306890 ns MR4_I 01000204 6002d1fc - 306950 ns MR4_D 40006004 00000001 - 306950 ns R r1 00000001 - 306950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 306970 ns R r1 80000000 - 306970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 306990 ns R psr 81000200 - 306990 ns MR4_I 01000208 2a001c5b - 307010 ns MR4_I 01000200 07c96841 - 307030 ns IT 01000200 6841 LDR r1,[r0,#4] - 307050 ns MR4_I 01000204 6002d1fc - 307110 ns MR4_D 40006004 00000001 - 307110 ns R r1 00000001 - 307110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 307130 ns R r1 80000000 - 307130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 307150 ns R psr 81000200 - 307150 ns MR4_I 01000208 2a001c5b - 307170 ns MR4_I 01000200 07c96841 - 307190 ns IT 01000200 6841 LDR r1,[r0,#4] - 307210 ns MR4_I 01000204 6002d1fc - 307270 ns MR4_D 40006004 00000001 - 307270 ns R r1 00000001 - 307270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 307290 ns R r1 80000000 - 307290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 307310 ns R psr 81000200 - 307310 ns MR4_I 01000208 2a001c5b - 307330 ns MR4_I 01000200 07c96841 - 307350 ns IT 01000200 6841 LDR r1,[r0,#4] - 307370 ns MR4_I 01000204 6002d1fc - 307430 ns MR4_D 40006004 00000001 - 307430 ns R r1 00000001 - 307430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 307450 ns R r1 80000000 - 307450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 307470 ns R psr 81000200 - 307470 ns MR4_I 01000208 2a001c5b - 307490 ns MR4_I 01000200 07c96841 - 307510 ns IT 01000200 6841 LDR r1,[r0,#4] - 307530 ns MR4_I 01000204 6002d1fc - 307590 ns MR4_D 40006004 00000001 - 307590 ns R r1 00000001 - 307590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 307610 ns R r1 80000000 - 307610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 307630 ns R psr 81000200 - 307630 ns MR4_I 01000208 2a001c5b - 307650 ns MR4_I 01000200 07c96841 - 307670 ns IT 01000200 6841 LDR r1,[r0,#4] - 307690 ns MR4_I 01000204 6002d1fc - 307750 ns MR4_D 40006004 00000001 - 307750 ns R r1 00000001 - 307750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 307770 ns R r1 80000000 - 307770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 307790 ns R psr 81000200 - 307790 ns MR4_I 01000208 2a001c5b - 307810 ns MR4_I 01000200 07c96841 - 307830 ns IT 01000200 6841 LDR r1,[r0,#4] - 307850 ns MR4_I 01000204 6002d1fc - 307910 ns MR4_D 40006004 00000000 - 307910 ns R r1 00000000 - 307910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 307930 ns R r1 00000000 - 307930 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 307950 ns R psr 41000200 - 307950 ns MR4_I 01000208 2a001c5b - 307950 ns IT 01000206 6002 STR r2,[r0,#0] - 308030 ns MW4_D 40006000 00000063 - 308030 ns IT 01000208 1c5b ADDS r3,r3,#1 - 308050 ns MR4_I 0100020c a32ad1f5 - 308050 ns R r3 0100029d - 308050 ns IT 0100020a 2a00 CMP r2,#0 - 308070 ns R psr 01000200 - 308070 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 308090 ns R psr 21000200 - 308090 ns MR4_I 01000210 2a00781a - 308110 ns MR4_I 010001f8 781aa326 - 308130 ns MR4_I 010001fc d0062a00 - 308130 ns IT 010001fa 781a LDRB r2,[r3,#0] - 308170 ns MR1_D 0100029d 62616c63 - 308170 ns R r2 0000006c - 308170 ns IT 010001fc 2a00 CMP r2,#0 - 308190 ns MR4_I 01000200 07c96841 - 308190 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 308210 ns R psr 21000200 - 308210 ns IT 01000200 6841 LDR r1,[r0,#4] - 308230 ns MR4_I 01000204 6002d1fc - 308290 ns MR4_D 40006004 00000001 - 308290 ns R r1 00000001 - 308290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 308310 ns R r1 80000000 - 308310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 308330 ns R psr 81000200 - 308330 ns MR4_I 01000208 2a001c5b - 308350 ns MR4_I 01000200 07c96841 - 308370 ns IT 01000200 6841 LDR r1,[r0,#4] - 308390 ns MR4_I 01000204 6002d1fc - 308450 ns MR4_D 40006004 00000001 - 308450 ns R r1 00000001 - 308450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 308470 ns R r1 80000000 - 308470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 308490 ns R psr 81000200 - 308490 ns MR4_I 01000208 2a001c5b - 308510 ns MR4_I 01000200 07c96841 - 308530 ns IT 01000200 6841 LDR r1,[r0,#4] - 308550 ns MR4_I 01000204 6002d1fc - 308610 ns MR4_D 40006004 00000001 - 308610 ns R r1 00000001 - 308610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 308630 ns R r1 80000000 - 308630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 308650 ns R psr 81000200 - 308650 ns MR4_I 01000208 2a001c5b - 308670 ns MR4_I 01000200 07c96841 - 308690 ns IT 01000200 6841 LDR r1,[r0,#4] - 308710 ns MR4_I 01000204 6002d1fc - 308770 ns MR4_D 40006004 00000001 - 308770 ns R r1 00000001 - 308770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 308790 ns R r1 80000000 - 308790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 308810 ns R psr 81000200 - 308810 ns MR4_I 01000208 2a001c5b - 308830 ns MR4_I 01000200 07c96841 - 308850 ns IT 01000200 6841 LDR r1,[r0,#4] - 308870 ns MR4_I 01000204 6002d1fc - 308930 ns MR4_D 40006004 00000001 - 308930 ns R r1 00000001 - 308930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 308950 ns R r1 80000000 - 308950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 308970 ns R psr 81000200 - 308970 ns MR4_I 01000208 2a001c5b - 308990 ns MR4_I 01000200 07c96841 - 309010 ns IT 01000200 6841 LDR r1,[r0,#4] - 309030 ns MR4_I 01000204 6002d1fc - 309090 ns MR4_D 40006004 00000001 - 309090 ns R r1 00000001 - 309090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 309110 ns R r1 80000000 - 309110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 309130 ns R psr 81000200 - 309130 ns MR4_I 01000208 2a001c5b - 309150 ns MR4_I 01000200 07c96841 - 309170 ns IT 01000200 6841 LDR r1,[r0,#4] - 309190 ns MR4_I 01000204 6002d1fc - 309250 ns MR4_D 40006004 00000001 - 309250 ns R r1 00000001 - 309250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 309270 ns R r1 80000000 - 309270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 309290 ns R psr 81000200 - 309290 ns MR4_I 01000208 2a001c5b - 309310 ns MR4_I 01000200 07c96841 - 309330 ns IT 01000200 6841 LDR r1,[r0,#4] - 309350 ns MR4_I 01000204 6002d1fc - 309410 ns MR4_D 40006004 00000001 - 309410 ns R r1 00000001 - 309410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 309430 ns R r1 80000000 - 309430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 309450 ns R psr 81000200 - 309450 ns MR4_I 01000208 2a001c5b - 309470 ns MR4_I 01000200 07c96841 - 309490 ns IT 01000200 6841 LDR r1,[r0,#4] - 309510 ns MR4_I 01000204 6002d1fc - 309570 ns MR4_D 40006004 00000001 - 309570 ns R r1 00000001 - 309570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 309590 ns R r1 80000000 - 309590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 309610 ns R psr 81000200 - 309610 ns MR4_I 01000208 2a001c5b - 309630 ns MR4_I 01000200 07c96841 - 309650 ns IT 01000200 6841 LDR r1,[r0,#4] - 309670 ns MR4_I 01000204 6002d1fc - 309730 ns MR4_D 40006004 00000001 - 309730 ns R r1 00000001 - 309730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 309750 ns R r1 80000000 - 309750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 309770 ns R psr 81000200 - 309770 ns MR4_I 01000208 2a001c5b - 309790 ns MR4_I 01000200 07c96841 - 309810 ns IT 01000200 6841 LDR r1,[r0,#4] - 309830 ns MR4_I 01000204 6002d1fc - 309890 ns MR4_D 40006004 00000001 - 309890 ns R r1 00000001 - 309890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 309910 ns R r1 80000000 - 309910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 309930 ns R psr 81000200 - 309930 ns MR4_I 01000208 2a001c5b - 309950 ns MR4_I 01000200 07c96841 - 309970 ns IT 01000200 6841 LDR r1,[r0,#4] - 309990 ns MR4_I 01000204 6002d1fc - 310050 ns MR4_D 40006004 00000001 - 310050 ns R r1 00000001 - 310050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 310070 ns R r1 80000000 - 310070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 310090 ns R psr 81000200 - 310090 ns MR4_I 01000208 2a001c5b - 310110 ns MR4_I 01000200 07c96841 - 310130 ns IT 01000200 6841 LDR r1,[r0,#4] - 310150 ns MR4_I 01000204 6002d1fc - 310210 ns MR4_D 40006004 00000001 - 310210 ns R r1 00000001 - 310210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 310230 ns R r1 80000000 - 310230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 310250 ns R psr 81000200 - 310250 ns MR4_I 01000208 2a001c5b - 310270 ns MR4_I 01000200 07c96841 - 310290 ns IT 01000200 6841 LDR r1,[r0,#4] - 310310 ns MR4_I 01000204 6002d1fc - 310370 ns MR4_D 40006004 00000001 - 310370 ns R r1 00000001 - 310370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 310390 ns R r1 80000000 - 310390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 310410 ns R psr 81000200 - 310410 ns MR4_I 01000208 2a001c5b - 310430 ns MR4_I 01000200 07c96841 - 310450 ns IT 01000200 6841 LDR r1,[r0,#4] - 310470 ns MR4_I 01000204 6002d1fc - 310530 ns MR4_D 40006004 00000001 - 310530 ns R r1 00000001 - 310530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 310550 ns R r1 80000000 - 310550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 310570 ns R psr 81000200 - 310570 ns MR4_I 01000208 2a001c5b - 310590 ns MR4_I 01000200 07c96841 - 310610 ns IT 01000200 6841 LDR r1,[r0,#4] - 310630 ns MR4_I 01000204 6002d1fc - 310690 ns MR4_D 40006004 00000001 - 310690 ns R r1 00000001 - 310690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 310710 ns R r1 80000000 - 310710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 310730 ns R psr 81000200 - 310730 ns MR4_I 01000208 2a001c5b - 310750 ns MR4_I 01000200 07c96841 - 310770 ns IT 01000200 6841 LDR r1,[r0,#4] - 310790 ns MR4_I 01000204 6002d1fc - 310850 ns MR4_D 40006004 00000001 - 310850 ns R r1 00000001 - 310850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 310870 ns R r1 80000000 - 310870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 310890 ns R psr 81000200 - 310890 ns MR4_I 01000208 2a001c5b - 310910 ns MR4_I 01000200 07c96841 - 310930 ns IT 01000200 6841 LDR r1,[r0,#4] - 310950 ns MR4_I 01000204 6002d1fc - 311010 ns MR4_D 40006004 00000001 - 311010 ns R r1 00000001 - 311010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 311030 ns R r1 80000000 - 311030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 311050 ns R psr 81000200 - 311050 ns MR4_I 01000208 2a001c5b - 311070 ns MR4_I 01000200 07c96841 - 311090 ns IT 01000200 6841 LDR r1,[r0,#4] - 311110 ns MR4_I 01000204 6002d1fc - 311170 ns MR4_D 40006004 00000001 - 311170 ns R r1 00000001 - 311170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 311190 ns R r1 80000000 - 311190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 311210 ns R psr 81000200 - 311210 ns MR4_I 01000208 2a001c5b - 311230 ns MR4_I 01000200 07c96841 - 311250 ns IT 01000200 6841 LDR r1,[r0,#4] - 311270 ns MR4_I 01000204 6002d1fc - 311330 ns MR4_D 40006004 00000001 - 311330 ns R r1 00000001 - 311330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 311350 ns R r1 80000000 - 311350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 311370 ns R psr 81000200 - 311370 ns MR4_I 01000208 2a001c5b - 311390 ns MR4_I 01000200 07c96841 - 311410 ns IT 01000200 6841 LDR r1,[r0,#4] - 311430 ns MR4_I 01000204 6002d1fc - 311490 ns MR4_D 40006004 00000001 - 311490 ns R r1 00000001 - 311490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 311510 ns R r1 80000000 - 311510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 311530 ns R psr 81000200 - 311530 ns MR4_I 01000208 2a001c5b - 311550 ns MR4_I 01000200 07c96841 - 311570 ns IT 01000200 6841 LDR r1,[r0,#4] - 311590 ns MR4_I 01000204 6002d1fc - 311650 ns MR4_D 40006004 00000001 - 311650 ns R r1 00000001 - 311650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 311670 ns R r1 80000000 - 311670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 311690 ns R psr 81000200 - 311690 ns MR4_I 01000208 2a001c5b - 311710 ns MR4_I 01000200 07c96841 - 311730 ns IT 01000200 6841 LDR r1,[r0,#4] - 311750 ns MR4_I 01000204 6002d1fc - 311810 ns MR4_D 40006004 00000001 - 311810 ns R r1 00000001 - 311810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 311830 ns R r1 80000000 - 311830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 311850 ns R psr 81000200 - 311850 ns MR4_I 01000208 2a001c5b - 311870 ns MR4_I 01000200 07c96841 - 311890 ns IT 01000200 6841 LDR r1,[r0,#4] - 311910 ns MR4_I 01000204 6002d1fc - 311970 ns MR4_D 40006004 00000001 - 311970 ns R r1 00000001 - 311970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 311990 ns R r1 80000000 - 311990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 312010 ns R psr 81000200 - 312010 ns MR4_I 01000208 2a001c5b - 312030 ns MR4_I 01000200 07c96841 - 312050 ns IT 01000200 6841 LDR r1,[r0,#4] - 312070 ns MR4_I 01000204 6002d1fc - 312130 ns MR4_D 40006004 00000001 - 312130 ns R r1 00000001 - 312130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 312150 ns R r1 80000000 - 312150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 312170 ns R psr 81000200 - 312170 ns MR4_I 01000208 2a001c5b - 312190 ns MR4_I 01000200 07c96841 - 312210 ns IT 01000200 6841 LDR r1,[r0,#4] - 312230 ns MR4_I 01000204 6002d1fc - 312290 ns MR4_D 40006004 00000001 - 312290 ns R r1 00000001 - 312290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 312310 ns R r1 80000000 - 312310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 312330 ns R psr 81000200 - 312330 ns MR4_I 01000208 2a001c5b - 312350 ns MR4_I 01000200 07c96841 - 312370 ns IT 01000200 6841 LDR r1,[r0,#4] - 312390 ns MR4_I 01000204 6002d1fc - 312450 ns MR4_D 40006004 00000001 - 312450 ns R r1 00000001 - 312450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 312470 ns R r1 80000000 - 312470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 312490 ns R psr 81000200 - 312490 ns MR4_I 01000208 2a001c5b - 312510 ns MR4_I 01000200 07c96841 - 312530 ns IT 01000200 6841 LDR r1,[r0,#4] - 312550 ns MR4_I 01000204 6002d1fc - 312610 ns MR4_D 40006004 00000001 - 312610 ns R r1 00000001 - 312610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 312630 ns R r1 80000000 - 312630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 312650 ns R psr 81000200 - 312650 ns MR4_I 01000208 2a001c5b - 312670 ns MR4_I 01000200 07c96841 - 312690 ns IT 01000200 6841 LDR r1,[r0,#4] - 312710 ns MR4_I 01000204 6002d1fc - 312770 ns MR4_D 40006004 00000001 - 312770 ns R r1 00000001 - 312770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 312790 ns R r1 80000000 - 312790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 312810 ns R psr 81000200 - 312810 ns MR4_I 01000208 2a001c5b - 312830 ns MR4_I 01000200 07c96841 - 312850 ns IT 01000200 6841 LDR r1,[r0,#4] - 312870 ns MR4_I 01000204 6002d1fc - 312930 ns MR4_D 40006004 00000001 - 312930 ns R r1 00000001 - 312930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 312950 ns R r1 80000000 - 312950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 312970 ns R psr 81000200 - 312970 ns MR4_I 01000208 2a001c5b - 312990 ns MR4_I 01000200 07c96841 - 313010 ns IT 01000200 6841 LDR r1,[r0,#4] - 313030 ns MR4_I 01000204 6002d1fc - 313090 ns MR4_D 40006004 00000001 - 313090 ns R r1 00000001 - 313090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 313110 ns R r1 80000000 - 313110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 313130 ns R psr 81000200 - 313130 ns MR4_I 01000208 2a001c5b - 313150 ns MR4_I 01000200 07c96841 - 313170 ns IT 01000200 6841 LDR r1,[r0,#4] - 313190 ns MR4_I 01000204 6002d1fc - 313250 ns MR4_D 40006004 00000001 - 313250 ns R r1 00000001 - 313250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 313270 ns R r1 80000000 - 313270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 313290 ns R psr 81000200 - 313290 ns MR4_I 01000208 2a001c5b - 313310 ns MR4_I 01000200 07c96841 - 313330 ns IT 01000200 6841 LDR r1,[r0,#4] - 313350 ns MR4_I 01000204 6002d1fc - 313410 ns MR4_D 40006004 00000001 - 313410 ns R r1 00000001 - 313410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 313430 ns R r1 80000000 - 313430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 313450 ns R psr 81000200 - 313450 ns MR4_I 01000208 2a001c5b - 313470 ns MR4_I 01000200 07c96841 - 313490 ns IT 01000200 6841 LDR r1,[r0,#4] - 313510 ns MR4_I 01000204 6002d1fc - 313570 ns MR4_D 40006004 00000001 - 313570 ns R r1 00000001 - 313570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 313590 ns R r1 80000000 - 313590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 313610 ns R psr 81000200 - 313610 ns MR4_I 01000208 2a001c5b - 313630 ns MR4_I 01000200 07c96841 - 313650 ns IT 01000200 6841 LDR r1,[r0,#4] - 313670 ns MR4_I 01000204 6002d1fc - 313730 ns MR4_D 40006004 00000001 - 313730 ns R r1 00000001 - 313730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 313750 ns R r1 80000000 - 313750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 313770 ns R psr 81000200 - 313770 ns MR4_I 01000208 2a001c5b - 313790 ns MR4_I 01000200 07c96841 - 313810 ns IT 01000200 6841 LDR r1,[r0,#4] - 313830 ns MR4_I 01000204 6002d1fc - 313890 ns MR4_D 40006004 00000001 - 313890 ns R r1 00000001 - 313890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 313910 ns R r1 80000000 - 313910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 313930 ns R psr 81000200 - 313930 ns MR4_I 01000208 2a001c5b - 313950 ns MR4_I 01000200 07c96841 - 313970 ns IT 01000200 6841 LDR r1,[r0,#4] - 313990 ns MR4_I 01000204 6002d1fc - 314050 ns MR4_D 40006004 00000001 - 314050 ns R r1 00000001 - 314050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 314070 ns R r1 80000000 - 314070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 314090 ns R psr 81000200 - 314090 ns MR4_I 01000208 2a001c5b - 314110 ns MR4_I 01000200 07c96841 - 314130 ns IT 01000200 6841 LDR r1,[r0,#4] - 314150 ns MR4_I 01000204 6002d1fc - 314210 ns MR4_D 40006004 00000001 - 314210 ns R r1 00000001 - 314210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 314230 ns R r1 80000000 - 314230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 314250 ns R psr 81000200 - 314250 ns MR4_I 01000208 2a001c5b - 314270 ns MR4_I 01000200 07c96841 - 314290 ns IT 01000200 6841 LDR r1,[r0,#4] - 314310 ns MR4_I 01000204 6002d1fc - 314370 ns MR4_D 40006004 00000001 - 314370 ns R r1 00000001 - 314370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 314390 ns R r1 80000000 - 314390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 314410 ns R psr 81000200 - 314410 ns MR4_I 01000208 2a001c5b - 314430 ns MR4_I 01000200 07c96841 - 314450 ns IT 01000200 6841 LDR r1,[r0,#4] - 314470 ns MR4_I 01000204 6002d1fc - 314530 ns MR4_D 40006004 00000001 - 314530 ns R r1 00000001 - 314530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 314550 ns R r1 80000000 - 314550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 314570 ns R psr 81000200 - 314570 ns MR4_I 01000208 2a001c5b - 314590 ns MR4_I 01000200 07c96841 - 314610 ns IT 01000200 6841 LDR r1,[r0,#4] - 314630 ns MR4_I 01000204 6002d1fc - 314690 ns MR4_D 40006004 00000001 - 314690 ns R r1 00000001 - 314690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 314710 ns R r1 80000000 - 314710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 314730 ns R psr 81000200 - 314730 ns MR4_I 01000208 2a001c5b - 314750 ns MR4_I 01000200 07c96841 - 314770 ns IT 01000200 6841 LDR r1,[r0,#4] - 314790 ns MR4_I 01000204 6002d1fc - 314850 ns MR4_D 40006004 00000001 - 314850 ns R r1 00000001 - 314850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 314870 ns R r1 80000000 - 314870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 314890 ns R psr 81000200 - 314890 ns MR4_I 01000208 2a001c5b - 314910 ns MR4_I 01000200 07c96841 - 314930 ns IT 01000200 6841 LDR r1,[r0,#4] - 314950 ns MR4_I 01000204 6002d1fc - 315010 ns MR4_D 40006004 00000001 - 315010 ns R r1 00000001 - 315010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 315030 ns R r1 80000000 - 315030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 315050 ns R psr 81000200 - 315050 ns MR4_I 01000208 2a001c5b - 315070 ns MR4_I 01000200 07c96841 - 315090 ns IT 01000200 6841 LDR r1,[r0,#4] - 315110 ns MR4_I 01000204 6002d1fc - 315170 ns MR4_D 40006004 00000001 - 315170 ns R r1 00000001 - 315170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 315190 ns R r1 80000000 - 315190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 315210 ns R psr 81000200 - 315210 ns MR4_I 01000208 2a001c5b - 315230 ns MR4_I 01000200 07c96841 - 315250 ns IT 01000200 6841 LDR r1,[r0,#4] - 315270 ns MR4_I 01000204 6002d1fc - 315330 ns MR4_D 40006004 00000001 - 315330 ns R r1 00000001 - 315330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 315350 ns R r1 80000000 - 315350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 315370 ns R psr 81000200 - 315370 ns MR4_I 01000208 2a001c5b - 315390 ns MR4_I 01000200 07c96841 - 315410 ns IT 01000200 6841 LDR r1,[r0,#4] - 315430 ns MR4_I 01000204 6002d1fc - 315490 ns MR4_D 40006004 00000001 - 315490 ns R r1 00000001 - 315490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 315510 ns R r1 80000000 - 315510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 315530 ns R psr 81000200 - 315530 ns MR4_I 01000208 2a001c5b - 315550 ns MR4_I 01000200 07c96841 - 315570 ns IT 01000200 6841 LDR r1,[r0,#4] - 315590 ns MR4_I 01000204 6002d1fc - 315650 ns MR4_D 40006004 00000001 - 315650 ns R r1 00000001 - 315650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 315670 ns R r1 80000000 - 315670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 315690 ns R psr 81000200 - 315690 ns MR4_I 01000208 2a001c5b - 315710 ns MR4_I 01000200 07c96841 - 315730 ns IT 01000200 6841 LDR r1,[r0,#4] - 315750 ns MR4_I 01000204 6002d1fc - 315810 ns MR4_D 40006004 00000001 - 315810 ns R r1 00000001 - 315810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 315830 ns R r1 80000000 - 315830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 315850 ns R psr 81000200 - 315850 ns MR4_I 01000208 2a001c5b - 315870 ns MR4_I 01000200 07c96841 - 315890 ns IT 01000200 6841 LDR r1,[r0,#4] - 315910 ns MR4_I 01000204 6002d1fc - 315970 ns MR4_D 40006004 00000001 - 315970 ns R r1 00000001 - 315970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 315990 ns R r1 80000000 - 315990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 316010 ns R psr 81000200 - 316010 ns MR4_I 01000208 2a001c5b - 316030 ns MR4_I 01000200 07c96841 - 316050 ns IT 01000200 6841 LDR r1,[r0,#4] - 316070 ns MR4_I 01000204 6002d1fc - 316130 ns MR4_D 40006004 00000001 - 316130 ns R r1 00000001 - 316130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 316150 ns R r1 80000000 - 316150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 316170 ns R psr 81000200 - 316170 ns MR4_I 01000208 2a001c5b - 316190 ns MR4_I 01000200 07c96841 - 316210 ns IT 01000200 6841 LDR r1,[r0,#4] - 316230 ns MR4_I 01000204 6002d1fc - 316290 ns MR4_D 40006004 00000001 - 316290 ns R r1 00000001 - 316290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 316310 ns R r1 80000000 - 316310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 316330 ns R psr 81000200 - 316330 ns MR4_I 01000208 2a001c5b - 316350 ns MR4_I 01000200 07c96841 - 316370 ns IT 01000200 6841 LDR r1,[r0,#4] - 316390 ns MR4_I 01000204 6002d1fc - 316450 ns MR4_D 40006004 00000001 - 316450 ns R r1 00000001 - 316450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 316470 ns R r1 80000000 - 316470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 316490 ns R psr 81000200 - 316490 ns MR4_I 01000208 2a001c5b - 316510 ns MR4_I 01000200 07c96841 - 316530 ns IT 01000200 6841 LDR r1,[r0,#4] - 316550 ns MR4_I 01000204 6002d1fc - 316610 ns MR4_D 40006004 00000001 - 316610 ns R r1 00000001 - 316610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 316630 ns R r1 80000000 - 316630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 316650 ns R psr 81000200 - 316650 ns MR4_I 01000208 2a001c5b - 316670 ns MR4_I 01000200 07c96841 - 316690 ns IT 01000200 6841 LDR r1,[r0,#4] - 316710 ns MR4_I 01000204 6002d1fc - 316770 ns MR4_D 40006004 00000001 - 316770 ns R r1 00000001 - 316770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 316790 ns R r1 80000000 - 316790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 316810 ns R psr 81000200 - 316810 ns MR4_I 01000208 2a001c5b - 316830 ns MR4_I 01000200 07c96841 - 316850 ns IT 01000200 6841 LDR r1,[r0,#4] - 316870 ns MR4_I 01000204 6002d1fc - 316930 ns MR4_D 40006004 00000001 - 316930 ns R r1 00000001 - 316930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 316950 ns R r1 80000000 - 316950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 316970 ns R psr 81000200 - 316970 ns MR4_I 01000208 2a001c5b - 316990 ns MR4_I 01000200 07c96841 - 317010 ns IT 01000200 6841 LDR r1,[r0,#4] - 317030 ns MR4_I 01000204 6002d1fc - 317090 ns MR4_D 40006004 00000001 - 317090 ns R r1 00000001 - 317090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 317110 ns R r1 80000000 - 317110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 317130 ns R psr 81000200 - 317130 ns MR4_I 01000208 2a001c5b - 317150 ns MR4_I 01000200 07c96841 - 317170 ns IT 01000200 6841 LDR r1,[r0,#4] - 317190 ns MR4_I 01000204 6002d1fc - 317250 ns MR4_D 40006004 00000001 - 317250 ns R r1 00000001 - 317250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 317270 ns R r1 80000000 - 317270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 317290 ns R psr 81000200 - 317290 ns MR4_I 01000208 2a001c5b - 317310 ns MR4_I 01000200 07c96841 - 317330 ns IT 01000200 6841 LDR r1,[r0,#4] - 317350 ns MR4_I 01000204 6002d1fc - 317410 ns MR4_D 40006004 00000001 - 317410 ns R r1 00000001 - 317410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 317430 ns R r1 80000000 - 317430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 317450 ns R psr 81000200 - 317450 ns MR4_I 01000208 2a001c5b - 317470 ns MR4_I 01000200 07c96841 - 317490 ns IT 01000200 6841 LDR r1,[r0,#4] - 317510 ns MR4_I 01000204 6002d1fc - 317570 ns MR4_D 40006004 00000001 - 317570 ns R r1 00000001 - 317570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 317590 ns R r1 80000000 - 317590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 317610 ns R psr 81000200 - 317610 ns MR4_I 01000208 2a001c5b - 317630 ns MR4_I 01000200 07c96841 - 317650 ns IT 01000200 6841 LDR r1,[r0,#4] - 317670 ns MR4_I 01000204 6002d1fc - 317730 ns MR4_D 40006004 00000001 - 317730 ns R r1 00000001 - 317730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 317750 ns R r1 80000000 - 317750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 317770 ns R psr 81000200 - 317770 ns MR4_I 01000208 2a001c5b - 317790 ns MR4_I 01000200 07c96841 - 317810 ns IT 01000200 6841 LDR r1,[r0,#4] - 317830 ns MR4_I 01000204 6002d1fc - 317890 ns MR4_D 40006004 00000001 - 317890 ns R r1 00000001 - 317890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 317910 ns R r1 80000000 - 317910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 317930 ns R psr 81000200 - 317930 ns MR4_I 01000208 2a001c5b - 317950 ns MR4_I 01000200 07c96841 - 317970 ns IT 01000200 6841 LDR r1,[r0,#4] - 317990 ns MR4_I 01000204 6002d1fc - 318050 ns MR4_D 40006004 00000001 - 318050 ns R r1 00000001 - 318050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 318070 ns R r1 80000000 - 318070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 318090 ns R psr 81000200 - 318090 ns MR4_I 01000208 2a001c5b - 318110 ns MR4_I 01000200 07c96841 - 318130 ns IT 01000200 6841 LDR r1,[r0,#4] - 318150 ns MR4_I 01000204 6002d1fc - 318210 ns MR4_D 40006004 00000001 - 318210 ns R r1 00000001 - 318210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 318230 ns R r1 80000000 - 318230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 318250 ns R psr 81000200 - 318250 ns MR4_I 01000208 2a001c5b - 318270 ns MR4_I 01000200 07c96841 - 318290 ns IT 01000200 6841 LDR r1,[r0,#4] - 318310 ns MR4_I 01000204 6002d1fc - 318370 ns MR4_D 40006004 00000001 - 318370 ns R r1 00000001 - 318370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 318390 ns R r1 80000000 - 318390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 318410 ns R psr 81000200 - 318410 ns MR4_I 01000208 2a001c5b - 318430 ns MR4_I 01000200 07c96841 - 318450 ns IT 01000200 6841 LDR r1,[r0,#4] - 318470 ns MR4_I 01000204 6002d1fc - 318530 ns MR4_D 40006004 00000001 - 318530 ns R r1 00000001 - 318530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 318550 ns R r1 80000000 - 318550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 318570 ns R psr 81000200 - 318570 ns MR4_I 01000208 2a001c5b - 318590 ns MR4_I 01000200 07c96841 - 318610 ns IT 01000200 6841 LDR r1,[r0,#4] - 318630 ns MR4_I 01000204 6002d1fc - 318690 ns MR4_D 40006004 00000001 - 318690 ns R r1 00000001 - 318690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 318710 ns R r1 80000000 - 318710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 318730 ns R psr 81000200 - 318730 ns MR4_I 01000208 2a001c5b - 318750 ns MR4_I 01000200 07c96841 - 318770 ns IT 01000200 6841 LDR r1,[r0,#4] - 318790 ns MR4_I 01000204 6002d1fc - 318850 ns MR4_D 40006004 00000001 - 318850 ns R r1 00000001 - 318850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 318870 ns R r1 80000000 - 318870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 318890 ns R psr 81000200 - 318890 ns MR4_I 01000208 2a001c5b - 318910 ns MR4_I 01000200 07c96841 - 318930 ns IT 01000200 6841 LDR r1,[r0,#4] - 318950 ns MR4_I 01000204 6002d1fc - 319010 ns MR4_D 40006004 00000001 - 319010 ns R r1 00000001 - 319010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 319030 ns R r1 80000000 - 319030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 319050 ns R psr 81000200 - 319050 ns MR4_I 01000208 2a001c5b - 319070 ns MR4_I 01000200 07c96841 - 319090 ns IT 01000200 6841 LDR r1,[r0,#4] - 319110 ns MR4_I 01000204 6002d1fc - 319170 ns MR4_D 40006004 00000001 - 319170 ns R r1 00000001 - 319170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 319190 ns R r1 80000000 - 319190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 319210 ns R psr 81000200 - 319210 ns MR4_I 01000208 2a001c5b - 319230 ns MR4_I 01000200 07c96841 - 319250 ns IT 01000200 6841 LDR r1,[r0,#4] - 319270 ns MR4_I 01000204 6002d1fc - 319330 ns MR4_D 40006004 00000001 - 319330 ns R r1 00000001 - 319330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 319350 ns R r1 80000000 - 319350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 319370 ns R psr 81000200 - 319370 ns MR4_I 01000208 2a001c5b - 319390 ns MR4_I 01000200 07c96841 - 319410 ns IT 01000200 6841 LDR r1,[r0,#4] - 319430 ns MR4_I 01000204 6002d1fc - 319490 ns MR4_D 40006004 00000001 - 319490 ns R r1 00000001 - 319490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 319510 ns R r1 80000000 - 319510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 319530 ns R psr 81000200 - 319530 ns MR4_I 01000208 2a001c5b - 319550 ns MR4_I 01000200 07c96841 - 319570 ns IT 01000200 6841 LDR r1,[r0,#4] - 319590 ns MR4_I 01000204 6002d1fc - 319650 ns MR4_D 40006004 00000001 - 319650 ns R r1 00000001 - 319650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 319670 ns R r1 80000000 - 319670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 319690 ns R psr 81000200 - 319690 ns MR4_I 01000208 2a001c5b - 319710 ns MR4_I 01000200 07c96841 - 319730 ns IT 01000200 6841 LDR r1,[r0,#4] - 319750 ns MR4_I 01000204 6002d1fc - 319810 ns MR4_D 40006004 00000001 - 319810 ns R r1 00000001 - 319810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 319830 ns R r1 80000000 - 319830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 319850 ns R psr 81000200 - 319850 ns MR4_I 01000208 2a001c5b - 319870 ns MR4_I 01000200 07c96841 - 319890 ns IT 01000200 6841 LDR r1,[r0,#4] - 319910 ns MR4_I 01000204 6002d1fc - 319970 ns MR4_D 40006004 00000001 - 319970 ns R r1 00000001 - 319970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 319990 ns R r1 80000000 - 319990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 320010 ns R psr 81000200 - 320010 ns MR4_I 01000208 2a001c5b - 320030 ns MR4_I 01000200 07c96841 - 320050 ns IT 01000200 6841 LDR r1,[r0,#4] - 320070 ns MR4_I 01000204 6002d1fc - 320130 ns MR4_D 40006004 00000001 - 320130 ns R r1 00000001 - 320130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 320150 ns R r1 80000000 - 320150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 320170 ns R psr 81000200 - 320170 ns MR4_I 01000208 2a001c5b - 320190 ns MR4_I 01000200 07c96841 - 320210 ns IT 01000200 6841 LDR r1,[r0,#4] - 320230 ns MR4_I 01000204 6002d1fc - 320290 ns MR4_D 40006004 00000001 - 320290 ns R r1 00000001 - 320290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 320310 ns R r1 80000000 - 320310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 320330 ns R psr 81000200 - 320330 ns MR4_I 01000208 2a001c5b - 320350 ns MR4_I 01000200 07c96841 - 320370 ns IT 01000200 6841 LDR r1,[r0,#4] - 320390 ns MR4_I 01000204 6002d1fc - 320450 ns MR4_D 40006004 00000001 - 320450 ns R r1 00000001 - 320450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 320470 ns R r1 80000000 - 320470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 320490 ns R psr 81000200 - 320490 ns MR4_I 01000208 2a001c5b - 320510 ns MR4_I 01000200 07c96841 - 320530 ns IT 01000200 6841 LDR r1,[r0,#4] - 320550 ns MR4_I 01000204 6002d1fc - 320610 ns MR4_D 40006004 00000001 - 320610 ns R r1 00000001 - 320610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 320630 ns R r1 80000000 - 320630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 320650 ns R psr 81000200 - 320650 ns MR4_I 01000208 2a001c5b - 320670 ns MR4_I 01000200 07c96841 - 320690 ns IT 01000200 6841 LDR r1,[r0,#4] - 320710 ns MR4_I 01000204 6002d1fc - 320770 ns MR4_D 40006004 00000001 - 320770 ns R r1 00000001 - 320770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 320790 ns R r1 80000000 - 320790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 320810 ns R psr 81000200 - 320810 ns MR4_I 01000208 2a001c5b - 320830 ns MR4_I 01000200 07c96841 - 320850 ns IT 01000200 6841 LDR r1,[r0,#4] - 320870 ns MR4_I 01000204 6002d1fc - 320930 ns MR4_D 40006004 00000001 - 320930 ns R r1 00000001 - 320930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 320950 ns R r1 80000000 - 320950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 320970 ns R psr 81000200 - 320970 ns MR4_I 01000208 2a001c5b - 320990 ns MR4_I 01000200 07c96841 - 321010 ns IT 01000200 6841 LDR r1,[r0,#4] - 321030 ns MR4_I 01000204 6002d1fc - 321090 ns MR4_D 40006004 00000001 - 321090 ns R r1 00000001 - 321090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 321110 ns R r1 80000000 - 321110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 321130 ns R psr 81000200 - 321130 ns MR4_I 01000208 2a001c5b - 321150 ns MR4_I 01000200 07c96841 - 321170 ns IT 01000200 6841 LDR r1,[r0,#4] - 321190 ns MR4_I 01000204 6002d1fc - 321250 ns MR4_D 40006004 00000001 - 321250 ns R r1 00000001 - 321250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 321270 ns R r1 80000000 - 321270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 321290 ns R psr 81000200 - 321290 ns MR4_I 01000208 2a001c5b - 321310 ns MR4_I 01000200 07c96841 - 321330 ns IT 01000200 6841 LDR r1,[r0,#4] - 321350 ns MR4_I 01000204 6002d1fc - 321410 ns MR4_D 40006004 00000001 - 321410 ns R r1 00000001 - 321410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 321430 ns R r1 80000000 - 321430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 321450 ns R psr 81000200 - 321450 ns MR4_I 01000208 2a001c5b - 321470 ns MR4_I 01000200 07c96841 - 321490 ns IT 01000200 6841 LDR r1,[r0,#4] - 321510 ns MR4_I 01000204 6002d1fc - 321570 ns MR4_D 40006004 00000001 - 321570 ns R r1 00000001 - 321570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 321590 ns R r1 80000000 - 321590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 321610 ns R psr 81000200 - 321610 ns MR4_I 01000208 2a001c5b - 321630 ns MR4_I 01000200 07c96841 - 321650 ns IT 01000200 6841 LDR r1,[r0,#4] - 321670 ns MR4_I 01000204 6002d1fc - 321730 ns MR4_D 40006004 00000001 - 321730 ns R r1 00000001 - 321730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 321750 ns R r1 80000000 - 321750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 321770 ns R psr 81000200 - 321770 ns MR4_I 01000208 2a001c5b - 321790 ns MR4_I 01000200 07c96841 - 321810 ns IT 01000200 6841 LDR r1,[r0,#4] - 321830 ns MR4_I 01000204 6002d1fc - 321890 ns MR4_D 40006004 00000001 - 321890 ns R r1 00000001 - 321890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 321910 ns R r1 80000000 - 321910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 321930 ns R psr 81000200 - 321930 ns MR4_I 01000208 2a001c5b - 321950 ns MR4_I 01000200 07c96841 - 321970 ns IT 01000200 6841 LDR r1,[r0,#4] - 321990 ns MR4_I 01000204 6002d1fc - 322050 ns MR4_D 40006004 00000001 - 322050 ns R r1 00000001 - 322050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 322070 ns R r1 80000000 - 322070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 322090 ns R psr 81000200 - 322090 ns MR4_I 01000208 2a001c5b - 322110 ns MR4_I 01000200 07c96841 - 322130 ns IT 01000200 6841 LDR r1,[r0,#4] - 322150 ns MR4_I 01000204 6002d1fc - 322210 ns MR4_D 40006004 00000001 - 322210 ns R r1 00000001 - 322210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 322230 ns R r1 80000000 - 322230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 322250 ns R psr 81000200 - 322250 ns MR4_I 01000208 2a001c5b - 322270 ns MR4_I 01000200 07c96841 - 322290 ns IT 01000200 6841 LDR r1,[r0,#4] - 322310 ns MR4_I 01000204 6002d1fc - 322370 ns MR4_D 40006004 00000001 - 322370 ns R r1 00000001 - 322370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 322390 ns R r1 80000000 - 322390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 322410 ns R psr 81000200 - 322410 ns MR4_I 01000208 2a001c5b - 322430 ns MR4_I 01000200 07c96841 - 322450 ns IT 01000200 6841 LDR r1,[r0,#4] - 322470 ns MR4_I 01000204 6002d1fc - 322530 ns MR4_D 40006004 00000001 - 322530 ns R r1 00000001 - 322530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 322550 ns R r1 80000000 - 322550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 322570 ns R psr 81000200 - 322570 ns MR4_I 01000208 2a001c5b - 322590 ns MR4_I 01000200 07c96841 - 322610 ns IT 01000200 6841 LDR r1,[r0,#4] - 322630 ns MR4_I 01000204 6002d1fc - 322690 ns MR4_D 40006004 00000001 - 322690 ns R r1 00000001 - 322690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 322710 ns R r1 80000000 - 322710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 322730 ns R psr 81000200 - 322730 ns MR4_I 01000208 2a001c5b - 322750 ns MR4_I 01000200 07c96841 - 322770 ns IT 01000200 6841 LDR r1,[r0,#4] - 322790 ns MR4_I 01000204 6002d1fc - 322850 ns MR4_D 40006004 00000001 - 322850 ns R r1 00000001 - 322850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 322870 ns R r1 80000000 - 322870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 322890 ns R psr 81000200 - 322890 ns MR4_I 01000208 2a001c5b - 322910 ns MR4_I 01000200 07c96841 - 322930 ns IT 01000200 6841 LDR r1,[r0,#4] - 322950 ns MR4_I 01000204 6002d1fc - 323010 ns MR4_D 40006004 00000001 - 323010 ns R r1 00000001 - 323010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 323030 ns R r1 80000000 - 323030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 323050 ns R psr 81000200 - 323050 ns MR4_I 01000208 2a001c5b - 323070 ns MR4_I 01000200 07c96841 - 323090 ns IT 01000200 6841 LDR r1,[r0,#4] - 323110 ns MR4_I 01000204 6002d1fc - 323170 ns MR4_D 40006004 00000001 - 323170 ns R r1 00000001 - 323170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 323190 ns R r1 80000000 - 323190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 323210 ns R psr 81000200 - 323210 ns MR4_I 01000208 2a001c5b - 323230 ns MR4_I 01000200 07c96841 - 323250 ns IT 01000200 6841 LDR r1,[r0,#4] - 323270 ns MR4_I 01000204 6002d1fc - 323330 ns MR4_D 40006004 00000001 - 323330 ns R r1 00000001 - 323330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 323350 ns R r1 80000000 - 323350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 323370 ns R psr 81000200 - 323370 ns MR4_I 01000208 2a001c5b - 323390 ns MR4_I 01000200 07c96841 - 323410 ns IT 01000200 6841 LDR r1,[r0,#4] - 323430 ns MR4_I 01000204 6002d1fc - 323490 ns MR4_D 40006004 00000001 - 323490 ns R r1 00000001 - 323490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 323510 ns R r1 80000000 - 323510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 323530 ns R psr 81000200 - 323530 ns MR4_I 01000208 2a001c5b - 323550 ns MR4_I 01000200 07c96841 - 323570 ns IT 01000200 6841 LDR r1,[r0,#4] - 323590 ns MR4_I 01000204 6002d1fc - 323650 ns MR4_D 40006004 00000001 - 323650 ns R r1 00000001 - 323650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 323670 ns R r1 80000000 - 323670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 323690 ns R psr 81000200 - 323690 ns MR4_I 01000208 2a001c5b - 323710 ns MR4_I 01000200 07c96841 - 323730 ns IT 01000200 6841 LDR r1,[r0,#4] - 323750 ns MR4_I 01000204 6002d1fc - 323810 ns MR4_D 40006004 00000001 - 323810 ns R r1 00000001 - 323810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 323830 ns R r1 80000000 - 323830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 323850 ns R psr 81000200 - 323850 ns MR4_I 01000208 2a001c5b - 323870 ns MR4_I 01000200 07c96841 - 323890 ns IT 01000200 6841 LDR r1,[r0,#4] - 323910 ns MR4_I 01000204 6002d1fc - 323970 ns MR4_D 40006004 00000001 - 323970 ns R r1 00000001 - 323970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 323990 ns R r1 80000000 - 323990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 324010 ns R psr 81000200 - 324010 ns MR4_I 01000208 2a001c5b - 324030 ns MR4_I 01000200 07c96841 - 324050 ns IT 01000200 6841 LDR r1,[r0,#4] - 324070 ns MR4_I 01000204 6002d1fc - 324130 ns MR4_D 40006004 00000001 - 324130 ns R r1 00000001 - 324130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 324150 ns R r1 80000000 - 324150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 324170 ns R psr 81000200 - 324170 ns MR4_I 01000208 2a001c5b - 324190 ns MR4_I 01000200 07c96841 - 324210 ns IT 01000200 6841 LDR r1,[r0,#4] - 324230 ns MR4_I 01000204 6002d1fc - 324290 ns MR4_D 40006004 00000001 - 324290 ns R r1 00000001 - 324290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 324310 ns R r1 80000000 - 324310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 324330 ns R psr 81000200 - 324330 ns MR4_I 01000208 2a001c5b - 324350 ns MR4_I 01000200 07c96841 - 324370 ns IT 01000200 6841 LDR r1,[r0,#4] - 324390 ns MR4_I 01000204 6002d1fc - 324450 ns MR4_D 40006004 00000001 - 324450 ns R r1 00000001 - 324450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 324470 ns R r1 80000000 - 324470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 324490 ns R psr 81000200 - 324490 ns MR4_I 01000208 2a001c5b - 324510 ns MR4_I 01000200 07c96841 - 324530 ns IT 01000200 6841 LDR r1,[r0,#4] - 324550 ns MR4_I 01000204 6002d1fc - 324610 ns MR4_D 40006004 00000001 - 324610 ns R r1 00000001 - 324610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 324630 ns R r1 80000000 - 324630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 324650 ns R psr 81000200 - 324650 ns MR4_I 01000208 2a001c5b - 324670 ns MR4_I 01000200 07c96841 - 324690 ns IT 01000200 6841 LDR r1,[r0,#4] - 324710 ns MR4_I 01000204 6002d1fc - 324770 ns MR4_D 40006004 00000001 - 324770 ns R r1 00000001 - 324770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 324790 ns R r1 80000000 - 324790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 324810 ns R psr 81000200 - 324810 ns MR4_I 01000208 2a001c5b - 324830 ns MR4_I 01000200 07c96841 - 324850 ns IT 01000200 6841 LDR r1,[r0,#4] - 324870 ns MR4_I 01000204 6002d1fc - 324930 ns MR4_D 40006004 00000001 - 324930 ns R r1 00000001 - 324930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 324950 ns R r1 80000000 - 324950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 324970 ns R psr 81000200 - 324970 ns MR4_I 01000208 2a001c5b - 324990 ns MR4_I 01000200 07c96841 - 325010 ns IT 01000200 6841 LDR r1,[r0,#4] - 325030 ns MR4_I 01000204 6002d1fc - 325090 ns MR4_D 40006004 00000001 - 325090 ns R r1 00000001 - 325090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 325110 ns R r1 80000000 - 325110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 325130 ns R psr 81000200 - 325130 ns MR4_I 01000208 2a001c5b - 325150 ns MR4_I 01000200 07c96841 - 325170 ns IT 01000200 6841 LDR r1,[r0,#4] - 325190 ns MR4_I 01000204 6002d1fc - 325250 ns MR4_D 40006004 00000001 - 325250 ns R r1 00000001 - 325250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 325270 ns R r1 80000000 - 325270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 325290 ns R psr 81000200 - 325290 ns MR4_I 01000208 2a001c5b - 325310 ns MR4_I 01000200 07c96841 - 325330 ns IT 01000200 6841 LDR r1,[r0,#4] - 325350 ns MR4_I 01000204 6002d1fc - 325410 ns MR4_D 40006004 00000001 - 325410 ns R r1 00000001 - 325410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 325430 ns R r1 80000000 - 325430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 325450 ns R psr 81000200 - 325450 ns MR4_I 01000208 2a001c5b - 325470 ns MR4_I 01000200 07c96841 - 325490 ns IT 01000200 6841 LDR r1,[r0,#4] - 325510 ns MR4_I 01000204 6002d1fc - 325570 ns MR4_D 40006004 00000001 - 325570 ns R r1 00000001 - 325570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 325590 ns R r1 80000000 - 325590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 325610 ns R psr 81000200 - 325610 ns MR4_I 01000208 2a001c5b - 325630 ns MR4_I 01000200 07c96841 - 325650 ns IT 01000200 6841 LDR r1,[r0,#4] - 325670 ns MR4_I 01000204 6002d1fc - 325730 ns MR4_D 40006004 00000001 - 325730 ns R r1 00000001 - 325730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 325750 ns R r1 80000000 - 325750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 325770 ns R psr 81000200 - 325770 ns MR4_I 01000208 2a001c5b - 325790 ns MR4_I 01000200 07c96841 - 325810 ns IT 01000200 6841 LDR r1,[r0,#4] - 325830 ns MR4_I 01000204 6002d1fc - 325890 ns MR4_D 40006004 00000001 - 325890 ns R r1 00000001 - 325890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 325910 ns R r1 80000000 - 325910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 325930 ns R psr 81000200 - 325930 ns MR4_I 01000208 2a001c5b - 325950 ns MR4_I 01000200 07c96841 - 325970 ns IT 01000200 6841 LDR r1,[r0,#4] - 325990 ns MR4_I 01000204 6002d1fc - 326050 ns MR4_D 40006004 00000001 - 326050 ns R r1 00000001 - 326050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 326070 ns R r1 80000000 - 326070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 326090 ns R psr 81000200 - 326090 ns MR4_I 01000208 2a001c5b - 326110 ns MR4_I 01000200 07c96841 - 326130 ns IT 01000200 6841 LDR r1,[r0,#4] - 326150 ns MR4_I 01000204 6002d1fc - 326210 ns MR4_D 40006004 00000001 - 326210 ns R r1 00000001 - 326210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 326230 ns R r1 80000000 - 326230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 326250 ns R psr 81000200 - 326250 ns MR4_I 01000208 2a001c5b - 326270 ns MR4_I 01000200 07c96841 - 326290 ns IT 01000200 6841 LDR r1,[r0,#4] - 326310 ns MR4_I 01000204 6002d1fc - 326370 ns MR4_D 40006004 00000001 - 326370 ns R r1 00000001 - 326370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 326390 ns R r1 80000000 - 326390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 326410 ns R psr 81000200 - 326410 ns MR4_I 01000208 2a001c5b - 326430 ns MR4_I 01000200 07c96841 - 326450 ns IT 01000200 6841 LDR r1,[r0,#4] - 326470 ns MR4_I 01000204 6002d1fc - 326530 ns MR4_D 40006004 00000001 - 326530 ns R r1 00000001 - 326530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 326550 ns R r1 80000000 - 326550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 326570 ns R psr 81000200 - 326570 ns MR4_I 01000208 2a001c5b - 326590 ns MR4_I 01000200 07c96841 - 326610 ns IT 01000200 6841 LDR r1,[r0,#4] - 326630 ns MR4_I 01000204 6002d1fc - 326690 ns MR4_D 40006004 00000001 - 326690 ns R r1 00000001 - 326690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 326710 ns R r1 80000000 - 326710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 326730 ns R psr 81000200 - 326730 ns MR4_I 01000208 2a001c5b - 326750 ns MR4_I 01000200 07c96841 - 326770 ns IT 01000200 6841 LDR r1,[r0,#4] - 326790 ns MR4_I 01000204 6002d1fc - 326850 ns MR4_D 40006004 00000001 - 326850 ns R r1 00000001 - 326850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 326870 ns R r1 80000000 - 326870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 326890 ns R psr 81000200 - 326890 ns MR4_I 01000208 2a001c5b - 326910 ns MR4_I 01000200 07c96841 - 326930 ns IT 01000200 6841 LDR r1,[r0,#4] - 326950 ns MR4_I 01000204 6002d1fc - 327010 ns MR4_D 40006004 00000001 - 327010 ns R r1 00000001 - 327010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 327030 ns R r1 80000000 - 327030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 327050 ns R psr 81000200 - 327050 ns MR4_I 01000208 2a001c5b - 327070 ns MR4_I 01000200 07c96841 - 327090 ns IT 01000200 6841 LDR r1,[r0,#4] - 327110 ns MR4_I 01000204 6002d1fc - 327170 ns MR4_D 40006004 00000001 - 327170 ns R r1 00000001 - 327170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 327190 ns R r1 80000000 - 327190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 327210 ns R psr 81000200 - 327210 ns MR4_I 01000208 2a001c5b - 327230 ns MR4_I 01000200 07c96841 - 327250 ns IT 01000200 6841 LDR r1,[r0,#4] - 327270 ns MR4_I 01000204 6002d1fc - 327330 ns MR4_D 40006004 00000001 - 327330 ns R r1 00000001 - 327330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 327350 ns R r1 80000000 - 327350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 327370 ns R psr 81000200 - 327370 ns MR4_I 01000208 2a001c5b - 327390 ns MR4_I 01000200 07c96841 - 327410 ns IT 01000200 6841 LDR r1,[r0,#4] - 327430 ns MR4_I 01000204 6002d1fc - 327490 ns MR4_D 40006004 00000001 - 327490 ns R r1 00000001 - 327490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 327510 ns R r1 80000000 - 327510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 327530 ns R psr 81000200 - 327530 ns MR4_I 01000208 2a001c5b - 327550 ns MR4_I 01000200 07c96841 - 327570 ns IT 01000200 6841 LDR r1,[r0,#4] - 327590 ns MR4_I 01000204 6002d1fc - 327650 ns MR4_D 40006004 00000001 - 327650 ns R r1 00000001 - 327650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 327670 ns R r1 80000000 - 327670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 327690 ns R psr 81000200 - 327690 ns MR4_I 01000208 2a001c5b - 327710 ns MR4_I 01000200 07c96841 - 327730 ns IT 01000200 6841 LDR r1,[r0,#4] - 327750 ns MR4_I 01000204 6002d1fc - 327810 ns MR4_D 40006004 00000001 - 327810 ns R r1 00000001 - 327810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 327830 ns R r1 80000000 - 327830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 327850 ns R psr 81000200 - 327850 ns MR4_I 01000208 2a001c5b - 327870 ns MR4_I 01000200 07c96841 - 327890 ns IT 01000200 6841 LDR r1,[r0,#4] - 327910 ns MR4_I 01000204 6002d1fc - 327970 ns MR4_D 40006004 00000001 - 327970 ns R r1 00000001 - 327970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 327990 ns R r1 80000000 - 327990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 328010 ns R psr 81000200 - 328010 ns MR4_I 01000208 2a001c5b - 328030 ns MR4_I 01000200 07c96841 - 328050 ns IT 01000200 6841 LDR r1,[r0,#4] - 328070 ns MR4_I 01000204 6002d1fc - 328130 ns MR4_D 40006004 00000001 - 328130 ns R r1 00000001 - 328130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 328150 ns R r1 80000000 - 328150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 328170 ns R psr 81000200 - 328170 ns MR4_I 01000208 2a001c5b - 328190 ns MR4_I 01000200 07c96841 - 328210 ns IT 01000200 6841 LDR r1,[r0,#4] - 328230 ns MR4_I 01000204 6002d1fc - 328290 ns MR4_D 40006004 00000001 - 328290 ns R r1 00000001 - 328290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 328310 ns R r1 80000000 - 328310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 328330 ns R psr 81000200 - 328330 ns MR4_I 01000208 2a001c5b - 328350 ns MR4_I 01000200 07c96841 - 328370 ns IT 01000200 6841 LDR r1,[r0,#4] - 328390 ns MR4_I 01000204 6002d1fc - 328450 ns MR4_D 40006004 00000001 - 328450 ns R r1 00000001 - 328450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 328470 ns R r1 80000000 - 328470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 328490 ns R psr 81000200 - 328490 ns MR4_I 01000208 2a001c5b - 328510 ns MR4_I 01000200 07c96841 - 328530 ns IT 01000200 6841 LDR r1,[r0,#4] - 328550 ns MR4_I 01000204 6002d1fc - 328610 ns MR4_D 40006004 00000001 - 328610 ns R r1 00000001 - 328610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 328630 ns R r1 80000000 - 328630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 328650 ns R psr 81000200 - 328650 ns MR4_I 01000208 2a001c5b - 328670 ns MR4_I 01000200 07c96841 - 328690 ns IT 01000200 6841 LDR r1,[r0,#4] - 328710 ns MR4_I 01000204 6002d1fc - 328770 ns MR4_D 40006004 00000001 - 328770 ns R r1 00000001 - 328770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 328790 ns R r1 80000000 - 328790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 328810 ns R psr 81000200 - 328810 ns MR4_I 01000208 2a001c5b - 328830 ns MR4_I 01000200 07c96841 - 328850 ns IT 01000200 6841 LDR r1,[r0,#4] - 328870 ns MR4_I 01000204 6002d1fc - 328930 ns MR4_D 40006004 00000001 - 328930 ns R r1 00000001 - 328930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 328950 ns R r1 80000000 - 328950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 328970 ns R psr 81000200 - 328970 ns MR4_I 01000208 2a001c5b - 328990 ns MR4_I 01000200 07c96841 - 329010 ns IT 01000200 6841 LDR r1,[r0,#4] - 329030 ns MR4_I 01000204 6002d1fc - 329090 ns MR4_D 40006004 00000001 - 329090 ns R r1 00000001 - 329090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 329110 ns R r1 80000000 - 329110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 329130 ns R psr 81000200 - 329130 ns MR4_I 01000208 2a001c5b - 329150 ns MR4_I 01000200 07c96841 - 329170 ns IT 01000200 6841 LDR r1,[r0,#4] - 329190 ns MR4_I 01000204 6002d1fc - 329250 ns MR4_D 40006004 00000001 - 329250 ns R r1 00000001 - 329250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 329270 ns R r1 80000000 - 329270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 329290 ns R psr 81000200 - 329290 ns MR4_I 01000208 2a001c5b - 329310 ns MR4_I 01000200 07c96841 - 329330 ns IT 01000200 6841 LDR r1,[r0,#4] - 329350 ns MR4_I 01000204 6002d1fc - 329410 ns MR4_D 40006004 00000001 - 329410 ns R r1 00000001 - 329410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 329430 ns R r1 80000000 - 329430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 329450 ns R psr 81000200 - 329450 ns MR4_I 01000208 2a001c5b - 329470 ns MR4_I 01000200 07c96841 - 329490 ns IT 01000200 6841 LDR r1,[r0,#4] - 329510 ns MR4_I 01000204 6002d1fc - 329570 ns MR4_D 40006004 00000001 - 329570 ns R r1 00000001 - 329570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 329590 ns R r1 80000000 - 329590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 329610 ns R psr 81000200 - 329610 ns MR4_I 01000208 2a001c5b - 329630 ns MR4_I 01000200 07c96841 - 329650 ns IT 01000200 6841 LDR r1,[r0,#4] - 329670 ns MR4_I 01000204 6002d1fc - 329730 ns MR4_D 40006004 00000001 - 329730 ns R r1 00000001 - 329730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 329750 ns R r1 80000000 - 329750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 329770 ns R psr 81000200 - 329770 ns MR4_I 01000208 2a001c5b - 329790 ns MR4_I 01000200 07c96841 - 329810 ns IT 01000200 6841 LDR r1,[r0,#4] - 329830 ns MR4_I 01000204 6002d1fc - 329890 ns MR4_D 40006004 00000001 - 329890 ns R r1 00000001 - 329890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 329910 ns R r1 80000000 - 329910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 329930 ns R psr 81000200 - 329930 ns MR4_I 01000208 2a001c5b - 329950 ns MR4_I 01000200 07c96841 - 329970 ns IT 01000200 6841 LDR r1,[r0,#4] - 329990 ns MR4_I 01000204 6002d1fc - 330050 ns MR4_D 40006004 00000001 - 330050 ns R r1 00000001 - 330050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 330070 ns R r1 80000000 - 330070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 330090 ns R psr 81000200 - 330090 ns MR4_I 01000208 2a001c5b - 330110 ns MR4_I 01000200 07c96841 - 330130 ns IT 01000200 6841 LDR r1,[r0,#4] - 330150 ns MR4_I 01000204 6002d1fc - 330210 ns MR4_D 40006004 00000001 - 330210 ns R r1 00000001 - 330210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 330230 ns R r1 80000000 - 330230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 330250 ns R psr 81000200 - 330250 ns MR4_I 01000208 2a001c5b - 330270 ns MR4_I 01000200 07c96841 - 330290 ns IT 01000200 6841 LDR r1,[r0,#4] - 330310 ns MR4_I 01000204 6002d1fc - 330370 ns MR4_D 40006004 00000001 - 330370 ns R r1 00000001 - 330370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 330390 ns R r1 80000000 - 330390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 330410 ns R psr 81000200 - 330410 ns MR4_I 01000208 2a001c5b - 330430 ns MR4_I 01000200 07c96841 - 330450 ns IT 01000200 6841 LDR r1,[r0,#4] - 330470 ns MR4_I 01000204 6002d1fc - 330530 ns MR4_D 40006004 00000001 - 330530 ns R r1 00000001 - 330530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 330550 ns R r1 80000000 - 330550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 330570 ns R psr 81000200 - 330570 ns MR4_I 01000208 2a001c5b - 330590 ns MR4_I 01000200 07c96841 - 330610 ns IT 01000200 6841 LDR r1,[r0,#4] - 330630 ns MR4_I 01000204 6002d1fc - 330690 ns MR4_D 40006004 00000001 - 330690 ns R r1 00000001 - 330690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 330710 ns R r1 80000000 - 330710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 330730 ns R psr 81000200 - 330730 ns MR4_I 01000208 2a001c5b - 330750 ns MR4_I 01000200 07c96841 - 330770 ns IT 01000200 6841 LDR r1,[r0,#4] - 330790 ns MR4_I 01000204 6002d1fc - 330850 ns MR4_D 40006004 00000001 - 330850 ns R r1 00000001 - 330850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 330870 ns R r1 80000000 - 330870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 330890 ns R psr 81000200 - 330890 ns MR4_I 01000208 2a001c5b - 330910 ns MR4_I 01000200 07c96841 - 330930 ns IT 01000200 6841 LDR r1,[r0,#4] - 330950 ns MR4_I 01000204 6002d1fc - 331010 ns MR4_D 40006004 00000001 - 331010 ns R r1 00000001 - 331010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 331030 ns R r1 80000000 - 331030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 331050 ns R psr 81000200 - 331050 ns MR4_I 01000208 2a001c5b - 331070 ns MR4_I 01000200 07c96841 - 331090 ns IT 01000200 6841 LDR r1,[r0,#4] - 331110 ns MR4_I 01000204 6002d1fc - 331170 ns MR4_D 40006004 00000001 - 331170 ns R r1 00000001 - 331170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 331190 ns R r1 80000000 - 331190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 331210 ns R psr 81000200 - 331210 ns MR4_I 01000208 2a001c5b - 331230 ns MR4_I 01000200 07c96841 - 331250 ns IT 01000200 6841 LDR r1,[r0,#4] - 331270 ns MR4_I 01000204 6002d1fc - 331330 ns MR4_D 40006004 00000001 - 331330 ns R r1 00000001 - 331330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 331350 ns R r1 80000000 - 331350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 331370 ns R psr 81000200 - 331370 ns MR4_I 01000208 2a001c5b - 331390 ns MR4_I 01000200 07c96841 - 331410 ns IT 01000200 6841 LDR r1,[r0,#4] - 331430 ns MR4_I 01000204 6002d1fc - 331490 ns MR4_D 40006004 00000001 - 331490 ns R r1 00000001 - 331490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 331510 ns R r1 80000000 - 331510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 331530 ns R psr 81000200 - 331530 ns MR4_I 01000208 2a001c5b - 331550 ns MR4_I 01000200 07c96841 - 331570 ns IT 01000200 6841 LDR r1,[r0,#4] - 331590 ns MR4_I 01000204 6002d1fc - 331650 ns MR4_D 40006004 00000001 - 331650 ns R r1 00000001 - 331650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 331670 ns R r1 80000000 - 331670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 331690 ns R psr 81000200 - 331690 ns MR4_I 01000208 2a001c5b - 331710 ns MR4_I 01000200 07c96841 - 331730 ns IT 01000200 6841 LDR r1,[r0,#4] - 331750 ns MR4_I 01000204 6002d1fc - 331810 ns MR4_D 40006004 00000001 - 331810 ns R r1 00000001 - 331810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 331830 ns R r1 80000000 - 331830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 331850 ns R psr 81000200 - 331850 ns MR4_I 01000208 2a001c5b - 331870 ns MR4_I 01000200 07c96841 - 331890 ns IT 01000200 6841 LDR r1,[r0,#4] - 331910 ns MR4_I 01000204 6002d1fc - 331970 ns MR4_D 40006004 00000001 - 331970 ns R r1 00000001 - 331970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 331990 ns R r1 80000000 - 331990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 332010 ns R psr 81000200 - 332010 ns MR4_I 01000208 2a001c5b - 332030 ns MR4_I 01000200 07c96841 - 332050 ns IT 01000200 6841 LDR r1,[r0,#4] - 332070 ns MR4_I 01000204 6002d1fc - 332130 ns MR4_D 40006004 00000001 - 332130 ns R r1 00000001 - 332130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 332150 ns R r1 80000000 - 332150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 332170 ns R psr 81000200 - 332170 ns MR4_I 01000208 2a001c5b - 332190 ns MR4_I 01000200 07c96841 - 332210 ns IT 01000200 6841 LDR r1,[r0,#4] - 332230 ns MR4_I 01000204 6002d1fc - 332290 ns MR4_D 40006004 00000001 - 332290 ns R r1 00000001 - 332290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 332310 ns R r1 80000000 - 332310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 332330 ns R psr 81000200 - 332330 ns MR4_I 01000208 2a001c5b - 332350 ns MR4_I 01000200 07c96841 - 332370 ns IT 01000200 6841 LDR r1,[r0,#4] - 332390 ns MR4_I 01000204 6002d1fc - 332450 ns MR4_D 40006004 00000001 - 332450 ns R r1 00000001 - 332450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 332470 ns R r1 80000000 - 332470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 332490 ns R psr 81000200 - 332490 ns MR4_I 01000208 2a001c5b - 332510 ns MR4_I 01000200 07c96841 - 332530 ns IT 01000200 6841 LDR r1,[r0,#4] - 332550 ns MR4_I 01000204 6002d1fc - 332610 ns MR4_D 40006004 00000001 - 332610 ns R r1 00000001 - 332610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 332630 ns R r1 80000000 - 332630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 332650 ns R psr 81000200 - 332650 ns MR4_I 01000208 2a001c5b - 332670 ns MR4_I 01000200 07c96841 - 332690 ns IT 01000200 6841 LDR r1,[r0,#4] - 332710 ns MR4_I 01000204 6002d1fc - 332770 ns MR4_D 40006004 00000001 - 332770 ns R r1 00000001 - 332770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 332790 ns R r1 80000000 - 332790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 332810 ns R psr 81000200 - 332810 ns MR4_I 01000208 2a001c5b - 332830 ns MR4_I 01000200 07c96841 - 332850 ns IT 01000200 6841 LDR r1,[r0,#4] - 332870 ns MR4_I 01000204 6002d1fc - 332930 ns MR4_D 40006004 00000001 - 332930 ns R r1 00000001 - 332930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 332950 ns R r1 80000000 - 332950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 332970 ns R psr 81000200 - 332970 ns MR4_I 01000208 2a001c5b - 332990 ns MR4_I 01000200 07c96841 - 333010 ns IT 01000200 6841 LDR r1,[r0,#4] - 333030 ns MR4_I 01000204 6002d1fc - 333090 ns MR4_D 40006004 00000001 - 333090 ns R r1 00000001 - 333090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 333110 ns R r1 80000000 - 333110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 333130 ns R psr 81000200 - 333130 ns MR4_I 01000208 2a001c5b - 333150 ns MR4_I 01000200 07c96841 - 333170 ns IT 01000200 6841 LDR r1,[r0,#4] - 333190 ns MR4_I 01000204 6002d1fc - 333250 ns MR4_D 40006004 00000001 - 333250 ns R r1 00000001 - 333250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 333270 ns R r1 80000000 - 333270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 333290 ns R psr 81000200 - 333290 ns MR4_I 01000208 2a001c5b - 333310 ns MR4_I 01000200 07c96841 - 333330 ns IT 01000200 6841 LDR r1,[r0,#4] - 333350 ns MR4_I 01000204 6002d1fc - 333410 ns MR4_D 40006004 00000001 - 333410 ns R r1 00000001 - 333410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 333430 ns R r1 80000000 - 333430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 333450 ns R psr 81000200 - 333450 ns MR4_I 01000208 2a001c5b - 333470 ns MR4_I 01000200 07c96841 - 333490 ns IT 01000200 6841 LDR r1,[r0,#4] - 333510 ns MR4_I 01000204 6002d1fc - 333570 ns MR4_D 40006004 00000001 - 333570 ns R r1 00000001 - 333570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 333590 ns R r1 80000000 - 333590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 333610 ns R psr 81000200 - 333610 ns MR4_I 01000208 2a001c5b - 333630 ns MR4_I 01000200 07c96841 - 333650 ns IT 01000200 6841 LDR r1,[r0,#4] - 333670 ns MR4_I 01000204 6002d1fc - 333730 ns MR4_D 40006004 00000001 - 333730 ns R r1 00000001 - 333730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 333750 ns R r1 80000000 - 333750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 333770 ns R psr 81000200 - 333770 ns MR4_I 01000208 2a001c5b - 333790 ns MR4_I 01000200 07c96841 - 333810 ns IT 01000200 6841 LDR r1,[r0,#4] - 333830 ns MR4_I 01000204 6002d1fc - 333890 ns MR4_D 40006004 00000001 - 333890 ns R r1 00000001 - 333890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 333910 ns R r1 80000000 - 333910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 333930 ns R psr 81000200 - 333930 ns MR4_I 01000208 2a001c5b - 333950 ns MR4_I 01000200 07c96841 - 333970 ns IT 01000200 6841 LDR r1,[r0,#4] - 333990 ns MR4_I 01000204 6002d1fc - 334050 ns MR4_D 40006004 00000001 - 334050 ns R r1 00000001 - 334050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 334070 ns R r1 80000000 - 334070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 334090 ns R psr 81000200 - 334090 ns MR4_I 01000208 2a001c5b - 334110 ns MR4_I 01000200 07c96841 - 334130 ns IT 01000200 6841 LDR r1,[r0,#4] - 334150 ns MR4_I 01000204 6002d1fc - 334210 ns MR4_D 40006004 00000001 - 334210 ns R r1 00000001 - 334210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 334230 ns R r1 80000000 - 334230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 334250 ns R psr 81000200 - 334250 ns MR4_I 01000208 2a001c5b - 334270 ns MR4_I 01000200 07c96841 - 334290 ns IT 01000200 6841 LDR r1,[r0,#4] - 334310 ns MR4_I 01000204 6002d1fc - 334370 ns MR4_D 40006004 00000001 - 334370 ns R r1 00000001 - 334370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 334390 ns R r1 80000000 - 334390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 334410 ns R psr 81000200 - 334410 ns MR4_I 01000208 2a001c5b - 334430 ns MR4_I 01000200 07c96841 - 334450 ns IT 01000200 6841 LDR r1,[r0,#4] - 334470 ns MR4_I 01000204 6002d1fc - 334530 ns MR4_D 40006004 00000001 - 334530 ns R r1 00000001 - 334530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 334550 ns R r1 80000000 - 334550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 334570 ns R psr 81000200 - 334570 ns MR4_I 01000208 2a001c5b - 334590 ns MR4_I 01000200 07c96841 - 334610 ns IT 01000200 6841 LDR r1,[r0,#4] - 334630 ns MR4_I 01000204 6002d1fc - 334690 ns MR4_D 40006004 00000001 - 334690 ns R r1 00000001 - 334690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 334710 ns R r1 80000000 - 334710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 334730 ns R psr 81000200 - 334730 ns MR4_I 01000208 2a001c5b - 334750 ns MR4_I 01000200 07c96841 - 334770 ns IT 01000200 6841 LDR r1,[r0,#4] - 334790 ns MR4_I 01000204 6002d1fc - 334850 ns MR4_D 40006004 00000001 - 334850 ns R r1 00000001 - 334850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 334870 ns R r1 80000000 - 334870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 334890 ns R psr 81000200 - 334890 ns MR4_I 01000208 2a001c5b - 334910 ns MR4_I 01000200 07c96841 - 334930 ns IT 01000200 6841 LDR r1,[r0,#4] - 334950 ns MR4_I 01000204 6002d1fc - 335010 ns MR4_D 40006004 00000001 - 335010 ns R r1 00000001 - 335010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 335030 ns R r1 80000000 - 335030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 335050 ns R psr 81000200 - 335050 ns MR4_I 01000208 2a001c5b - 335070 ns MR4_I 01000200 07c96841 - 335090 ns IT 01000200 6841 LDR r1,[r0,#4] - 335110 ns MR4_I 01000204 6002d1fc - 335170 ns MR4_D 40006004 00000001 - 335170 ns R r1 00000001 - 335170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 335190 ns R r1 80000000 - 335190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 335210 ns R psr 81000200 - 335210 ns MR4_I 01000208 2a001c5b - 335230 ns MR4_I 01000200 07c96841 - 335250 ns IT 01000200 6841 LDR r1,[r0,#4] - 335270 ns MR4_I 01000204 6002d1fc - 335330 ns MR4_D 40006004 00000001 - 335330 ns R r1 00000001 - 335330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 335350 ns R r1 80000000 - 335350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 335370 ns R psr 81000200 - 335370 ns MR4_I 01000208 2a001c5b - 335390 ns MR4_I 01000200 07c96841 - 335410 ns IT 01000200 6841 LDR r1,[r0,#4] - 335430 ns MR4_I 01000204 6002d1fc - 335490 ns MR4_D 40006004 00000001 - 335490 ns R r1 00000001 - 335490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 335510 ns R r1 80000000 - 335510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 335530 ns R psr 81000200 - 335530 ns MR4_I 01000208 2a001c5b - 335550 ns MR4_I 01000200 07c96841 - 335570 ns IT 01000200 6841 LDR r1,[r0,#4] - 335590 ns MR4_I 01000204 6002d1fc - 335650 ns MR4_D 40006004 00000001 - 335650 ns R r1 00000001 - 335650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 335670 ns R r1 80000000 - 335670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 335690 ns R psr 81000200 - 335690 ns MR4_I 01000208 2a001c5b - 335710 ns MR4_I 01000200 07c96841 - 335730 ns IT 01000200 6841 LDR r1,[r0,#4] - 335750 ns MR4_I 01000204 6002d1fc - 335810 ns MR4_D 40006004 00000001 - 335810 ns R r1 00000001 - 335810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 335830 ns R r1 80000000 - 335830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 335850 ns R psr 81000200 - 335850 ns MR4_I 01000208 2a001c5b - 335870 ns MR4_I 01000200 07c96841 - 335890 ns IT 01000200 6841 LDR r1,[r0,#4] - 335910 ns MR4_I 01000204 6002d1fc - 335970 ns MR4_D 40006004 00000001 - 335970 ns R r1 00000001 - 335970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 335990 ns R r1 80000000 - 335990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 336010 ns R psr 81000200 - 336010 ns MR4_I 01000208 2a001c5b - 336030 ns MR4_I 01000200 07c96841 - 336050 ns IT 01000200 6841 LDR r1,[r0,#4] - 336070 ns MR4_I 01000204 6002d1fc - 336130 ns MR4_D 40006004 00000001 - 336130 ns R r1 00000001 - 336130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 336150 ns R r1 80000000 - 336150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 336170 ns R psr 81000200 - 336170 ns MR4_I 01000208 2a001c5b - 336190 ns MR4_I 01000200 07c96841 - 336210 ns IT 01000200 6841 LDR r1,[r0,#4] - 336230 ns MR4_I 01000204 6002d1fc - 336290 ns MR4_D 40006004 00000001 - 336290 ns R r1 00000001 - 336290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 336310 ns R r1 80000000 - 336310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 336330 ns R psr 81000200 - 336330 ns MR4_I 01000208 2a001c5b - 336350 ns MR4_I 01000200 07c96841 - 336370 ns IT 01000200 6841 LDR r1,[r0,#4] - 336390 ns MR4_I 01000204 6002d1fc - 336450 ns MR4_D 40006004 00000001 - 336450 ns R r1 00000001 - 336450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 336470 ns R r1 80000000 - 336470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 336490 ns R psr 81000200 - 336490 ns MR4_I 01000208 2a001c5b - 336510 ns MR4_I 01000200 07c96841 - 336530 ns IT 01000200 6841 LDR r1,[r0,#4] - 336550 ns MR4_I 01000204 6002d1fc - 336610 ns MR4_D 40006004 00000001 - 336610 ns R r1 00000001 - 336610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 336630 ns R r1 80000000 - 336630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 336650 ns R psr 81000200 - 336650 ns MR4_I 01000208 2a001c5b - 336670 ns MR4_I 01000200 07c96841 - 336690 ns IT 01000200 6841 LDR r1,[r0,#4] - 336710 ns MR4_I 01000204 6002d1fc - 336770 ns MR4_D 40006004 00000001 - 336770 ns R r1 00000001 - 336770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 336790 ns R r1 80000000 - 336790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 336810 ns R psr 81000200 - 336810 ns MR4_I 01000208 2a001c5b - 336830 ns MR4_I 01000200 07c96841 - 336850 ns IT 01000200 6841 LDR r1,[r0,#4] - 336870 ns MR4_I 01000204 6002d1fc - 336930 ns MR4_D 40006004 00000001 - 336930 ns R r1 00000001 - 336930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 336950 ns R r1 80000000 - 336950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 336970 ns R psr 81000200 - 336970 ns MR4_I 01000208 2a001c5b - 336990 ns MR4_I 01000200 07c96841 - 337010 ns IT 01000200 6841 LDR r1,[r0,#4] - 337030 ns MR4_I 01000204 6002d1fc - 337090 ns MR4_D 40006004 00000001 - 337090 ns R r1 00000001 - 337090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 337110 ns R r1 80000000 - 337110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 337130 ns R psr 81000200 - 337130 ns MR4_I 01000208 2a001c5b - 337150 ns MR4_I 01000200 07c96841 - 337170 ns IT 01000200 6841 LDR r1,[r0,#4] - 337190 ns MR4_I 01000204 6002d1fc - 337250 ns MR4_D 40006004 00000001 - 337250 ns R r1 00000001 - 337250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 337270 ns R r1 80000000 - 337270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 337290 ns R psr 81000200 - 337290 ns MR4_I 01000208 2a001c5b - 337310 ns MR4_I 01000200 07c96841 - 337330 ns IT 01000200 6841 LDR r1,[r0,#4] - 337350 ns MR4_I 01000204 6002d1fc - 337410 ns MR4_D 40006004 00000001 - 337410 ns R r1 00000001 - 337410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 337430 ns R r1 80000000 - 337430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 337450 ns R psr 81000200 - 337450 ns MR4_I 01000208 2a001c5b - 337470 ns MR4_I 01000200 07c96841 - 337490 ns IT 01000200 6841 LDR r1,[r0,#4] - 337510 ns MR4_I 01000204 6002d1fc - 337570 ns MR4_D 40006004 00000001 - 337570 ns R r1 00000001 - 337570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 337590 ns R r1 80000000 - 337590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 337610 ns R psr 81000200 - 337610 ns MR4_I 01000208 2a001c5b - 337630 ns MR4_I 01000200 07c96841 - 337650 ns IT 01000200 6841 LDR r1,[r0,#4] - 337670 ns MR4_I 01000204 6002d1fc - 337730 ns MR4_D 40006004 00000001 - 337730 ns R r1 00000001 - 337730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 337750 ns R r1 80000000 - 337750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 337770 ns R psr 81000200 - 337770 ns MR4_I 01000208 2a001c5b - 337790 ns MR4_I 01000200 07c96841 - 337810 ns IT 01000200 6841 LDR r1,[r0,#4] - 337830 ns MR4_I 01000204 6002d1fc - 337890 ns MR4_D 40006004 00000001 - 337890 ns R r1 00000001 - 337890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 337910 ns R r1 80000000 - 337910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 337930 ns R psr 81000200 - 337930 ns MR4_I 01000208 2a001c5b - 337950 ns MR4_I 01000200 07c96841 - 337970 ns IT 01000200 6841 LDR r1,[r0,#4] - 337990 ns MR4_I 01000204 6002d1fc - 338050 ns MR4_D 40006004 00000001 - 338050 ns R r1 00000001 - 338050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 338070 ns R r1 80000000 - 338070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 338090 ns R psr 81000200 - 338090 ns MR4_I 01000208 2a001c5b - 338110 ns MR4_I 01000200 07c96841 - 338130 ns IT 01000200 6841 LDR r1,[r0,#4] - 338150 ns MR4_I 01000204 6002d1fc - 338210 ns MR4_D 40006004 00000001 - 338210 ns R r1 00000001 - 338210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 338230 ns R r1 80000000 - 338230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 338250 ns R psr 81000200 - 338250 ns MR4_I 01000208 2a001c5b - 338270 ns MR4_I 01000200 07c96841 - 338290 ns IT 01000200 6841 LDR r1,[r0,#4] - 338310 ns MR4_I 01000204 6002d1fc - 338370 ns MR4_D 40006004 00000001 - 338370 ns R r1 00000001 - 338370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 338390 ns R r1 80000000 - 338390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 338410 ns R psr 81000200 - 338410 ns MR4_I 01000208 2a001c5b - 338430 ns MR4_I 01000200 07c96841 - 338450 ns IT 01000200 6841 LDR r1,[r0,#4] - 338470 ns MR4_I 01000204 6002d1fc - 338530 ns MR4_D 40006004 00000001 - 338530 ns R r1 00000001 - 338530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 338550 ns R r1 80000000 - 338550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 338570 ns R psr 81000200 - 338570 ns MR4_I 01000208 2a001c5b - 338590 ns MR4_I 01000200 07c96841 - 338610 ns IT 01000200 6841 LDR r1,[r0,#4] - 338630 ns MR4_I 01000204 6002d1fc - 338690 ns MR4_D 40006004 00000001 - 338690 ns R r1 00000001 - 338690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 338710 ns R r1 80000000 - 338710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 338730 ns R psr 81000200 - 338730 ns MR4_I 01000208 2a001c5b - 338750 ns MR4_I 01000200 07c96841 - 338770 ns IT 01000200 6841 LDR r1,[r0,#4] - 338790 ns MR4_I 01000204 6002d1fc - 338850 ns MR4_D 40006004 00000001 - 338850 ns R r1 00000001 - 338850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 338870 ns R r1 80000000 - 338870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 338890 ns R psr 81000200 - 338890 ns MR4_I 01000208 2a001c5b - 338910 ns MR4_I 01000200 07c96841 - 338930 ns IT 01000200 6841 LDR r1,[r0,#4] - 338950 ns MR4_I 01000204 6002d1fc - 339010 ns MR4_D 40006004 00000001 - 339010 ns R r1 00000001 - 339010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 339030 ns R r1 80000000 - 339030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 339050 ns R psr 81000200 - 339050 ns MR4_I 01000208 2a001c5b - 339070 ns MR4_I 01000200 07c96841 - 339090 ns IT 01000200 6841 LDR r1,[r0,#4] - 339110 ns MR4_I 01000204 6002d1fc - 339170 ns MR4_D 40006004 00000001 - 339170 ns R r1 00000001 - 339170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 339190 ns R r1 80000000 - 339190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 339210 ns R psr 81000200 - 339210 ns MR4_I 01000208 2a001c5b - 339230 ns MR4_I 01000200 07c96841 - 339250 ns IT 01000200 6841 LDR r1,[r0,#4] - 339270 ns MR4_I 01000204 6002d1fc - 339330 ns MR4_D 40006004 00000001 - 339330 ns R r1 00000001 - 339330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 339350 ns R r1 80000000 - 339350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 339370 ns R psr 81000200 - 339370 ns MR4_I 01000208 2a001c5b - 339390 ns MR4_I 01000200 07c96841 - 339410 ns IT 01000200 6841 LDR r1,[r0,#4] - 339430 ns MR4_I 01000204 6002d1fc - 339490 ns MR4_D 40006004 00000001 - 339490 ns R r1 00000001 - 339490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 339510 ns R r1 80000000 - 339510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 339530 ns R psr 81000200 - 339530 ns MR4_I 01000208 2a001c5b - 339550 ns MR4_I 01000200 07c96841 - 339570 ns IT 01000200 6841 LDR r1,[r0,#4] - 339590 ns MR4_I 01000204 6002d1fc - 339650 ns MR4_D 40006004 00000001 - 339650 ns R r1 00000001 - 339650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 339670 ns R r1 80000000 - 339670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 339690 ns R psr 81000200 - 339690 ns MR4_I 01000208 2a001c5b - 339710 ns MR4_I 01000200 07c96841 - 339730 ns IT 01000200 6841 LDR r1,[r0,#4] - 339750 ns MR4_I 01000204 6002d1fc - 339810 ns MR4_D 40006004 00000001 - 339810 ns R r1 00000001 - 339810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 339830 ns R r1 80000000 - 339830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 339850 ns R psr 81000200 - 339850 ns MR4_I 01000208 2a001c5b - 339870 ns MR4_I 01000200 07c96841 - 339890 ns IT 01000200 6841 LDR r1,[r0,#4] - 339910 ns MR4_I 01000204 6002d1fc - 339970 ns MR4_D 40006004 00000001 - 339970 ns R r1 00000001 - 339970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 339990 ns R r1 80000000 - 339990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 340010 ns R psr 81000200 - 340010 ns MR4_I 01000208 2a001c5b - 340030 ns MR4_I 01000200 07c96841 - 340050 ns IT 01000200 6841 LDR r1,[r0,#4] - 340070 ns MR4_I 01000204 6002d1fc - 340130 ns MR4_D 40006004 00000001 - 340130 ns R r1 00000001 - 340130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 340150 ns R r1 80000000 - 340150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 340170 ns R psr 81000200 - 340170 ns MR4_I 01000208 2a001c5b - 340190 ns MR4_I 01000200 07c96841 - 340210 ns IT 01000200 6841 LDR r1,[r0,#4] - 340230 ns MR4_I 01000204 6002d1fc - 340290 ns MR4_D 40006004 00000001 - 340290 ns R r1 00000001 - 340290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 340310 ns R r1 80000000 - 340310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 340330 ns R psr 81000200 - 340330 ns MR4_I 01000208 2a001c5b - 340350 ns MR4_I 01000200 07c96841 - 340370 ns IT 01000200 6841 LDR r1,[r0,#4] - 340390 ns MR4_I 01000204 6002d1fc - 340450 ns MR4_D 40006004 00000001 - 340450 ns R r1 00000001 - 340450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 340470 ns R r1 80000000 - 340470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 340490 ns R psr 81000200 - 340490 ns MR4_I 01000208 2a001c5b - 340510 ns MR4_I 01000200 07c96841 - 340530 ns IT 01000200 6841 LDR r1,[r0,#4] - 340550 ns MR4_I 01000204 6002d1fc - 340610 ns MR4_D 40006004 00000001 - 340610 ns R r1 00000001 - 340610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 340630 ns R r1 80000000 - 340630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 340650 ns R psr 81000200 - 340650 ns MR4_I 01000208 2a001c5b - 340670 ns MR4_I 01000200 07c96841 - 340690 ns IT 01000200 6841 LDR r1,[r0,#4] - 340710 ns MR4_I 01000204 6002d1fc - 340770 ns MR4_D 40006004 00000001 - 340770 ns R r1 00000001 - 340770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 340790 ns R r1 80000000 - 340790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 340810 ns R psr 81000200 - 340810 ns MR4_I 01000208 2a001c5b - 340830 ns MR4_I 01000200 07c96841 - 340850 ns IT 01000200 6841 LDR r1,[r0,#4] - 340870 ns MR4_I 01000204 6002d1fc - 340930 ns MR4_D 40006004 00000001 - 340930 ns R r1 00000001 - 340930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 340950 ns R r1 80000000 - 340950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 340970 ns R psr 81000200 - 340970 ns MR4_I 01000208 2a001c5b - 340990 ns MR4_I 01000200 07c96841 - 341010 ns IT 01000200 6841 LDR r1,[r0,#4] - 341030 ns MR4_I 01000204 6002d1fc - 341090 ns MR4_D 40006004 00000001 - 341090 ns R r1 00000001 - 341090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 341110 ns R r1 80000000 - 341110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 341130 ns R psr 81000200 - 341130 ns MR4_I 01000208 2a001c5b - 341150 ns MR4_I 01000200 07c96841 - 341170 ns IT 01000200 6841 LDR r1,[r0,#4] - 341190 ns MR4_I 01000204 6002d1fc - 341250 ns MR4_D 40006004 00000001 - 341250 ns R r1 00000001 - 341250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 341270 ns R r1 80000000 - 341270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 341290 ns R psr 81000200 - 341290 ns MR4_I 01000208 2a001c5b - 341310 ns MR4_I 01000200 07c96841 - 341330 ns IT 01000200 6841 LDR r1,[r0,#4] - 341350 ns MR4_I 01000204 6002d1fc - 341410 ns MR4_D 40006004 00000001 - 341410 ns R r1 00000001 - 341410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 341430 ns R r1 80000000 - 341430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 341450 ns R psr 81000200 - 341450 ns MR4_I 01000208 2a001c5b - 341470 ns MR4_I 01000200 07c96841 - 341490 ns IT 01000200 6841 LDR r1,[r0,#4] - 341510 ns MR4_I 01000204 6002d1fc - 341570 ns MR4_D 40006004 00000001 - 341570 ns R r1 00000001 - 341570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 341590 ns R r1 80000000 - 341590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 341610 ns R psr 81000200 - 341610 ns MR4_I 01000208 2a001c5b - 341630 ns MR4_I 01000200 07c96841 - 341650 ns IT 01000200 6841 LDR r1,[r0,#4] - 341670 ns MR4_I 01000204 6002d1fc - 341730 ns MR4_D 40006004 00000001 - 341730 ns R r1 00000001 - 341730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 341750 ns R r1 80000000 - 341750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 341770 ns R psr 81000200 - 341770 ns MR4_I 01000208 2a001c5b - 341790 ns MR4_I 01000200 07c96841 - 341810 ns IT 01000200 6841 LDR r1,[r0,#4] - 341830 ns MR4_I 01000204 6002d1fc - 341890 ns MR4_D 40006004 00000001 - 341890 ns R r1 00000001 - 341890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 341910 ns R r1 80000000 - 341910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 341930 ns R psr 81000200 - 341930 ns MR4_I 01000208 2a001c5b - 341950 ns MR4_I 01000200 07c96841 - 341970 ns IT 01000200 6841 LDR r1,[r0,#4] - 341990 ns MR4_I 01000204 6002d1fc - 342050 ns MR4_D 40006004 00000001 - 342050 ns R r1 00000001 - 342050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 342070 ns R r1 80000000 - 342070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 342090 ns R psr 81000200 - 342090 ns MR4_I 01000208 2a001c5b - 342110 ns MR4_I 01000200 07c96841 - 342130 ns IT 01000200 6841 LDR r1,[r0,#4] - 342150 ns MR4_I 01000204 6002d1fc - 342210 ns MR4_D 40006004 00000001 - 342210 ns R r1 00000001 - 342210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 342230 ns R r1 80000000 - 342230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 342250 ns R psr 81000200 - 342250 ns MR4_I 01000208 2a001c5b - 342270 ns MR4_I 01000200 07c96841 - 342290 ns IT 01000200 6841 LDR r1,[r0,#4] - 342310 ns MR4_I 01000204 6002d1fc - 342370 ns MR4_D 40006004 00000001 - 342370 ns R r1 00000001 - 342370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 342390 ns R r1 80000000 - 342390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 342410 ns R psr 81000200 - 342410 ns MR4_I 01000208 2a001c5b - 342430 ns MR4_I 01000200 07c96841 - 342450 ns IT 01000200 6841 LDR r1,[r0,#4] - 342470 ns MR4_I 01000204 6002d1fc - 342530 ns MR4_D 40006004 00000001 - 342530 ns R r1 00000001 - 342530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 342550 ns R r1 80000000 - 342550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 342570 ns R psr 81000200 - 342570 ns MR4_I 01000208 2a001c5b - 342590 ns MR4_I 01000200 07c96841 - 342610 ns IT 01000200 6841 LDR r1,[r0,#4] - 342630 ns MR4_I 01000204 6002d1fc - 342690 ns MR4_D 40006004 00000001 - 342690 ns R r1 00000001 - 342690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 342710 ns R r1 80000000 - 342710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 342730 ns R psr 81000200 - 342730 ns MR4_I 01000208 2a001c5b - 342750 ns MR4_I 01000200 07c96841 - 342770 ns IT 01000200 6841 LDR r1,[r0,#4] - 342790 ns MR4_I 01000204 6002d1fc - 342850 ns MR4_D 40006004 00000001 - 342850 ns R r1 00000001 - 342850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 342870 ns R r1 80000000 - 342870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 342890 ns R psr 81000200 - 342890 ns MR4_I 01000208 2a001c5b - 342910 ns MR4_I 01000200 07c96841 - 342930 ns IT 01000200 6841 LDR r1,[r0,#4] - 342950 ns MR4_I 01000204 6002d1fc - 343010 ns MR4_D 40006004 00000001 - 343010 ns R r1 00000001 - 343010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 343030 ns R r1 80000000 - 343030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 343050 ns R psr 81000200 - 343050 ns MR4_I 01000208 2a001c5b - 343070 ns MR4_I 01000200 07c96841 - 343090 ns IT 01000200 6841 LDR r1,[r0,#4] - 343110 ns MR4_I 01000204 6002d1fc - 343170 ns MR4_D 40006004 00000001 - 343170 ns R r1 00000001 - 343170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 343190 ns R r1 80000000 - 343190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 343210 ns R psr 81000200 - 343210 ns MR4_I 01000208 2a001c5b - 343230 ns MR4_I 01000200 07c96841 - 343250 ns IT 01000200 6841 LDR r1,[r0,#4] - 343270 ns MR4_I 01000204 6002d1fc - 343330 ns MR4_D 40006004 00000001 - 343330 ns R r1 00000001 - 343330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 343350 ns R r1 80000000 - 343350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 343370 ns R psr 81000200 - 343370 ns MR4_I 01000208 2a001c5b - 343390 ns MR4_I 01000200 07c96841 - 343410 ns IT 01000200 6841 LDR r1,[r0,#4] - 343430 ns MR4_I 01000204 6002d1fc - 343490 ns MR4_D 40006004 00000001 - 343490 ns R r1 00000001 - 343490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 343510 ns R r1 80000000 - 343510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 343530 ns R psr 81000200 - 343530 ns MR4_I 01000208 2a001c5b - 343550 ns MR4_I 01000200 07c96841 - 343570 ns IT 01000200 6841 LDR r1,[r0,#4] - 343590 ns MR4_I 01000204 6002d1fc - 343650 ns MR4_D 40006004 00000001 - 343650 ns R r1 00000001 - 343650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 343670 ns R r1 80000000 - 343670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 343690 ns R psr 81000200 - 343690 ns MR4_I 01000208 2a001c5b - 343710 ns MR4_I 01000200 07c96841 - 343730 ns IT 01000200 6841 LDR r1,[r0,#4] - 343750 ns MR4_I 01000204 6002d1fc - 343810 ns MR4_D 40006004 00000001 - 343810 ns R r1 00000001 - 343810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 343830 ns R r1 80000000 - 343830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 343850 ns R psr 81000200 - 343850 ns MR4_I 01000208 2a001c5b - 343870 ns MR4_I 01000200 07c96841 - 343890 ns IT 01000200 6841 LDR r1,[r0,#4] - 343910 ns MR4_I 01000204 6002d1fc - 343970 ns MR4_D 40006004 00000001 - 343970 ns R r1 00000001 - 343970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 343990 ns R r1 80000000 - 343990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 344010 ns R psr 81000200 - 344010 ns MR4_I 01000208 2a001c5b - 344030 ns MR4_I 01000200 07c96841 - 344050 ns IT 01000200 6841 LDR r1,[r0,#4] - 344070 ns MR4_I 01000204 6002d1fc - 344130 ns MR4_D 40006004 00000001 - 344130 ns R r1 00000001 - 344130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 344150 ns R r1 80000000 - 344150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 344170 ns R psr 81000200 - 344170 ns MR4_I 01000208 2a001c5b - 344190 ns MR4_I 01000200 07c96841 - 344210 ns IT 01000200 6841 LDR r1,[r0,#4] - 344230 ns MR4_I 01000204 6002d1fc - 344290 ns MR4_D 40006004 00000001 - 344290 ns R r1 00000001 - 344290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 344310 ns R r1 80000000 - 344310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 344330 ns R psr 81000200 - 344330 ns MR4_I 01000208 2a001c5b - 344350 ns MR4_I 01000200 07c96841 - 344370 ns IT 01000200 6841 LDR r1,[r0,#4] - 344390 ns MR4_I 01000204 6002d1fc - 344450 ns MR4_D 40006004 00000001 - 344450 ns R r1 00000001 - 344450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 344470 ns R r1 80000000 - 344470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 344490 ns R psr 81000200 - 344490 ns MR4_I 01000208 2a001c5b - 344510 ns MR4_I 01000200 07c96841 - 344530 ns IT 01000200 6841 LDR r1,[r0,#4] - 344550 ns MR4_I 01000204 6002d1fc - 344610 ns MR4_D 40006004 00000001 - 344610 ns R r1 00000001 - 344610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 344630 ns R r1 80000000 - 344630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 344650 ns R psr 81000200 - 344650 ns MR4_I 01000208 2a001c5b - 344670 ns MR4_I 01000200 07c96841 - 344690 ns IT 01000200 6841 LDR r1,[r0,#4] - 344710 ns MR4_I 01000204 6002d1fc - 344770 ns MR4_D 40006004 00000001 - 344770 ns R r1 00000001 - 344770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 344790 ns R r1 80000000 - 344790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 344810 ns R psr 81000200 - 344810 ns MR4_I 01000208 2a001c5b - 344830 ns MR4_I 01000200 07c96841 - 344850 ns IT 01000200 6841 LDR r1,[r0,#4] - 344870 ns MR4_I 01000204 6002d1fc - 344930 ns MR4_D 40006004 00000001 - 344930 ns R r1 00000001 - 344930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 344950 ns R r1 80000000 - 344950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 344970 ns R psr 81000200 - 344970 ns MR4_I 01000208 2a001c5b - 344990 ns MR4_I 01000200 07c96841 - 345010 ns IT 01000200 6841 LDR r1,[r0,#4] - 345030 ns MR4_I 01000204 6002d1fc - 345090 ns MR4_D 40006004 00000001 - 345090 ns R r1 00000001 - 345090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 345110 ns R r1 80000000 - 345110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 345130 ns R psr 81000200 - 345130 ns MR4_I 01000208 2a001c5b - 345150 ns MR4_I 01000200 07c96841 - 345170 ns IT 01000200 6841 LDR r1,[r0,#4] - 345190 ns MR4_I 01000204 6002d1fc - 345250 ns MR4_D 40006004 00000001 - 345250 ns R r1 00000001 - 345250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 345270 ns R r1 80000000 - 345270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 345290 ns R psr 81000200 - 345290 ns MR4_I 01000208 2a001c5b - 345310 ns MR4_I 01000200 07c96841 - 345330 ns IT 01000200 6841 LDR r1,[r0,#4] - 345350 ns MR4_I 01000204 6002d1fc - 345410 ns MR4_D 40006004 00000001 - 345410 ns R r1 00000001 - 345410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 345430 ns R r1 80000000 - 345430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 345450 ns R psr 81000200 - 345450 ns MR4_I 01000208 2a001c5b - 345470 ns MR4_I 01000200 07c96841 - 345490 ns IT 01000200 6841 LDR r1,[r0,#4] - 345510 ns MR4_I 01000204 6002d1fc - 345570 ns MR4_D 40006004 00000001 - 345570 ns R r1 00000001 - 345570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 345590 ns R r1 80000000 - 345590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 345610 ns R psr 81000200 - 345610 ns MR4_I 01000208 2a001c5b - 345630 ns MR4_I 01000200 07c96841 - 345650 ns IT 01000200 6841 LDR r1,[r0,#4] - 345670 ns MR4_I 01000204 6002d1fc - 345730 ns MR4_D 40006004 00000001 - 345730 ns R r1 00000001 - 345730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 345750 ns R r1 80000000 - 345750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 345770 ns R psr 81000200 - 345770 ns MR4_I 01000208 2a001c5b - 345790 ns MR4_I 01000200 07c96841 - 345810 ns IT 01000200 6841 LDR r1,[r0,#4] - 345830 ns MR4_I 01000204 6002d1fc - 345890 ns MR4_D 40006004 00000001 - 345890 ns R r1 00000001 - 345890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 345910 ns R r1 80000000 - 345910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 345930 ns R psr 81000200 - 345930 ns MR4_I 01000208 2a001c5b - 345950 ns MR4_I 01000200 07c96841 - 345970 ns IT 01000200 6841 LDR r1,[r0,#4] - 345990 ns MR4_I 01000204 6002d1fc - 346050 ns MR4_D 40006004 00000001 - 346050 ns R r1 00000001 - 346050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 346070 ns R r1 80000000 - 346070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 346090 ns R psr 81000200 - 346090 ns MR4_I 01000208 2a001c5b - 346110 ns MR4_I 01000200 07c96841 - 346130 ns IT 01000200 6841 LDR r1,[r0,#4] - 346150 ns MR4_I 01000204 6002d1fc - 346210 ns MR4_D 40006004 00000001 - 346210 ns R r1 00000001 - 346210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 346230 ns R r1 80000000 - 346230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 346250 ns R psr 81000200 - 346250 ns MR4_I 01000208 2a001c5b - 346270 ns MR4_I 01000200 07c96841 - 346290 ns IT 01000200 6841 LDR r1,[r0,#4] - 346310 ns MR4_I 01000204 6002d1fc - 346370 ns MR4_D 40006004 00000001 - 346370 ns R r1 00000001 - 346370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 346390 ns R r1 80000000 - 346390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 346410 ns R psr 81000200 - 346410 ns MR4_I 01000208 2a001c5b - 346430 ns MR4_I 01000200 07c96841 - 346450 ns IT 01000200 6841 LDR r1,[r0,#4] - 346470 ns MR4_I 01000204 6002d1fc - 346530 ns MR4_D 40006004 00000001 - 346530 ns R r1 00000001 - 346530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 346550 ns R r1 80000000 - 346550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 346570 ns R psr 81000200 - 346570 ns MR4_I 01000208 2a001c5b - 346590 ns MR4_I 01000200 07c96841 - 346610 ns IT 01000200 6841 LDR r1,[r0,#4] - 346630 ns MR4_I 01000204 6002d1fc - 346690 ns MR4_D 40006004 00000001 - 346690 ns R r1 00000001 - 346690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 346710 ns R r1 80000000 - 346710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 346730 ns R psr 81000200 - 346730 ns MR4_I 01000208 2a001c5b - 346750 ns MR4_I 01000200 07c96841 - 346770 ns IT 01000200 6841 LDR r1,[r0,#4] - 346790 ns MR4_I 01000204 6002d1fc - 346850 ns MR4_D 40006004 00000001 - 346850 ns R r1 00000001 - 346850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 346870 ns R r1 80000000 - 346870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 346890 ns R psr 81000200 - 346890 ns MR4_I 01000208 2a001c5b - 346910 ns MR4_I 01000200 07c96841 - 346930 ns IT 01000200 6841 LDR r1,[r0,#4] - 346950 ns MR4_I 01000204 6002d1fc - 347010 ns MR4_D 40006004 00000001 - 347010 ns R r1 00000001 - 347010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 347030 ns R r1 80000000 - 347030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 347050 ns R psr 81000200 - 347050 ns MR4_I 01000208 2a001c5b - 347070 ns MR4_I 01000200 07c96841 - 347090 ns IT 01000200 6841 LDR r1,[r0,#4] - 347110 ns MR4_I 01000204 6002d1fc - 347170 ns MR4_D 40006004 00000001 - 347170 ns R r1 00000001 - 347170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 347190 ns R r1 80000000 - 347190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 347210 ns R psr 81000200 - 347210 ns MR4_I 01000208 2a001c5b - 347230 ns MR4_I 01000200 07c96841 - 347250 ns IT 01000200 6841 LDR r1,[r0,#4] - 347270 ns MR4_I 01000204 6002d1fc - 347330 ns MR4_D 40006004 00000001 - 347330 ns R r1 00000001 - 347330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 347350 ns R r1 80000000 - 347350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 347370 ns R psr 81000200 - 347370 ns MR4_I 01000208 2a001c5b - 347390 ns MR4_I 01000200 07c96841 - 347410 ns IT 01000200 6841 LDR r1,[r0,#4] - 347430 ns MR4_I 01000204 6002d1fc - 347490 ns MR4_D 40006004 00000001 - 347490 ns R r1 00000001 - 347490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 347510 ns R r1 80000000 - 347510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 347530 ns R psr 81000200 - 347530 ns MR4_I 01000208 2a001c5b - 347550 ns MR4_I 01000200 07c96841 - 347570 ns IT 01000200 6841 LDR r1,[r0,#4] - 347590 ns MR4_I 01000204 6002d1fc - 347650 ns MR4_D 40006004 00000001 - 347650 ns R r1 00000001 - 347650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 347670 ns R r1 80000000 - 347670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 347690 ns R psr 81000200 - 347690 ns MR4_I 01000208 2a001c5b - 347710 ns MR4_I 01000200 07c96841 - 347730 ns IT 01000200 6841 LDR r1,[r0,#4] - 347750 ns MR4_I 01000204 6002d1fc - 347810 ns MR4_D 40006004 00000001 - 347810 ns R r1 00000001 - 347810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 347830 ns R r1 80000000 - 347830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 347850 ns R psr 81000200 - 347850 ns MR4_I 01000208 2a001c5b - 347870 ns MR4_I 01000200 07c96841 - 347890 ns IT 01000200 6841 LDR r1,[r0,#4] - 347910 ns MR4_I 01000204 6002d1fc - 347970 ns MR4_D 40006004 00000001 - 347970 ns R r1 00000001 - 347970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 347990 ns R r1 80000000 - 347990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 348010 ns R psr 81000200 - 348010 ns MR4_I 01000208 2a001c5b - 348030 ns MR4_I 01000200 07c96841 - 348050 ns IT 01000200 6841 LDR r1,[r0,#4] - 348070 ns MR4_I 01000204 6002d1fc - 348130 ns MR4_D 40006004 00000001 - 348130 ns R r1 00000001 - 348130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 348150 ns R r1 80000000 - 348150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 348170 ns R psr 81000200 - 348170 ns MR4_I 01000208 2a001c5b - 348190 ns MR4_I 01000200 07c96841 - 348210 ns IT 01000200 6841 LDR r1,[r0,#4] - 348230 ns MR4_I 01000204 6002d1fc - 348290 ns MR4_D 40006004 00000001 - 348290 ns R r1 00000001 - 348290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 348310 ns R r1 80000000 - 348310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 348330 ns R psr 81000200 - 348330 ns MR4_I 01000208 2a001c5b - 348350 ns MR4_I 01000200 07c96841 - 348370 ns IT 01000200 6841 LDR r1,[r0,#4] - 348390 ns MR4_I 01000204 6002d1fc - 348450 ns MR4_D 40006004 00000001 - 348450 ns R r1 00000001 - 348450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 348470 ns R r1 80000000 - 348470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 348490 ns R psr 81000200 - 348490 ns MR4_I 01000208 2a001c5b - 348510 ns MR4_I 01000200 07c96841 - 348530 ns IT 01000200 6841 LDR r1,[r0,#4] - 348550 ns MR4_I 01000204 6002d1fc - 348610 ns MR4_D 40006004 00000001 - 348610 ns R r1 00000001 - 348610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 348630 ns R r1 80000000 - 348630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 348650 ns R psr 81000200 - 348650 ns MR4_I 01000208 2a001c5b - 348670 ns MR4_I 01000200 07c96841 - 348690 ns IT 01000200 6841 LDR r1,[r0,#4] - 348710 ns MR4_I 01000204 6002d1fc - 348770 ns MR4_D 40006004 00000001 - 348770 ns R r1 00000001 - 348770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 348790 ns R r1 80000000 - 348790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 348810 ns R psr 81000200 - 348810 ns MR4_I 01000208 2a001c5b - 348830 ns MR4_I 01000200 07c96841 - 348850 ns IT 01000200 6841 LDR r1,[r0,#4] - 348870 ns MR4_I 01000204 6002d1fc - 348930 ns MR4_D 40006004 00000001 - 348930 ns R r1 00000001 - 348930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 348950 ns R r1 80000000 - 348950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 348970 ns R psr 81000200 - 348970 ns MR4_I 01000208 2a001c5b - 348990 ns MR4_I 01000200 07c96841 - 349010 ns IT 01000200 6841 LDR r1,[r0,#4] - 349030 ns MR4_I 01000204 6002d1fc - 349090 ns MR4_D 40006004 00000001 - 349090 ns R r1 00000001 - 349090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 349110 ns R r1 80000000 - 349110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 349130 ns R psr 81000200 - 349130 ns MR4_I 01000208 2a001c5b - 349150 ns MR4_I 01000200 07c96841 - 349170 ns IT 01000200 6841 LDR r1,[r0,#4] - 349190 ns MR4_I 01000204 6002d1fc - 349250 ns MR4_D 40006004 00000001 - 349250 ns R r1 00000001 - 349250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 349270 ns R r1 80000000 - 349270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 349290 ns R psr 81000200 - 349290 ns MR4_I 01000208 2a001c5b - 349310 ns MR4_I 01000200 07c96841 - 349330 ns IT 01000200 6841 LDR r1,[r0,#4] - 349350 ns MR4_I 01000204 6002d1fc - 349410 ns MR4_D 40006004 00000001 - 349410 ns R r1 00000001 - 349410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 349430 ns R r1 80000000 - 349430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 349450 ns R psr 81000200 - 349450 ns MR4_I 01000208 2a001c5b - 349470 ns MR4_I 01000200 07c96841 - 349490 ns IT 01000200 6841 LDR r1,[r0,#4] - 349510 ns MR4_I 01000204 6002d1fc - 349570 ns MR4_D 40006004 00000000 - 349570 ns R r1 00000000 - 349570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 349590 ns R r1 00000000 - 349590 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 349610 ns R psr 41000200 - 349610 ns MR4_I 01000208 2a001c5b - 349610 ns IT 01000206 6002 STR r2,[r0,#0] - 349690 ns MW4_D 40006000 0000006c - 349690 ns IT 01000208 1c5b ADDS r3,r3,#1 - 349710 ns MR4_I 0100020c a32ad1f5 - 349710 ns R r3 0100029e - 349710 ns IT 0100020a 2a00 CMP r2,#0 - 349730 ns R psr 01000200 - 349730 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 349750 ns R psr 21000200 - 349750 ns MR4_I 01000210 2a00781a - 349770 ns MR4_I 010001f8 781aa326 - 349790 ns MR4_I 010001fc d0062a00 - 349790 ns IT 010001fa 781a LDRB r2,[r3,#0] - 349830 ns MR1_D 0100029e 62616c63 - 349830 ns R r2 00000061 - 349830 ns IT 010001fc 2a00 CMP r2,#0 - 349850 ns MR4_I 01000200 07c96841 - 349850 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 349870 ns R psr 21000200 - 349870 ns IT 01000200 6841 LDR r1,[r0,#4] - 349890 ns MR4_I 01000204 6002d1fc - 349950 ns MR4_D 40006004 00000001 - 349950 ns R r1 00000001 - 349950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 349970 ns R r1 80000000 - 349970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 349990 ns R psr 81000200 - 349990 ns MR4_I 01000208 2a001c5b - 350010 ns MR4_I 01000200 07c96841 - 350030 ns IT 01000200 6841 LDR r1,[r0,#4] - 350050 ns MR4_I 01000204 6002d1fc - 350110 ns MR4_D 40006004 00000001 - 350110 ns R r1 00000001 - 350110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 350130 ns R r1 80000000 - 350130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 350150 ns R psr 81000200 - 350150 ns MR4_I 01000208 2a001c5b - 350170 ns MR4_I 01000200 07c96841 - 350190 ns IT 01000200 6841 LDR r1,[r0,#4] - 350210 ns MR4_I 01000204 6002d1fc - 350270 ns MR4_D 40006004 00000001 - 350270 ns R r1 00000001 - 350270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 350290 ns R r1 80000000 - 350290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 350310 ns R psr 81000200 - 350310 ns MR4_I 01000208 2a001c5b - 350330 ns MR4_I 01000200 07c96841 - 350350 ns IT 01000200 6841 LDR r1,[r0,#4] - 350370 ns MR4_I 01000204 6002d1fc - 350430 ns MR4_D 40006004 00000001 - 350430 ns R r1 00000001 - 350430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 350450 ns R r1 80000000 - 350450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 350470 ns R psr 81000200 - 350470 ns MR4_I 01000208 2a001c5b - 350490 ns MR4_I 01000200 07c96841 - 350510 ns IT 01000200 6841 LDR r1,[r0,#4] - 350530 ns MR4_I 01000204 6002d1fc - 350590 ns MR4_D 40006004 00000001 - 350590 ns R r1 00000001 - 350590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 350610 ns R r1 80000000 - 350610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 350630 ns R psr 81000200 - 350630 ns MR4_I 01000208 2a001c5b - 350650 ns MR4_I 01000200 07c96841 - 350670 ns IT 01000200 6841 LDR r1,[r0,#4] - 350690 ns MR4_I 01000204 6002d1fc - 350750 ns MR4_D 40006004 00000001 - 350750 ns R r1 00000001 - 350750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 350770 ns R r1 80000000 - 350770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 350790 ns R psr 81000200 - 350790 ns MR4_I 01000208 2a001c5b - 350810 ns MR4_I 01000200 07c96841 - 350830 ns IT 01000200 6841 LDR r1,[r0,#4] - 350850 ns MR4_I 01000204 6002d1fc - 350910 ns MR4_D 40006004 00000001 - 350910 ns R r1 00000001 - 350910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 350930 ns R r1 80000000 - 350930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 350950 ns R psr 81000200 - 350950 ns MR4_I 01000208 2a001c5b - 350970 ns MR4_I 01000200 07c96841 - 350990 ns IT 01000200 6841 LDR r1,[r0,#4] - 351010 ns MR4_I 01000204 6002d1fc - 351070 ns MR4_D 40006004 00000001 - 351070 ns R r1 00000001 - 351070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 351090 ns R r1 80000000 - 351090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 351110 ns R psr 81000200 - 351110 ns MR4_I 01000208 2a001c5b - 351130 ns MR4_I 01000200 07c96841 - 351150 ns IT 01000200 6841 LDR r1,[r0,#4] - 351170 ns MR4_I 01000204 6002d1fc - 351230 ns MR4_D 40006004 00000001 - 351230 ns R r1 00000001 - 351230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 351250 ns R r1 80000000 - 351250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 351270 ns R psr 81000200 - 351270 ns MR4_I 01000208 2a001c5b - 351290 ns MR4_I 01000200 07c96841 - 351310 ns IT 01000200 6841 LDR r1,[r0,#4] - 351330 ns MR4_I 01000204 6002d1fc - 351390 ns MR4_D 40006004 00000001 - 351390 ns R r1 00000001 - 351390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 351410 ns R r1 80000000 - 351410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 351430 ns R psr 81000200 - 351430 ns MR4_I 01000208 2a001c5b - 351450 ns MR4_I 01000200 07c96841 - 351470 ns IT 01000200 6841 LDR r1,[r0,#4] - 351490 ns MR4_I 01000204 6002d1fc - 351550 ns MR4_D 40006004 00000001 - 351550 ns R r1 00000001 - 351550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 351570 ns R r1 80000000 - 351570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 351590 ns R psr 81000200 - 351590 ns MR4_I 01000208 2a001c5b - 351610 ns MR4_I 01000200 07c96841 - 351630 ns IT 01000200 6841 LDR r1,[r0,#4] - 351650 ns MR4_I 01000204 6002d1fc - 351710 ns MR4_D 40006004 00000001 - 351710 ns R r1 00000001 - 351710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 351730 ns R r1 80000000 - 351730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 351750 ns R psr 81000200 - 351750 ns MR4_I 01000208 2a001c5b - 351770 ns MR4_I 01000200 07c96841 - 351790 ns IT 01000200 6841 LDR r1,[r0,#4] - 351810 ns MR4_I 01000204 6002d1fc - 351870 ns MR4_D 40006004 00000001 - 351870 ns R r1 00000001 - 351870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 351890 ns R r1 80000000 - 351890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 351910 ns R psr 81000200 - 351910 ns MR4_I 01000208 2a001c5b - 351930 ns MR4_I 01000200 07c96841 - 351950 ns IT 01000200 6841 LDR r1,[r0,#4] - 351970 ns MR4_I 01000204 6002d1fc - 352030 ns MR4_D 40006004 00000001 - 352030 ns R r1 00000001 - 352030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 352050 ns R r1 80000000 - 352050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 352070 ns R psr 81000200 - 352070 ns MR4_I 01000208 2a001c5b - 352090 ns MR4_I 01000200 07c96841 - 352110 ns IT 01000200 6841 LDR r1,[r0,#4] - 352130 ns MR4_I 01000204 6002d1fc - 352190 ns MR4_D 40006004 00000001 - 352190 ns R r1 00000001 - 352190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 352210 ns R r1 80000000 - 352210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 352230 ns R psr 81000200 - 352230 ns MR4_I 01000208 2a001c5b - 352250 ns MR4_I 01000200 07c96841 - 352270 ns IT 01000200 6841 LDR r1,[r0,#4] - 352290 ns MR4_I 01000204 6002d1fc - 352350 ns MR4_D 40006004 00000001 - 352350 ns R r1 00000001 - 352350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 352370 ns R r1 80000000 - 352370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 352390 ns R psr 81000200 - 352390 ns MR4_I 01000208 2a001c5b - 352410 ns MR4_I 01000200 07c96841 - 352430 ns IT 01000200 6841 LDR r1,[r0,#4] - 352450 ns MR4_I 01000204 6002d1fc - 352510 ns MR4_D 40006004 00000001 - 352510 ns R r1 00000001 - 352510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 352530 ns R r1 80000000 - 352530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 352550 ns R psr 81000200 - 352550 ns MR4_I 01000208 2a001c5b - 352570 ns MR4_I 01000200 07c96841 - 352590 ns IT 01000200 6841 LDR r1,[r0,#4] - 352610 ns MR4_I 01000204 6002d1fc - 352670 ns MR4_D 40006004 00000001 - 352670 ns R r1 00000001 - 352670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 352690 ns R r1 80000000 - 352690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 352710 ns R psr 81000200 - 352710 ns MR4_I 01000208 2a001c5b - 352730 ns MR4_I 01000200 07c96841 - 352750 ns IT 01000200 6841 LDR r1,[r0,#4] - 352770 ns MR4_I 01000204 6002d1fc - 352830 ns MR4_D 40006004 00000001 - 352830 ns R r1 00000001 - 352830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 352850 ns R r1 80000000 - 352850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 352870 ns R psr 81000200 - 352870 ns MR4_I 01000208 2a001c5b - 352890 ns MR4_I 01000200 07c96841 - 352910 ns IT 01000200 6841 LDR r1,[r0,#4] - 352930 ns MR4_I 01000204 6002d1fc - 352990 ns MR4_D 40006004 00000001 - 352990 ns R r1 00000001 - 352990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 353010 ns R r1 80000000 - 353010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 353030 ns R psr 81000200 - 353030 ns MR4_I 01000208 2a001c5b - 353050 ns MR4_I 01000200 07c96841 - 353070 ns IT 01000200 6841 LDR r1,[r0,#4] - 353090 ns MR4_I 01000204 6002d1fc - 353150 ns MR4_D 40006004 00000001 - 353150 ns R r1 00000001 - 353150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 353170 ns R r1 80000000 - 353170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 353190 ns R psr 81000200 - 353190 ns MR4_I 01000208 2a001c5b - 353210 ns MR4_I 01000200 07c96841 - 353230 ns IT 01000200 6841 LDR r1,[r0,#4] - 353250 ns MR4_I 01000204 6002d1fc - 353310 ns MR4_D 40006004 00000001 - 353310 ns R r1 00000001 - 353310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 353330 ns R r1 80000000 - 353330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 353350 ns R psr 81000200 - 353350 ns MR4_I 01000208 2a001c5b - 353370 ns MR4_I 01000200 07c96841 - 353390 ns IT 01000200 6841 LDR r1,[r0,#4] - 353410 ns MR4_I 01000204 6002d1fc - 353470 ns MR4_D 40006004 00000001 - 353470 ns R r1 00000001 - 353470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 353490 ns R r1 80000000 - 353490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 353510 ns R psr 81000200 - 353510 ns MR4_I 01000208 2a001c5b - 353530 ns MR4_I 01000200 07c96841 - 353550 ns IT 01000200 6841 LDR r1,[r0,#4] - 353570 ns MR4_I 01000204 6002d1fc - 353630 ns MR4_D 40006004 00000001 - 353630 ns R r1 00000001 - 353630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 353650 ns R r1 80000000 - 353650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 353670 ns R psr 81000200 - 353670 ns MR4_I 01000208 2a001c5b - 353690 ns MR4_I 01000200 07c96841 - 353710 ns IT 01000200 6841 LDR r1,[r0,#4] - 353730 ns MR4_I 01000204 6002d1fc - 353790 ns MR4_D 40006004 00000001 - 353790 ns R r1 00000001 - 353790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 353810 ns R r1 80000000 - 353810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 353830 ns R psr 81000200 - 353830 ns MR4_I 01000208 2a001c5b - 353850 ns MR4_I 01000200 07c96841 - 353870 ns IT 01000200 6841 LDR r1,[r0,#4] - 353890 ns MR4_I 01000204 6002d1fc - 353950 ns MR4_D 40006004 00000001 - 353950 ns R r1 00000001 - 353950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 353970 ns R r1 80000000 - 353970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 353990 ns R psr 81000200 - 353990 ns MR4_I 01000208 2a001c5b - 354010 ns MR4_I 01000200 07c96841 - 354030 ns IT 01000200 6841 LDR r1,[r0,#4] - 354050 ns MR4_I 01000204 6002d1fc - 354110 ns MR4_D 40006004 00000001 - 354110 ns R r1 00000001 - 354110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 354130 ns R r1 80000000 - 354130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 354150 ns R psr 81000200 - 354150 ns MR4_I 01000208 2a001c5b - 354170 ns MR4_I 01000200 07c96841 - 354190 ns IT 01000200 6841 LDR r1,[r0,#4] - 354210 ns MR4_I 01000204 6002d1fc - 354270 ns MR4_D 40006004 00000001 - 354270 ns R r1 00000001 - 354270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 354290 ns R r1 80000000 - 354290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 354310 ns R psr 81000200 - 354310 ns MR4_I 01000208 2a001c5b - 354330 ns MR4_I 01000200 07c96841 - 354350 ns IT 01000200 6841 LDR r1,[r0,#4] - 354370 ns MR4_I 01000204 6002d1fc - 354430 ns MR4_D 40006004 00000001 - 354430 ns R r1 00000001 - 354430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 354450 ns R r1 80000000 - 354450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 354470 ns R psr 81000200 - 354470 ns MR4_I 01000208 2a001c5b - 354490 ns MR4_I 01000200 07c96841 - 354510 ns IT 01000200 6841 LDR r1,[r0,#4] - 354530 ns MR4_I 01000204 6002d1fc - 354590 ns MR4_D 40006004 00000001 - 354590 ns R r1 00000001 - 354590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 354610 ns R r1 80000000 - 354610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 354630 ns R psr 81000200 - 354630 ns MR4_I 01000208 2a001c5b - 354650 ns MR4_I 01000200 07c96841 - 354670 ns IT 01000200 6841 LDR r1,[r0,#4] - 354690 ns MR4_I 01000204 6002d1fc - 354750 ns MR4_D 40006004 00000001 - 354750 ns R r1 00000001 - 354750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 354770 ns R r1 80000000 - 354770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 354790 ns R psr 81000200 - 354790 ns MR4_I 01000208 2a001c5b - 354810 ns MR4_I 01000200 07c96841 - 354830 ns IT 01000200 6841 LDR r1,[r0,#4] - 354850 ns MR4_I 01000204 6002d1fc - 354910 ns MR4_D 40006004 00000001 - 354910 ns R r1 00000001 - 354910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 354930 ns R r1 80000000 - 354930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 354950 ns R psr 81000200 - 354950 ns MR4_I 01000208 2a001c5b - 354970 ns MR4_I 01000200 07c96841 - 354990 ns IT 01000200 6841 LDR r1,[r0,#4] - 355010 ns MR4_I 01000204 6002d1fc - 355070 ns MR4_D 40006004 00000001 - 355070 ns R r1 00000001 - 355070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 355090 ns R r1 80000000 - 355090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 355110 ns R psr 81000200 - 355110 ns MR4_I 01000208 2a001c5b - 355130 ns MR4_I 01000200 07c96841 - 355150 ns IT 01000200 6841 LDR r1,[r0,#4] - 355170 ns MR4_I 01000204 6002d1fc - 355230 ns MR4_D 40006004 00000001 - 355230 ns R r1 00000001 - 355230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 355250 ns R r1 80000000 - 355250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 355270 ns R psr 81000200 - 355270 ns MR4_I 01000208 2a001c5b - 355290 ns MR4_I 01000200 07c96841 - 355310 ns IT 01000200 6841 LDR r1,[r0,#4] - 355330 ns MR4_I 01000204 6002d1fc - 355390 ns MR4_D 40006004 00000001 - 355390 ns R r1 00000001 - 355390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 355410 ns R r1 80000000 - 355410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 355430 ns R psr 81000200 - 355430 ns MR4_I 01000208 2a001c5b - 355450 ns MR4_I 01000200 07c96841 - 355470 ns IT 01000200 6841 LDR r1,[r0,#4] - 355490 ns MR4_I 01000204 6002d1fc - 355550 ns MR4_D 40006004 00000001 - 355550 ns R r1 00000001 - 355550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 355570 ns R r1 80000000 - 355570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 355590 ns R psr 81000200 - 355590 ns MR4_I 01000208 2a001c5b - 355610 ns MR4_I 01000200 07c96841 - 355630 ns IT 01000200 6841 LDR r1,[r0,#4] - 355650 ns MR4_I 01000204 6002d1fc - 355710 ns MR4_D 40006004 00000001 - 355710 ns R r1 00000001 - 355710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 355730 ns R r1 80000000 - 355730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 355750 ns R psr 81000200 - 355750 ns MR4_I 01000208 2a001c5b - 355770 ns MR4_I 01000200 07c96841 - 355790 ns IT 01000200 6841 LDR r1,[r0,#4] - 355810 ns MR4_I 01000204 6002d1fc - 355870 ns MR4_D 40006004 00000001 - 355870 ns R r1 00000001 - 355870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 355890 ns R r1 80000000 - 355890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 355910 ns R psr 81000200 - 355910 ns MR4_I 01000208 2a001c5b - 355930 ns MR4_I 01000200 07c96841 - 355950 ns IT 01000200 6841 LDR r1,[r0,#4] - 355970 ns MR4_I 01000204 6002d1fc - 356030 ns MR4_D 40006004 00000001 - 356030 ns R r1 00000001 - 356030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 356050 ns R r1 80000000 - 356050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 356070 ns R psr 81000200 - 356070 ns MR4_I 01000208 2a001c5b - 356090 ns MR4_I 01000200 07c96841 - 356110 ns IT 01000200 6841 LDR r1,[r0,#4] - 356130 ns MR4_I 01000204 6002d1fc - 356190 ns MR4_D 40006004 00000001 - 356190 ns R r1 00000001 - 356190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 356210 ns R r1 80000000 - 356210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 356230 ns R psr 81000200 - 356230 ns MR4_I 01000208 2a001c5b - 356250 ns MR4_I 01000200 07c96841 - 356270 ns IT 01000200 6841 LDR r1,[r0,#4] - 356290 ns MR4_I 01000204 6002d1fc - 356350 ns MR4_D 40006004 00000001 - 356350 ns R r1 00000001 - 356350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 356370 ns R r1 80000000 - 356370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 356390 ns R psr 81000200 - 356390 ns MR4_I 01000208 2a001c5b - 356410 ns MR4_I 01000200 07c96841 - 356430 ns IT 01000200 6841 LDR r1,[r0,#4] - 356450 ns MR4_I 01000204 6002d1fc - 356510 ns MR4_D 40006004 00000001 - 356510 ns R r1 00000001 - 356510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 356530 ns R r1 80000000 - 356530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 356550 ns R psr 81000200 - 356550 ns MR4_I 01000208 2a001c5b - 356570 ns MR4_I 01000200 07c96841 - 356590 ns IT 01000200 6841 LDR r1,[r0,#4] - 356610 ns MR4_I 01000204 6002d1fc - 356670 ns MR4_D 40006004 00000001 - 356670 ns R r1 00000001 - 356670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 356690 ns R r1 80000000 - 356690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 356710 ns R psr 81000200 - 356710 ns MR4_I 01000208 2a001c5b - 356730 ns MR4_I 01000200 07c96841 - 356750 ns IT 01000200 6841 LDR r1,[r0,#4] - 356770 ns MR4_I 01000204 6002d1fc - 356830 ns MR4_D 40006004 00000001 - 356830 ns R r1 00000001 - 356830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 356850 ns R r1 80000000 - 356850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 356870 ns R psr 81000200 - 356870 ns MR4_I 01000208 2a001c5b - 356890 ns MR4_I 01000200 07c96841 - 356910 ns IT 01000200 6841 LDR r1,[r0,#4] - 356930 ns MR4_I 01000204 6002d1fc - 356990 ns MR4_D 40006004 00000001 - 356990 ns R r1 00000001 - 356990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 357010 ns R r1 80000000 - 357010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 357030 ns R psr 81000200 - 357030 ns MR4_I 01000208 2a001c5b - 357050 ns MR4_I 01000200 07c96841 - 357070 ns IT 01000200 6841 LDR r1,[r0,#4] - 357090 ns MR4_I 01000204 6002d1fc - 357150 ns MR4_D 40006004 00000001 - 357150 ns R r1 00000001 - 357150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 357170 ns R r1 80000000 - 357170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 357190 ns R psr 81000200 - 357190 ns MR4_I 01000208 2a001c5b - 357210 ns MR4_I 01000200 07c96841 - 357230 ns IT 01000200 6841 LDR r1,[r0,#4] - 357250 ns MR4_I 01000204 6002d1fc - 357310 ns MR4_D 40006004 00000001 - 357310 ns R r1 00000001 - 357310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 357330 ns R r1 80000000 - 357330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 357350 ns R psr 81000200 - 357350 ns MR4_I 01000208 2a001c5b - 357370 ns MR4_I 01000200 07c96841 - 357390 ns IT 01000200 6841 LDR r1,[r0,#4] - 357410 ns MR4_I 01000204 6002d1fc - 357470 ns MR4_D 40006004 00000001 - 357470 ns R r1 00000001 - 357470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 357490 ns R r1 80000000 - 357490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 357510 ns R psr 81000200 - 357510 ns MR4_I 01000208 2a001c5b - 357530 ns MR4_I 01000200 07c96841 - 357550 ns IT 01000200 6841 LDR r1,[r0,#4] - 357570 ns MR4_I 01000204 6002d1fc - 357630 ns MR4_D 40006004 00000001 - 357630 ns R r1 00000001 - 357630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 357650 ns R r1 80000000 - 357650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 357670 ns R psr 81000200 - 357670 ns MR4_I 01000208 2a001c5b - 357690 ns MR4_I 01000200 07c96841 - 357710 ns IT 01000200 6841 LDR r1,[r0,#4] - 357730 ns MR4_I 01000204 6002d1fc - 357790 ns MR4_D 40006004 00000001 - 357790 ns R r1 00000001 - 357790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 357810 ns R r1 80000000 - 357810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 357830 ns R psr 81000200 - 357830 ns MR4_I 01000208 2a001c5b - 357850 ns MR4_I 01000200 07c96841 - 357870 ns IT 01000200 6841 LDR r1,[r0,#4] - 357890 ns MR4_I 01000204 6002d1fc - 357950 ns MR4_D 40006004 00000001 - 357950 ns R r1 00000001 - 357950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 357970 ns R r1 80000000 - 357970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 357990 ns R psr 81000200 - 357990 ns MR4_I 01000208 2a001c5b - 358010 ns MR4_I 01000200 07c96841 - 358030 ns IT 01000200 6841 LDR r1,[r0,#4] - 358050 ns MR4_I 01000204 6002d1fc - 358110 ns MR4_D 40006004 00000001 - 358110 ns R r1 00000001 - 358110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 358130 ns R r1 80000000 - 358130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 358150 ns R psr 81000200 - 358150 ns MR4_I 01000208 2a001c5b - 358170 ns MR4_I 01000200 07c96841 - 358190 ns IT 01000200 6841 LDR r1,[r0,#4] - 358210 ns MR4_I 01000204 6002d1fc - 358270 ns MR4_D 40006004 00000001 - 358270 ns R r1 00000001 - 358270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 358290 ns R r1 80000000 - 358290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 358310 ns R psr 81000200 - 358310 ns MR4_I 01000208 2a001c5b - 358330 ns MR4_I 01000200 07c96841 - 358350 ns IT 01000200 6841 LDR r1,[r0,#4] - 358370 ns MR4_I 01000204 6002d1fc - 358430 ns MR4_D 40006004 00000001 - 358430 ns R r1 00000001 - 358430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 358450 ns R r1 80000000 - 358450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 358470 ns R psr 81000200 - 358470 ns MR4_I 01000208 2a001c5b - 358490 ns MR4_I 01000200 07c96841 - 358510 ns IT 01000200 6841 LDR r1,[r0,#4] - 358530 ns MR4_I 01000204 6002d1fc - 358590 ns MR4_D 40006004 00000001 - 358590 ns R r1 00000001 - 358590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 358610 ns R r1 80000000 - 358610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 358630 ns R psr 81000200 - 358630 ns MR4_I 01000208 2a001c5b - 358650 ns MR4_I 01000200 07c96841 - 358670 ns IT 01000200 6841 LDR r1,[r0,#4] - 358690 ns MR4_I 01000204 6002d1fc - 358750 ns MR4_D 40006004 00000001 - 358750 ns R r1 00000001 - 358750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 358770 ns R r1 80000000 - 358770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 358790 ns R psr 81000200 - 358790 ns MR4_I 01000208 2a001c5b - 358810 ns MR4_I 01000200 07c96841 - 358830 ns IT 01000200 6841 LDR r1,[r0,#4] - 358850 ns MR4_I 01000204 6002d1fc - 358910 ns MR4_D 40006004 00000001 - 358910 ns R r1 00000001 - 358910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 358930 ns R r1 80000000 - 358930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 358950 ns R psr 81000200 - 358950 ns MR4_I 01000208 2a001c5b - 358970 ns MR4_I 01000200 07c96841 - 358990 ns IT 01000200 6841 LDR r1,[r0,#4] - 359010 ns MR4_I 01000204 6002d1fc - 359070 ns MR4_D 40006004 00000001 - 359070 ns R r1 00000001 - 359070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 359090 ns R r1 80000000 - 359090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 359110 ns R psr 81000200 - 359110 ns MR4_I 01000208 2a001c5b - 359130 ns MR4_I 01000200 07c96841 - 359150 ns IT 01000200 6841 LDR r1,[r0,#4] - 359170 ns MR4_I 01000204 6002d1fc - 359230 ns MR4_D 40006004 00000001 - 359230 ns R r1 00000001 - 359230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 359250 ns R r1 80000000 - 359250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 359270 ns R psr 81000200 - 359270 ns MR4_I 01000208 2a001c5b - 359290 ns MR4_I 01000200 07c96841 - 359310 ns IT 01000200 6841 LDR r1,[r0,#4] - 359330 ns MR4_I 01000204 6002d1fc - 359390 ns MR4_D 40006004 00000001 - 359390 ns R r1 00000001 - 359390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 359410 ns R r1 80000000 - 359410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 359430 ns R psr 81000200 - 359430 ns MR4_I 01000208 2a001c5b - 359450 ns MR4_I 01000200 07c96841 - 359470 ns IT 01000200 6841 LDR r1,[r0,#4] - 359490 ns MR4_I 01000204 6002d1fc - 359550 ns MR4_D 40006004 00000001 - 359550 ns R r1 00000001 - 359550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 359570 ns R r1 80000000 - 359570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 359590 ns R psr 81000200 - 359590 ns MR4_I 01000208 2a001c5b - 359610 ns MR4_I 01000200 07c96841 - 359630 ns IT 01000200 6841 LDR r1,[r0,#4] - 359650 ns MR4_I 01000204 6002d1fc - 359710 ns MR4_D 40006004 00000001 - 359710 ns R r1 00000001 - 359710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 359730 ns R r1 80000000 - 359730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 359750 ns R psr 81000200 - 359750 ns MR4_I 01000208 2a001c5b - 359770 ns MR4_I 01000200 07c96841 - 359790 ns IT 01000200 6841 LDR r1,[r0,#4] - 359810 ns MR4_I 01000204 6002d1fc - 359870 ns MR4_D 40006004 00000001 - 359870 ns R r1 00000001 - 359870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 359890 ns R r1 80000000 - 359890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 359910 ns R psr 81000200 - 359910 ns MR4_I 01000208 2a001c5b - 359930 ns MR4_I 01000200 07c96841 - 359950 ns IT 01000200 6841 LDR r1,[r0,#4] - 359970 ns MR4_I 01000204 6002d1fc - 360030 ns MR4_D 40006004 00000001 - 360030 ns R r1 00000001 - 360030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 360050 ns R r1 80000000 - 360050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 360070 ns R psr 81000200 - 360070 ns MR4_I 01000208 2a001c5b - 360090 ns MR4_I 01000200 07c96841 - 360110 ns IT 01000200 6841 LDR r1,[r0,#4] - 360130 ns MR4_I 01000204 6002d1fc - 360190 ns MR4_D 40006004 00000001 - 360190 ns R r1 00000001 - 360190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 360210 ns R r1 80000000 - 360210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 360230 ns R psr 81000200 - 360230 ns MR4_I 01000208 2a001c5b - 360250 ns MR4_I 01000200 07c96841 - 360270 ns IT 01000200 6841 LDR r1,[r0,#4] - 360290 ns MR4_I 01000204 6002d1fc - 360350 ns MR4_D 40006004 00000001 - 360350 ns R r1 00000001 - 360350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 360370 ns R r1 80000000 - 360370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 360390 ns R psr 81000200 - 360390 ns MR4_I 01000208 2a001c5b - 360410 ns MR4_I 01000200 07c96841 - 360430 ns IT 01000200 6841 LDR r1,[r0,#4] - 360450 ns MR4_I 01000204 6002d1fc - 360510 ns MR4_D 40006004 00000001 - 360510 ns R r1 00000001 - 360510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 360530 ns R r1 80000000 - 360530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 360550 ns R psr 81000200 - 360550 ns MR4_I 01000208 2a001c5b - 360570 ns MR4_I 01000200 07c96841 - 360590 ns IT 01000200 6841 LDR r1,[r0,#4] - 360610 ns MR4_I 01000204 6002d1fc - 360670 ns MR4_D 40006004 00000001 - 360670 ns R r1 00000001 - 360670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 360690 ns R r1 80000000 - 360690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 360710 ns R psr 81000200 - 360710 ns MR4_I 01000208 2a001c5b - 360730 ns MR4_I 01000200 07c96841 - 360750 ns IT 01000200 6841 LDR r1,[r0,#4] - 360770 ns MR4_I 01000204 6002d1fc - 360830 ns MR4_D 40006004 00000001 - 360830 ns R r1 00000001 - 360830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 360850 ns R r1 80000000 - 360850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 360870 ns R psr 81000200 - 360870 ns MR4_I 01000208 2a001c5b - 360890 ns MR4_I 01000200 07c96841 - 360910 ns IT 01000200 6841 LDR r1,[r0,#4] - 360930 ns MR4_I 01000204 6002d1fc - 360990 ns MR4_D 40006004 00000001 - 360990 ns R r1 00000001 - 360990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 361010 ns R r1 80000000 - 361010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 361030 ns R psr 81000200 - 361030 ns MR4_I 01000208 2a001c5b - 361050 ns MR4_I 01000200 07c96841 - 361070 ns IT 01000200 6841 LDR r1,[r0,#4] - 361090 ns MR4_I 01000204 6002d1fc - 361150 ns MR4_D 40006004 00000001 - 361150 ns R r1 00000001 - 361150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 361170 ns R r1 80000000 - 361170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 361190 ns R psr 81000200 - 361190 ns MR4_I 01000208 2a001c5b - 361210 ns MR4_I 01000200 07c96841 - 361230 ns IT 01000200 6841 LDR r1,[r0,#4] - 361250 ns MR4_I 01000204 6002d1fc - 361310 ns MR4_D 40006004 00000001 - 361310 ns R r1 00000001 - 361310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 361330 ns R r1 80000000 - 361330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 361350 ns R psr 81000200 - 361350 ns MR4_I 01000208 2a001c5b - 361370 ns MR4_I 01000200 07c96841 - 361390 ns IT 01000200 6841 LDR r1,[r0,#4] - 361410 ns MR4_I 01000204 6002d1fc - 361470 ns MR4_D 40006004 00000001 - 361470 ns R r1 00000001 - 361470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 361490 ns R r1 80000000 - 361490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 361510 ns R psr 81000200 - 361510 ns MR4_I 01000208 2a001c5b - 361530 ns MR4_I 01000200 07c96841 - 361550 ns IT 01000200 6841 LDR r1,[r0,#4] - 361570 ns MR4_I 01000204 6002d1fc - 361630 ns MR4_D 40006004 00000001 - 361630 ns R r1 00000001 - 361630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 361650 ns R r1 80000000 - 361650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 361670 ns R psr 81000200 - 361670 ns MR4_I 01000208 2a001c5b - 361690 ns MR4_I 01000200 07c96841 - 361710 ns IT 01000200 6841 LDR r1,[r0,#4] - 361730 ns MR4_I 01000204 6002d1fc - 361790 ns MR4_D 40006004 00000001 - 361790 ns R r1 00000001 - 361790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 361810 ns R r1 80000000 - 361810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 361830 ns R psr 81000200 - 361830 ns MR4_I 01000208 2a001c5b - 361850 ns MR4_I 01000200 07c96841 - 361870 ns IT 01000200 6841 LDR r1,[r0,#4] - 361890 ns MR4_I 01000204 6002d1fc - 361950 ns MR4_D 40006004 00000001 - 361950 ns R r1 00000001 - 361950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 361970 ns R r1 80000000 - 361970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 361990 ns R psr 81000200 - 361990 ns MR4_I 01000208 2a001c5b - 362010 ns MR4_I 01000200 07c96841 - 362030 ns IT 01000200 6841 LDR r1,[r0,#4] - 362050 ns MR4_I 01000204 6002d1fc - 362110 ns MR4_D 40006004 00000001 - 362110 ns R r1 00000001 - 362110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 362130 ns R r1 80000000 - 362130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 362150 ns R psr 81000200 - 362150 ns MR4_I 01000208 2a001c5b - 362170 ns MR4_I 01000200 07c96841 - 362190 ns IT 01000200 6841 LDR r1,[r0,#4] - 362210 ns MR4_I 01000204 6002d1fc - 362270 ns MR4_D 40006004 00000001 - 362270 ns R r1 00000001 - 362270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 362290 ns R r1 80000000 - 362290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 362310 ns R psr 81000200 - 362310 ns MR4_I 01000208 2a001c5b - 362330 ns MR4_I 01000200 07c96841 - 362350 ns IT 01000200 6841 LDR r1,[r0,#4] - 362370 ns MR4_I 01000204 6002d1fc - 362430 ns MR4_D 40006004 00000001 - 362430 ns R r1 00000001 - 362430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 362450 ns R r1 80000000 - 362450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 362470 ns R psr 81000200 - 362470 ns MR4_I 01000208 2a001c5b - 362490 ns MR4_I 01000200 07c96841 - 362510 ns IT 01000200 6841 LDR r1,[r0,#4] - 362530 ns MR4_I 01000204 6002d1fc - 362590 ns MR4_D 40006004 00000001 - 362590 ns R r1 00000001 - 362590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 362610 ns R r1 80000000 - 362610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 362630 ns R psr 81000200 - 362630 ns MR4_I 01000208 2a001c5b - 362650 ns MR4_I 01000200 07c96841 - 362670 ns IT 01000200 6841 LDR r1,[r0,#4] - 362690 ns MR4_I 01000204 6002d1fc - 362750 ns MR4_D 40006004 00000001 - 362750 ns R r1 00000001 - 362750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 362770 ns R r1 80000000 - 362770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 362790 ns R psr 81000200 - 362790 ns MR4_I 01000208 2a001c5b - 362810 ns MR4_I 01000200 07c96841 - 362830 ns IT 01000200 6841 LDR r1,[r0,#4] - 362850 ns MR4_I 01000204 6002d1fc - 362910 ns MR4_D 40006004 00000001 - 362910 ns R r1 00000001 - 362910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 362930 ns R r1 80000000 - 362930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 362950 ns R psr 81000200 - 362950 ns MR4_I 01000208 2a001c5b - 362970 ns MR4_I 01000200 07c96841 - 362990 ns IT 01000200 6841 LDR r1,[r0,#4] - 363010 ns MR4_I 01000204 6002d1fc - 363070 ns MR4_D 40006004 00000001 - 363070 ns R r1 00000001 - 363070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 363090 ns R r1 80000000 - 363090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 363110 ns R psr 81000200 - 363110 ns MR4_I 01000208 2a001c5b - 363130 ns MR4_I 01000200 07c96841 - 363150 ns IT 01000200 6841 LDR r1,[r0,#4] - 363170 ns MR4_I 01000204 6002d1fc - 363230 ns MR4_D 40006004 00000001 - 363230 ns R r1 00000001 - 363230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 363250 ns R r1 80000000 - 363250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 363270 ns R psr 81000200 - 363270 ns MR4_I 01000208 2a001c5b - 363290 ns MR4_I 01000200 07c96841 - 363310 ns IT 01000200 6841 LDR r1,[r0,#4] - 363330 ns MR4_I 01000204 6002d1fc - 363390 ns MR4_D 40006004 00000001 - 363390 ns R r1 00000001 - 363390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 363410 ns R r1 80000000 - 363410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 363430 ns R psr 81000200 - 363430 ns MR4_I 01000208 2a001c5b - 363450 ns MR4_I 01000200 07c96841 - 363470 ns IT 01000200 6841 LDR r1,[r0,#4] - 363490 ns MR4_I 01000204 6002d1fc - 363550 ns MR4_D 40006004 00000001 - 363550 ns R r1 00000001 - 363550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 363570 ns R r1 80000000 - 363570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 363590 ns R psr 81000200 - 363590 ns MR4_I 01000208 2a001c5b - 363610 ns MR4_I 01000200 07c96841 - 363630 ns IT 01000200 6841 LDR r1,[r0,#4] - 363650 ns MR4_I 01000204 6002d1fc - 363710 ns MR4_D 40006004 00000001 - 363710 ns R r1 00000001 - 363710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 363730 ns R r1 80000000 - 363730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 363750 ns R psr 81000200 - 363750 ns MR4_I 01000208 2a001c5b - 363770 ns MR4_I 01000200 07c96841 - 363790 ns IT 01000200 6841 LDR r1,[r0,#4] - 363810 ns MR4_I 01000204 6002d1fc - 363870 ns MR4_D 40006004 00000001 - 363870 ns R r1 00000001 - 363870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 363890 ns R r1 80000000 - 363890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 363910 ns R psr 81000200 - 363910 ns MR4_I 01000208 2a001c5b - 363930 ns MR4_I 01000200 07c96841 - 363950 ns IT 01000200 6841 LDR r1,[r0,#4] - 363970 ns MR4_I 01000204 6002d1fc - 364030 ns MR4_D 40006004 00000001 - 364030 ns R r1 00000001 - 364030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 364050 ns R r1 80000000 - 364050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 364070 ns R psr 81000200 - 364070 ns MR4_I 01000208 2a001c5b - 364090 ns MR4_I 01000200 07c96841 - 364110 ns IT 01000200 6841 LDR r1,[r0,#4] - 364130 ns MR4_I 01000204 6002d1fc - 364190 ns MR4_D 40006004 00000001 - 364190 ns R r1 00000001 - 364190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 364210 ns R r1 80000000 - 364210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 364230 ns R psr 81000200 - 364230 ns MR4_I 01000208 2a001c5b - 364250 ns MR4_I 01000200 07c96841 - 364270 ns IT 01000200 6841 LDR r1,[r0,#4] - 364290 ns MR4_I 01000204 6002d1fc - 364350 ns MR4_D 40006004 00000001 - 364350 ns R r1 00000001 - 364350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 364370 ns R r1 80000000 - 364370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 364390 ns R psr 81000200 - 364390 ns MR4_I 01000208 2a001c5b - 364410 ns MR4_I 01000200 07c96841 - 364430 ns IT 01000200 6841 LDR r1,[r0,#4] - 364450 ns MR4_I 01000204 6002d1fc - 364510 ns MR4_D 40006004 00000001 - 364510 ns R r1 00000001 - 364510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 364530 ns R r1 80000000 - 364530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 364550 ns R psr 81000200 - 364550 ns MR4_I 01000208 2a001c5b - 364570 ns MR4_I 01000200 07c96841 - 364590 ns IT 01000200 6841 LDR r1,[r0,#4] - 364610 ns MR4_I 01000204 6002d1fc - 364670 ns MR4_D 40006004 00000001 - 364670 ns R r1 00000001 - 364670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 364690 ns R r1 80000000 - 364690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 364710 ns R psr 81000200 - 364710 ns MR4_I 01000208 2a001c5b - 364730 ns MR4_I 01000200 07c96841 - 364750 ns IT 01000200 6841 LDR r1,[r0,#4] - 364770 ns MR4_I 01000204 6002d1fc - 364830 ns MR4_D 40006004 00000001 - 364830 ns R r1 00000001 - 364830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 364850 ns R r1 80000000 - 364850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 364870 ns R psr 81000200 - 364870 ns MR4_I 01000208 2a001c5b - 364890 ns MR4_I 01000200 07c96841 - 364910 ns IT 01000200 6841 LDR r1,[r0,#4] - 364930 ns MR4_I 01000204 6002d1fc - 364990 ns MR4_D 40006004 00000001 - 364990 ns R r1 00000001 - 364990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 365010 ns R r1 80000000 - 365010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 365030 ns R psr 81000200 - 365030 ns MR4_I 01000208 2a001c5b - 365050 ns MR4_I 01000200 07c96841 - 365070 ns IT 01000200 6841 LDR r1,[r0,#4] - 365090 ns MR4_I 01000204 6002d1fc - 365150 ns MR4_D 40006004 00000001 - 365150 ns R r1 00000001 - 365150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 365170 ns R r1 80000000 - 365170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 365190 ns R psr 81000200 - 365190 ns MR4_I 01000208 2a001c5b - 365210 ns MR4_I 01000200 07c96841 - 365230 ns IT 01000200 6841 LDR r1,[r0,#4] - 365250 ns MR4_I 01000204 6002d1fc - 365310 ns MR4_D 40006004 00000001 - 365310 ns R r1 00000001 - 365310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 365330 ns R r1 80000000 - 365330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 365350 ns R psr 81000200 - 365350 ns MR4_I 01000208 2a001c5b - 365370 ns MR4_I 01000200 07c96841 - 365390 ns IT 01000200 6841 LDR r1,[r0,#4] - 365410 ns MR4_I 01000204 6002d1fc - 365470 ns MR4_D 40006004 00000001 - 365470 ns R r1 00000001 - 365470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 365490 ns R r1 80000000 - 365490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 365510 ns R psr 81000200 - 365510 ns MR4_I 01000208 2a001c5b - 365530 ns MR4_I 01000200 07c96841 - 365550 ns IT 01000200 6841 LDR r1,[r0,#4] - 365570 ns MR4_I 01000204 6002d1fc - 365630 ns MR4_D 40006004 00000001 - 365630 ns R r1 00000001 - 365630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 365650 ns R r1 80000000 - 365650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 365670 ns R psr 81000200 - 365670 ns MR4_I 01000208 2a001c5b - 365690 ns MR4_I 01000200 07c96841 - 365710 ns IT 01000200 6841 LDR r1,[r0,#4] - 365730 ns MR4_I 01000204 6002d1fc - 365790 ns MR4_D 40006004 00000001 - 365790 ns R r1 00000001 - 365790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 365810 ns R r1 80000000 - 365810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 365830 ns R psr 81000200 - 365830 ns MR4_I 01000208 2a001c5b - 365850 ns MR4_I 01000200 07c96841 - 365870 ns IT 01000200 6841 LDR r1,[r0,#4] - 365890 ns MR4_I 01000204 6002d1fc - 365950 ns MR4_D 40006004 00000001 - 365950 ns R r1 00000001 - 365950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 365970 ns R r1 80000000 - 365970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 365990 ns R psr 81000200 - 365990 ns MR4_I 01000208 2a001c5b - 366010 ns MR4_I 01000200 07c96841 - 366030 ns IT 01000200 6841 LDR r1,[r0,#4] - 366050 ns MR4_I 01000204 6002d1fc - 366110 ns MR4_D 40006004 00000001 - 366110 ns R r1 00000001 - 366110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 366130 ns R r1 80000000 - 366130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 366150 ns R psr 81000200 - 366150 ns MR4_I 01000208 2a001c5b - 366170 ns MR4_I 01000200 07c96841 - 366190 ns IT 01000200 6841 LDR r1,[r0,#4] - 366210 ns MR4_I 01000204 6002d1fc - 366270 ns MR4_D 40006004 00000001 - 366270 ns R r1 00000001 - 366270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 366290 ns R r1 80000000 - 366290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 366310 ns R psr 81000200 - 366310 ns MR4_I 01000208 2a001c5b - 366330 ns MR4_I 01000200 07c96841 - 366350 ns IT 01000200 6841 LDR r1,[r0,#4] - 366370 ns MR4_I 01000204 6002d1fc - 366430 ns MR4_D 40006004 00000001 - 366430 ns R r1 00000001 - 366430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 366450 ns R r1 80000000 - 366450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 366470 ns R psr 81000200 - 366470 ns MR4_I 01000208 2a001c5b - 366490 ns MR4_I 01000200 07c96841 - 366510 ns IT 01000200 6841 LDR r1,[r0,#4] - 366530 ns MR4_I 01000204 6002d1fc - 366590 ns MR4_D 40006004 00000001 - 366590 ns R r1 00000001 - 366590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 366610 ns R r1 80000000 - 366610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 366630 ns R psr 81000200 - 366630 ns MR4_I 01000208 2a001c5b - 366650 ns MR4_I 01000200 07c96841 - 366670 ns IT 01000200 6841 LDR r1,[r0,#4] - 366690 ns MR4_I 01000204 6002d1fc - 366750 ns MR4_D 40006004 00000001 - 366750 ns R r1 00000001 - 366750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 366770 ns R r1 80000000 - 366770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 366790 ns R psr 81000200 - 366790 ns MR4_I 01000208 2a001c5b - 366810 ns MR4_I 01000200 07c96841 - 366830 ns IT 01000200 6841 LDR r1,[r0,#4] - 366850 ns MR4_I 01000204 6002d1fc - 366910 ns MR4_D 40006004 00000001 - 366910 ns R r1 00000001 - 366910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 366930 ns R r1 80000000 - 366930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 366950 ns R psr 81000200 - 366950 ns MR4_I 01000208 2a001c5b - 366970 ns MR4_I 01000200 07c96841 - 366990 ns IT 01000200 6841 LDR r1,[r0,#4] - 367010 ns MR4_I 01000204 6002d1fc - 367070 ns MR4_D 40006004 00000001 - 367070 ns R r1 00000001 - 367070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 367090 ns R r1 80000000 - 367090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 367110 ns R psr 81000200 - 367110 ns MR4_I 01000208 2a001c5b - 367130 ns MR4_I 01000200 07c96841 - 367150 ns IT 01000200 6841 LDR r1,[r0,#4] - 367170 ns MR4_I 01000204 6002d1fc - 367230 ns MR4_D 40006004 00000001 - 367230 ns R r1 00000001 - 367230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 367250 ns R r1 80000000 - 367250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 367270 ns R psr 81000200 - 367270 ns MR4_I 01000208 2a001c5b - 367290 ns MR4_I 01000200 07c96841 - 367310 ns IT 01000200 6841 LDR r1,[r0,#4] - 367330 ns MR4_I 01000204 6002d1fc - 367390 ns MR4_D 40006004 00000001 - 367390 ns R r1 00000001 - 367390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 367410 ns R r1 80000000 - 367410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 367430 ns R psr 81000200 - 367430 ns MR4_I 01000208 2a001c5b - 367450 ns MR4_I 01000200 07c96841 - 367470 ns IT 01000200 6841 LDR r1,[r0,#4] - 367490 ns MR4_I 01000204 6002d1fc - 367550 ns MR4_D 40006004 00000001 - 367550 ns R r1 00000001 - 367550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 367570 ns R r1 80000000 - 367570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 367590 ns R psr 81000200 - 367590 ns MR4_I 01000208 2a001c5b - 367610 ns MR4_I 01000200 07c96841 - 367630 ns IT 01000200 6841 LDR r1,[r0,#4] - 367650 ns MR4_I 01000204 6002d1fc - 367710 ns MR4_D 40006004 00000001 - 367710 ns R r1 00000001 - 367710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 367730 ns R r1 80000000 - 367730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 367750 ns R psr 81000200 - 367750 ns MR4_I 01000208 2a001c5b - 367770 ns MR4_I 01000200 07c96841 - 367790 ns IT 01000200 6841 LDR r1,[r0,#4] - 367810 ns MR4_I 01000204 6002d1fc - 367870 ns MR4_D 40006004 00000001 - 367870 ns R r1 00000001 - 367870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 367890 ns R r1 80000000 - 367890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 367910 ns R psr 81000200 - 367910 ns MR4_I 01000208 2a001c5b - 367930 ns MR4_I 01000200 07c96841 - 367950 ns IT 01000200 6841 LDR r1,[r0,#4] - 367970 ns MR4_I 01000204 6002d1fc - 368030 ns MR4_D 40006004 00000001 - 368030 ns R r1 00000001 - 368030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 368050 ns R r1 80000000 - 368050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 368070 ns R psr 81000200 - 368070 ns MR4_I 01000208 2a001c5b - 368090 ns MR4_I 01000200 07c96841 - 368110 ns IT 01000200 6841 LDR r1,[r0,#4] - 368130 ns MR4_I 01000204 6002d1fc - 368190 ns MR4_D 40006004 00000001 - 368190 ns R r1 00000001 - 368190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 368210 ns R r1 80000000 - 368210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 368230 ns R psr 81000200 - 368230 ns MR4_I 01000208 2a001c5b - 368250 ns MR4_I 01000200 07c96841 - 368270 ns IT 01000200 6841 LDR r1,[r0,#4] - 368290 ns MR4_I 01000204 6002d1fc - 368350 ns MR4_D 40006004 00000001 - 368350 ns R r1 00000001 - 368350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 368370 ns R r1 80000000 - 368370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 368390 ns R psr 81000200 - 368390 ns MR4_I 01000208 2a001c5b - 368410 ns MR4_I 01000200 07c96841 - 368430 ns IT 01000200 6841 LDR r1,[r0,#4] - 368450 ns MR4_I 01000204 6002d1fc - 368510 ns MR4_D 40006004 00000001 - 368510 ns R r1 00000001 - 368510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 368530 ns R r1 80000000 - 368530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 368550 ns R psr 81000200 - 368550 ns MR4_I 01000208 2a001c5b - 368570 ns MR4_I 01000200 07c96841 - 368590 ns IT 01000200 6841 LDR r1,[r0,#4] - 368610 ns MR4_I 01000204 6002d1fc - 368670 ns MR4_D 40006004 00000001 - 368670 ns R r1 00000001 - 368670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 368690 ns R r1 80000000 - 368690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 368710 ns R psr 81000200 - 368710 ns MR4_I 01000208 2a001c5b - 368730 ns MR4_I 01000200 07c96841 - 368750 ns IT 01000200 6841 LDR r1,[r0,#4] - 368770 ns MR4_I 01000204 6002d1fc - 368830 ns MR4_D 40006004 00000001 - 368830 ns R r1 00000001 - 368830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 368850 ns R r1 80000000 - 368850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 368870 ns R psr 81000200 - 368870 ns MR4_I 01000208 2a001c5b - 368890 ns MR4_I 01000200 07c96841 - 368910 ns IT 01000200 6841 LDR r1,[r0,#4] - 368930 ns MR4_I 01000204 6002d1fc - 368990 ns MR4_D 40006004 00000001 - 368990 ns R r1 00000001 - 368990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 369010 ns R r1 80000000 - 369010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 369030 ns R psr 81000200 - 369030 ns MR4_I 01000208 2a001c5b - 369050 ns MR4_I 01000200 07c96841 - 369070 ns IT 01000200 6841 LDR r1,[r0,#4] - 369090 ns MR4_I 01000204 6002d1fc - 369150 ns MR4_D 40006004 00000001 - 369150 ns R r1 00000001 - 369150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 369170 ns R r1 80000000 - 369170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 369190 ns R psr 81000200 - 369190 ns MR4_I 01000208 2a001c5b - 369210 ns MR4_I 01000200 07c96841 - 369230 ns IT 01000200 6841 LDR r1,[r0,#4] - 369250 ns MR4_I 01000204 6002d1fc - 369310 ns MR4_D 40006004 00000001 - 369310 ns R r1 00000001 - 369310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 369330 ns R r1 80000000 - 369330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 369350 ns R psr 81000200 - 369350 ns MR4_I 01000208 2a001c5b - 369370 ns MR4_I 01000200 07c96841 - 369390 ns IT 01000200 6841 LDR r1,[r0,#4] - 369410 ns MR4_I 01000204 6002d1fc - 369470 ns MR4_D 40006004 00000001 - 369470 ns R r1 00000001 - 369470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 369490 ns R r1 80000000 - 369490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 369510 ns R psr 81000200 - 369510 ns MR4_I 01000208 2a001c5b - 369530 ns MR4_I 01000200 07c96841 - 369550 ns IT 01000200 6841 LDR r1,[r0,#4] - 369570 ns MR4_I 01000204 6002d1fc - 369630 ns MR4_D 40006004 00000001 - 369630 ns R r1 00000001 - 369630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 369650 ns R r1 80000000 - 369650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 369670 ns R psr 81000200 - 369670 ns MR4_I 01000208 2a001c5b - 369690 ns MR4_I 01000200 07c96841 - 369710 ns IT 01000200 6841 LDR r1,[r0,#4] - 369730 ns MR4_I 01000204 6002d1fc - 369790 ns MR4_D 40006004 00000001 - 369790 ns R r1 00000001 - 369790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 369810 ns R r1 80000000 - 369810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 369830 ns R psr 81000200 - 369830 ns MR4_I 01000208 2a001c5b - 369850 ns MR4_I 01000200 07c96841 - 369870 ns IT 01000200 6841 LDR r1,[r0,#4] - 369890 ns MR4_I 01000204 6002d1fc - 369950 ns MR4_D 40006004 00000001 - 369950 ns R r1 00000001 - 369950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 369970 ns R r1 80000000 - 369970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 369990 ns R psr 81000200 - 369990 ns MR4_I 01000208 2a001c5b - 370010 ns MR4_I 01000200 07c96841 - 370030 ns IT 01000200 6841 LDR r1,[r0,#4] - 370050 ns MR4_I 01000204 6002d1fc - 370110 ns MR4_D 40006004 00000001 - 370110 ns R r1 00000001 - 370110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 370130 ns R r1 80000000 - 370130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 370150 ns R psr 81000200 - 370150 ns MR4_I 01000208 2a001c5b - 370170 ns MR4_I 01000200 07c96841 - 370190 ns IT 01000200 6841 LDR r1,[r0,#4] - 370210 ns MR4_I 01000204 6002d1fc - 370270 ns MR4_D 40006004 00000001 - 370270 ns R r1 00000001 - 370270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 370290 ns R r1 80000000 - 370290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 370310 ns R psr 81000200 - 370310 ns MR4_I 01000208 2a001c5b - 370330 ns MR4_I 01000200 07c96841 - 370350 ns IT 01000200 6841 LDR r1,[r0,#4] - 370370 ns MR4_I 01000204 6002d1fc - 370430 ns MR4_D 40006004 00000001 - 370430 ns R r1 00000001 - 370430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 370450 ns R r1 80000000 - 370450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 370470 ns R psr 81000200 - 370470 ns MR4_I 01000208 2a001c5b - 370490 ns MR4_I 01000200 07c96841 - 370510 ns IT 01000200 6841 LDR r1,[r0,#4] - 370530 ns MR4_I 01000204 6002d1fc - 370590 ns MR4_D 40006004 00000001 - 370590 ns R r1 00000001 - 370590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 370610 ns R r1 80000000 - 370610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 370630 ns R psr 81000200 - 370630 ns MR4_I 01000208 2a001c5b - 370650 ns MR4_I 01000200 07c96841 - 370670 ns IT 01000200 6841 LDR r1,[r0,#4] - 370690 ns MR4_I 01000204 6002d1fc - 370750 ns MR4_D 40006004 00000001 - 370750 ns R r1 00000001 - 370750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 370770 ns R r1 80000000 - 370770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 370790 ns R psr 81000200 - 370790 ns MR4_I 01000208 2a001c5b - 370810 ns MR4_I 01000200 07c96841 - 370830 ns IT 01000200 6841 LDR r1,[r0,#4] - 370850 ns MR4_I 01000204 6002d1fc - 370910 ns MR4_D 40006004 00000001 - 370910 ns R r1 00000001 - 370910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 370930 ns R r1 80000000 - 370930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 370950 ns R psr 81000200 - 370950 ns MR4_I 01000208 2a001c5b - 370970 ns MR4_I 01000200 07c96841 - 370990 ns IT 01000200 6841 LDR r1,[r0,#4] - 371010 ns MR4_I 01000204 6002d1fc - 371070 ns MR4_D 40006004 00000001 - 371070 ns R r1 00000001 - 371070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 371090 ns R r1 80000000 - 371090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 371110 ns R psr 81000200 - 371110 ns MR4_I 01000208 2a001c5b - 371130 ns MR4_I 01000200 07c96841 - 371150 ns IT 01000200 6841 LDR r1,[r0,#4] - 371170 ns MR4_I 01000204 6002d1fc - 371230 ns MR4_D 40006004 00000001 - 371230 ns R r1 00000001 - 371230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 371250 ns R r1 80000000 - 371250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 371270 ns R psr 81000200 - 371270 ns MR4_I 01000208 2a001c5b - 371290 ns MR4_I 01000200 07c96841 - 371310 ns IT 01000200 6841 LDR r1,[r0,#4] - 371330 ns MR4_I 01000204 6002d1fc - 371390 ns MR4_D 40006004 00000001 - 371390 ns R r1 00000001 - 371390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 371410 ns R r1 80000000 - 371410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 371430 ns R psr 81000200 - 371430 ns MR4_I 01000208 2a001c5b - 371450 ns MR4_I 01000200 07c96841 - 371470 ns IT 01000200 6841 LDR r1,[r0,#4] - 371490 ns MR4_I 01000204 6002d1fc - 371550 ns MR4_D 40006004 00000001 - 371550 ns R r1 00000001 - 371550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 371570 ns R r1 80000000 - 371570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 371590 ns R psr 81000200 - 371590 ns MR4_I 01000208 2a001c5b - 371610 ns MR4_I 01000200 07c96841 - 371630 ns IT 01000200 6841 LDR r1,[r0,#4] - 371650 ns MR4_I 01000204 6002d1fc - 371710 ns MR4_D 40006004 00000001 - 371710 ns R r1 00000001 - 371710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 371730 ns R r1 80000000 - 371730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 371750 ns R psr 81000200 - 371750 ns MR4_I 01000208 2a001c5b - 371770 ns MR4_I 01000200 07c96841 - 371790 ns IT 01000200 6841 LDR r1,[r0,#4] - 371810 ns MR4_I 01000204 6002d1fc - 371870 ns MR4_D 40006004 00000001 - 371870 ns R r1 00000001 - 371870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 371890 ns R r1 80000000 - 371890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 371910 ns R psr 81000200 - 371910 ns MR4_I 01000208 2a001c5b - 371930 ns MR4_I 01000200 07c96841 - 371950 ns IT 01000200 6841 LDR r1,[r0,#4] - 371970 ns MR4_I 01000204 6002d1fc - 372030 ns MR4_D 40006004 00000001 - 372030 ns R r1 00000001 - 372030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 372050 ns R r1 80000000 - 372050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 372070 ns R psr 81000200 - 372070 ns MR4_I 01000208 2a001c5b - 372090 ns MR4_I 01000200 07c96841 - 372110 ns IT 01000200 6841 LDR r1,[r0,#4] - 372130 ns MR4_I 01000204 6002d1fc - 372190 ns MR4_D 40006004 00000001 - 372190 ns R r1 00000001 - 372190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 372210 ns R r1 80000000 - 372210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 372230 ns R psr 81000200 - 372230 ns MR4_I 01000208 2a001c5b - 372250 ns MR4_I 01000200 07c96841 - 372270 ns IT 01000200 6841 LDR r1,[r0,#4] - 372290 ns MR4_I 01000204 6002d1fc - 372350 ns MR4_D 40006004 00000001 - 372350 ns R r1 00000001 - 372350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 372370 ns R r1 80000000 - 372370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 372390 ns R psr 81000200 - 372390 ns MR4_I 01000208 2a001c5b - 372410 ns MR4_I 01000200 07c96841 - 372430 ns IT 01000200 6841 LDR r1,[r0,#4] - 372450 ns MR4_I 01000204 6002d1fc - 372510 ns MR4_D 40006004 00000001 - 372510 ns R r1 00000001 - 372510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 372530 ns R r1 80000000 - 372530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 372550 ns R psr 81000200 - 372550 ns MR4_I 01000208 2a001c5b - 372570 ns MR4_I 01000200 07c96841 - 372590 ns IT 01000200 6841 LDR r1,[r0,#4] - 372610 ns MR4_I 01000204 6002d1fc - 372670 ns MR4_D 40006004 00000001 - 372670 ns R r1 00000001 - 372670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 372690 ns R r1 80000000 - 372690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 372710 ns R psr 81000200 - 372710 ns MR4_I 01000208 2a001c5b - 372730 ns MR4_I 01000200 07c96841 - 372750 ns IT 01000200 6841 LDR r1,[r0,#4] - 372770 ns MR4_I 01000204 6002d1fc - 372830 ns MR4_D 40006004 00000001 - 372830 ns R r1 00000001 - 372830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 372850 ns R r1 80000000 - 372850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 372870 ns R psr 81000200 - 372870 ns MR4_I 01000208 2a001c5b - 372890 ns MR4_I 01000200 07c96841 - 372910 ns IT 01000200 6841 LDR r1,[r0,#4] - 372930 ns MR4_I 01000204 6002d1fc - 372990 ns MR4_D 40006004 00000001 - 372990 ns R r1 00000001 - 372990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 373010 ns R r1 80000000 - 373010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 373030 ns R psr 81000200 - 373030 ns MR4_I 01000208 2a001c5b - 373050 ns MR4_I 01000200 07c96841 - 373070 ns IT 01000200 6841 LDR r1,[r0,#4] - 373090 ns MR4_I 01000204 6002d1fc - 373150 ns MR4_D 40006004 00000001 - 373150 ns R r1 00000001 - 373150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 373170 ns R r1 80000000 - 373170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 373190 ns R psr 81000200 - 373190 ns MR4_I 01000208 2a001c5b - 373210 ns MR4_I 01000200 07c96841 - 373230 ns IT 01000200 6841 LDR r1,[r0,#4] - 373250 ns MR4_I 01000204 6002d1fc - 373310 ns MR4_D 40006004 00000001 - 373310 ns R r1 00000001 - 373310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 373330 ns R r1 80000000 - 373330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 373350 ns R psr 81000200 - 373350 ns MR4_I 01000208 2a001c5b - 373370 ns MR4_I 01000200 07c96841 - 373390 ns IT 01000200 6841 LDR r1,[r0,#4] - 373410 ns MR4_I 01000204 6002d1fc - 373470 ns MR4_D 40006004 00000001 - 373470 ns R r1 00000001 - 373470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 373490 ns R r1 80000000 - 373490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 373510 ns R psr 81000200 - 373510 ns MR4_I 01000208 2a001c5b - 373530 ns MR4_I 01000200 07c96841 - 373550 ns IT 01000200 6841 LDR r1,[r0,#4] - 373570 ns MR4_I 01000204 6002d1fc - 373630 ns MR4_D 40006004 00000001 - 373630 ns R r1 00000001 - 373630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 373650 ns R r1 80000000 - 373650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 373670 ns R psr 81000200 - 373670 ns MR4_I 01000208 2a001c5b - 373690 ns MR4_I 01000200 07c96841 - 373710 ns IT 01000200 6841 LDR r1,[r0,#4] - 373730 ns MR4_I 01000204 6002d1fc - 373790 ns MR4_D 40006004 00000001 - 373790 ns R r1 00000001 - 373790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 373810 ns R r1 80000000 - 373810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 373830 ns R psr 81000200 - 373830 ns MR4_I 01000208 2a001c5b - 373850 ns MR4_I 01000200 07c96841 - 373870 ns IT 01000200 6841 LDR r1,[r0,#4] - 373890 ns MR4_I 01000204 6002d1fc - 373950 ns MR4_D 40006004 00000001 - 373950 ns R r1 00000001 - 373950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 373970 ns R r1 80000000 - 373970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 373990 ns R psr 81000200 - 373990 ns MR4_I 01000208 2a001c5b - 374010 ns MR4_I 01000200 07c96841 - 374030 ns IT 01000200 6841 LDR r1,[r0,#4] - 374050 ns MR4_I 01000204 6002d1fc - 374110 ns MR4_D 40006004 00000001 - 374110 ns R r1 00000001 - 374110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 374130 ns R r1 80000000 - 374130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 374150 ns R psr 81000200 - 374150 ns MR4_I 01000208 2a001c5b - 374170 ns MR4_I 01000200 07c96841 - 374190 ns IT 01000200 6841 LDR r1,[r0,#4] - 374210 ns MR4_I 01000204 6002d1fc - 374270 ns MR4_D 40006004 00000001 - 374270 ns R r1 00000001 - 374270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 374290 ns R r1 80000000 - 374290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 374310 ns R psr 81000200 - 374310 ns MR4_I 01000208 2a001c5b - 374330 ns MR4_I 01000200 07c96841 - 374350 ns IT 01000200 6841 LDR r1,[r0,#4] - 374370 ns MR4_I 01000204 6002d1fc - 374430 ns MR4_D 40006004 00000001 - 374430 ns R r1 00000001 - 374430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 374450 ns R r1 80000000 - 374450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 374470 ns R psr 81000200 - 374470 ns MR4_I 01000208 2a001c5b - 374490 ns MR4_I 01000200 07c96841 - 374510 ns IT 01000200 6841 LDR r1,[r0,#4] - 374530 ns MR4_I 01000204 6002d1fc - 374590 ns MR4_D 40006004 00000001 - 374590 ns R r1 00000001 - 374590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 374610 ns R r1 80000000 - 374610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 374630 ns R psr 81000200 - 374630 ns MR4_I 01000208 2a001c5b - 374650 ns MR4_I 01000200 07c96841 - 374670 ns IT 01000200 6841 LDR r1,[r0,#4] - 374690 ns MR4_I 01000204 6002d1fc - 374750 ns MR4_D 40006004 00000001 - 374750 ns R r1 00000001 - 374750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 374770 ns R r1 80000000 - 374770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 374790 ns R psr 81000200 - 374790 ns MR4_I 01000208 2a001c5b - 374810 ns MR4_I 01000200 07c96841 - 374830 ns IT 01000200 6841 LDR r1,[r0,#4] - 374850 ns MR4_I 01000204 6002d1fc - 374910 ns MR4_D 40006004 00000001 - 374910 ns R r1 00000001 - 374910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 374930 ns R r1 80000000 - 374930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 374950 ns R psr 81000200 - 374950 ns MR4_I 01000208 2a001c5b - 374970 ns MR4_I 01000200 07c96841 - 374990 ns IT 01000200 6841 LDR r1,[r0,#4] - 375010 ns MR4_I 01000204 6002d1fc - 375070 ns MR4_D 40006004 00000001 - 375070 ns R r1 00000001 - 375070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 375090 ns R r1 80000000 - 375090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 375110 ns R psr 81000200 - 375110 ns MR4_I 01000208 2a001c5b - 375130 ns MR4_I 01000200 07c96841 - 375150 ns IT 01000200 6841 LDR r1,[r0,#4] - 375170 ns MR4_I 01000204 6002d1fc - 375230 ns MR4_D 40006004 00000001 - 375230 ns R r1 00000001 - 375230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 375250 ns R r1 80000000 - 375250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 375270 ns R psr 81000200 - 375270 ns MR4_I 01000208 2a001c5b - 375290 ns MR4_I 01000200 07c96841 - 375310 ns IT 01000200 6841 LDR r1,[r0,#4] - 375330 ns MR4_I 01000204 6002d1fc - 375390 ns MR4_D 40006004 00000001 - 375390 ns R r1 00000001 - 375390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 375410 ns R r1 80000000 - 375410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 375430 ns R psr 81000200 - 375430 ns MR4_I 01000208 2a001c5b - 375450 ns MR4_I 01000200 07c96841 - 375470 ns IT 01000200 6841 LDR r1,[r0,#4] - 375490 ns MR4_I 01000204 6002d1fc - 375550 ns MR4_D 40006004 00000001 - 375550 ns R r1 00000001 - 375550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 375570 ns R r1 80000000 - 375570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 375590 ns R psr 81000200 - 375590 ns MR4_I 01000208 2a001c5b - 375610 ns MR4_I 01000200 07c96841 - 375630 ns IT 01000200 6841 LDR r1,[r0,#4] - 375650 ns MR4_I 01000204 6002d1fc - 375710 ns MR4_D 40006004 00000001 - 375710 ns R r1 00000001 - 375710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 375730 ns R r1 80000000 - 375730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 375750 ns R psr 81000200 - 375750 ns MR4_I 01000208 2a001c5b - 375770 ns MR4_I 01000200 07c96841 - 375790 ns IT 01000200 6841 LDR r1,[r0,#4] - 375810 ns MR4_I 01000204 6002d1fc - 375870 ns MR4_D 40006004 00000001 - 375870 ns R r1 00000001 - 375870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 375890 ns R r1 80000000 - 375890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 375910 ns R psr 81000200 - 375910 ns MR4_I 01000208 2a001c5b - 375930 ns MR4_I 01000200 07c96841 - 375950 ns IT 01000200 6841 LDR r1,[r0,#4] - 375970 ns MR4_I 01000204 6002d1fc - 376030 ns MR4_D 40006004 00000001 - 376030 ns R r1 00000001 - 376030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 376050 ns R r1 80000000 - 376050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 376070 ns R psr 81000200 - 376070 ns MR4_I 01000208 2a001c5b - 376090 ns MR4_I 01000200 07c96841 - 376110 ns IT 01000200 6841 LDR r1,[r0,#4] - 376130 ns MR4_I 01000204 6002d1fc - 376190 ns MR4_D 40006004 00000001 - 376190 ns R r1 00000001 - 376190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 376210 ns R r1 80000000 - 376210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 376230 ns R psr 81000200 - 376230 ns MR4_I 01000208 2a001c5b - 376250 ns MR4_I 01000200 07c96841 - 376270 ns IT 01000200 6841 LDR r1,[r0,#4] - 376290 ns MR4_I 01000204 6002d1fc - 376350 ns MR4_D 40006004 00000001 - 376350 ns R r1 00000001 - 376350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 376370 ns R r1 80000000 - 376370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 376390 ns R psr 81000200 - 376390 ns MR4_I 01000208 2a001c5b - 376410 ns MR4_I 01000200 07c96841 - 376430 ns IT 01000200 6841 LDR r1,[r0,#4] - 376450 ns MR4_I 01000204 6002d1fc - 376510 ns MR4_D 40006004 00000001 - 376510 ns R r1 00000001 - 376510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 376530 ns R r1 80000000 - 376530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 376550 ns R psr 81000200 - 376550 ns MR4_I 01000208 2a001c5b - 376570 ns MR4_I 01000200 07c96841 - 376590 ns IT 01000200 6841 LDR r1,[r0,#4] - 376610 ns MR4_I 01000204 6002d1fc - 376670 ns MR4_D 40006004 00000001 - 376670 ns R r1 00000001 - 376670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 376690 ns R r1 80000000 - 376690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 376710 ns R psr 81000200 - 376710 ns MR4_I 01000208 2a001c5b - 376730 ns MR4_I 01000200 07c96841 - 376750 ns IT 01000200 6841 LDR r1,[r0,#4] - 376770 ns MR4_I 01000204 6002d1fc - 376830 ns MR4_D 40006004 00000001 - 376830 ns R r1 00000001 - 376830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 376850 ns R r1 80000000 - 376850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 376870 ns R psr 81000200 - 376870 ns MR4_I 01000208 2a001c5b - 376890 ns MR4_I 01000200 07c96841 - 376910 ns IT 01000200 6841 LDR r1,[r0,#4] - 376930 ns MR4_I 01000204 6002d1fc - 376990 ns MR4_D 40006004 00000001 - 376990 ns R r1 00000001 - 376990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 377010 ns R r1 80000000 - 377010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 377030 ns R psr 81000200 - 377030 ns MR4_I 01000208 2a001c5b - 377050 ns MR4_I 01000200 07c96841 - 377070 ns IT 01000200 6841 LDR r1,[r0,#4] - 377090 ns MR4_I 01000204 6002d1fc - 377150 ns MR4_D 40006004 00000001 - 377150 ns R r1 00000001 - 377150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 377170 ns R r1 80000000 - 377170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 377190 ns R psr 81000200 - 377190 ns MR4_I 01000208 2a001c5b - 377210 ns MR4_I 01000200 07c96841 - 377230 ns IT 01000200 6841 LDR r1,[r0,#4] - 377250 ns MR4_I 01000204 6002d1fc - 377310 ns MR4_D 40006004 00000001 - 377310 ns R r1 00000001 - 377310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 377330 ns R r1 80000000 - 377330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 377350 ns R psr 81000200 - 377350 ns MR4_I 01000208 2a001c5b - 377370 ns MR4_I 01000200 07c96841 - 377390 ns IT 01000200 6841 LDR r1,[r0,#4] - 377410 ns MR4_I 01000204 6002d1fc - 377470 ns MR4_D 40006004 00000001 - 377470 ns R r1 00000001 - 377470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 377490 ns R r1 80000000 - 377490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 377510 ns R psr 81000200 - 377510 ns MR4_I 01000208 2a001c5b - 377530 ns MR4_I 01000200 07c96841 - 377550 ns IT 01000200 6841 LDR r1,[r0,#4] - 377570 ns MR4_I 01000204 6002d1fc - 377630 ns MR4_D 40006004 00000001 - 377630 ns R r1 00000001 - 377630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 377650 ns R r1 80000000 - 377650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 377670 ns R psr 81000200 - 377670 ns MR4_I 01000208 2a001c5b - 377690 ns MR4_I 01000200 07c96841 - 377710 ns IT 01000200 6841 LDR r1,[r0,#4] - 377730 ns MR4_I 01000204 6002d1fc - 377790 ns MR4_D 40006004 00000001 - 377790 ns R r1 00000001 - 377790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 377810 ns R r1 80000000 - 377810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 377830 ns R psr 81000200 - 377830 ns MR4_I 01000208 2a001c5b - 377850 ns MR4_I 01000200 07c96841 - 377870 ns IT 01000200 6841 LDR r1,[r0,#4] - 377890 ns MR4_I 01000204 6002d1fc - 377950 ns MR4_D 40006004 00000001 - 377950 ns R r1 00000001 - 377950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 377970 ns R r1 80000000 - 377970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 377990 ns R psr 81000200 - 377990 ns MR4_I 01000208 2a001c5b - 378010 ns MR4_I 01000200 07c96841 - 378030 ns IT 01000200 6841 LDR r1,[r0,#4] - 378050 ns MR4_I 01000204 6002d1fc - 378110 ns MR4_D 40006004 00000001 - 378110 ns R r1 00000001 - 378110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 378130 ns R r1 80000000 - 378130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 378150 ns R psr 81000200 - 378150 ns MR4_I 01000208 2a001c5b - 378170 ns MR4_I 01000200 07c96841 - 378190 ns IT 01000200 6841 LDR r1,[r0,#4] - 378210 ns MR4_I 01000204 6002d1fc - 378270 ns MR4_D 40006004 00000001 - 378270 ns R r1 00000001 - 378270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 378290 ns R r1 80000000 - 378290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 378310 ns R psr 81000200 - 378310 ns MR4_I 01000208 2a001c5b - 378330 ns MR4_I 01000200 07c96841 - 378350 ns IT 01000200 6841 LDR r1,[r0,#4] - 378370 ns MR4_I 01000204 6002d1fc - 378430 ns MR4_D 40006004 00000001 - 378430 ns R r1 00000001 - 378430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 378450 ns R r1 80000000 - 378450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 378470 ns R psr 81000200 - 378470 ns MR4_I 01000208 2a001c5b - 378490 ns MR4_I 01000200 07c96841 - 378510 ns IT 01000200 6841 LDR r1,[r0,#4] - 378530 ns MR4_I 01000204 6002d1fc - 378590 ns MR4_D 40006004 00000001 - 378590 ns R r1 00000001 - 378590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 378610 ns R r1 80000000 - 378610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 378630 ns R psr 81000200 - 378630 ns MR4_I 01000208 2a001c5b - 378650 ns MR4_I 01000200 07c96841 - 378670 ns IT 01000200 6841 LDR r1,[r0,#4] - 378690 ns MR4_I 01000204 6002d1fc - 378750 ns MR4_D 40006004 00000001 - 378750 ns R r1 00000001 - 378750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 378770 ns R r1 80000000 - 378770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 378790 ns R psr 81000200 - 378790 ns MR4_I 01000208 2a001c5b - 378810 ns MR4_I 01000200 07c96841 - 378830 ns IT 01000200 6841 LDR r1,[r0,#4] - 378850 ns MR4_I 01000204 6002d1fc - 378910 ns MR4_D 40006004 00000001 - 378910 ns R r1 00000001 - 378910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 378930 ns R r1 80000000 - 378930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 378950 ns R psr 81000200 - 378950 ns MR4_I 01000208 2a001c5b - 378970 ns MR4_I 01000200 07c96841 - 378990 ns IT 01000200 6841 LDR r1,[r0,#4] - 379010 ns MR4_I 01000204 6002d1fc - 379070 ns MR4_D 40006004 00000001 - 379070 ns R r1 00000001 - 379070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 379090 ns R r1 80000000 - 379090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 379110 ns R psr 81000200 - 379110 ns MR4_I 01000208 2a001c5b - 379130 ns MR4_I 01000200 07c96841 - 379150 ns IT 01000200 6841 LDR r1,[r0,#4] - 379170 ns MR4_I 01000204 6002d1fc - 379230 ns MR4_D 40006004 00000001 - 379230 ns R r1 00000001 - 379230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 379250 ns R r1 80000000 - 379250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 379270 ns R psr 81000200 - 379270 ns MR4_I 01000208 2a001c5b - 379290 ns MR4_I 01000200 07c96841 - 379310 ns IT 01000200 6841 LDR r1,[r0,#4] - 379330 ns MR4_I 01000204 6002d1fc - 379390 ns MR4_D 40006004 00000001 - 379390 ns R r1 00000001 - 379390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 379410 ns R r1 80000000 - 379410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 379430 ns R psr 81000200 - 379430 ns MR4_I 01000208 2a001c5b - 379450 ns MR4_I 01000200 07c96841 - 379470 ns IT 01000200 6841 LDR r1,[r0,#4] - 379490 ns MR4_I 01000204 6002d1fc - 379550 ns MR4_D 40006004 00000001 - 379550 ns R r1 00000001 - 379550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 379570 ns R r1 80000000 - 379570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 379590 ns R psr 81000200 - 379590 ns MR4_I 01000208 2a001c5b - 379610 ns MR4_I 01000200 07c96841 - 379630 ns IT 01000200 6841 LDR r1,[r0,#4] - 379650 ns MR4_I 01000204 6002d1fc - 379710 ns MR4_D 40006004 00000001 - 379710 ns R r1 00000001 - 379710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 379730 ns R r1 80000000 - 379730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 379750 ns R psr 81000200 - 379750 ns MR4_I 01000208 2a001c5b - 379770 ns MR4_I 01000200 07c96841 - 379790 ns IT 01000200 6841 LDR r1,[r0,#4] - 379810 ns MR4_I 01000204 6002d1fc - 379870 ns MR4_D 40006004 00000001 - 379870 ns R r1 00000001 - 379870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 379890 ns R r1 80000000 - 379890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 379910 ns R psr 81000200 - 379910 ns MR4_I 01000208 2a001c5b - 379930 ns MR4_I 01000200 07c96841 - 379950 ns IT 01000200 6841 LDR r1,[r0,#4] - 379970 ns MR4_I 01000204 6002d1fc - 380030 ns MR4_D 40006004 00000001 - 380030 ns R r1 00000001 - 380030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 380050 ns R r1 80000000 - 380050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 380070 ns R psr 81000200 - 380070 ns MR4_I 01000208 2a001c5b - 380090 ns MR4_I 01000200 07c96841 - 380110 ns IT 01000200 6841 LDR r1,[r0,#4] - 380130 ns MR4_I 01000204 6002d1fc - 380190 ns MR4_D 40006004 00000001 - 380190 ns R r1 00000001 - 380190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 380210 ns R r1 80000000 - 380210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 380230 ns R psr 81000200 - 380230 ns MR4_I 01000208 2a001c5b - 380250 ns MR4_I 01000200 07c96841 - 380270 ns IT 01000200 6841 LDR r1,[r0,#4] - 380290 ns MR4_I 01000204 6002d1fc - 380350 ns MR4_D 40006004 00000001 - 380350 ns R r1 00000001 - 380350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 380370 ns R r1 80000000 - 380370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 380390 ns R psr 81000200 - 380390 ns MR4_I 01000208 2a001c5b - 380410 ns MR4_I 01000200 07c96841 - 380430 ns IT 01000200 6841 LDR r1,[r0,#4] - 380450 ns MR4_I 01000204 6002d1fc - 380510 ns MR4_D 40006004 00000001 - 380510 ns R r1 00000001 - 380510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 380530 ns R r1 80000000 - 380530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 380550 ns R psr 81000200 - 380550 ns MR4_I 01000208 2a001c5b - 380570 ns MR4_I 01000200 07c96841 - 380590 ns IT 01000200 6841 LDR r1,[r0,#4] - 380610 ns MR4_I 01000204 6002d1fc - 380670 ns MR4_D 40006004 00000001 - 380670 ns R r1 00000001 - 380670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 380690 ns R r1 80000000 - 380690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 380710 ns R psr 81000200 - 380710 ns MR4_I 01000208 2a001c5b - 380730 ns MR4_I 01000200 07c96841 - 380750 ns IT 01000200 6841 LDR r1,[r0,#4] - 380770 ns MR4_I 01000204 6002d1fc - 380830 ns MR4_D 40006004 00000001 - 380830 ns R r1 00000001 - 380830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 380850 ns R r1 80000000 - 380850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 380870 ns R psr 81000200 - 380870 ns MR4_I 01000208 2a001c5b - 380890 ns MR4_I 01000200 07c96841 - 380910 ns IT 01000200 6841 LDR r1,[r0,#4] - 380930 ns MR4_I 01000204 6002d1fc - 380990 ns MR4_D 40006004 00000001 - 380990 ns R r1 00000001 - 380990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 381010 ns R r1 80000000 - 381010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 381030 ns R psr 81000200 - 381030 ns MR4_I 01000208 2a001c5b - 381050 ns MR4_I 01000200 07c96841 - 381070 ns IT 01000200 6841 LDR r1,[r0,#4] - 381090 ns MR4_I 01000204 6002d1fc - 381150 ns MR4_D 40006004 00000001 - 381150 ns R r1 00000001 - 381150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 381170 ns R r1 80000000 - 381170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 381190 ns R psr 81000200 - 381190 ns MR4_I 01000208 2a001c5b - 381210 ns MR4_I 01000200 07c96841 - 381230 ns IT 01000200 6841 LDR r1,[r0,#4] - 381250 ns MR4_I 01000204 6002d1fc - 381310 ns MR4_D 40006004 00000001 - 381310 ns R r1 00000001 - 381310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 381330 ns R r1 80000000 - 381330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 381350 ns R psr 81000200 - 381350 ns MR4_I 01000208 2a001c5b - 381370 ns MR4_I 01000200 07c96841 - 381390 ns IT 01000200 6841 LDR r1,[r0,#4] - 381410 ns MR4_I 01000204 6002d1fc - 381470 ns MR4_D 40006004 00000001 - 381470 ns R r1 00000001 - 381470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 381490 ns R r1 80000000 - 381490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 381510 ns R psr 81000200 - 381510 ns MR4_I 01000208 2a001c5b - 381530 ns MR4_I 01000200 07c96841 - 381550 ns IT 01000200 6841 LDR r1,[r0,#4] - 381570 ns MR4_I 01000204 6002d1fc - 381630 ns MR4_D 40006004 00000001 - 381630 ns R r1 00000001 - 381630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 381650 ns R r1 80000000 - 381650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 381670 ns R psr 81000200 - 381670 ns MR4_I 01000208 2a001c5b - 381690 ns MR4_I 01000200 07c96841 - 381710 ns IT 01000200 6841 LDR r1,[r0,#4] - 381730 ns MR4_I 01000204 6002d1fc - 381790 ns MR4_D 40006004 00000001 - 381790 ns R r1 00000001 - 381790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 381810 ns R r1 80000000 - 381810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 381830 ns R psr 81000200 - 381830 ns MR4_I 01000208 2a001c5b - 381850 ns MR4_I 01000200 07c96841 - 381870 ns IT 01000200 6841 LDR r1,[r0,#4] - 381890 ns MR4_I 01000204 6002d1fc - 381950 ns MR4_D 40006004 00000001 - 381950 ns R r1 00000001 - 381950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 381970 ns R r1 80000000 - 381970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 381990 ns R psr 81000200 - 381990 ns MR4_I 01000208 2a001c5b - 382010 ns MR4_I 01000200 07c96841 - 382030 ns IT 01000200 6841 LDR r1,[r0,#4] - 382050 ns MR4_I 01000204 6002d1fc - 382110 ns MR4_D 40006004 00000001 - 382110 ns R r1 00000001 - 382110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 382130 ns R r1 80000000 - 382130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 382150 ns R psr 81000200 - 382150 ns MR4_I 01000208 2a001c5b - 382170 ns MR4_I 01000200 07c96841 - 382190 ns IT 01000200 6841 LDR r1,[r0,#4] - 382210 ns MR4_I 01000204 6002d1fc - 382270 ns MR4_D 40006004 00000001 - 382270 ns R r1 00000001 - 382270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 382290 ns R r1 80000000 - 382290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 382310 ns R psr 81000200 - 382310 ns MR4_I 01000208 2a001c5b - 382330 ns MR4_I 01000200 07c96841 - 382350 ns IT 01000200 6841 LDR r1,[r0,#4] - 382370 ns MR4_I 01000204 6002d1fc - 382430 ns MR4_D 40006004 00000001 - 382430 ns R r1 00000001 - 382430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 382450 ns R r1 80000000 - 382450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 382470 ns R psr 81000200 - 382470 ns MR4_I 01000208 2a001c5b - 382490 ns MR4_I 01000200 07c96841 - 382510 ns IT 01000200 6841 LDR r1,[r0,#4] - 382530 ns MR4_I 01000204 6002d1fc - 382590 ns MR4_D 40006004 00000001 - 382590 ns R r1 00000001 - 382590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 382610 ns R r1 80000000 - 382610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 382630 ns R psr 81000200 - 382630 ns MR4_I 01000208 2a001c5b - 382650 ns MR4_I 01000200 07c96841 - 382670 ns IT 01000200 6841 LDR r1,[r0,#4] - 382690 ns MR4_I 01000204 6002d1fc - 382750 ns MR4_D 40006004 00000001 - 382750 ns R r1 00000001 - 382750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 382770 ns R r1 80000000 - 382770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 382790 ns R psr 81000200 - 382790 ns MR4_I 01000208 2a001c5b - 382810 ns MR4_I 01000200 07c96841 - 382830 ns IT 01000200 6841 LDR r1,[r0,#4] - 382850 ns MR4_I 01000204 6002d1fc - 382910 ns MR4_D 40006004 00000001 - 382910 ns R r1 00000001 - 382910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 382930 ns R r1 80000000 - 382930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 382950 ns R psr 81000200 - 382950 ns MR4_I 01000208 2a001c5b - 382970 ns MR4_I 01000200 07c96841 - 382990 ns IT 01000200 6841 LDR r1,[r0,#4] - 383010 ns MR4_I 01000204 6002d1fc - 383070 ns MR4_D 40006004 00000001 - 383070 ns R r1 00000001 - 383070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 383090 ns R r1 80000000 - 383090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 383110 ns R psr 81000200 - 383110 ns MR4_I 01000208 2a001c5b - 383130 ns MR4_I 01000200 07c96841 - 383150 ns IT 01000200 6841 LDR r1,[r0,#4] - 383170 ns MR4_I 01000204 6002d1fc - 383230 ns MR4_D 40006004 00000001 - 383230 ns R r1 00000001 - 383230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 383250 ns R r1 80000000 - 383250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 383270 ns R psr 81000200 - 383270 ns MR4_I 01000208 2a001c5b - 383290 ns MR4_I 01000200 07c96841 - 383310 ns IT 01000200 6841 LDR r1,[r0,#4] - 383330 ns MR4_I 01000204 6002d1fc - 383390 ns MR4_D 40006004 00000001 - 383390 ns R r1 00000001 - 383390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 383410 ns R r1 80000000 - 383410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 383430 ns R psr 81000200 - 383430 ns MR4_I 01000208 2a001c5b - 383450 ns MR4_I 01000200 07c96841 - 383470 ns IT 01000200 6841 LDR r1,[r0,#4] - 383490 ns MR4_I 01000204 6002d1fc - 383550 ns MR4_D 40006004 00000001 - 383550 ns R r1 00000001 - 383550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 383570 ns R r1 80000000 - 383570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 383590 ns R psr 81000200 - 383590 ns MR4_I 01000208 2a001c5b - 383610 ns MR4_I 01000200 07c96841 - 383630 ns IT 01000200 6841 LDR r1,[r0,#4] - 383650 ns MR4_I 01000204 6002d1fc - 383710 ns MR4_D 40006004 00000001 - 383710 ns R r1 00000001 - 383710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 383730 ns R r1 80000000 - 383730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 383750 ns R psr 81000200 - 383750 ns MR4_I 01000208 2a001c5b - 383770 ns MR4_I 01000200 07c96841 - 383790 ns IT 01000200 6841 LDR r1,[r0,#4] - 383810 ns MR4_I 01000204 6002d1fc - 383870 ns MR4_D 40006004 00000001 - 383870 ns R r1 00000001 - 383870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 383890 ns R r1 80000000 - 383890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 383910 ns R psr 81000200 - 383910 ns MR4_I 01000208 2a001c5b - 383930 ns MR4_I 01000200 07c96841 - 383950 ns IT 01000200 6841 LDR r1,[r0,#4] - 383970 ns MR4_I 01000204 6002d1fc - 384030 ns MR4_D 40006004 00000001 - 384030 ns R r1 00000001 - 384030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 384050 ns R r1 80000000 - 384050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 384070 ns R psr 81000200 - 384070 ns MR4_I 01000208 2a001c5b - 384090 ns MR4_I 01000200 07c96841 - 384110 ns IT 01000200 6841 LDR r1,[r0,#4] - 384130 ns MR4_I 01000204 6002d1fc - 384190 ns MR4_D 40006004 00000001 - 384190 ns R r1 00000001 - 384190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 384210 ns R r1 80000000 - 384210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 384230 ns R psr 81000200 - 384230 ns MR4_I 01000208 2a001c5b - 384250 ns MR4_I 01000200 07c96841 - 384270 ns IT 01000200 6841 LDR r1,[r0,#4] - 384290 ns MR4_I 01000204 6002d1fc - 384350 ns MR4_D 40006004 00000001 - 384350 ns R r1 00000001 - 384350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 384370 ns R r1 80000000 - 384370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 384390 ns R psr 81000200 - 384390 ns MR4_I 01000208 2a001c5b - 384410 ns MR4_I 01000200 07c96841 - 384430 ns IT 01000200 6841 LDR r1,[r0,#4] - 384450 ns MR4_I 01000204 6002d1fc - 384510 ns MR4_D 40006004 00000001 - 384510 ns R r1 00000001 - 384510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 384530 ns R r1 80000000 - 384530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 384550 ns R psr 81000200 - 384550 ns MR4_I 01000208 2a001c5b - 384570 ns MR4_I 01000200 07c96841 - 384590 ns IT 01000200 6841 LDR r1,[r0,#4] - 384610 ns MR4_I 01000204 6002d1fc - 384670 ns MR4_D 40006004 00000001 - 384670 ns R r1 00000001 - 384670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 384690 ns R r1 80000000 - 384690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 384710 ns R psr 81000200 - 384710 ns MR4_I 01000208 2a001c5b - 384730 ns MR4_I 01000200 07c96841 - 384750 ns IT 01000200 6841 LDR r1,[r0,#4] - 384770 ns MR4_I 01000204 6002d1fc - 384830 ns MR4_D 40006004 00000001 - 384830 ns R r1 00000001 - 384830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 384850 ns R r1 80000000 - 384850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 384870 ns R psr 81000200 - 384870 ns MR4_I 01000208 2a001c5b - 384890 ns MR4_I 01000200 07c96841 - 384910 ns IT 01000200 6841 LDR r1,[r0,#4] - 384930 ns MR4_I 01000204 6002d1fc - 384990 ns MR4_D 40006004 00000001 - 384990 ns R r1 00000001 - 384990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 385010 ns R r1 80000000 - 385010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 385030 ns R psr 81000200 - 385030 ns MR4_I 01000208 2a001c5b - 385050 ns MR4_I 01000200 07c96841 - 385070 ns IT 01000200 6841 LDR r1,[r0,#4] - 385090 ns MR4_I 01000204 6002d1fc - 385150 ns MR4_D 40006004 00000001 - 385150 ns R r1 00000001 - 385150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 385170 ns R r1 80000000 - 385170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 385190 ns R psr 81000200 - 385190 ns MR4_I 01000208 2a001c5b - 385210 ns MR4_I 01000200 07c96841 - 385230 ns IT 01000200 6841 LDR r1,[r0,#4] - 385250 ns MR4_I 01000204 6002d1fc - 385310 ns MR4_D 40006004 00000001 - 385310 ns R r1 00000001 - 385310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 385330 ns R r1 80000000 - 385330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 385350 ns R psr 81000200 - 385350 ns MR4_I 01000208 2a001c5b - 385370 ns MR4_I 01000200 07c96841 - 385390 ns IT 01000200 6841 LDR r1,[r0,#4] - 385410 ns MR4_I 01000204 6002d1fc - 385470 ns MR4_D 40006004 00000001 - 385470 ns R r1 00000001 - 385470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 385490 ns R r1 80000000 - 385490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 385510 ns R psr 81000200 - 385510 ns MR4_I 01000208 2a001c5b - 385530 ns MR4_I 01000200 07c96841 - 385550 ns IT 01000200 6841 LDR r1,[r0,#4] - 385570 ns MR4_I 01000204 6002d1fc - 385630 ns MR4_D 40006004 00000001 - 385630 ns R r1 00000001 - 385630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 385650 ns R r1 80000000 - 385650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 385670 ns R psr 81000200 - 385670 ns MR4_I 01000208 2a001c5b - 385690 ns MR4_I 01000200 07c96841 - 385710 ns IT 01000200 6841 LDR r1,[r0,#4] - 385730 ns MR4_I 01000204 6002d1fc - 385790 ns MR4_D 40006004 00000001 - 385790 ns R r1 00000001 - 385790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 385810 ns R r1 80000000 - 385810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 385830 ns R psr 81000200 - 385830 ns MR4_I 01000208 2a001c5b - 385850 ns MR4_I 01000200 07c96841 - 385870 ns IT 01000200 6841 LDR r1,[r0,#4] - 385890 ns MR4_I 01000204 6002d1fc - 385950 ns MR4_D 40006004 00000001 - 385950 ns R r1 00000001 - 385950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 385970 ns R r1 80000000 - 385970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 385990 ns R psr 81000200 - 385990 ns MR4_I 01000208 2a001c5b - 386010 ns MR4_I 01000200 07c96841 - 386030 ns IT 01000200 6841 LDR r1,[r0,#4] - 386050 ns MR4_I 01000204 6002d1fc - 386110 ns MR4_D 40006004 00000001 - 386110 ns R r1 00000001 - 386110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 386130 ns R r1 80000000 - 386130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 386150 ns R psr 81000200 - 386150 ns MR4_I 01000208 2a001c5b - 386170 ns MR4_I 01000200 07c96841 - 386190 ns IT 01000200 6841 LDR r1,[r0,#4] - 386210 ns MR4_I 01000204 6002d1fc - 386270 ns MR4_D 40006004 00000001 - 386270 ns R r1 00000001 - 386270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 386290 ns R r1 80000000 - 386290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 386310 ns R psr 81000200 - 386310 ns MR4_I 01000208 2a001c5b - 386330 ns MR4_I 01000200 07c96841 - 386350 ns IT 01000200 6841 LDR r1,[r0,#4] - 386370 ns MR4_I 01000204 6002d1fc - 386430 ns MR4_D 40006004 00000001 - 386430 ns R r1 00000001 - 386430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 386450 ns R r1 80000000 - 386450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 386470 ns R psr 81000200 - 386470 ns MR4_I 01000208 2a001c5b - 386490 ns MR4_I 01000200 07c96841 - 386510 ns IT 01000200 6841 LDR r1,[r0,#4] - 386530 ns MR4_I 01000204 6002d1fc - 386590 ns MR4_D 40006004 00000001 - 386590 ns R r1 00000001 - 386590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 386610 ns R r1 80000000 - 386610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 386630 ns R psr 81000200 - 386630 ns MR4_I 01000208 2a001c5b - 386650 ns MR4_I 01000200 07c96841 - 386670 ns IT 01000200 6841 LDR r1,[r0,#4] - 386690 ns MR4_I 01000204 6002d1fc - 386750 ns MR4_D 40006004 00000001 - 386750 ns R r1 00000001 - 386750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 386770 ns R r1 80000000 - 386770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 386790 ns R psr 81000200 - 386790 ns MR4_I 01000208 2a001c5b - 386810 ns MR4_I 01000200 07c96841 - 386830 ns IT 01000200 6841 LDR r1,[r0,#4] - 386850 ns MR4_I 01000204 6002d1fc - 386910 ns MR4_D 40006004 00000001 - 386910 ns R r1 00000001 - 386910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 386930 ns R r1 80000000 - 386930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 386950 ns R psr 81000200 - 386950 ns MR4_I 01000208 2a001c5b - 386970 ns MR4_I 01000200 07c96841 - 386990 ns IT 01000200 6841 LDR r1,[r0,#4] - 387010 ns MR4_I 01000204 6002d1fc - 387070 ns MR4_D 40006004 00000001 - 387070 ns R r1 00000001 - 387070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 387090 ns R r1 80000000 - 387090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 387110 ns R psr 81000200 - 387110 ns MR4_I 01000208 2a001c5b - 387130 ns MR4_I 01000200 07c96841 - 387150 ns IT 01000200 6841 LDR r1,[r0,#4] - 387170 ns MR4_I 01000204 6002d1fc - 387230 ns MR4_D 40006004 00000001 - 387230 ns R r1 00000001 - 387230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 387250 ns R r1 80000000 - 387250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 387270 ns R psr 81000200 - 387270 ns MR4_I 01000208 2a001c5b - 387290 ns MR4_I 01000200 07c96841 - 387310 ns IT 01000200 6841 LDR r1,[r0,#4] - 387330 ns MR4_I 01000204 6002d1fc - 387390 ns MR4_D 40006004 00000001 - 387390 ns R r1 00000001 - 387390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 387410 ns R r1 80000000 - 387410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 387430 ns R psr 81000200 - 387430 ns MR4_I 01000208 2a001c5b - 387450 ns MR4_I 01000200 07c96841 - 387470 ns IT 01000200 6841 LDR r1,[r0,#4] - 387490 ns MR4_I 01000204 6002d1fc - 387550 ns MR4_D 40006004 00000001 - 387550 ns R r1 00000001 - 387550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 387570 ns R r1 80000000 - 387570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 387590 ns R psr 81000200 - 387590 ns MR4_I 01000208 2a001c5b - 387610 ns MR4_I 01000200 07c96841 - 387630 ns IT 01000200 6841 LDR r1,[r0,#4] - 387650 ns MR4_I 01000204 6002d1fc - 387710 ns MR4_D 40006004 00000001 - 387710 ns R r1 00000001 - 387710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 387730 ns R r1 80000000 - 387730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 387750 ns R psr 81000200 - 387750 ns MR4_I 01000208 2a001c5b - 387770 ns MR4_I 01000200 07c96841 - 387790 ns IT 01000200 6841 LDR r1,[r0,#4] - 387810 ns MR4_I 01000204 6002d1fc - 387870 ns MR4_D 40006004 00000001 - 387870 ns R r1 00000001 - 387870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 387890 ns R r1 80000000 - 387890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 387910 ns R psr 81000200 - 387910 ns MR4_I 01000208 2a001c5b - 387930 ns MR4_I 01000200 07c96841 - 387950 ns IT 01000200 6841 LDR r1,[r0,#4] - 387970 ns MR4_I 01000204 6002d1fc - 388030 ns MR4_D 40006004 00000001 - 388030 ns R r1 00000001 - 388030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 388050 ns R r1 80000000 - 388050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 388070 ns R psr 81000200 - 388070 ns MR4_I 01000208 2a001c5b - 388090 ns MR4_I 01000200 07c96841 - 388110 ns IT 01000200 6841 LDR r1,[r0,#4] - 388130 ns MR4_I 01000204 6002d1fc - 388190 ns MR4_D 40006004 00000001 - 388190 ns R r1 00000001 - 388190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 388210 ns R r1 80000000 - 388210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 388230 ns R psr 81000200 - 388230 ns MR4_I 01000208 2a001c5b - 388250 ns MR4_I 01000200 07c96841 - 388270 ns IT 01000200 6841 LDR r1,[r0,#4] - 388290 ns MR4_I 01000204 6002d1fc - 388350 ns MR4_D 40006004 00000001 - 388350 ns R r1 00000001 - 388350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 388370 ns R r1 80000000 - 388370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 388390 ns R psr 81000200 - 388390 ns MR4_I 01000208 2a001c5b - 388410 ns MR4_I 01000200 07c96841 - 388430 ns IT 01000200 6841 LDR r1,[r0,#4] - 388450 ns MR4_I 01000204 6002d1fc - 388510 ns MR4_D 40006004 00000001 - 388510 ns R r1 00000001 - 388510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 388530 ns R r1 80000000 - 388530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 388550 ns R psr 81000200 - 388550 ns MR4_I 01000208 2a001c5b - 388570 ns MR4_I 01000200 07c96841 - 388590 ns IT 01000200 6841 LDR r1,[r0,#4] - 388610 ns MR4_I 01000204 6002d1fc - 388670 ns MR4_D 40006004 00000001 - 388670 ns R r1 00000001 - 388670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 388690 ns R r1 80000000 - 388690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 388710 ns R psr 81000200 - 388710 ns MR4_I 01000208 2a001c5b - 388730 ns MR4_I 01000200 07c96841 - 388750 ns IT 01000200 6841 LDR r1,[r0,#4] - 388770 ns MR4_I 01000204 6002d1fc - 388830 ns MR4_D 40006004 00000001 - 388830 ns R r1 00000001 - 388830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 388850 ns R r1 80000000 - 388850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 388870 ns R psr 81000200 - 388870 ns MR4_I 01000208 2a001c5b - 388890 ns MR4_I 01000200 07c96841 - 388910 ns IT 01000200 6841 LDR r1,[r0,#4] - 388930 ns MR4_I 01000204 6002d1fc - 388990 ns MR4_D 40006004 00000001 - 388990 ns R r1 00000001 - 388990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 389010 ns R r1 80000000 - 389010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 389030 ns R psr 81000200 - 389030 ns MR4_I 01000208 2a001c5b - 389050 ns MR4_I 01000200 07c96841 - 389070 ns IT 01000200 6841 LDR r1,[r0,#4] - 389090 ns MR4_I 01000204 6002d1fc - 389150 ns MR4_D 40006004 00000001 - 389150 ns R r1 00000001 - 389150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 389170 ns R r1 80000000 - 389170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 389190 ns R psr 81000200 - 389190 ns MR4_I 01000208 2a001c5b - 389210 ns MR4_I 01000200 07c96841 - 389230 ns IT 01000200 6841 LDR r1,[r0,#4] - 389250 ns MR4_I 01000204 6002d1fc - 389310 ns MR4_D 40006004 00000001 - 389310 ns R r1 00000001 - 389310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 389330 ns R r1 80000000 - 389330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 389350 ns R psr 81000200 - 389350 ns MR4_I 01000208 2a001c5b - 389370 ns MR4_I 01000200 07c96841 - 389390 ns IT 01000200 6841 LDR r1,[r0,#4] - 389410 ns MR4_I 01000204 6002d1fc - 389470 ns MR4_D 40006004 00000001 - 389470 ns R r1 00000001 - 389470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 389490 ns R r1 80000000 - 389490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 389510 ns R psr 81000200 - 389510 ns MR4_I 01000208 2a001c5b - 389530 ns MR4_I 01000200 07c96841 - 389550 ns IT 01000200 6841 LDR r1,[r0,#4] - 389570 ns MR4_I 01000204 6002d1fc - 389630 ns MR4_D 40006004 00000001 - 389630 ns R r1 00000001 - 389630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 389650 ns R r1 80000000 - 389650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 389670 ns R psr 81000200 - 389670 ns MR4_I 01000208 2a001c5b - 389690 ns MR4_I 01000200 07c96841 - 389710 ns IT 01000200 6841 LDR r1,[r0,#4] - 389730 ns MR4_I 01000204 6002d1fc - 389790 ns MR4_D 40006004 00000001 - 389790 ns R r1 00000001 - 389790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 389810 ns R r1 80000000 - 389810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 389830 ns R psr 81000200 - 389830 ns MR4_I 01000208 2a001c5b - 389850 ns MR4_I 01000200 07c96841 - 389870 ns IT 01000200 6841 LDR r1,[r0,#4] - 389890 ns MR4_I 01000204 6002d1fc - 389950 ns MR4_D 40006004 00000001 - 389950 ns R r1 00000001 - 389950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 389970 ns R r1 80000000 - 389970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 389990 ns R psr 81000200 - 389990 ns MR4_I 01000208 2a001c5b - 390010 ns MR4_I 01000200 07c96841 - 390030 ns IT 01000200 6841 LDR r1,[r0,#4] - 390050 ns MR4_I 01000204 6002d1fc - 390110 ns MR4_D 40006004 00000001 - 390110 ns R r1 00000001 - 390110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 390130 ns R r1 80000000 - 390130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 390150 ns R psr 81000200 - 390150 ns MR4_I 01000208 2a001c5b - 390170 ns MR4_I 01000200 07c96841 - 390190 ns IT 01000200 6841 LDR r1,[r0,#4] - 390210 ns MR4_I 01000204 6002d1fc - 390270 ns MR4_D 40006004 00000001 - 390270 ns R r1 00000001 - 390270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 390290 ns R r1 80000000 - 390290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 390310 ns R psr 81000200 - 390310 ns MR4_I 01000208 2a001c5b - 390330 ns MR4_I 01000200 07c96841 - 390350 ns IT 01000200 6841 LDR r1,[r0,#4] - 390370 ns MR4_I 01000204 6002d1fc - 390430 ns MR4_D 40006004 00000001 - 390430 ns R r1 00000001 - 390430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 390450 ns R r1 80000000 - 390450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 390470 ns R psr 81000200 - 390470 ns MR4_I 01000208 2a001c5b - 390490 ns MR4_I 01000200 07c96841 - 390510 ns IT 01000200 6841 LDR r1,[r0,#4] - 390530 ns MR4_I 01000204 6002d1fc - 390590 ns MR4_D 40006004 00000001 - 390590 ns R r1 00000001 - 390590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 390610 ns R r1 80000000 - 390610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 390630 ns R psr 81000200 - 390630 ns MR4_I 01000208 2a001c5b - 390650 ns MR4_I 01000200 07c96841 - 390670 ns IT 01000200 6841 LDR r1,[r0,#4] - 390690 ns MR4_I 01000204 6002d1fc - 390750 ns MR4_D 40006004 00000001 - 390750 ns R r1 00000001 - 390750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 390770 ns R r1 80000000 - 390770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 390790 ns R psr 81000200 - 390790 ns MR4_I 01000208 2a001c5b - 390810 ns MR4_I 01000200 07c96841 - 390830 ns IT 01000200 6841 LDR r1,[r0,#4] - 390850 ns MR4_I 01000204 6002d1fc - 390910 ns MR4_D 40006004 00000001 - 390910 ns R r1 00000001 - 390910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 390930 ns R r1 80000000 - 390930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 390950 ns R psr 81000200 - 390950 ns MR4_I 01000208 2a001c5b - 390970 ns MR4_I 01000200 07c96841 - 390990 ns IT 01000200 6841 LDR r1,[r0,#4] - 391010 ns MR4_I 01000204 6002d1fc - 391070 ns MR4_D 40006004 00000000 - 391070 ns R r1 00000000 - 391070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 391090 ns R r1 00000000 - 391090 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 391110 ns R psr 41000200 - 391110 ns MR4_I 01000208 2a001c5b - 391110 ns IT 01000206 6002 STR r2,[r0,#0] - 391190 ns MW4_D 40006000 00000061 - 391190 ns IT 01000208 1c5b ADDS r3,r3,#1 - 391210 ns MR4_I 0100020c a32ad1f5 - 391210 ns R r3 0100029f - 391210 ns IT 0100020a 2a00 CMP r2,#0 - 391230 ns R psr 01000200 - 391230 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 391250 ns R psr 21000200 - 391250 ns MR4_I 01000210 2a00781a - 391270 ns MR4_I 010001f8 781aa326 - 391290 ns MR4_I 010001fc d0062a00 - 391290 ns IT 010001fa 781a LDRB r2,[r3,#0] - 391330 ns MR1_D 0100029f 62616c63 - 391330 ns R r2 00000062 - 391330 ns IT 010001fc 2a00 CMP r2,#0 - 391350 ns MR4_I 01000200 07c96841 - 391350 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 391370 ns R psr 21000200 - 391370 ns IT 01000200 6841 LDR r1,[r0,#4] - 391390 ns MR4_I 01000204 6002d1fc - 391450 ns MR4_D 40006004 00000001 - 391450 ns R r1 00000001 - 391450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 391470 ns R r1 80000000 - 391470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 391490 ns R psr 81000200 - 391490 ns MR4_I 01000208 2a001c5b - 391510 ns MR4_I 01000200 07c96841 - 391530 ns IT 01000200 6841 LDR r1,[r0,#4] - 391550 ns MR4_I 01000204 6002d1fc - 391610 ns MR4_D 40006004 00000001 - 391610 ns R r1 00000001 - 391610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 391630 ns R r1 80000000 - 391630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 391650 ns R psr 81000200 - 391650 ns MR4_I 01000208 2a001c5b - 391670 ns MR4_I 01000200 07c96841 - 391690 ns IT 01000200 6841 LDR r1,[r0,#4] - 391710 ns MR4_I 01000204 6002d1fc - 391770 ns MR4_D 40006004 00000001 - 391770 ns R r1 00000001 - 391770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 391790 ns R r1 80000000 - 391790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 391810 ns R psr 81000200 - 391810 ns MR4_I 01000208 2a001c5b - 391830 ns MR4_I 01000200 07c96841 - 391850 ns IT 01000200 6841 LDR r1,[r0,#4] - 391870 ns MR4_I 01000204 6002d1fc - 391930 ns MR4_D 40006004 00000001 - 391930 ns R r1 00000001 - 391930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 391950 ns R r1 80000000 - 391950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 391970 ns R psr 81000200 - 391970 ns MR4_I 01000208 2a001c5b - 391990 ns MR4_I 01000200 07c96841 - 392010 ns IT 01000200 6841 LDR r1,[r0,#4] - 392030 ns MR4_I 01000204 6002d1fc - 392090 ns MR4_D 40006004 00000001 - 392090 ns R r1 00000001 - 392090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 392110 ns R r1 80000000 - 392110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 392130 ns R psr 81000200 - 392130 ns MR4_I 01000208 2a001c5b - 392150 ns MR4_I 01000200 07c96841 - 392170 ns IT 01000200 6841 LDR r1,[r0,#4] - 392190 ns MR4_I 01000204 6002d1fc - 392250 ns MR4_D 40006004 00000001 - 392250 ns R r1 00000001 - 392250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 392270 ns R r1 80000000 - 392270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 392290 ns R psr 81000200 - 392290 ns MR4_I 01000208 2a001c5b - 392310 ns MR4_I 01000200 07c96841 - 392330 ns IT 01000200 6841 LDR r1,[r0,#4] - 392350 ns MR4_I 01000204 6002d1fc - 392410 ns MR4_D 40006004 00000001 - 392410 ns R r1 00000001 - 392410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 392430 ns R r1 80000000 - 392430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 392450 ns R psr 81000200 - 392450 ns MR4_I 01000208 2a001c5b - 392470 ns MR4_I 01000200 07c96841 - 392490 ns IT 01000200 6841 LDR r1,[r0,#4] - 392510 ns MR4_I 01000204 6002d1fc - 392570 ns MR4_D 40006004 00000001 - 392570 ns R r1 00000001 - 392570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 392590 ns R r1 80000000 - 392590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 392610 ns R psr 81000200 - 392610 ns MR4_I 01000208 2a001c5b - 392630 ns MR4_I 01000200 07c96841 - 392650 ns IT 01000200 6841 LDR r1,[r0,#4] - 392670 ns MR4_I 01000204 6002d1fc - 392730 ns MR4_D 40006004 00000001 - 392730 ns R r1 00000001 - 392730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 392750 ns R r1 80000000 - 392750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 392770 ns R psr 81000200 - 392770 ns MR4_I 01000208 2a001c5b - 392790 ns MR4_I 01000200 07c96841 - 392810 ns IT 01000200 6841 LDR r1,[r0,#4] - 392830 ns MR4_I 01000204 6002d1fc - 392890 ns MR4_D 40006004 00000001 - 392890 ns R r1 00000001 - 392890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 392910 ns R r1 80000000 - 392910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 392930 ns R psr 81000200 - 392930 ns MR4_I 01000208 2a001c5b - 392950 ns MR4_I 01000200 07c96841 - 392970 ns IT 01000200 6841 LDR r1,[r0,#4] - 392990 ns MR4_I 01000204 6002d1fc - 393050 ns MR4_D 40006004 00000001 - 393050 ns R r1 00000001 - 393050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 393070 ns R r1 80000000 - 393070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 393090 ns R psr 81000200 - 393090 ns MR4_I 01000208 2a001c5b - 393110 ns MR4_I 01000200 07c96841 - 393130 ns IT 01000200 6841 LDR r1,[r0,#4] - 393150 ns MR4_I 01000204 6002d1fc - 393210 ns MR4_D 40006004 00000001 - 393210 ns R r1 00000001 - 393210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 393230 ns R r1 80000000 - 393230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 393250 ns R psr 81000200 - 393250 ns MR4_I 01000208 2a001c5b - 393270 ns MR4_I 01000200 07c96841 - 393290 ns IT 01000200 6841 LDR r1,[r0,#4] - 393310 ns MR4_I 01000204 6002d1fc - 393370 ns MR4_D 40006004 00000001 - 393370 ns R r1 00000001 - 393370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 393390 ns R r1 80000000 - 393390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 393410 ns R psr 81000200 - 393410 ns MR4_I 01000208 2a001c5b - 393430 ns MR4_I 01000200 07c96841 - 393450 ns IT 01000200 6841 LDR r1,[r0,#4] - 393470 ns MR4_I 01000204 6002d1fc - 393530 ns MR4_D 40006004 00000001 - 393530 ns R r1 00000001 - 393530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 393550 ns R r1 80000000 - 393550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 393570 ns R psr 81000200 - 393570 ns MR4_I 01000208 2a001c5b - 393590 ns MR4_I 01000200 07c96841 - 393610 ns IT 01000200 6841 LDR r1,[r0,#4] - 393630 ns MR4_I 01000204 6002d1fc - 393690 ns MR4_D 40006004 00000001 - 393690 ns R r1 00000001 - 393690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 393710 ns R r1 80000000 - 393710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 393730 ns R psr 81000200 - 393730 ns MR4_I 01000208 2a001c5b - 393750 ns MR4_I 01000200 07c96841 - 393770 ns IT 01000200 6841 LDR r1,[r0,#4] - 393790 ns MR4_I 01000204 6002d1fc - 393850 ns MR4_D 40006004 00000001 - 393850 ns R r1 00000001 - 393850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 393870 ns R r1 80000000 - 393870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 393890 ns R psr 81000200 - 393890 ns MR4_I 01000208 2a001c5b - 393910 ns MR4_I 01000200 07c96841 - 393930 ns IT 01000200 6841 LDR r1,[r0,#4] - 393950 ns MR4_I 01000204 6002d1fc - 394010 ns MR4_D 40006004 00000001 - 394010 ns R r1 00000001 - 394010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 394030 ns R r1 80000000 - 394030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 394050 ns R psr 81000200 - 394050 ns MR4_I 01000208 2a001c5b - 394070 ns MR4_I 01000200 07c96841 - 394090 ns IT 01000200 6841 LDR r1,[r0,#4] - 394110 ns MR4_I 01000204 6002d1fc - 394170 ns MR4_D 40006004 00000001 - 394170 ns R r1 00000001 - 394170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 394190 ns R r1 80000000 - 394190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 394210 ns R psr 81000200 - 394210 ns MR4_I 01000208 2a001c5b - 394230 ns MR4_I 01000200 07c96841 - 394250 ns IT 01000200 6841 LDR r1,[r0,#4] - 394270 ns MR4_I 01000204 6002d1fc - 394330 ns MR4_D 40006004 00000001 - 394330 ns R r1 00000001 - 394330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 394350 ns R r1 80000000 - 394350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 394370 ns R psr 81000200 - 394370 ns MR4_I 01000208 2a001c5b - 394390 ns MR4_I 01000200 07c96841 - 394410 ns IT 01000200 6841 LDR r1,[r0,#4] - 394430 ns MR4_I 01000204 6002d1fc - 394490 ns MR4_D 40006004 00000001 - 394490 ns R r1 00000001 - 394490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 394510 ns R r1 80000000 - 394510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 394530 ns R psr 81000200 - 394530 ns MR4_I 01000208 2a001c5b - 394550 ns MR4_I 01000200 07c96841 - 394570 ns IT 01000200 6841 LDR r1,[r0,#4] - 394590 ns MR4_I 01000204 6002d1fc - 394650 ns MR4_D 40006004 00000001 - 394650 ns R r1 00000001 - 394650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 394670 ns R r1 80000000 - 394670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 394690 ns R psr 81000200 - 394690 ns MR4_I 01000208 2a001c5b - 394710 ns MR4_I 01000200 07c96841 - 394730 ns IT 01000200 6841 LDR r1,[r0,#4] - 394750 ns MR4_I 01000204 6002d1fc - 394810 ns MR4_D 40006004 00000001 - 394810 ns R r1 00000001 - 394810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 394830 ns R r1 80000000 - 394830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 394850 ns R psr 81000200 - 394850 ns MR4_I 01000208 2a001c5b - 394870 ns MR4_I 01000200 07c96841 - 394890 ns IT 01000200 6841 LDR r1,[r0,#4] - 394910 ns MR4_I 01000204 6002d1fc - 394970 ns MR4_D 40006004 00000001 - 394970 ns R r1 00000001 - 394970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 394990 ns R r1 80000000 - 394990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 395010 ns R psr 81000200 - 395010 ns MR4_I 01000208 2a001c5b - 395030 ns MR4_I 01000200 07c96841 - 395050 ns IT 01000200 6841 LDR r1,[r0,#4] - 395070 ns MR4_I 01000204 6002d1fc - 395130 ns MR4_D 40006004 00000001 - 395130 ns R r1 00000001 - 395130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 395150 ns R r1 80000000 - 395150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 395170 ns R psr 81000200 - 395170 ns MR4_I 01000208 2a001c5b - 395190 ns MR4_I 01000200 07c96841 - 395210 ns IT 01000200 6841 LDR r1,[r0,#4] - 395230 ns MR4_I 01000204 6002d1fc - 395290 ns MR4_D 40006004 00000001 - 395290 ns R r1 00000001 - 395290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 395310 ns R r1 80000000 - 395310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 395330 ns R psr 81000200 - 395330 ns MR4_I 01000208 2a001c5b - 395350 ns MR4_I 01000200 07c96841 - 395370 ns IT 01000200 6841 LDR r1,[r0,#4] - 395390 ns MR4_I 01000204 6002d1fc - 395450 ns MR4_D 40006004 00000001 - 395450 ns R r1 00000001 - 395450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 395470 ns R r1 80000000 - 395470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 395490 ns R psr 81000200 - 395490 ns MR4_I 01000208 2a001c5b - 395510 ns MR4_I 01000200 07c96841 - 395530 ns IT 01000200 6841 LDR r1,[r0,#4] - 395550 ns MR4_I 01000204 6002d1fc - 395610 ns MR4_D 40006004 00000001 - 395610 ns R r1 00000001 - 395610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 395630 ns R r1 80000000 - 395630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 395650 ns R psr 81000200 - 395650 ns MR4_I 01000208 2a001c5b - 395670 ns MR4_I 01000200 07c96841 - 395690 ns IT 01000200 6841 LDR r1,[r0,#4] - 395710 ns MR4_I 01000204 6002d1fc - 395770 ns MR4_D 40006004 00000001 - 395770 ns R r1 00000001 - 395770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 395790 ns R r1 80000000 - 395790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 395810 ns R psr 81000200 - 395810 ns MR4_I 01000208 2a001c5b - 395830 ns MR4_I 01000200 07c96841 - 395850 ns IT 01000200 6841 LDR r1,[r0,#4] - 395870 ns MR4_I 01000204 6002d1fc - 395930 ns MR4_D 40006004 00000001 - 395930 ns R r1 00000001 - 395930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 395950 ns R r1 80000000 - 395950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 395970 ns R psr 81000200 - 395970 ns MR4_I 01000208 2a001c5b - 395990 ns MR4_I 01000200 07c96841 - 396010 ns IT 01000200 6841 LDR r1,[r0,#4] - 396030 ns MR4_I 01000204 6002d1fc - 396090 ns MR4_D 40006004 00000001 - 396090 ns R r1 00000001 - 396090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 396110 ns R r1 80000000 - 396110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 396130 ns R psr 81000200 - 396130 ns MR4_I 01000208 2a001c5b - 396150 ns MR4_I 01000200 07c96841 - 396170 ns IT 01000200 6841 LDR r1,[r0,#4] - 396190 ns MR4_I 01000204 6002d1fc - 396250 ns MR4_D 40006004 00000001 - 396250 ns R r1 00000001 - 396250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 396270 ns R r1 80000000 - 396270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 396290 ns R psr 81000200 - 396290 ns MR4_I 01000208 2a001c5b - 396310 ns MR4_I 01000200 07c96841 - 396330 ns IT 01000200 6841 LDR r1,[r0,#4] - 396350 ns MR4_I 01000204 6002d1fc - 396410 ns MR4_D 40006004 00000001 - 396410 ns R r1 00000001 - 396410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 396430 ns R r1 80000000 - 396430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 396450 ns R psr 81000200 - 396450 ns MR4_I 01000208 2a001c5b - 396470 ns MR4_I 01000200 07c96841 - 396490 ns IT 01000200 6841 LDR r1,[r0,#4] - 396510 ns MR4_I 01000204 6002d1fc - 396570 ns MR4_D 40006004 00000001 - 396570 ns R r1 00000001 - 396570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 396590 ns R r1 80000000 - 396590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 396610 ns R psr 81000200 - 396610 ns MR4_I 01000208 2a001c5b - 396630 ns MR4_I 01000200 07c96841 - 396650 ns IT 01000200 6841 LDR r1,[r0,#4] - 396670 ns MR4_I 01000204 6002d1fc - 396730 ns MR4_D 40006004 00000001 - 396730 ns R r1 00000001 - 396730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 396750 ns R r1 80000000 - 396750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 396770 ns R psr 81000200 - 396770 ns MR4_I 01000208 2a001c5b - 396790 ns MR4_I 01000200 07c96841 - 396810 ns IT 01000200 6841 LDR r1,[r0,#4] - 396830 ns MR4_I 01000204 6002d1fc - 396890 ns MR4_D 40006004 00000001 - 396890 ns R r1 00000001 - 396890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 396910 ns R r1 80000000 - 396910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 396930 ns R psr 81000200 - 396930 ns MR4_I 01000208 2a001c5b - 396950 ns MR4_I 01000200 07c96841 - 396970 ns IT 01000200 6841 LDR r1,[r0,#4] - 396990 ns MR4_I 01000204 6002d1fc - 397050 ns MR4_D 40006004 00000001 - 397050 ns R r1 00000001 - 397050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 397070 ns R r1 80000000 - 397070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 397090 ns R psr 81000200 - 397090 ns MR4_I 01000208 2a001c5b - 397110 ns MR4_I 01000200 07c96841 - 397130 ns IT 01000200 6841 LDR r1,[r0,#4] - 397150 ns MR4_I 01000204 6002d1fc - 397210 ns MR4_D 40006004 00000001 - 397210 ns R r1 00000001 - 397210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 397230 ns R r1 80000000 - 397230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 397250 ns R psr 81000200 - 397250 ns MR4_I 01000208 2a001c5b - 397270 ns MR4_I 01000200 07c96841 - 397290 ns IT 01000200 6841 LDR r1,[r0,#4] - 397310 ns MR4_I 01000204 6002d1fc - 397370 ns MR4_D 40006004 00000001 - 397370 ns R r1 00000001 - 397370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 397390 ns R r1 80000000 - 397390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 397410 ns R psr 81000200 - 397410 ns MR4_I 01000208 2a001c5b - 397430 ns MR4_I 01000200 07c96841 - 397450 ns IT 01000200 6841 LDR r1,[r0,#4] - 397470 ns MR4_I 01000204 6002d1fc - 397530 ns MR4_D 40006004 00000001 - 397530 ns R r1 00000001 - 397530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 397550 ns R r1 80000000 - 397550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 397570 ns R psr 81000200 - 397570 ns MR4_I 01000208 2a001c5b - 397590 ns MR4_I 01000200 07c96841 - 397610 ns IT 01000200 6841 LDR r1,[r0,#4] - 397630 ns MR4_I 01000204 6002d1fc - 397690 ns MR4_D 40006004 00000001 - 397690 ns R r1 00000001 - 397690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 397710 ns R r1 80000000 - 397710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 397730 ns R psr 81000200 - 397730 ns MR4_I 01000208 2a001c5b - 397750 ns MR4_I 01000200 07c96841 - 397770 ns IT 01000200 6841 LDR r1,[r0,#4] - 397790 ns MR4_I 01000204 6002d1fc - 397850 ns MR4_D 40006004 00000001 - 397850 ns R r1 00000001 - 397850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 397870 ns R r1 80000000 - 397870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 397890 ns R psr 81000200 - 397890 ns MR4_I 01000208 2a001c5b - 397910 ns MR4_I 01000200 07c96841 - 397930 ns IT 01000200 6841 LDR r1,[r0,#4] - 397950 ns MR4_I 01000204 6002d1fc - 398010 ns MR4_D 40006004 00000001 - 398010 ns R r1 00000001 - 398010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 398030 ns R r1 80000000 - 398030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 398050 ns R psr 81000200 - 398050 ns MR4_I 01000208 2a001c5b - 398070 ns MR4_I 01000200 07c96841 - 398090 ns IT 01000200 6841 LDR r1,[r0,#4] - 398110 ns MR4_I 01000204 6002d1fc - 398170 ns MR4_D 40006004 00000001 - 398170 ns R r1 00000001 - 398170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 398190 ns R r1 80000000 - 398190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 398210 ns R psr 81000200 - 398210 ns MR4_I 01000208 2a001c5b - 398230 ns MR4_I 01000200 07c96841 - 398250 ns IT 01000200 6841 LDR r1,[r0,#4] - 398270 ns MR4_I 01000204 6002d1fc - 398330 ns MR4_D 40006004 00000001 - 398330 ns R r1 00000001 - 398330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 398350 ns R r1 80000000 - 398350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 398370 ns R psr 81000200 - 398370 ns MR4_I 01000208 2a001c5b - 398390 ns MR4_I 01000200 07c96841 - 398410 ns IT 01000200 6841 LDR r1,[r0,#4] - 398430 ns MR4_I 01000204 6002d1fc - 398490 ns MR4_D 40006004 00000001 - 398490 ns R r1 00000001 - 398490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 398510 ns R r1 80000000 - 398510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 398530 ns R psr 81000200 - 398530 ns MR4_I 01000208 2a001c5b - 398550 ns MR4_I 01000200 07c96841 - 398570 ns IT 01000200 6841 LDR r1,[r0,#4] - 398590 ns MR4_I 01000204 6002d1fc - 398650 ns MR4_D 40006004 00000001 - 398650 ns R r1 00000001 - 398650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 398670 ns R r1 80000000 - 398670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 398690 ns R psr 81000200 - 398690 ns MR4_I 01000208 2a001c5b - 398710 ns MR4_I 01000200 07c96841 - 398730 ns IT 01000200 6841 LDR r1,[r0,#4] - 398750 ns MR4_I 01000204 6002d1fc - 398810 ns MR4_D 40006004 00000001 - 398810 ns R r1 00000001 - 398810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 398830 ns R r1 80000000 - 398830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 398850 ns R psr 81000200 - 398850 ns MR4_I 01000208 2a001c5b - 398870 ns MR4_I 01000200 07c96841 - 398890 ns IT 01000200 6841 LDR r1,[r0,#4] - 398910 ns MR4_I 01000204 6002d1fc - 398970 ns MR4_D 40006004 00000001 - 398970 ns R r1 00000001 - 398970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 398990 ns R r1 80000000 - 398990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 399010 ns R psr 81000200 - 399010 ns MR4_I 01000208 2a001c5b - 399030 ns MR4_I 01000200 07c96841 - 399050 ns IT 01000200 6841 LDR r1,[r0,#4] - 399070 ns MR4_I 01000204 6002d1fc - 399130 ns MR4_D 40006004 00000001 - 399130 ns R r1 00000001 - 399130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 399150 ns R r1 80000000 - 399150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 399170 ns R psr 81000200 - 399170 ns MR4_I 01000208 2a001c5b - 399190 ns MR4_I 01000200 07c96841 - 399210 ns IT 01000200 6841 LDR r1,[r0,#4] - 399230 ns MR4_I 01000204 6002d1fc - 399290 ns MR4_D 40006004 00000001 - 399290 ns R r1 00000001 - 399290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 399310 ns R r1 80000000 - 399310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 399330 ns R psr 81000200 - 399330 ns MR4_I 01000208 2a001c5b - 399350 ns MR4_I 01000200 07c96841 - 399370 ns IT 01000200 6841 LDR r1,[r0,#4] - 399390 ns MR4_I 01000204 6002d1fc - 399450 ns MR4_D 40006004 00000001 - 399450 ns R r1 00000001 - 399450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 399470 ns R r1 80000000 - 399470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 399490 ns R psr 81000200 - 399490 ns MR4_I 01000208 2a001c5b - 399510 ns MR4_I 01000200 07c96841 - 399530 ns IT 01000200 6841 LDR r1,[r0,#4] - 399550 ns MR4_I 01000204 6002d1fc - 399610 ns MR4_D 40006004 00000001 - 399610 ns R r1 00000001 - 399610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 399630 ns R r1 80000000 - 399630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 399650 ns R psr 81000200 - 399650 ns MR4_I 01000208 2a001c5b - 399670 ns MR4_I 01000200 07c96841 - 399690 ns IT 01000200 6841 LDR r1,[r0,#4] - 399710 ns MR4_I 01000204 6002d1fc - 399770 ns MR4_D 40006004 00000001 - 399770 ns R r1 00000001 - 399770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 399790 ns R r1 80000000 - 399790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 399810 ns R psr 81000200 - 399810 ns MR4_I 01000208 2a001c5b - 399830 ns MR4_I 01000200 07c96841 - 399850 ns IT 01000200 6841 LDR r1,[r0,#4] - 399870 ns MR4_I 01000204 6002d1fc - 399930 ns MR4_D 40006004 00000001 - 399930 ns R r1 00000001 - 399930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 399950 ns R r1 80000000 - 399950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 399970 ns R psr 81000200 - 399970 ns MR4_I 01000208 2a001c5b - 399990 ns MR4_I 01000200 07c96841 - 400010 ns IT 01000200 6841 LDR r1,[r0,#4] - 400030 ns MR4_I 01000204 6002d1fc - 400090 ns MR4_D 40006004 00000001 - 400090 ns R r1 00000001 - 400090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 400110 ns R r1 80000000 - 400110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 400130 ns R psr 81000200 - 400130 ns MR4_I 01000208 2a001c5b - 400150 ns MR4_I 01000200 07c96841 - 400170 ns IT 01000200 6841 LDR r1,[r0,#4] - 400190 ns MR4_I 01000204 6002d1fc - 400250 ns MR4_D 40006004 00000001 - 400250 ns R r1 00000001 - 400250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 400270 ns R r1 80000000 - 400270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 400290 ns R psr 81000200 - 400290 ns MR4_I 01000208 2a001c5b - 400310 ns MR4_I 01000200 07c96841 - 400330 ns IT 01000200 6841 LDR r1,[r0,#4] - 400350 ns MR4_I 01000204 6002d1fc - 400410 ns MR4_D 40006004 00000001 - 400410 ns R r1 00000001 - 400410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 400430 ns R r1 80000000 - 400430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 400450 ns R psr 81000200 - 400450 ns MR4_I 01000208 2a001c5b - 400470 ns MR4_I 01000200 07c96841 - 400490 ns IT 01000200 6841 LDR r1,[r0,#4] - 400510 ns MR4_I 01000204 6002d1fc - 400570 ns MR4_D 40006004 00000001 - 400570 ns R r1 00000001 - 400570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 400590 ns R r1 80000000 - 400590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 400610 ns R psr 81000200 - 400610 ns MR4_I 01000208 2a001c5b - 400630 ns MR4_I 01000200 07c96841 - 400650 ns IT 01000200 6841 LDR r1,[r0,#4] - 400670 ns MR4_I 01000204 6002d1fc - 400730 ns MR4_D 40006004 00000001 - 400730 ns R r1 00000001 - 400730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 400750 ns R r1 80000000 - 400750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 400770 ns R psr 81000200 - 400770 ns MR4_I 01000208 2a001c5b - 400790 ns MR4_I 01000200 07c96841 - 400810 ns IT 01000200 6841 LDR r1,[r0,#4] - 400830 ns MR4_I 01000204 6002d1fc - 400890 ns MR4_D 40006004 00000001 - 400890 ns R r1 00000001 - 400890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 400910 ns R r1 80000000 - 400910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 400930 ns R psr 81000200 - 400930 ns MR4_I 01000208 2a001c5b - 400950 ns MR4_I 01000200 07c96841 - 400970 ns IT 01000200 6841 LDR r1,[r0,#4] - 400990 ns MR4_I 01000204 6002d1fc - 401050 ns MR4_D 40006004 00000001 - 401050 ns R r1 00000001 - 401050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 401070 ns R r1 80000000 - 401070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 401090 ns R psr 81000200 - 401090 ns MR4_I 01000208 2a001c5b - 401110 ns MR4_I 01000200 07c96841 - 401130 ns IT 01000200 6841 LDR r1,[r0,#4] - 401150 ns MR4_I 01000204 6002d1fc - 401210 ns MR4_D 40006004 00000001 - 401210 ns R r1 00000001 - 401210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 401230 ns R r1 80000000 - 401230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 401250 ns R psr 81000200 - 401250 ns MR4_I 01000208 2a001c5b - 401270 ns MR4_I 01000200 07c96841 - 401290 ns IT 01000200 6841 LDR r1,[r0,#4] - 401310 ns MR4_I 01000204 6002d1fc - 401370 ns MR4_D 40006004 00000001 - 401370 ns R r1 00000001 - 401370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 401390 ns R r1 80000000 - 401390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 401410 ns R psr 81000200 - 401410 ns MR4_I 01000208 2a001c5b - 401430 ns MR4_I 01000200 07c96841 - 401450 ns IT 01000200 6841 LDR r1,[r0,#4] - 401470 ns MR4_I 01000204 6002d1fc - 401530 ns MR4_D 40006004 00000001 - 401530 ns R r1 00000001 - 401530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 401550 ns R r1 80000000 - 401550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 401570 ns R psr 81000200 - 401570 ns MR4_I 01000208 2a001c5b - 401590 ns MR4_I 01000200 07c96841 - 401610 ns IT 01000200 6841 LDR r1,[r0,#4] - 401630 ns MR4_I 01000204 6002d1fc - 401690 ns MR4_D 40006004 00000001 - 401690 ns R r1 00000001 - 401690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 401710 ns R r1 80000000 - 401710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 401730 ns R psr 81000200 - 401730 ns MR4_I 01000208 2a001c5b - 401750 ns MR4_I 01000200 07c96841 - 401770 ns IT 01000200 6841 LDR r1,[r0,#4] - 401790 ns MR4_I 01000204 6002d1fc - 401850 ns MR4_D 40006004 00000001 - 401850 ns R r1 00000001 - 401850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 401870 ns R r1 80000000 - 401870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 401890 ns R psr 81000200 - 401890 ns MR4_I 01000208 2a001c5b - 401910 ns MR4_I 01000200 07c96841 - 401930 ns IT 01000200 6841 LDR r1,[r0,#4] - 401950 ns MR4_I 01000204 6002d1fc - 402010 ns MR4_D 40006004 00000001 - 402010 ns R r1 00000001 - 402010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 402030 ns R r1 80000000 - 402030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 402050 ns R psr 81000200 - 402050 ns MR4_I 01000208 2a001c5b - 402070 ns MR4_I 01000200 07c96841 - 402090 ns IT 01000200 6841 LDR r1,[r0,#4] - 402110 ns MR4_I 01000204 6002d1fc - 402170 ns MR4_D 40006004 00000001 - 402170 ns R r1 00000001 - 402170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 402190 ns R r1 80000000 - 402190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 402210 ns R psr 81000200 - 402210 ns MR4_I 01000208 2a001c5b - 402230 ns MR4_I 01000200 07c96841 - 402250 ns IT 01000200 6841 LDR r1,[r0,#4] - 402270 ns MR4_I 01000204 6002d1fc - 402330 ns MR4_D 40006004 00000001 - 402330 ns R r1 00000001 - 402330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 402350 ns R r1 80000000 - 402350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 402370 ns R psr 81000200 - 402370 ns MR4_I 01000208 2a001c5b - 402390 ns MR4_I 01000200 07c96841 - 402410 ns IT 01000200 6841 LDR r1,[r0,#4] - 402430 ns MR4_I 01000204 6002d1fc - 402490 ns MR4_D 40006004 00000001 - 402490 ns R r1 00000001 - 402490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 402510 ns R r1 80000000 - 402510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 402530 ns R psr 81000200 - 402530 ns MR4_I 01000208 2a001c5b - 402550 ns MR4_I 01000200 07c96841 - 402570 ns IT 01000200 6841 LDR r1,[r0,#4] - 402590 ns MR4_I 01000204 6002d1fc - 402650 ns MR4_D 40006004 00000001 - 402650 ns R r1 00000001 - 402650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 402670 ns R r1 80000000 - 402670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 402690 ns R psr 81000200 - 402690 ns MR4_I 01000208 2a001c5b - 402710 ns MR4_I 01000200 07c96841 - 402730 ns IT 01000200 6841 LDR r1,[r0,#4] - 402750 ns MR4_I 01000204 6002d1fc - 402810 ns MR4_D 40006004 00000001 - 402810 ns R r1 00000001 - 402810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 402830 ns R r1 80000000 - 402830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 402850 ns R psr 81000200 - 402850 ns MR4_I 01000208 2a001c5b - 402870 ns MR4_I 01000200 07c96841 - 402890 ns IT 01000200 6841 LDR r1,[r0,#4] - 402910 ns MR4_I 01000204 6002d1fc - 402970 ns MR4_D 40006004 00000001 - 402970 ns R r1 00000001 - 402970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 402990 ns R r1 80000000 - 402990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 403010 ns R psr 81000200 - 403010 ns MR4_I 01000208 2a001c5b - 403030 ns MR4_I 01000200 07c96841 - 403050 ns IT 01000200 6841 LDR r1,[r0,#4] - 403070 ns MR4_I 01000204 6002d1fc - 403130 ns MR4_D 40006004 00000001 - 403130 ns R r1 00000001 - 403130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 403150 ns R r1 80000000 - 403150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 403170 ns R psr 81000200 - 403170 ns MR4_I 01000208 2a001c5b - 403190 ns MR4_I 01000200 07c96841 - 403210 ns IT 01000200 6841 LDR r1,[r0,#4] - 403230 ns MR4_I 01000204 6002d1fc - 403290 ns MR4_D 40006004 00000001 - 403290 ns R r1 00000001 - 403290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 403310 ns R r1 80000000 - 403310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 403330 ns R psr 81000200 - 403330 ns MR4_I 01000208 2a001c5b - 403350 ns MR4_I 01000200 07c96841 - 403370 ns IT 01000200 6841 LDR r1,[r0,#4] - 403390 ns MR4_I 01000204 6002d1fc - 403450 ns MR4_D 40006004 00000001 - 403450 ns R r1 00000001 - 403450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 403470 ns R r1 80000000 - 403470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 403490 ns R psr 81000200 - 403490 ns MR4_I 01000208 2a001c5b - 403510 ns MR4_I 01000200 07c96841 - 403530 ns IT 01000200 6841 LDR r1,[r0,#4] - 403550 ns MR4_I 01000204 6002d1fc - 403610 ns MR4_D 40006004 00000001 - 403610 ns R r1 00000001 - 403610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 403630 ns R r1 80000000 - 403630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 403650 ns R psr 81000200 - 403650 ns MR4_I 01000208 2a001c5b - 403670 ns MR4_I 01000200 07c96841 - 403690 ns IT 01000200 6841 LDR r1,[r0,#4] - 403710 ns MR4_I 01000204 6002d1fc - 403770 ns MR4_D 40006004 00000001 - 403770 ns R r1 00000001 - 403770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 403790 ns R r1 80000000 - 403790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 403810 ns R psr 81000200 - 403810 ns MR4_I 01000208 2a001c5b - 403830 ns MR4_I 01000200 07c96841 - 403850 ns IT 01000200 6841 LDR r1,[r0,#4] - 403870 ns MR4_I 01000204 6002d1fc - 403930 ns MR4_D 40006004 00000001 - 403930 ns R r1 00000001 - 403930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 403950 ns R r1 80000000 - 403950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 403970 ns R psr 81000200 - 403970 ns MR4_I 01000208 2a001c5b - 403990 ns MR4_I 01000200 07c96841 - 404010 ns IT 01000200 6841 LDR r1,[r0,#4] - 404030 ns MR4_I 01000204 6002d1fc - 404090 ns MR4_D 40006004 00000001 - 404090 ns R r1 00000001 - 404090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 404110 ns R r1 80000000 - 404110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 404130 ns R psr 81000200 - 404130 ns MR4_I 01000208 2a001c5b - 404150 ns MR4_I 01000200 07c96841 - 404170 ns IT 01000200 6841 LDR r1,[r0,#4] - 404190 ns MR4_I 01000204 6002d1fc - 404250 ns MR4_D 40006004 00000001 - 404250 ns R r1 00000001 - 404250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 404270 ns R r1 80000000 - 404270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 404290 ns R psr 81000200 - 404290 ns MR4_I 01000208 2a001c5b - 404310 ns MR4_I 01000200 07c96841 - 404330 ns IT 01000200 6841 LDR r1,[r0,#4] - 404350 ns MR4_I 01000204 6002d1fc - 404410 ns MR4_D 40006004 00000001 - 404410 ns R r1 00000001 - 404410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 404430 ns R r1 80000000 - 404430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 404450 ns R psr 81000200 - 404450 ns MR4_I 01000208 2a001c5b - 404470 ns MR4_I 01000200 07c96841 - 404490 ns IT 01000200 6841 LDR r1,[r0,#4] - 404510 ns MR4_I 01000204 6002d1fc - 404570 ns MR4_D 40006004 00000001 - 404570 ns R r1 00000001 - 404570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 404590 ns R r1 80000000 - 404590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 404610 ns R psr 81000200 - 404610 ns MR4_I 01000208 2a001c5b - 404630 ns MR4_I 01000200 07c96841 - 404650 ns IT 01000200 6841 LDR r1,[r0,#4] - 404670 ns MR4_I 01000204 6002d1fc - 404730 ns MR4_D 40006004 00000001 - 404730 ns R r1 00000001 - 404730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 404750 ns R r1 80000000 - 404750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 404770 ns R psr 81000200 - 404770 ns MR4_I 01000208 2a001c5b - 404790 ns MR4_I 01000200 07c96841 - 404810 ns IT 01000200 6841 LDR r1,[r0,#4] - 404830 ns MR4_I 01000204 6002d1fc - 404890 ns MR4_D 40006004 00000001 - 404890 ns R r1 00000001 - 404890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 404910 ns R r1 80000000 - 404910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 404930 ns R psr 81000200 - 404930 ns MR4_I 01000208 2a001c5b - 404950 ns MR4_I 01000200 07c96841 - 404970 ns IT 01000200 6841 LDR r1,[r0,#4] - 404990 ns MR4_I 01000204 6002d1fc - 405050 ns MR4_D 40006004 00000001 - 405050 ns R r1 00000001 - 405050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 405070 ns R r1 80000000 - 405070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 405090 ns R psr 81000200 - 405090 ns MR4_I 01000208 2a001c5b - 405110 ns MR4_I 01000200 07c96841 - 405130 ns IT 01000200 6841 LDR r1,[r0,#4] - 405150 ns MR4_I 01000204 6002d1fc - 405210 ns MR4_D 40006004 00000001 - 405210 ns R r1 00000001 - 405210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 405230 ns R r1 80000000 - 405230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 405250 ns R psr 81000200 - 405250 ns MR4_I 01000208 2a001c5b - 405270 ns MR4_I 01000200 07c96841 - 405290 ns IT 01000200 6841 LDR r1,[r0,#4] - 405310 ns MR4_I 01000204 6002d1fc - 405370 ns MR4_D 40006004 00000001 - 405370 ns R r1 00000001 - 405370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 405390 ns R r1 80000000 - 405390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 405410 ns R psr 81000200 - 405410 ns MR4_I 01000208 2a001c5b - 405430 ns MR4_I 01000200 07c96841 - 405450 ns IT 01000200 6841 LDR r1,[r0,#4] - 405470 ns MR4_I 01000204 6002d1fc - 405530 ns MR4_D 40006004 00000001 - 405530 ns R r1 00000001 - 405530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 405550 ns R r1 80000000 - 405550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 405570 ns R psr 81000200 - 405570 ns MR4_I 01000208 2a001c5b - 405590 ns MR4_I 01000200 07c96841 - 405610 ns IT 01000200 6841 LDR r1,[r0,#4] - 405630 ns MR4_I 01000204 6002d1fc - 405690 ns MR4_D 40006004 00000001 - 405690 ns R r1 00000001 - 405690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 405710 ns R r1 80000000 - 405710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 405730 ns R psr 81000200 - 405730 ns MR4_I 01000208 2a001c5b - 405750 ns MR4_I 01000200 07c96841 - 405770 ns IT 01000200 6841 LDR r1,[r0,#4] - 405790 ns MR4_I 01000204 6002d1fc - 405850 ns MR4_D 40006004 00000001 - 405850 ns R r1 00000001 - 405850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 405870 ns R r1 80000000 - 405870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 405890 ns R psr 81000200 - 405890 ns MR4_I 01000208 2a001c5b - 405910 ns MR4_I 01000200 07c96841 - 405930 ns IT 01000200 6841 LDR r1,[r0,#4] - 405950 ns MR4_I 01000204 6002d1fc - 406010 ns MR4_D 40006004 00000001 - 406010 ns R r1 00000001 - 406010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 406030 ns R r1 80000000 - 406030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 406050 ns R psr 81000200 - 406050 ns MR4_I 01000208 2a001c5b - 406070 ns MR4_I 01000200 07c96841 - 406090 ns IT 01000200 6841 LDR r1,[r0,#4] - 406110 ns MR4_I 01000204 6002d1fc - 406170 ns MR4_D 40006004 00000001 - 406170 ns R r1 00000001 - 406170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 406190 ns R r1 80000000 - 406190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 406210 ns R psr 81000200 - 406210 ns MR4_I 01000208 2a001c5b - 406230 ns MR4_I 01000200 07c96841 - 406250 ns IT 01000200 6841 LDR r1,[r0,#4] - 406270 ns MR4_I 01000204 6002d1fc - 406330 ns MR4_D 40006004 00000001 - 406330 ns R r1 00000001 - 406330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 406350 ns R r1 80000000 - 406350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 406370 ns R psr 81000200 - 406370 ns MR4_I 01000208 2a001c5b - 406390 ns MR4_I 01000200 07c96841 - 406410 ns IT 01000200 6841 LDR r1,[r0,#4] - 406430 ns MR4_I 01000204 6002d1fc - 406490 ns MR4_D 40006004 00000001 - 406490 ns R r1 00000001 - 406490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 406510 ns R r1 80000000 - 406510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 406530 ns R psr 81000200 - 406530 ns MR4_I 01000208 2a001c5b - 406550 ns MR4_I 01000200 07c96841 - 406570 ns IT 01000200 6841 LDR r1,[r0,#4] - 406590 ns MR4_I 01000204 6002d1fc - 406650 ns MR4_D 40006004 00000001 - 406650 ns R r1 00000001 - 406650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 406670 ns R r1 80000000 - 406670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 406690 ns R psr 81000200 - 406690 ns MR4_I 01000208 2a001c5b - 406710 ns MR4_I 01000200 07c96841 - 406730 ns IT 01000200 6841 LDR r1,[r0,#4] - 406750 ns MR4_I 01000204 6002d1fc - 406810 ns MR4_D 40006004 00000001 - 406810 ns R r1 00000001 - 406810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 406830 ns R r1 80000000 - 406830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 406850 ns R psr 81000200 - 406850 ns MR4_I 01000208 2a001c5b - 406870 ns MR4_I 01000200 07c96841 - 406890 ns IT 01000200 6841 LDR r1,[r0,#4] - 406910 ns MR4_I 01000204 6002d1fc - 406970 ns MR4_D 40006004 00000001 - 406970 ns R r1 00000001 - 406970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 406990 ns R r1 80000000 - 406990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 407010 ns R psr 81000200 - 407010 ns MR4_I 01000208 2a001c5b - 407030 ns MR4_I 01000200 07c96841 - 407050 ns IT 01000200 6841 LDR r1,[r0,#4] - 407070 ns MR4_I 01000204 6002d1fc - 407130 ns MR4_D 40006004 00000001 - 407130 ns R r1 00000001 - 407130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 407150 ns R r1 80000000 - 407150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 407170 ns R psr 81000200 - 407170 ns MR4_I 01000208 2a001c5b - 407190 ns MR4_I 01000200 07c96841 - 407210 ns IT 01000200 6841 LDR r1,[r0,#4] - 407230 ns MR4_I 01000204 6002d1fc - 407290 ns MR4_D 40006004 00000001 - 407290 ns R r1 00000001 - 407290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 407310 ns R r1 80000000 - 407310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 407330 ns R psr 81000200 - 407330 ns MR4_I 01000208 2a001c5b - 407350 ns MR4_I 01000200 07c96841 - 407370 ns IT 01000200 6841 LDR r1,[r0,#4] - 407390 ns MR4_I 01000204 6002d1fc - 407450 ns MR4_D 40006004 00000001 - 407450 ns R r1 00000001 - 407450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 407470 ns R r1 80000000 - 407470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 407490 ns R psr 81000200 - 407490 ns MR4_I 01000208 2a001c5b - 407510 ns MR4_I 01000200 07c96841 - 407530 ns IT 01000200 6841 LDR r1,[r0,#4] - 407550 ns MR4_I 01000204 6002d1fc - 407610 ns MR4_D 40006004 00000001 - 407610 ns R r1 00000001 - 407610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 407630 ns R r1 80000000 - 407630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 407650 ns R psr 81000200 - 407650 ns MR4_I 01000208 2a001c5b - 407670 ns MR4_I 01000200 07c96841 - 407690 ns IT 01000200 6841 LDR r1,[r0,#4] - 407710 ns MR4_I 01000204 6002d1fc - 407770 ns MR4_D 40006004 00000001 - 407770 ns R r1 00000001 - 407770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 407790 ns R r1 80000000 - 407790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 407810 ns R psr 81000200 - 407810 ns MR4_I 01000208 2a001c5b - 407830 ns MR4_I 01000200 07c96841 - 407850 ns IT 01000200 6841 LDR r1,[r0,#4] - 407870 ns MR4_I 01000204 6002d1fc - 407930 ns MR4_D 40006004 00000001 - 407930 ns R r1 00000001 - 407930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 407950 ns R r1 80000000 - 407950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 407970 ns R psr 81000200 - 407970 ns MR4_I 01000208 2a001c5b - 407990 ns MR4_I 01000200 07c96841 - 408010 ns IT 01000200 6841 LDR r1,[r0,#4] - 408030 ns MR4_I 01000204 6002d1fc - 408090 ns MR4_D 40006004 00000001 - 408090 ns R r1 00000001 - 408090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 408110 ns R r1 80000000 - 408110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 408130 ns R psr 81000200 - 408130 ns MR4_I 01000208 2a001c5b - 408150 ns MR4_I 01000200 07c96841 - 408170 ns IT 01000200 6841 LDR r1,[r0,#4] - 408190 ns MR4_I 01000204 6002d1fc - 408250 ns MR4_D 40006004 00000001 - 408250 ns R r1 00000001 - 408250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 408270 ns R r1 80000000 - 408270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 408290 ns R psr 81000200 - 408290 ns MR4_I 01000208 2a001c5b - 408310 ns MR4_I 01000200 07c96841 - 408330 ns IT 01000200 6841 LDR r1,[r0,#4] - 408350 ns MR4_I 01000204 6002d1fc - 408410 ns MR4_D 40006004 00000001 - 408410 ns R r1 00000001 - 408410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 408430 ns R r1 80000000 - 408430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 408450 ns R psr 81000200 - 408450 ns MR4_I 01000208 2a001c5b - 408470 ns MR4_I 01000200 07c96841 - 408490 ns IT 01000200 6841 LDR r1,[r0,#4] - 408510 ns MR4_I 01000204 6002d1fc - 408570 ns MR4_D 40006004 00000001 - 408570 ns R r1 00000001 - 408570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 408590 ns R r1 80000000 - 408590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 408610 ns R psr 81000200 - 408610 ns MR4_I 01000208 2a001c5b - 408630 ns MR4_I 01000200 07c96841 - 408650 ns IT 01000200 6841 LDR r1,[r0,#4] - 408670 ns MR4_I 01000204 6002d1fc - 408730 ns MR4_D 40006004 00000001 - 408730 ns R r1 00000001 - 408730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 408750 ns R r1 80000000 - 408750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 408770 ns R psr 81000200 - 408770 ns MR4_I 01000208 2a001c5b - 408790 ns MR4_I 01000200 07c96841 - 408810 ns IT 01000200 6841 LDR r1,[r0,#4] - 408830 ns MR4_I 01000204 6002d1fc - 408890 ns MR4_D 40006004 00000001 - 408890 ns R r1 00000001 - 408890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 408910 ns R r1 80000000 - 408910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 408930 ns R psr 81000200 - 408930 ns MR4_I 01000208 2a001c5b - 408950 ns MR4_I 01000200 07c96841 - 408970 ns IT 01000200 6841 LDR r1,[r0,#4] - 408990 ns MR4_I 01000204 6002d1fc - 409050 ns MR4_D 40006004 00000001 - 409050 ns R r1 00000001 - 409050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 409070 ns R r1 80000000 - 409070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 409090 ns R psr 81000200 - 409090 ns MR4_I 01000208 2a001c5b - 409110 ns MR4_I 01000200 07c96841 - 409130 ns IT 01000200 6841 LDR r1,[r0,#4] - 409150 ns MR4_I 01000204 6002d1fc - 409210 ns MR4_D 40006004 00000001 - 409210 ns R r1 00000001 - 409210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 409230 ns R r1 80000000 - 409230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 409250 ns R psr 81000200 - 409250 ns MR4_I 01000208 2a001c5b - 409270 ns MR4_I 01000200 07c96841 - 409290 ns IT 01000200 6841 LDR r1,[r0,#4] - 409310 ns MR4_I 01000204 6002d1fc - 409370 ns MR4_D 40006004 00000001 - 409370 ns R r1 00000001 - 409370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 409390 ns R r1 80000000 - 409390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 409410 ns R psr 81000200 - 409410 ns MR4_I 01000208 2a001c5b - 409430 ns MR4_I 01000200 07c96841 - 409450 ns IT 01000200 6841 LDR r1,[r0,#4] - 409470 ns MR4_I 01000204 6002d1fc - 409530 ns MR4_D 40006004 00000001 - 409530 ns R r1 00000001 - 409530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 409550 ns R r1 80000000 - 409550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 409570 ns R psr 81000200 - 409570 ns MR4_I 01000208 2a001c5b - 409590 ns MR4_I 01000200 07c96841 - 409610 ns IT 01000200 6841 LDR r1,[r0,#4] - 409630 ns MR4_I 01000204 6002d1fc - 409690 ns MR4_D 40006004 00000001 - 409690 ns R r1 00000001 - 409690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 409710 ns R r1 80000000 - 409710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 409730 ns R psr 81000200 - 409730 ns MR4_I 01000208 2a001c5b - 409750 ns MR4_I 01000200 07c96841 - 409770 ns IT 01000200 6841 LDR r1,[r0,#4] - 409790 ns MR4_I 01000204 6002d1fc - 409850 ns MR4_D 40006004 00000001 - 409850 ns R r1 00000001 - 409850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 409870 ns R r1 80000000 - 409870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 409890 ns R psr 81000200 - 409890 ns MR4_I 01000208 2a001c5b - 409910 ns MR4_I 01000200 07c96841 - 409930 ns IT 01000200 6841 LDR r1,[r0,#4] - 409950 ns MR4_I 01000204 6002d1fc - 410010 ns MR4_D 40006004 00000001 - 410010 ns R r1 00000001 - 410010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 410030 ns R r1 80000000 - 410030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 410050 ns R psr 81000200 - 410050 ns MR4_I 01000208 2a001c5b - 410070 ns MR4_I 01000200 07c96841 - 410090 ns IT 01000200 6841 LDR r1,[r0,#4] - 410110 ns MR4_I 01000204 6002d1fc - 410170 ns MR4_D 40006004 00000001 - 410170 ns R r1 00000001 - 410170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 410190 ns R r1 80000000 - 410190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 410210 ns R psr 81000200 - 410210 ns MR4_I 01000208 2a001c5b - 410230 ns MR4_I 01000200 07c96841 - 410250 ns IT 01000200 6841 LDR r1,[r0,#4] - 410270 ns MR4_I 01000204 6002d1fc - 410330 ns MR4_D 40006004 00000001 - 410330 ns R r1 00000001 - 410330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 410350 ns R r1 80000000 - 410350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 410370 ns R psr 81000200 - 410370 ns MR4_I 01000208 2a001c5b - 410390 ns MR4_I 01000200 07c96841 - 410410 ns IT 01000200 6841 LDR r1,[r0,#4] - 410430 ns MR4_I 01000204 6002d1fc - 410490 ns MR4_D 40006004 00000001 - 410490 ns R r1 00000001 - 410490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 410510 ns R r1 80000000 - 410510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 410530 ns R psr 81000200 - 410530 ns MR4_I 01000208 2a001c5b - 410550 ns MR4_I 01000200 07c96841 - 410570 ns IT 01000200 6841 LDR r1,[r0,#4] - 410590 ns MR4_I 01000204 6002d1fc - 410650 ns MR4_D 40006004 00000001 - 410650 ns R r1 00000001 - 410650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 410670 ns R r1 80000000 - 410670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 410690 ns R psr 81000200 - 410690 ns MR4_I 01000208 2a001c5b - 410710 ns MR4_I 01000200 07c96841 - 410730 ns IT 01000200 6841 LDR r1,[r0,#4] - 410750 ns MR4_I 01000204 6002d1fc - 410810 ns MR4_D 40006004 00000001 - 410810 ns R r1 00000001 - 410810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 410830 ns R r1 80000000 - 410830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 410850 ns R psr 81000200 - 410850 ns MR4_I 01000208 2a001c5b - 410870 ns MR4_I 01000200 07c96841 - 410890 ns IT 01000200 6841 LDR r1,[r0,#4] - 410910 ns MR4_I 01000204 6002d1fc - 410970 ns MR4_D 40006004 00000001 - 410970 ns R r1 00000001 - 410970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 410990 ns R r1 80000000 - 410990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 411010 ns R psr 81000200 - 411010 ns MR4_I 01000208 2a001c5b - 411030 ns MR4_I 01000200 07c96841 - 411050 ns IT 01000200 6841 LDR r1,[r0,#4] - 411070 ns MR4_I 01000204 6002d1fc - 411130 ns MR4_D 40006004 00000001 - 411130 ns R r1 00000001 - 411130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 411150 ns R r1 80000000 - 411150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 411170 ns R psr 81000200 - 411170 ns MR4_I 01000208 2a001c5b - 411190 ns MR4_I 01000200 07c96841 - 411210 ns IT 01000200 6841 LDR r1,[r0,#4] - 411230 ns MR4_I 01000204 6002d1fc - 411290 ns MR4_D 40006004 00000001 - 411290 ns R r1 00000001 - 411290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 411310 ns R r1 80000000 - 411310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 411330 ns R psr 81000200 - 411330 ns MR4_I 01000208 2a001c5b - 411350 ns MR4_I 01000200 07c96841 - 411370 ns IT 01000200 6841 LDR r1,[r0,#4] - 411390 ns MR4_I 01000204 6002d1fc - 411450 ns MR4_D 40006004 00000001 - 411450 ns R r1 00000001 - 411450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 411470 ns R r1 80000000 - 411470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 411490 ns R psr 81000200 - 411490 ns MR4_I 01000208 2a001c5b - 411510 ns MR4_I 01000200 07c96841 - 411530 ns IT 01000200 6841 LDR r1,[r0,#4] - 411550 ns MR4_I 01000204 6002d1fc - 411610 ns MR4_D 40006004 00000001 - 411610 ns R r1 00000001 - 411610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 411630 ns R r1 80000000 - 411630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 411650 ns R psr 81000200 - 411650 ns MR4_I 01000208 2a001c5b - 411670 ns MR4_I 01000200 07c96841 - 411690 ns IT 01000200 6841 LDR r1,[r0,#4] - 411710 ns MR4_I 01000204 6002d1fc - 411770 ns MR4_D 40006004 00000001 - 411770 ns R r1 00000001 - 411770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 411790 ns R r1 80000000 - 411790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 411810 ns R psr 81000200 - 411810 ns MR4_I 01000208 2a001c5b - 411830 ns MR4_I 01000200 07c96841 - 411850 ns IT 01000200 6841 LDR r1,[r0,#4] - 411870 ns MR4_I 01000204 6002d1fc - 411930 ns MR4_D 40006004 00000001 - 411930 ns R r1 00000001 - 411930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 411950 ns R r1 80000000 - 411950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 411970 ns R psr 81000200 - 411970 ns MR4_I 01000208 2a001c5b - 411990 ns MR4_I 01000200 07c96841 - 412010 ns IT 01000200 6841 LDR r1,[r0,#4] - 412030 ns MR4_I 01000204 6002d1fc - 412090 ns MR4_D 40006004 00000001 - 412090 ns R r1 00000001 - 412090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 412110 ns R r1 80000000 - 412110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 412130 ns R psr 81000200 - 412130 ns MR4_I 01000208 2a001c5b - 412150 ns MR4_I 01000200 07c96841 - 412170 ns IT 01000200 6841 LDR r1,[r0,#4] - 412190 ns MR4_I 01000204 6002d1fc - 412250 ns MR4_D 40006004 00000001 - 412250 ns R r1 00000001 - 412250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 412270 ns R r1 80000000 - 412270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 412290 ns R psr 81000200 - 412290 ns MR4_I 01000208 2a001c5b - 412310 ns MR4_I 01000200 07c96841 - 412330 ns IT 01000200 6841 LDR r1,[r0,#4] - 412350 ns MR4_I 01000204 6002d1fc - 412410 ns MR4_D 40006004 00000001 - 412410 ns R r1 00000001 - 412410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 412430 ns R r1 80000000 - 412430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 412450 ns R psr 81000200 - 412450 ns MR4_I 01000208 2a001c5b - 412470 ns MR4_I 01000200 07c96841 - 412490 ns IT 01000200 6841 LDR r1,[r0,#4] - 412510 ns MR4_I 01000204 6002d1fc - 412570 ns MR4_D 40006004 00000001 - 412570 ns R r1 00000001 - 412570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 412590 ns R r1 80000000 - 412590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 412610 ns R psr 81000200 - 412610 ns MR4_I 01000208 2a001c5b - 412630 ns MR4_I 01000200 07c96841 - 412650 ns IT 01000200 6841 LDR r1,[r0,#4] - 412670 ns MR4_I 01000204 6002d1fc - 412730 ns MR4_D 40006004 00000001 - 412730 ns R r1 00000001 - 412730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 412750 ns R r1 80000000 - 412750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 412770 ns R psr 81000200 - 412770 ns MR4_I 01000208 2a001c5b - 412790 ns MR4_I 01000200 07c96841 - 412810 ns IT 01000200 6841 LDR r1,[r0,#4] - 412830 ns MR4_I 01000204 6002d1fc - 412890 ns MR4_D 40006004 00000001 - 412890 ns R r1 00000001 - 412890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 412910 ns R r1 80000000 - 412910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 412930 ns R psr 81000200 - 412930 ns MR4_I 01000208 2a001c5b - 412950 ns MR4_I 01000200 07c96841 - 412970 ns IT 01000200 6841 LDR r1,[r0,#4] - 412990 ns MR4_I 01000204 6002d1fc - 413050 ns MR4_D 40006004 00000001 - 413050 ns R r1 00000001 - 413050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 413070 ns R r1 80000000 - 413070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 413090 ns R psr 81000200 - 413090 ns MR4_I 01000208 2a001c5b - 413110 ns MR4_I 01000200 07c96841 - 413130 ns IT 01000200 6841 LDR r1,[r0,#4] - 413150 ns MR4_I 01000204 6002d1fc - 413210 ns MR4_D 40006004 00000001 - 413210 ns R r1 00000001 - 413210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 413230 ns R r1 80000000 - 413230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 413250 ns R psr 81000200 - 413250 ns MR4_I 01000208 2a001c5b - 413270 ns MR4_I 01000200 07c96841 - 413290 ns IT 01000200 6841 LDR r1,[r0,#4] - 413310 ns MR4_I 01000204 6002d1fc - 413370 ns MR4_D 40006004 00000001 - 413370 ns R r1 00000001 - 413370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 413390 ns R r1 80000000 - 413390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 413410 ns R psr 81000200 - 413410 ns MR4_I 01000208 2a001c5b - 413430 ns MR4_I 01000200 07c96841 - 413450 ns IT 01000200 6841 LDR r1,[r0,#4] - 413470 ns MR4_I 01000204 6002d1fc - 413530 ns MR4_D 40006004 00000001 - 413530 ns R r1 00000001 - 413530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 413550 ns R r1 80000000 - 413550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 413570 ns R psr 81000200 - 413570 ns MR4_I 01000208 2a001c5b - 413590 ns MR4_I 01000200 07c96841 - 413610 ns IT 01000200 6841 LDR r1,[r0,#4] - 413630 ns MR4_I 01000204 6002d1fc - 413690 ns MR4_D 40006004 00000001 - 413690 ns R r1 00000001 - 413690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 413710 ns R r1 80000000 - 413710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 413730 ns R psr 81000200 - 413730 ns MR4_I 01000208 2a001c5b - 413750 ns MR4_I 01000200 07c96841 - 413770 ns IT 01000200 6841 LDR r1,[r0,#4] - 413790 ns MR4_I 01000204 6002d1fc - 413850 ns MR4_D 40006004 00000001 - 413850 ns R r1 00000001 - 413850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 413870 ns R r1 80000000 - 413870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 413890 ns R psr 81000200 - 413890 ns MR4_I 01000208 2a001c5b - 413910 ns MR4_I 01000200 07c96841 - 413930 ns IT 01000200 6841 LDR r1,[r0,#4] - 413950 ns MR4_I 01000204 6002d1fc - 414010 ns MR4_D 40006004 00000001 - 414010 ns R r1 00000001 - 414010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 414030 ns R r1 80000000 - 414030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 414050 ns R psr 81000200 - 414050 ns MR4_I 01000208 2a001c5b - 414070 ns MR4_I 01000200 07c96841 - 414090 ns IT 01000200 6841 LDR r1,[r0,#4] - 414110 ns MR4_I 01000204 6002d1fc - 414170 ns MR4_D 40006004 00000001 - 414170 ns R r1 00000001 - 414170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 414190 ns R r1 80000000 - 414190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 414210 ns R psr 81000200 - 414210 ns MR4_I 01000208 2a001c5b - 414230 ns MR4_I 01000200 07c96841 - 414250 ns IT 01000200 6841 LDR r1,[r0,#4] - 414270 ns MR4_I 01000204 6002d1fc - 414330 ns MR4_D 40006004 00000001 - 414330 ns R r1 00000001 - 414330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 414350 ns R r1 80000000 - 414350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 414370 ns R psr 81000200 - 414370 ns MR4_I 01000208 2a001c5b - 414390 ns MR4_I 01000200 07c96841 - 414410 ns IT 01000200 6841 LDR r1,[r0,#4] - 414430 ns MR4_I 01000204 6002d1fc - 414490 ns MR4_D 40006004 00000001 - 414490 ns R r1 00000001 - 414490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 414510 ns R r1 80000000 - 414510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 414530 ns R psr 81000200 - 414530 ns MR4_I 01000208 2a001c5b - 414550 ns MR4_I 01000200 07c96841 - 414570 ns IT 01000200 6841 LDR r1,[r0,#4] - 414590 ns MR4_I 01000204 6002d1fc - 414650 ns MR4_D 40006004 00000001 - 414650 ns R r1 00000001 - 414650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 414670 ns R r1 80000000 - 414670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 414690 ns R psr 81000200 - 414690 ns MR4_I 01000208 2a001c5b - 414710 ns MR4_I 01000200 07c96841 - 414730 ns IT 01000200 6841 LDR r1,[r0,#4] - 414750 ns MR4_I 01000204 6002d1fc - 414810 ns MR4_D 40006004 00000001 - 414810 ns R r1 00000001 - 414810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 414830 ns R r1 80000000 - 414830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 414850 ns R psr 81000200 - 414850 ns MR4_I 01000208 2a001c5b - 414870 ns MR4_I 01000200 07c96841 - 414890 ns IT 01000200 6841 LDR r1,[r0,#4] - 414910 ns MR4_I 01000204 6002d1fc - 414970 ns MR4_D 40006004 00000001 - 414970 ns R r1 00000001 - 414970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 414990 ns R r1 80000000 - 414990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 415010 ns R psr 81000200 - 415010 ns MR4_I 01000208 2a001c5b - 415030 ns MR4_I 01000200 07c96841 - 415050 ns IT 01000200 6841 LDR r1,[r0,#4] - 415070 ns MR4_I 01000204 6002d1fc - 415130 ns MR4_D 40006004 00000001 - 415130 ns R r1 00000001 - 415130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 415150 ns R r1 80000000 - 415150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 415170 ns R psr 81000200 - 415170 ns MR4_I 01000208 2a001c5b - 415190 ns MR4_I 01000200 07c96841 - 415210 ns IT 01000200 6841 LDR r1,[r0,#4] - 415230 ns MR4_I 01000204 6002d1fc - 415290 ns MR4_D 40006004 00000001 - 415290 ns R r1 00000001 - 415290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 415310 ns R r1 80000000 - 415310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 415330 ns R psr 81000200 - 415330 ns MR4_I 01000208 2a001c5b - 415350 ns MR4_I 01000200 07c96841 - 415370 ns IT 01000200 6841 LDR r1,[r0,#4] - 415390 ns MR4_I 01000204 6002d1fc - 415450 ns MR4_D 40006004 00000001 - 415450 ns R r1 00000001 - 415450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 415470 ns R r1 80000000 - 415470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 415490 ns R psr 81000200 - 415490 ns MR4_I 01000208 2a001c5b - 415510 ns MR4_I 01000200 07c96841 - 415530 ns IT 01000200 6841 LDR r1,[r0,#4] - 415550 ns MR4_I 01000204 6002d1fc - 415610 ns MR4_D 40006004 00000001 - 415610 ns R r1 00000001 - 415610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 415630 ns R r1 80000000 - 415630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 415650 ns R psr 81000200 - 415650 ns MR4_I 01000208 2a001c5b - 415670 ns MR4_I 01000200 07c96841 - 415690 ns IT 01000200 6841 LDR r1,[r0,#4] - 415710 ns MR4_I 01000204 6002d1fc - 415770 ns MR4_D 40006004 00000001 - 415770 ns R r1 00000001 - 415770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 415790 ns R r1 80000000 - 415790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 415810 ns R psr 81000200 - 415810 ns MR4_I 01000208 2a001c5b - 415830 ns MR4_I 01000200 07c96841 - 415850 ns IT 01000200 6841 LDR r1,[r0,#4] - 415870 ns MR4_I 01000204 6002d1fc - 415930 ns MR4_D 40006004 00000001 - 415930 ns R r1 00000001 - 415930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 415950 ns R r1 80000000 - 415950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 415970 ns R psr 81000200 - 415970 ns MR4_I 01000208 2a001c5b - 415990 ns MR4_I 01000200 07c96841 - 416010 ns IT 01000200 6841 LDR r1,[r0,#4] - 416030 ns MR4_I 01000204 6002d1fc - 416090 ns MR4_D 40006004 00000001 - 416090 ns R r1 00000001 - 416090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 416110 ns R r1 80000000 - 416110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 416130 ns R psr 81000200 - 416130 ns MR4_I 01000208 2a001c5b - 416150 ns MR4_I 01000200 07c96841 - 416170 ns IT 01000200 6841 LDR r1,[r0,#4] - 416190 ns MR4_I 01000204 6002d1fc - 416250 ns MR4_D 40006004 00000001 - 416250 ns R r1 00000001 - 416250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 416270 ns R r1 80000000 - 416270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 416290 ns R psr 81000200 - 416290 ns MR4_I 01000208 2a001c5b - 416310 ns MR4_I 01000200 07c96841 - 416330 ns IT 01000200 6841 LDR r1,[r0,#4] - 416350 ns MR4_I 01000204 6002d1fc - 416410 ns MR4_D 40006004 00000001 - 416410 ns R r1 00000001 - 416410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 416430 ns R r1 80000000 - 416430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 416450 ns R psr 81000200 - 416450 ns MR4_I 01000208 2a001c5b - 416470 ns MR4_I 01000200 07c96841 - 416490 ns IT 01000200 6841 LDR r1,[r0,#4] - 416510 ns MR4_I 01000204 6002d1fc - 416570 ns MR4_D 40006004 00000001 - 416570 ns R r1 00000001 - 416570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 416590 ns R r1 80000000 - 416590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 416610 ns R psr 81000200 - 416610 ns MR4_I 01000208 2a001c5b - 416630 ns MR4_I 01000200 07c96841 - 416650 ns IT 01000200 6841 LDR r1,[r0,#4] - 416670 ns MR4_I 01000204 6002d1fc - 416730 ns MR4_D 40006004 00000001 - 416730 ns R r1 00000001 - 416730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 416750 ns R r1 80000000 - 416750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 416770 ns R psr 81000200 - 416770 ns MR4_I 01000208 2a001c5b - 416790 ns MR4_I 01000200 07c96841 - 416810 ns IT 01000200 6841 LDR r1,[r0,#4] - 416830 ns MR4_I 01000204 6002d1fc - 416890 ns MR4_D 40006004 00000001 - 416890 ns R r1 00000001 - 416890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 416910 ns R r1 80000000 - 416910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 416930 ns R psr 81000200 - 416930 ns MR4_I 01000208 2a001c5b - 416950 ns MR4_I 01000200 07c96841 - 416970 ns IT 01000200 6841 LDR r1,[r0,#4] - 416990 ns MR4_I 01000204 6002d1fc - 417050 ns MR4_D 40006004 00000001 - 417050 ns R r1 00000001 - 417050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 417070 ns R r1 80000000 - 417070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 417090 ns R psr 81000200 - 417090 ns MR4_I 01000208 2a001c5b - 417110 ns MR4_I 01000200 07c96841 - 417130 ns IT 01000200 6841 LDR r1,[r0,#4] - 417150 ns MR4_I 01000204 6002d1fc - 417210 ns MR4_D 40006004 00000001 - 417210 ns R r1 00000001 - 417210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 417230 ns R r1 80000000 - 417230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 417250 ns R psr 81000200 - 417250 ns MR4_I 01000208 2a001c5b - 417270 ns MR4_I 01000200 07c96841 - 417290 ns IT 01000200 6841 LDR r1,[r0,#4] - 417310 ns MR4_I 01000204 6002d1fc - 417370 ns MR4_D 40006004 00000001 - 417370 ns R r1 00000001 - 417370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 417390 ns R r1 80000000 - 417390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 417410 ns R psr 81000200 - 417410 ns MR4_I 01000208 2a001c5b - 417430 ns MR4_I 01000200 07c96841 - 417450 ns IT 01000200 6841 LDR r1,[r0,#4] - 417470 ns MR4_I 01000204 6002d1fc - 417530 ns MR4_D 40006004 00000001 - 417530 ns R r1 00000001 - 417530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 417550 ns R r1 80000000 - 417550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 417570 ns R psr 81000200 - 417570 ns MR4_I 01000208 2a001c5b - 417590 ns MR4_I 01000200 07c96841 - 417610 ns IT 01000200 6841 LDR r1,[r0,#4] - 417630 ns MR4_I 01000204 6002d1fc - 417690 ns MR4_D 40006004 00000001 - 417690 ns R r1 00000001 - 417690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 417710 ns R r1 80000000 - 417710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 417730 ns R psr 81000200 - 417730 ns MR4_I 01000208 2a001c5b - 417750 ns MR4_I 01000200 07c96841 - 417770 ns IT 01000200 6841 LDR r1,[r0,#4] - 417790 ns MR4_I 01000204 6002d1fc - 417850 ns MR4_D 40006004 00000001 - 417850 ns R r1 00000001 - 417850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 417870 ns R r1 80000000 - 417870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 417890 ns R psr 81000200 - 417890 ns MR4_I 01000208 2a001c5b - 417910 ns MR4_I 01000200 07c96841 - 417930 ns IT 01000200 6841 LDR r1,[r0,#4] - 417950 ns MR4_I 01000204 6002d1fc - 418010 ns MR4_D 40006004 00000001 - 418010 ns R r1 00000001 - 418010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 418030 ns R r1 80000000 - 418030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 418050 ns R psr 81000200 - 418050 ns MR4_I 01000208 2a001c5b - 418070 ns MR4_I 01000200 07c96841 - 418090 ns IT 01000200 6841 LDR r1,[r0,#4] - 418110 ns MR4_I 01000204 6002d1fc - 418170 ns MR4_D 40006004 00000001 - 418170 ns R r1 00000001 - 418170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 418190 ns R r1 80000000 - 418190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 418210 ns R psr 81000200 - 418210 ns MR4_I 01000208 2a001c5b - 418230 ns MR4_I 01000200 07c96841 - 418250 ns IT 01000200 6841 LDR r1,[r0,#4] - 418270 ns MR4_I 01000204 6002d1fc - 418330 ns MR4_D 40006004 00000001 - 418330 ns R r1 00000001 - 418330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 418350 ns R r1 80000000 - 418350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 418370 ns R psr 81000200 - 418370 ns MR4_I 01000208 2a001c5b - 418390 ns MR4_I 01000200 07c96841 - 418410 ns IT 01000200 6841 LDR r1,[r0,#4] - 418430 ns MR4_I 01000204 6002d1fc - 418490 ns MR4_D 40006004 00000001 - 418490 ns R r1 00000001 - 418490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 418510 ns R r1 80000000 - 418510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 418530 ns R psr 81000200 - 418530 ns MR4_I 01000208 2a001c5b - 418550 ns MR4_I 01000200 07c96841 - 418570 ns IT 01000200 6841 LDR r1,[r0,#4] - 418590 ns MR4_I 01000204 6002d1fc - 418650 ns MR4_D 40006004 00000001 - 418650 ns R r1 00000001 - 418650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 418670 ns R r1 80000000 - 418670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 418690 ns R psr 81000200 - 418690 ns MR4_I 01000208 2a001c5b - 418710 ns MR4_I 01000200 07c96841 - 418730 ns IT 01000200 6841 LDR r1,[r0,#4] - 418750 ns MR4_I 01000204 6002d1fc - 418810 ns MR4_D 40006004 00000001 - 418810 ns R r1 00000001 - 418810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 418830 ns R r1 80000000 - 418830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 418850 ns R psr 81000200 - 418850 ns MR4_I 01000208 2a001c5b - 418870 ns MR4_I 01000200 07c96841 - 418890 ns IT 01000200 6841 LDR r1,[r0,#4] - 418910 ns MR4_I 01000204 6002d1fc - 418970 ns MR4_D 40006004 00000001 - 418970 ns R r1 00000001 - 418970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 418990 ns R r1 80000000 - 418990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 419010 ns R psr 81000200 - 419010 ns MR4_I 01000208 2a001c5b - 419030 ns MR4_I 01000200 07c96841 - 419050 ns IT 01000200 6841 LDR r1,[r0,#4] - 419070 ns MR4_I 01000204 6002d1fc - 419130 ns MR4_D 40006004 00000001 - 419130 ns R r1 00000001 - 419130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 419150 ns R r1 80000000 - 419150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 419170 ns R psr 81000200 - 419170 ns MR4_I 01000208 2a001c5b - 419190 ns MR4_I 01000200 07c96841 - 419210 ns IT 01000200 6841 LDR r1,[r0,#4] - 419230 ns MR4_I 01000204 6002d1fc - 419290 ns MR4_D 40006004 00000001 - 419290 ns R r1 00000001 - 419290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 419310 ns R r1 80000000 - 419310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 419330 ns R psr 81000200 - 419330 ns MR4_I 01000208 2a001c5b - 419350 ns MR4_I 01000200 07c96841 - 419370 ns IT 01000200 6841 LDR r1,[r0,#4] - 419390 ns MR4_I 01000204 6002d1fc - 419450 ns MR4_D 40006004 00000001 - 419450 ns R r1 00000001 - 419450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 419470 ns R r1 80000000 - 419470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 419490 ns R psr 81000200 - 419490 ns MR4_I 01000208 2a001c5b - 419510 ns MR4_I 01000200 07c96841 - 419530 ns IT 01000200 6841 LDR r1,[r0,#4] - 419550 ns MR4_I 01000204 6002d1fc - 419610 ns MR4_D 40006004 00000001 - 419610 ns R r1 00000001 - 419610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 419630 ns R r1 80000000 - 419630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 419650 ns R psr 81000200 - 419650 ns MR4_I 01000208 2a001c5b - 419670 ns MR4_I 01000200 07c96841 - 419690 ns IT 01000200 6841 LDR r1,[r0,#4] - 419710 ns MR4_I 01000204 6002d1fc - 419770 ns MR4_D 40006004 00000001 - 419770 ns R r1 00000001 - 419770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 419790 ns R r1 80000000 - 419790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 419810 ns R psr 81000200 - 419810 ns MR4_I 01000208 2a001c5b - 419830 ns MR4_I 01000200 07c96841 - 419850 ns IT 01000200 6841 LDR r1,[r0,#4] - 419870 ns MR4_I 01000204 6002d1fc - 419930 ns MR4_D 40006004 00000001 - 419930 ns R r1 00000001 - 419930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 419950 ns R r1 80000000 - 419950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 419970 ns R psr 81000200 - 419970 ns MR4_I 01000208 2a001c5b - 419990 ns MR4_I 01000200 07c96841 - 420010 ns IT 01000200 6841 LDR r1,[r0,#4] - 420030 ns MR4_I 01000204 6002d1fc - 420090 ns MR4_D 40006004 00000001 - 420090 ns R r1 00000001 - 420090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 420110 ns R r1 80000000 - 420110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 420130 ns R psr 81000200 - 420130 ns MR4_I 01000208 2a001c5b - 420150 ns MR4_I 01000200 07c96841 - 420170 ns IT 01000200 6841 LDR r1,[r0,#4] - 420190 ns MR4_I 01000204 6002d1fc - 420250 ns MR4_D 40006004 00000001 - 420250 ns R r1 00000001 - 420250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 420270 ns R r1 80000000 - 420270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 420290 ns R psr 81000200 - 420290 ns MR4_I 01000208 2a001c5b - 420310 ns MR4_I 01000200 07c96841 - 420330 ns IT 01000200 6841 LDR r1,[r0,#4] - 420350 ns MR4_I 01000204 6002d1fc - 420410 ns MR4_D 40006004 00000001 - 420410 ns R r1 00000001 - 420410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 420430 ns R r1 80000000 - 420430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 420450 ns R psr 81000200 - 420450 ns MR4_I 01000208 2a001c5b - 420470 ns MR4_I 01000200 07c96841 - 420490 ns IT 01000200 6841 LDR r1,[r0,#4] - 420510 ns MR4_I 01000204 6002d1fc - 420570 ns MR4_D 40006004 00000001 - 420570 ns R r1 00000001 - 420570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 420590 ns R r1 80000000 - 420590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 420610 ns R psr 81000200 - 420610 ns MR4_I 01000208 2a001c5b - 420630 ns MR4_I 01000200 07c96841 - 420650 ns IT 01000200 6841 LDR r1,[r0,#4] - 420670 ns MR4_I 01000204 6002d1fc - 420730 ns MR4_D 40006004 00000001 - 420730 ns R r1 00000001 - 420730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 420750 ns R r1 80000000 - 420750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 420770 ns R psr 81000200 - 420770 ns MR4_I 01000208 2a001c5b - 420790 ns MR4_I 01000200 07c96841 - 420810 ns IT 01000200 6841 LDR r1,[r0,#4] - 420830 ns MR4_I 01000204 6002d1fc - 420890 ns MR4_D 40006004 00000001 - 420890 ns R r1 00000001 - 420890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 420910 ns R r1 80000000 - 420910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 420930 ns R psr 81000200 - 420930 ns MR4_I 01000208 2a001c5b - 420950 ns MR4_I 01000200 07c96841 - 420970 ns IT 01000200 6841 LDR r1,[r0,#4] - 420990 ns MR4_I 01000204 6002d1fc - 421050 ns MR4_D 40006004 00000001 - 421050 ns R r1 00000001 - 421050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 421070 ns R r1 80000000 - 421070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 421090 ns R psr 81000200 - 421090 ns MR4_I 01000208 2a001c5b - 421110 ns MR4_I 01000200 07c96841 - 421130 ns IT 01000200 6841 LDR r1,[r0,#4] - 421150 ns MR4_I 01000204 6002d1fc - 421210 ns MR4_D 40006004 00000001 - 421210 ns R r1 00000001 - 421210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 421230 ns R r1 80000000 - 421230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 421250 ns R psr 81000200 - 421250 ns MR4_I 01000208 2a001c5b - 421270 ns MR4_I 01000200 07c96841 - 421290 ns IT 01000200 6841 LDR r1,[r0,#4] - 421310 ns MR4_I 01000204 6002d1fc - 421370 ns MR4_D 40006004 00000001 - 421370 ns R r1 00000001 - 421370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 421390 ns R r1 80000000 - 421390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 421410 ns R psr 81000200 - 421410 ns MR4_I 01000208 2a001c5b - 421430 ns MR4_I 01000200 07c96841 - 421450 ns IT 01000200 6841 LDR r1,[r0,#4] - 421470 ns MR4_I 01000204 6002d1fc - 421530 ns MR4_D 40006004 00000001 - 421530 ns R r1 00000001 - 421530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 421550 ns R r1 80000000 - 421550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 421570 ns R psr 81000200 - 421570 ns MR4_I 01000208 2a001c5b - 421590 ns MR4_I 01000200 07c96841 - 421610 ns IT 01000200 6841 LDR r1,[r0,#4] - 421630 ns MR4_I 01000204 6002d1fc - 421690 ns MR4_D 40006004 00000001 - 421690 ns R r1 00000001 - 421690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 421710 ns R r1 80000000 - 421710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 421730 ns R psr 81000200 - 421730 ns MR4_I 01000208 2a001c5b - 421750 ns MR4_I 01000200 07c96841 - 421770 ns IT 01000200 6841 LDR r1,[r0,#4] - 421790 ns MR4_I 01000204 6002d1fc - 421850 ns MR4_D 40006004 00000001 - 421850 ns R r1 00000001 - 421850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 421870 ns R r1 80000000 - 421870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 421890 ns R psr 81000200 - 421890 ns MR4_I 01000208 2a001c5b - 421910 ns MR4_I 01000200 07c96841 - 421930 ns IT 01000200 6841 LDR r1,[r0,#4] - 421950 ns MR4_I 01000204 6002d1fc - 422010 ns MR4_D 40006004 00000001 - 422010 ns R r1 00000001 - 422010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 422030 ns R r1 80000000 - 422030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 422050 ns R psr 81000200 - 422050 ns MR4_I 01000208 2a001c5b - 422070 ns MR4_I 01000200 07c96841 - 422090 ns IT 01000200 6841 LDR r1,[r0,#4] - 422110 ns MR4_I 01000204 6002d1fc - 422170 ns MR4_D 40006004 00000001 - 422170 ns R r1 00000001 - 422170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 422190 ns R r1 80000000 - 422190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 422210 ns R psr 81000200 - 422210 ns MR4_I 01000208 2a001c5b - 422230 ns MR4_I 01000200 07c96841 - 422250 ns IT 01000200 6841 LDR r1,[r0,#4] - 422270 ns MR4_I 01000204 6002d1fc - 422330 ns MR4_D 40006004 00000001 - 422330 ns R r1 00000001 - 422330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 422350 ns R r1 80000000 - 422350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 422370 ns R psr 81000200 - 422370 ns MR4_I 01000208 2a001c5b - 422390 ns MR4_I 01000200 07c96841 - 422410 ns IT 01000200 6841 LDR r1,[r0,#4] - 422430 ns MR4_I 01000204 6002d1fc - 422490 ns MR4_D 40006004 00000001 - 422490 ns R r1 00000001 - 422490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 422510 ns R r1 80000000 - 422510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 422530 ns R psr 81000200 - 422530 ns MR4_I 01000208 2a001c5b - 422550 ns MR4_I 01000200 07c96841 - 422570 ns IT 01000200 6841 LDR r1,[r0,#4] - 422590 ns MR4_I 01000204 6002d1fc - 422650 ns MR4_D 40006004 00000001 - 422650 ns R r1 00000001 - 422650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 422670 ns R r1 80000000 - 422670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 422690 ns R psr 81000200 - 422690 ns MR4_I 01000208 2a001c5b - 422710 ns MR4_I 01000200 07c96841 - 422730 ns IT 01000200 6841 LDR r1,[r0,#4] - 422750 ns MR4_I 01000204 6002d1fc - 422810 ns MR4_D 40006004 00000001 - 422810 ns R r1 00000001 - 422810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 422830 ns R r1 80000000 - 422830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 422850 ns R psr 81000200 - 422850 ns MR4_I 01000208 2a001c5b - 422870 ns MR4_I 01000200 07c96841 - 422890 ns IT 01000200 6841 LDR r1,[r0,#4] - 422910 ns MR4_I 01000204 6002d1fc - 422970 ns MR4_D 40006004 00000001 - 422970 ns R r1 00000001 - 422970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 422990 ns R r1 80000000 - 422990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 423010 ns R psr 81000200 - 423010 ns MR4_I 01000208 2a001c5b - 423030 ns MR4_I 01000200 07c96841 - 423050 ns IT 01000200 6841 LDR r1,[r0,#4] - 423070 ns MR4_I 01000204 6002d1fc - 423130 ns MR4_D 40006004 00000001 - 423130 ns R r1 00000001 - 423130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 423150 ns R r1 80000000 - 423150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 423170 ns R psr 81000200 - 423170 ns MR4_I 01000208 2a001c5b - 423190 ns MR4_I 01000200 07c96841 - 423210 ns IT 01000200 6841 LDR r1,[r0,#4] - 423230 ns MR4_I 01000204 6002d1fc - 423290 ns MR4_D 40006004 00000001 - 423290 ns R r1 00000001 - 423290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 423310 ns R r1 80000000 - 423310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 423330 ns R psr 81000200 - 423330 ns MR4_I 01000208 2a001c5b - 423350 ns MR4_I 01000200 07c96841 - 423370 ns IT 01000200 6841 LDR r1,[r0,#4] - 423390 ns MR4_I 01000204 6002d1fc - 423450 ns MR4_D 40006004 00000001 - 423450 ns R r1 00000001 - 423450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 423470 ns R r1 80000000 - 423470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 423490 ns R psr 81000200 - 423490 ns MR4_I 01000208 2a001c5b - 423510 ns MR4_I 01000200 07c96841 - 423530 ns IT 01000200 6841 LDR r1,[r0,#4] - 423550 ns MR4_I 01000204 6002d1fc - 423610 ns MR4_D 40006004 00000001 - 423610 ns R r1 00000001 - 423610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 423630 ns R r1 80000000 - 423630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 423650 ns R psr 81000200 - 423650 ns MR4_I 01000208 2a001c5b - 423670 ns MR4_I 01000200 07c96841 - 423690 ns IT 01000200 6841 LDR r1,[r0,#4] - 423710 ns MR4_I 01000204 6002d1fc - 423770 ns MR4_D 40006004 00000001 - 423770 ns R r1 00000001 - 423770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 423790 ns R r1 80000000 - 423790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 423810 ns R psr 81000200 - 423810 ns MR4_I 01000208 2a001c5b - 423830 ns MR4_I 01000200 07c96841 - 423850 ns IT 01000200 6841 LDR r1,[r0,#4] - 423870 ns MR4_I 01000204 6002d1fc - 423930 ns MR4_D 40006004 00000001 - 423930 ns R r1 00000001 - 423930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 423950 ns R r1 80000000 - 423950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 423970 ns R psr 81000200 - 423970 ns MR4_I 01000208 2a001c5b - 423990 ns MR4_I 01000200 07c96841 - 424010 ns IT 01000200 6841 LDR r1,[r0,#4] - 424030 ns MR4_I 01000204 6002d1fc - 424090 ns MR4_D 40006004 00000001 - 424090 ns R r1 00000001 - 424090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 424110 ns R r1 80000000 - 424110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 424130 ns R psr 81000200 - 424130 ns MR4_I 01000208 2a001c5b - 424150 ns MR4_I 01000200 07c96841 - 424170 ns IT 01000200 6841 LDR r1,[r0,#4] - 424190 ns MR4_I 01000204 6002d1fc - 424250 ns MR4_D 40006004 00000001 - 424250 ns R r1 00000001 - 424250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 424270 ns R r1 80000000 - 424270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 424290 ns R psr 81000200 - 424290 ns MR4_I 01000208 2a001c5b - 424310 ns MR4_I 01000200 07c96841 - 424330 ns IT 01000200 6841 LDR r1,[r0,#4] - 424350 ns MR4_I 01000204 6002d1fc - 424410 ns MR4_D 40006004 00000001 - 424410 ns R r1 00000001 - 424410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 424430 ns R r1 80000000 - 424430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 424450 ns R psr 81000200 - 424450 ns MR4_I 01000208 2a001c5b - 424470 ns MR4_I 01000200 07c96841 - 424490 ns IT 01000200 6841 LDR r1,[r0,#4] - 424510 ns MR4_I 01000204 6002d1fc - 424570 ns MR4_D 40006004 00000001 - 424570 ns R r1 00000001 - 424570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 424590 ns R r1 80000000 - 424590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 424610 ns R psr 81000200 - 424610 ns MR4_I 01000208 2a001c5b - 424630 ns MR4_I 01000200 07c96841 - 424650 ns IT 01000200 6841 LDR r1,[r0,#4] - 424670 ns MR4_I 01000204 6002d1fc - 424730 ns MR4_D 40006004 00000001 - 424730 ns R r1 00000001 - 424730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 424750 ns R r1 80000000 - 424750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 424770 ns R psr 81000200 - 424770 ns MR4_I 01000208 2a001c5b - 424790 ns MR4_I 01000200 07c96841 - 424810 ns IT 01000200 6841 LDR r1,[r0,#4] - 424830 ns MR4_I 01000204 6002d1fc - 424890 ns MR4_D 40006004 00000001 - 424890 ns R r1 00000001 - 424890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 424910 ns R r1 80000000 - 424910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 424930 ns R psr 81000200 - 424930 ns MR4_I 01000208 2a001c5b - 424950 ns MR4_I 01000200 07c96841 - 424970 ns IT 01000200 6841 LDR r1,[r0,#4] - 424990 ns MR4_I 01000204 6002d1fc - 425050 ns MR4_D 40006004 00000001 - 425050 ns R r1 00000001 - 425050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 425070 ns R r1 80000000 - 425070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 425090 ns R psr 81000200 - 425090 ns MR4_I 01000208 2a001c5b - 425110 ns MR4_I 01000200 07c96841 - 425130 ns IT 01000200 6841 LDR r1,[r0,#4] - 425150 ns MR4_I 01000204 6002d1fc - 425210 ns MR4_D 40006004 00000001 - 425210 ns R r1 00000001 - 425210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 425230 ns R r1 80000000 - 425230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 425250 ns R psr 81000200 - 425250 ns MR4_I 01000208 2a001c5b - 425270 ns MR4_I 01000200 07c96841 - 425290 ns IT 01000200 6841 LDR r1,[r0,#4] - 425310 ns MR4_I 01000204 6002d1fc - 425370 ns MR4_D 40006004 00000001 - 425370 ns R r1 00000001 - 425370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 425390 ns R r1 80000000 - 425390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 425410 ns R psr 81000200 - 425410 ns MR4_I 01000208 2a001c5b - 425430 ns MR4_I 01000200 07c96841 - 425450 ns IT 01000200 6841 LDR r1,[r0,#4] - 425470 ns MR4_I 01000204 6002d1fc - 425530 ns MR4_D 40006004 00000001 - 425530 ns R r1 00000001 - 425530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 425550 ns R r1 80000000 - 425550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 425570 ns R psr 81000200 - 425570 ns MR4_I 01000208 2a001c5b - 425590 ns MR4_I 01000200 07c96841 - 425610 ns IT 01000200 6841 LDR r1,[r0,#4] - 425630 ns MR4_I 01000204 6002d1fc - 425690 ns MR4_D 40006004 00000001 - 425690 ns R r1 00000001 - 425690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 425710 ns R r1 80000000 - 425710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 425730 ns R psr 81000200 - 425730 ns MR4_I 01000208 2a001c5b - 425750 ns MR4_I 01000200 07c96841 - 425770 ns IT 01000200 6841 LDR r1,[r0,#4] - 425790 ns MR4_I 01000204 6002d1fc - 425850 ns MR4_D 40006004 00000001 - 425850 ns R r1 00000001 - 425850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 425870 ns R r1 80000000 - 425870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 425890 ns R psr 81000200 - 425890 ns MR4_I 01000208 2a001c5b - 425910 ns MR4_I 01000200 07c96841 - 425930 ns IT 01000200 6841 LDR r1,[r0,#4] - 425950 ns MR4_I 01000204 6002d1fc - 426010 ns MR4_D 40006004 00000001 - 426010 ns R r1 00000001 - 426010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 426030 ns R r1 80000000 - 426030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 426050 ns R psr 81000200 - 426050 ns MR4_I 01000208 2a001c5b - 426070 ns MR4_I 01000200 07c96841 - 426090 ns IT 01000200 6841 LDR r1,[r0,#4] - 426110 ns MR4_I 01000204 6002d1fc - 426170 ns MR4_D 40006004 00000001 - 426170 ns R r1 00000001 - 426170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 426190 ns R r1 80000000 - 426190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 426210 ns R psr 81000200 - 426210 ns MR4_I 01000208 2a001c5b - 426230 ns MR4_I 01000200 07c96841 - 426250 ns IT 01000200 6841 LDR r1,[r0,#4] - 426270 ns MR4_I 01000204 6002d1fc - 426330 ns MR4_D 40006004 00000001 - 426330 ns R r1 00000001 - 426330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 426350 ns R r1 80000000 - 426350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 426370 ns R psr 81000200 - 426370 ns MR4_I 01000208 2a001c5b - 426390 ns MR4_I 01000200 07c96841 - 426410 ns IT 01000200 6841 LDR r1,[r0,#4] - 426430 ns MR4_I 01000204 6002d1fc - 426490 ns MR4_D 40006004 00000001 - 426490 ns R r1 00000001 - 426490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 426510 ns R r1 80000000 - 426510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 426530 ns R psr 81000200 - 426530 ns MR4_I 01000208 2a001c5b - 426550 ns MR4_I 01000200 07c96841 - 426570 ns IT 01000200 6841 LDR r1,[r0,#4] - 426590 ns MR4_I 01000204 6002d1fc - 426650 ns MR4_D 40006004 00000001 - 426650 ns R r1 00000001 - 426650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 426670 ns R r1 80000000 - 426670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 426690 ns R psr 81000200 - 426690 ns MR4_I 01000208 2a001c5b - 426710 ns MR4_I 01000200 07c96841 - 426730 ns IT 01000200 6841 LDR r1,[r0,#4] - 426750 ns MR4_I 01000204 6002d1fc - 426810 ns MR4_D 40006004 00000001 - 426810 ns R r1 00000001 - 426810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 426830 ns R r1 80000000 - 426830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 426850 ns R psr 81000200 - 426850 ns MR4_I 01000208 2a001c5b - 426870 ns MR4_I 01000200 07c96841 - 426890 ns IT 01000200 6841 LDR r1,[r0,#4] - 426910 ns MR4_I 01000204 6002d1fc - 426970 ns MR4_D 40006004 00000001 - 426970 ns R r1 00000001 - 426970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 426990 ns R r1 80000000 - 426990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 427010 ns R psr 81000200 - 427010 ns MR4_I 01000208 2a001c5b - 427030 ns MR4_I 01000200 07c96841 - 427050 ns IT 01000200 6841 LDR r1,[r0,#4] - 427070 ns MR4_I 01000204 6002d1fc - 427130 ns MR4_D 40006004 00000001 - 427130 ns R r1 00000001 - 427130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 427150 ns R r1 80000000 - 427150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 427170 ns R psr 81000200 - 427170 ns MR4_I 01000208 2a001c5b - 427190 ns MR4_I 01000200 07c96841 - 427210 ns IT 01000200 6841 LDR r1,[r0,#4] - 427230 ns MR4_I 01000204 6002d1fc - 427290 ns MR4_D 40006004 00000001 - 427290 ns R r1 00000001 - 427290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 427310 ns R r1 80000000 - 427310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 427330 ns R psr 81000200 - 427330 ns MR4_I 01000208 2a001c5b - 427350 ns MR4_I 01000200 07c96841 - 427370 ns IT 01000200 6841 LDR r1,[r0,#4] - 427390 ns MR4_I 01000204 6002d1fc - 427450 ns MR4_D 40006004 00000001 - 427450 ns R r1 00000001 - 427450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 427470 ns R r1 80000000 - 427470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 427490 ns R psr 81000200 - 427490 ns MR4_I 01000208 2a001c5b - 427510 ns MR4_I 01000200 07c96841 - 427530 ns IT 01000200 6841 LDR r1,[r0,#4] - 427550 ns MR4_I 01000204 6002d1fc - 427610 ns MR4_D 40006004 00000001 - 427610 ns R r1 00000001 - 427610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 427630 ns R r1 80000000 - 427630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 427650 ns R psr 81000200 - 427650 ns MR4_I 01000208 2a001c5b - 427670 ns MR4_I 01000200 07c96841 - 427690 ns IT 01000200 6841 LDR r1,[r0,#4] - 427710 ns MR4_I 01000204 6002d1fc - 427770 ns MR4_D 40006004 00000001 - 427770 ns R r1 00000001 - 427770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 427790 ns R r1 80000000 - 427790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 427810 ns R psr 81000200 - 427810 ns MR4_I 01000208 2a001c5b - 427830 ns MR4_I 01000200 07c96841 - 427850 ns IT 01000200 6841 LDR r1,[r0,#4] - 427870 ns MR4_I 01000204 6002d1fc - 427930 ns MR4_D 40006004 00000001 - 427930 ns R r1 00000001 - 427930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 427950 ns R r1 80000000 - 427950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 427970 ns R psr 81000200 - 427970 ns MR4_I 01000208 2a001c5b - 427990 ns MR4_I 01000200 07c96841 - 428010 ns IT 01000200 6841 LDR r1,[r0,#4] - 428030 ns MR4_I 01000204 6002d1fc - 428090 ns MR4_D 40006004 00000001 - 428090 ns R r1 00000001 - 428090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 428110 ns R r1 80000000 - 428110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 428130 ns R psr 81000200 - 428130 ns MR4_I 01000208 2a001c5b - 428150 ns MR4_I 01000200 07c96841 - 428170 ns IT 01000200 6841 LDR r1,[r0,#4] - 428190 ns MR4_I 01000204 6002d1fc - 428250 ns MR4_D 40006004 00000001 - 428250 ns R r1 00000001 - 428250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 428270 ns R r1 80000000 - 428270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 428290 ns R psr 81000200 - 428290 ns MR4_I 01000208 2a001c5b - 428310 ns MR4_I 01000200 07c96841 - 428330 ns IT 01000200 6841 LDR r1,[r0,#4] - 428350 ns MR4_I 01000204 6002d1fc - 428410 ns MR4_D 40006004 00000001 - 428410 ns R r1 00000001 - 428410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 428430 ns R r1 80000000 - 428430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 428450 ns R psr 81000200 - 428450 ns MR4_I 01000208 2a001c5b - 428470 ns MR4_I 01000200 07c96841 - 428490 ns IT 01000200 6841 LDR r1,[r0,#4] - 428510 ns MR4_I 01000204 6002d1fc - 428570 ns MR4_D 40006004 00000001 - 428570 ns R r1 00000001 - 428570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 428590 ns R r1 80000000 - 428590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 428610 ns R psr 81000200 - 428610 ns MR4_I 01000208 2a001c5b - 428630 ns MR4_I 01000200 07c96841 - 428650 ns IT 01000200 6841 LDR r1,[r0,#4] - 428670 ns MR4_I 01000204 6002d1fc - 428730 ns MR4_D 40006004 00000001 - 428730 ns R r1 00000001 - 428730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 428750 ns R r1 80000000 - 428750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 428770 ns R psr 81000200 - 428770 ns MR4_I 01000208 2a001c5b - 428790 ns MR4_I 01000200 07c96841 - 428810 ns IT 01000200 6841 LDR r1,[r0,#4] - 428830 ns MR4_I 01000204 6002d1fc - 428890 ns MR4_D 40006004 00000001 - 428890 ns R r1 00000001 - 428890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 428910 ns R r1 80000000 - 428910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 428930 ns R psr 81000200 - 428930 ns MR4_I 01000208 2a001c5b - 428950 ns MR4_I 01000200 07c96841 - 428970 ns IT 01000200 6841 LDR r1,[r0,#4] - 428990 ns MR4_I 01000204 6002d1fc - 429050 ns MR4_D 40006004 00000001 - 429050 ns R r1 00000001 - 429050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 429070 ns R r1 80000000 - 429070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 429090 ns R psr 81000200 - 429090 ns MR4_I 01000208 2a001c5b - 429110 ns MR4_I 01000200 07c96841 - 429130 ns IT 01000200 6841 LDR r1,[r0,#4] - 429150 ns MR4_I 01000204 6002d1fc - 429210 ns MR4_D 40006004 00000001 - 429210 ns R r1 00000001 - 429210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 429230 ns R r1 80000000 - 429230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 429250 ns R psr 81000200 - 429250 ns MR4_I 01000208 2a001c5b - 429270 ns MR4_I 01000200 07c96841 - 429290 ns IT 01000200 6841 LDR r1,[r0,#4] - 429310 ns MR4_I 01000204 6002d1fc - 429370 ns MR4_D 40006004 00000001 - 429370 ns R r1 00000001 - 429370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 429390 ns R r1 80000000 - 429390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 429410 ns R psr 81000200 - 429410 ns MR4_I 01000208 2a001c5b - 429430 ns MR4_I 01000200 07c96841 - 429450 ns IT 01000200 6841 LDR r1,[r0,#4] - 429470 ns MR4_I 01000204 6002d1fc - 429530 ns MR4_D 40006004 00000001 - 429530 ns R r1 00000001 - 429530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 429550 ns R r1 80000000 - 429550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 429570 ns R psr 81000200 - 429570 ns MR4_I 01000208 2a001c5b - 429590 ns MR4_I 01000200 07c96841 - 429610 ns IT 01000200 6841 LDR r1,[r0,#4] - 429630 ns MR4_I 01000204 6002d1fc - 429690 ns MR4_D 40006004 00000001 - 429690 ns R r1 00000001 - 429690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 429710 ns R r1 80000000 - 429710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 429730 ns R psr 81000200 - 429730 ns MR4_I 01000208 2a001c5b - 429750 ns MR4_I 01000200 07c96841 - 429770 ns IT 01000200 6841 LDR r1,[r0,#4] - 429790 ns MR4_I 01000204 6002d1fc - 429850 ns MR4_D 40006004 00000001 - 429850 ns R r1 00000001 - 429850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 429870 ns R r1 80000000 - 429870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 429890 ns R psr 81000200 - 429890 ns MR4_I 01000208 2a001c5b - 429910 ns MR4_I 01000200 07c96841 - 429930 ns IT 01000200 6841 LDR r1,[r0,#4] - 429950 ns MR4_I 01000204 6002d1fc - 430010 ns MR4_D 40006004 00000001 - 430010 ns R r1 00000001 - 430010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 430030 ns R r1 80000000 - 430030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 430050 ns R psr 81000200 - 430050 ns MR4_I 01000208 2a001c5b - 430070 ns MR4_I 01000200 07c96841 - 430090 ns IT 01000200 6841 LDR r1,[r0,#4] - 430110 ns MR4_I 01000204 6002d1fc - 430170 ns MR4_D 40006004 00000001 - 430170 ns R r1 00000001 - 430170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 430190 ns R r1 80000000 - 430190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 430210 ns R psr 81000200 - 430210 ns MR4_I 01000208 2a001c5b - 430230 ns MR4_I 01000200 07c96841 - 430250 ns IT 01000200 6841 LDR r1,[r0,#4] - 430270 ns MR4_I 01000204 6002d1fc - 430330 ns MR4_D 40006004 00000001 - 430330 ns R r1 00000001 - 430330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 430350 ns R r1 80000000 - 430350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 430370 ns R psr 81000200 - 430370 ns MR4_I 01000208 2a001c5b - 430390 ns MR4_I 01000200 07c96841 - 430410 ns IT 01000200 6841 LDR r1,[r0,#4] - 430430 ns MR4_I 01000204 6002d1fc - 430490 ns MR4_D 40006004 00000001 - 430490 ns R r1 00000001 - 430490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 430510 ns R r1 80000000 - 430510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 430530 ns R psr 81000200 - 430530 ns MR4_I 01000208 2a001c5b - 430550 ns MR4_I 01000200 07c96841 - 430570 ns IT 01000200 6841 LDR r1,[r0,#4] - 430590 ns MR4_I 01000204 6002d1fc - 430650 ns MR4_D 40006004 00000001 - 430650 ns R r1 00000001 - 430650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 430670 ns R r1 80000000 - 430670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 430690 ns R psr 81000200 - 430690 ns MR4_I 01000208 2a001c5b - 430710 ns MR4_I 01000200 07c96841 - 430730 ns IT 01000200 6841 LDR r1,[r0,#4] - 430750 ns MR4_I 01000204 6002d1fc - 430810 ns MR4_D 40006004 00000001 - 430810 ns R r1 00000001 - 430810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 430830 ns R r1 80000000 - 430830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 430850 ns R psr 81000200 - 430850 ns MR4_I 01000208 2a001c5b - 430870 ns MR4_I 01000200 07c96841 - 430890 ns IT 01000200 6841 LDR r1,[r0,#4] - 430910 ns MR4_I 01000204 6002d1fc - 430970 ns MR4_D 40006004 00000001 - 430970 ns R r1 00000001 - 430970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 430990 ns R r1 80000000 - 430990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 431010 ns R psr 81000200 - 431010 ns MR4_I 01000208 2a001c5b - 431030 ns MR4_I 01000200 07c96841 - 431050 ns IT 01000200 6841 LDR r1,[r0,#4] - 431070 ns MR4_I 01000204 6002d1fc - 431130 ns MR4_D 40006004 00000001 - 431130 ns R r1 00000001 - 431130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 431150 ns R r1 80000000 - 431150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 431170 ns R psr 81000200 - 431170 ns MR4_I 01000208 2a001c5b - 431190 ns MR4_I 01000200 07c96841 - 431210 ns IT 01000200 6841 LDR r1,[r0,#4] - 431230 ns MR4_I 01000204 6002d1fc - 431290 ns MR4_D 40006004 00000001 - 431290 ns R r1 00000001 - 431290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 431310 ns R r1 80000000 - 431310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 431330 ns R psr 81000200 - 431330 ns MR4_I 01000208 2a001c5b - 431350 ns MR4_I 01000200 07c96841 - 431370 ns IT 01000200 6841 LDR r1,[r0,#4] - 431390 ns MR4_I 01000204 6002d1fc - 431450 ns MR4_D 40006004 00000001 - 431450 ns R r1 00000001 - 431450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 431470 ns R r1 80000000 - 431470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 431490 ns R psr 81000200 - 431490 ns MR4_I 01000208 2a001c5b - 431510 ns MR4_I 01000200 07c96841 - 431530 ns IT 01000200 6841 LDR r1,[r0,#4] - 431550 ns MR4_I 01000204 6002d1fc - 431610 ns MR4_D 40006004 00000001 - 431610 ns R r1 00000001 - 431610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 431630 ns R r1 80000000 - 431630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 431650 ns R psr 81000200 - 431650 ns MR4_I 01000208 2a001c5b - 431670 ns MR4_I 01000200 07c96841 - 431690 ns IT 01000200 6841 LDR r1,[r0,#4] - 431710 ns MR4_I 01000204 6002d1fc - 431770 ns MR4_D 40006004 00000001 - 431770 ns R r1 00000001 - 431770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 431790 ns R r1 80000000 - 431790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 431810 ns R psr 81000200 - 431810 ns MR4_I 01000208 2a001c5b - 431830 ns MR4_I 01000200 07c96841 - 431850 ns IT 01000200 6841 LDR r1,[r0,#4] - 431870 ns MR4_I 01000204 6002d1fc - 431930 ns MR4_D 40006004 00000001 - 431930 ns R r1 00000001 - 431930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 431950 ns R r1 80000000 - 431950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 431970 ns R psr 81000200 - 431970 ns MR4_I 01000208 2a001c5b - 431990 ns MR4_I 01000200 07c96841 - 432010 ns IT 01000200 6841 LDR r1,[r0,#4] - 432030 ns MR4_I 01000204 6002d1fc - 432090 ns MR4_D 40006004 00000001 - 432090 ns R r1 00000001 - 432090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 432110 ns R r1 80000000 - 432110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 432130 ns R psr 81000200 - 432130 ns MR4_I 01000208 2a001c5b - 432150 ns MR4_I 01000200 07c96841 - 432170 ns IT 01000200 6841 LDR r1,[r0,#4] - 432190 ns MR4_I 01000204 6002d1fc - 432250 ns MR4_D 40006004 00000001 - 432250 ns R r1 00000001 - 432250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 432270 ns R r1 80000000 - 432270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 432290 ns R psr 81000200 - 432290 ns MR4_I 01000208 2a001c5b - 432310 ns MR4_I 01000200 07c96841 - 432330 ns IT 01000200 6841 LDR r1,[r0,#4] - 432350 ns MR4_I 01000204 6002d1fc - 432410 ns MR4_D 40006004 00000001 - 432410 ns R r1 00000001 - 432410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 432430 ns R r1 80000000 - 432430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 432450 ns R psr 81000200 - 432450 ns MR4_I 01000208 2a001c5b - 432470 ns MR4_I 01000200 07c96841 - 432490 ns IT 01000200 6841 LDR r1,[r0,#4] - 432510 ns MR4_I 01000204 6002d1fc - 432570 ns MR4_D 40006004 00000001 - 432570 ns R r1 00000001 - 432570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 432590 ns R r1 80000000 - 432590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 432610 ns R psr 81000200 - 432610 ns MR4_I 01000208 2a001c5b - 432630 ns MR4_I 01000200 07c96841 - 432650 ns IT 01000200 6841 LDR r1,[r0,#4] - 432670 ns MR4_I 01000204 6002d1fc - 432730 ns MR4_D 40006004 00000000 - 432730 ns R r1 00000000 - 432730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 432750 ns R r1 00000000 - 432750 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 432770 ns R psr 41000200 - 432770 ns MR4_I 01000208 2a001c5b - 432770 ns IT 01000206 6002 STR r2,[r0,#0] - 432850 ns MW4_D 40006000 00000062 - 432850 ns IT 01000208 1c5b ADDS r3,r3,#1 - 432870 ns MR4_I 0100020c a32ad1f5 - 432870 ns R r3 010002a0 - 432870 ns IT 0100020a 2a00 CMP r2,#0 - 432890 ns R psr 01000200 - 432890 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 432910 ns R psr 21000200 - 432910 ns MR4_I 01000210 2a00781a - 432930 ns MR4_I 010001f8 781aa326 - 432950 ns MR4_I 010001fc d0062a00 - 432950 ns IT 010001fa 781a LDRB r2,[r3,#0] - 432990 ns MR1_D 010002a0 41203a73 - 432990 ns R r2 00000073 - 432990 ns IT 010001fc 2a00 CMP r2,#0 - 433010 ns MR4_I 01000200 07c96841 - 433010 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 433030 ns R psr 21000200 - 433030 ns IT 01000200 6841 LDR r1,[r0,#4] - 433050 ns MR4_I 01000204 6002d1fc - 433110 ns MR4_D 40006004 00000001 - 433110 ns R r1 00000001 - 433110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 433130 ns R r1 80000000 - 433130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 433150 ns R psr 81000200 - 433150 ns MR4_I 01000208 2a001c5b - 433170 ns MR4_I 01000200 07c96841 - 433190 ns IT 01000200 6841 LDR r1,[r0,#4] - 433210 ns MR4_I 01000204 6002d1fc - 433270 ns MR4_D 40006004 00000001 - 433270 ns R r1 00000001 - 433270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 433290 ns R r1 80000000 - 433290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 433310 ns R psr 81000200 - 433310 ns MR4_I 01000208 2a001c5b - 433330 ns MR4_I 01000200 07c96841 - 433350 ns IT 01000200 6841 LDR r1,[r0,#4] - 433370 ns MR4_I 01000204 6002d1fc - 433430 ns MR4_D 40006004 00000001 - 433430 ns R r1 00000001 - 433430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 433450 ns R r1 80000000 - 433450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 433470 ns R psr 81000200 - 433470 ns MR4_I 01000208 2a001c5b - 433490 ns MR4_I 01000200 07c96841 - 433510 ns IT 01000200 6841 LDR r1,[r0,#4] - 433530 ns MR4_I 01000204 6002d1fc - 433590 ns MR4_D 40006004 00000001 - 433590 ns R r1 00000001 - 433590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 433610 ns R r1 80000000 - 433610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 433630 ns R psr 81000200 - 433630 ns MR4_I 01000208 2a001c5b - 433650 ns MR4_I 01000200 07c96841 - 433670 ns IT 01000200 6841 LDR r1,[r0,#4] - 433690 ns MR4_I 01000204 6002d1fc - 433750 ns MR4_D 40006004 00000001 - 433750 ns R r1 00000001 - 433750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 433770 ns R r1 80000000 - 433770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 433790 ns R psr 81000200 - 433790 ns MR4_I 01000208 2a001c5b - 433810 ns MR4_I 01000200 07c96841 - 433830 ns IT 01000200 6841 LDR r1,[r0,#4] - 433850 ns MR4_I 01000204 6002d1fc - 433910 ns MR4_D 40006004 00000001 - 433910 ns R r1 00000001 - 433910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 433930 ns R r1 80000000 - 433930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 433950 ns R psr 81000200 - 433950 ns MR4_I 01000208 2a001c5b - 433970 ns MR4_I 01000200 07c96841 - 433990 ns IT 01000200 6841 LDR r1,[r0,#4] - 434010 ns MR4_I 01000204 6002d1fc - 434070 ns MR4_D 40006004 00000001 - 434070 ns R r1 00000001 - 434070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 434090 ns R r1 80000000 - 434090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 434110 ns R psr 81000200 - 434110 ns MR4_I 01000208 2a001c5b - 434130 ns MR4_I 01000200 07c96841 - 434150 ns IT 01000200 6841 LDR r1,[r0,#4] - 434170 ns MR4_I 01000204 6002d1fc - 434230 ns MR4_D 40006004 00000001 - 434230 ns R r1 00000001 - 434230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 434250 ns R r1 80000000 - 434250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 434270 ns R psr 81000200 - 434270 ns MR4_I 01000208 2a001c5b - 434290 ns MR4_I 01000200 07c96841 - 434310 ns IT 01000200 6841 LDR r1,[r0,#4] - 434330 ns MR4_I 01000204 6002d1fc - 434390 ns MR4_D 40006004 00000001 - 434390 ns R r1 00000001 - 434390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 434410 ns R r1 80000000 - 434410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 434430 ns R psr 81000200 - 434430 ns MR4_I 01000208 2a001c5b - 434450 ns MR4_I 01000200 07c96841 - 434470 ns IT 01000200 6841 LDR r1,[r0,#4] - 434490 ns MR4_I 01000204 6002d1fc - 434550 ns MR4_D 40006004 00000001 - 434550 ns R r1 00000001 - 434550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 434570 ns R r1 80000000 - 434570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 434590 ns R psr 81000200 - 434590 ns MR4_I 01000208 2a001c5b - 434610 ns MR4_I 01000200 07c96841 - 434630 ns IT 01000200 6841 LDR r1,[r0,#4] - 434650 ns MR4_I 01000204 6002d1fc - 434710 ns MR4_D 40006004 00000001 - 434710 ns R r1 00000001 - 434710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 434730 ns R r1 80000000 - 434730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 434750 ns R psr 81000200 - 434750 ns MR4_I 01000208 2a001c5b - 434770 ns MR4_I 01000200 07c96841 - 434790 ns IT 01000200 6841 LDR r1,[r0,#4] - 434810 ns MR4_I 01000204 6002d1fc - 434870 ns MR4_D 40006004 00000001 - 434870 ns R r1 00000001 - 434870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 434890 ns R r1 80000000 - 434890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 434910 ns R psr 81000200 - 434910 ns MR4_I 01000208 2a001c5b - 434930 ns MR4_I 01000200 07c96841 - 434950 ns IT 01000200 6841 LDR r1,[r0,#4] - 434970 ns MR4_I 01000204 6002d1fc - 435030 ns MR4_D 40006004 00000001 - 435030 ns R r1 00000001 - 435030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 435050 ns R r1 80000000 - 435050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 435070 ns R psr 81000200 - 435070 ns MR4_I 01000208 2a001c5b - 435090 ns MR4_I 01000200 07c96841 - 435110 ns IT 01000200 6841 LDR r1,[r0,#4] - 435130 ns MR4_I 01000204 6002d1fc - 435190 ns MR4_D 40006004 00000001 - 435190 ns R r1 00000001 - 435190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 435210 ns R r1 80000000 - 435210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 435230 ns R psr 81000200 - 435230 ns MR4_I 01000208 2a001c5b - 435250 ns MR4_I 01000200 07c96841 - 435270 ns IT 01000200 6841 LDR r1,[r0,#4] - 435290 ns MR4_I 01000204 6002d1fc - 435350 ns MR4_D 40006004 00000001 - 435350 ns R r1 00000001 - 435350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 435370 ns R r1 80000000 - 435370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 435390 ns R psr 81000200 - 435390 ns MR4_I 01000208 2a001c5b - 435410 ns MR4_I 01000200 07c96841 - 435430 ns IT 01000200 6841 LDR r1,[r0,#4] - 435450 ns MR4_I 01000204 6002d1fc - 435510 ns MR4_D 40006004 00000001 - 435510 ns R r1 00000001 - 435510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 435530 ns R r1 80000000 - 435530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 435550 ns R psr 81000200 - 435550 ns MR4_I 01000208 2a001c5b - 435570 ns MR4_I 01000200 07c96841 - 435590 ns IT 01000200 6841 LDR r1,[r0,#4] - 435610 ns MR4_I 01000204 6002d1fc - 435670 ns MR4_D 40006004 00000001 - 435670 ns R r1 00000001 - 435670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 435690 ns R r1 80000000 - 435690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 435710 ns R psr 81000200 - 435710 ns MR4_I 01000208 2a001c5b - 435730 ns MR4_I 01000200 07c96841 - 435750 ns IT 01000200 6841 LDR r1,[r0,#4] - 435770 ns MR4_I 01000204 6002d1fc - 435830 ns MR4_D 40006004 00000001 - 435830 ns R r1 00000001 - 435830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 435850 ns R r1 80000000 - 435850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 435870 ns R psr 81000200 - 435870 ns MR4_I 01000208 2a001c5b - 435890 ns MR4_I 01000200 07c96841 - 435910 ns IT 01000200 6841 LDR r1,[r0,#4] - 435930 ns MR4_I 01000204 6002d1fc - 435990 ns MR4_D 40006004 00000001 - 435990 ns R r1 00000001 - 435990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 436010 ns R r1 80000000 - 436010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 436030 ns R psr 81000200 - 436030 ns MR4_I 01000208 2a001c5b - 436050 ns MR4_I 01000200 07c96841 - 436070 ns IT 01000200 6841 LDR r1,[r0,#4] - 436090 ns MR4_I 01000204 6002d1fc - 436150 ns MR4_D 40006004 00000001 - 436150 ns R r1 00000001 - 436150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 436170 ns R r1 80000000 - 436170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 436190 ns R psr 81000200 - 436190 ns MR4_I 01000208 2a001c5b - 436210 ns MR4_I 01000200 07c96841 - 436230 ns IT 01000200 6841 LDR r1,[r0,#4] - 436250 ns MR4_I 01000204 6002d1fc - 436310 ns MR4_D 40006004 00000001 - 436310 ns R r1 00000001 - 436310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 436330 ns R r1 80000000 - 436330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 436350 ns R psr 81000200 - 436350 ns MR4_I 01000208 2a001c5b - 436370 ns MR4_I 01000200 07c96841 - 436390 ns IT 01000200 6841 LDR r1,[r0,#4] - 436410 ns MR4_I 01000204 6002d1fc - 436470 ns MR4_D 40006004 00000001 - 436470 ns R r1 00000001 - 436470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 436490 ns R r1 80000000 - 436490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 436510 ns R psr 81000200 - 436510 ns MR4_I 01000208 2a001c5b - 436530 ns MR4_I 01000200 07c96841 - 436550 ns IT 01000200 6841 LDR r1,[r0,#4] - 436570 ns MR4_I 01000204 6002d1fc - 436630 ns MR4_D 40006004 00000001 - 436630 ns R r1 00000001 - 436630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 436650 ns R r1 80000000 - 436650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 436670 ns R psr 81000200 - 436670 ns MR4_I 01000208 2a001c5b - 436690 ns MR4_I 01000200 07c96841 - 436710 ns IT 01000200 6841 LDR r1,[r0,#4] - 436730 ns MR4_I 01000204 6002d1fc - 436790 ns MR4_D 40006004 00000001 - 436790 ns R r1 00000001 - 436790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 436810 ns R r1 80000000 - 436810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 436830 ns R psr 81000200 - 436830 ns MR4_I 01000208 2a001c5b - 436850 ns MR4_I 01000200 07c96841 - 436870 ns IT 01000200 6841 LDR r1,[r0,#4] - 436890 ns MR4_I 01000204 6002d1fc - 436950 ns MR4_D 40006004 00000001 - 436950 ns R r1 00000001 - 436950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 436970 ns R r1 80000000 - 436970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 436990 ns R psr 81000200 - 436990 ns MR4_I 01000208 2a001c5b - 437010 ns MR4_I 01000200 07c96841 - 437030 ns IT 01000200 6841 LDR r1,[r0,#4] - 437050 ns MR4_I 01000204 6002d1fc - 437110 ns MR4_D 40006004 00000001 - 437110 ns R r1 00000001 - 437110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 437130 ns R r1 80000000 - 437130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 437150 ns R psr 81000200 - 437150 ns MR4_I 01000208 2a001c5b - 437170 ns MR4_I 01000200 07c96841 - 437190 ns IT 01000200 6841 LDR r1,[r0,#4] - 437210 ns MR4_I 01000204 6002d1fc - 437270 ns MR4_D 40006004 00000001 - 437270 ns R r1 00000001 - 437270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 437290 ns R r1 80000000 - 437290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 437310 ns R psr 81000200 - 437310 ns MR4_I 01000208 2a001c5b - 437330 ns MR4_I 01000200 07c96841 - 437350 ns IT 01000200 6841 LDR r1,[r0,#4] - 437370 ns MR4_I 01000204 6002d1fc - 437430 ns MR4_D 40006004 00000001 - 437430 ns R r1 00000001 - 437430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 437450 ns R r1 80000000 - 437450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 437470 ns R psr 81000200 - 437470 ns MR4_I 01000208 2a001c5b - 437490 ns MR4_I 01000200 07c96841 - 437510 ns IT 01000200 6841 LDR r1,[r0,#4] - 437530 ns MR4_I 01000204 6002d1fc - 437590 ns MR4_D 40006004 00000001 - 437590 ns R r1 00000001 - 437590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 437610 ns R r1 80000000 - 437610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 437630 ns R psr 81000200 - 437630 ns MR4_I 01000208 2a001c5b - 437650 ns MR4_I 01000200 07c96841 - 437670 ns IT 01000200 6841 LDR r1,[r0,#4] - 437690 ns MR4_I 01000204 6002d1fc - 437750 ns MR4_D 40006004 00000001 - 437750 ns R r1 00000001 - 437750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 437770 ns R r1 80000000 - 437770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 437790 ns R psr 81000200 - 437790 ns MR4_I 01000208 2a001c5b - 437810 ns MR4_I 01000200 07c96841 - 437830 ns IT 01000200 6841 LDR r1,[r0,#4] - 437850 ns MR4_I 01000204 6002d1fc - 437910 ns MR4_D 40006004 00000001 - 437910 ns R r1 00000001 - 437910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 437930 ns R r1 80000000 - 437930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 437950 ns R psr 81000200 - 437950 ns MR4_I 01000208 2a001c5b - 437970 ns MR4_I 01000200 07c96841 - 437990 ns IT 01000200 6841 LDR r1,[r0,#4] - 438010 ns MR4_I 01000204 6002d1fc - 438070 ns MR4_D 40006004 00000001 - 438070 ns R r1 00000001 - 438070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 438090 ns R r1 80000000 - 438090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 438110 ns R psr 81000200 - 438110 ns MR4_I 01000208 2a001c5b - 438130 ns MR4_I 01000200 07c96841 - 438150 ns IT 01000200 6841 LDR r1,[r0,#4] - 438170 ns MR4_I 01000204 6002d1fc - 438230 ns MR4_D 40006004 00000001 - 438230 ns R r1 00000001 - 438230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 438250 ns R r1 80000000 - 438250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 438270 ns R psr 81000200 - 438270 ns MR4_I 01000208 2a001c5b - 438290 ns MR4_I 01000200 07c96841 - 438310 ns IT 01000200 6841 LDR r1,[r0,#4] - 438330 ns MR4_I 01000204 6002d1fc - 438390 ns MR4_D 40006004 00000001 - 438390 ns R r1 00000001 - 438390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 438410 ns R r1 80000000 - 438410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 438430 ns R psr 81000200 - 438430 ns MR4_I 01000208 2a001c5b - 438450 ns MR4_I 01000200 07c96841 - 438470 ns IT 01000200 6841 LDR r1,[r0,#4] - 438490 ns MR4_I 01000204 6002d1fc - 438550 ns MR4_D 40006004 00000001 - 438550 ns R r1 00000001 - 438550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 438570 ns R r1 80000000 - 438570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 438590 ns R psr 81000200 - 438590 ns MR4_I 01000208 2a001c5b - 438610 ns MR4_I 01000200 07c96841 - 438630 ns IT 01000200 6841 LDR r1,[r0,#4] - 438650 ns MR4_I 01000204 6002d1fc - 438710 ns MR4_D 40006004 00000001 - 438710 ns R r1 00000001 - 438710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 438730 ns R r1 80000000 - 438730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 438750 ns R psr 81000200 - 438750 ns MR4_I 01000208 2a001c5b - 438770 ns MR4_I 01000200 07c96841 - 438790 ns IT 01000200 6841 LDR r1,[r0,#4] - 438810 ns MR4_I 01000204 6002d1fc - 438870 ns MR4_D 40006004 00000001 - 438870 ns R r1 00000001 - 438870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 438890 ns R r1 80000000 - 438890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 438910 ns R psr 81000200 - 438910 ns MR4_I 01000208 2a001c5b - 438930 ns MR4_I 01000200 07c96841 - 438950 ns IT 01000200 6841 LDR r1,[r0,#4] - 438970 ns MR4_I 01000204 6002d1fc - 439030 ns MR4_D 40006004 00000001 - 439030 ns R r1 00000001 - 439030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 439050 ns R r1 80000000 - 439050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 439070 ns R psr 81000200 - 439070 ns MR4_I 01000208 2a001c5b - 439090 ns MR4_I 01000200 07c96841 - 439110 ns IT 01000200 6841 LDR r1,[r0,#4] - 439130 ns MR4_I 01000204 6002d1fc - 439190 ns MR4_D 40006004 00000001 - 439190 ns R r1 00000001 - 439190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 439210 ns R r1 80000000 - 439210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 439230 ns R psr 81000200 - 439230 ns MR4_I 01000208 2a001c5b - 439250 ns MR4_I 01000200 07c96841 - 439270 ns IT 01000200 6841 LDR r1,[r0,#4] - 439290 ns MR4_I 01000204 6002d1fc - 439350 ns MR4_D 40006004 00000001 - 439350 ns R r1 00000001 - 439350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 439370 ns R r1 80000000 - 439370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 439390 ns R psr 81000200 - 439390 ns MR4_I 01000208 2a001c5b - 439410 ns MR4_I 01000200 07c96841 - 439430 ns IT 01000200 6841 LDR r1,[r0,#4] - 439450 ns MR4_I 01000204 6002d1fc - 439510 ns MR4_D 40006004 00000001 - 439510 ns R r1 00000001 - 439510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 439530 ns R r1 80000000 - 439530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 439550 ns R psr 81000200 - 439550 ns MR4_I 01000208 2a001c5b - 439570 ns MR4_I 01000200 07c96841 - 439590 ns IT 01000200 6841 LDR r1,[r0,#4] - 439610 ns MR4_I 01000204 6002d1fc - 439670 ns MR4_D 40006004 00000001 - 439670 ns R r1 00000001 - 439670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 439690 ns R r1 80000000 - 439690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 439710 ns R psr 81000200 - 439710 ns MR4_I 01000208 2a001c5b - 439730 ns MR4_I 01000200 07c96841 - 439750 ns IT 01000200 6841 LDR r1,[r0,#4] - 439770 ns MR4_I 01000204 6002d1fc - 439830 ns MR4_D 40006004 00000001 - 439830 ns R r1 00000001 - 439830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 439850 ns R r1 80000000 - 439850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 439870 ns R psr 81000200 - 439870 ns MR4_I 01000208 2a001c5b - 439890 ns MR4_I 01000200 07c96841 - 439910 ns IT 01000200 6841 LDR r1,[r0,#4] - 439930 ns MR4_I 01000204 6002d1fc - 439990 ns MR4_D 40006004 00000001 - 439990 ns R r1 00000001 - 439990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 440010 ns R r1 80000000 - 440010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 440030 ns R psr 81000200 - 440030 ns MR4_I 01000208 2a001c5b - 440050 ns MR4_I 01000200 07c96841 - 440070 ns IT 01000200 6841 LDR r1,[r0,#4] - 440090 ns MR4_I 01000204 6002d1fc - 440150 ns MR4_D 40006004 00000001 - 440150 ns R r1 00000001 - 440150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 440170 ns R r1 80000000 - 440170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 440190 ns R psr 81000200 - 440190 ns MR4_I 01000208 2a001c5b - 440210 ns MR4_I 01000200 07c96841 - 440230 ns IT 01000200 6841 LDR r1,[r0,#4] - 440250 ns MR4_I 01000204 6002d1fc - 440310 ns MR4_D 40006004 00000001 - 440310 ns R r1 00000001 - 440310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 440330 ns R r1 80000000 - 440330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 440350 ns R psr 81000200 - 440350 ns MR4_I 01000208 2a001c5b - 440370 ns MR4_I 01000200 07c96841 - 440390 ns IT 01000200 6841 LDR r1,[r0,#4] - 440410 ns MR4_I 01000204 6002d1fc - 440470 ns MR4_D 40006004 00000001 - 440470 ns R r1 00000001 - 440470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 440490 ns R r1 80000000 - 440490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 440510 ns R psr 81000200 - 440510 ns MR4_I 01000208 2a001c5b - 440530 ns MR4_I 01000200 07c96841 - 440550 ns IT 01000200 6841 LDR r1,[r0,#4] - 440570 ns MR4_I 01000204 6002d1fc - 440630 ns MR4_D 40006004 00000001 - 440630 ns R r1 00000001 - 440630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 440650 ns R r1 80000000 - 440650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 440670 ns R psr 81000200 - 440670 ns MR4_I 01000208 2a001c5b - 440690 ns MR4_I 01000200 07c96841 - 440710 ns IT 01000200 6841 LDR r1,[r0,#4] - 440730 ns MR4_I 01000204 6002d1fc - 440790 ns MR4_D 40006004 00000001 - 440790 ns R r1 00000001 - 440790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 440810 ns R r1 80000000 - 440810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 440830 ns R psr 81000200 - 440830 ns MR4_I 01000208 2a001c5b - 440850 ns MR4_I 01000200 07c96841 - 440870 ns IT 01000200 6841 LDR r1,[r0,#4] - 440890 ns MR4_I 01000204 6002d1fc - 440950 ns MR4_D 40006004 00000001 - 440950 ns R r1 00000001 - 440950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 440970 ns R r1 80000000 - 440970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 440990 ns R psr 81000200 - 440990 ns MR4_I 01000208 2a001c5b - 441010 ns MR4_I 01000200 07c96841 - 441030 ns IT 01000200 6841 LDR r1,[r0,#4] - 441050 ns MR4_I 01000204 6002d1fc - 441110 ns MR4_D 40006004 00000001 - 441110 ns R r1 00000001 - 441110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 441130 ns R r1 80000000 - 441130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 441150 ns R psr 81000200 - 441150 ns MR4_I 01000208 2a001c5b - 441170 ns MR4_I 01000200 07c96841 - 441190 ns IT 01000200 6841 LDR r1,[r0,#4] - 441210 ns MR4_I 01000204 6002d1fc - 441270 ns MR4_D 40006004 00000001 - 441270 ns R r1 00000001 - 441270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 441290 ns R r1 80000000 - 441290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 441310 ns R psr 81000200 - 441310 ns MR4_I 01000208 2a001c5b - 441330 ns MR4_I 01000200 07c96841 - 441350 ns IT 01000200 6841 LDR r1,[r0,#4] - 441370 ns MR4_I 01000204 6002d1fc - 441430 ns MR4_D 40006004 00000001 - 441430 ns R r1 00000001 - 441430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 441450 ns R r1 80000000 - 441450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 441470 ns R psr 81000200 - 441470 ns MR4_I 01000208 2a001c5b - 441490 ns MR4_I 01000200 07c96841 - 441510 ns IT 01000200 6841 LDR r1,[r0,#4] - 441530 ns MR4_I 01000204 6002d1fc - 441590 ns MR4_D 40006004 00000001 - 441590 ns R r1 00000001 - 441590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 441610 ns R r1 80000000 - 441610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 441630 ns R psr 81000200 - 441630 ns MR4_I 01000208 2a001c5b - 441650 ns MR4_I 01000200 07c96841 - 441670 ns IT 01000200 6841 LDR r1,[r0,#4] - 441690 ns MR4_I 01000204 6002d1fc - 441750 ns MR4_D 40006004 00000001 - 441750 ns R r1 00000001 - 441750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 441770 ns R r1 80000000 - 441770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 441790 ns R psr 81000200 - 441790 ns MR4_I 01000208 2a001c5b - 441810 ns MR4_I 01000200 07c96841 - 441830 ns IT 01000200 6841 LDR r1,[r0,#4] - 441850 ns MR4_I 01000204 6002d1fc - 441910 ns MR4_D 40006004 00000001 - 441910 ns R r1 00000001 - 441910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 441930 ns R r1 80000000 - 441930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 441950 ns R psr 81000200 - 441950 ns MR4_I 01000208 2a001c5b - 441970 ns MR4_I 01000200 07c96841 - 441990 ns IT 01000200 6841 LDR r1,[r0,#4] - 442010 ns MR4_I 01000204 6002d1fc - 442070 ns MR4_D 40006004 00000001 - 442070 ns R r1 00000001 - 442070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 442090 ns R r1 80000000 - 442090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 442110 ns R psr 81000200 - 442110 ns MR4_I 01000208 2a001c5b - 442130 ns MR4_I 01000200 07c96841 - 442150 ns IT 01000200 6841 LDR r1,[r0,#4] - 442170 ns MR4_I 01000204 6002d1fc - 442230 ns MR4_D 40006004 00000001 - 442230 ns R r1 00000001 - 442230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 442250 ns R r1 80000000 - 442250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 442270 ns R psr 81000200 - 442270 ns MR4_I 01000208 2a001c5b - 442290 ns MR4_I 01000200 07c96841 - 442310 ns IT 01000200 6841 LDR r1,[r0,#4] - 442330 ns MR4_I 01000204 6002d1fc - 442390 ns MR4_D 40006004 00000001 - 442390 ns R r1 00000001 - 442390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 442410 ns R r1 80000000 - 442410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 442430 ns R psr 81000200 - 442430 ns MR4_I 01000208 2a001c5b - 442450 ns MR4_I 01000200 07c96841 - 442470 ns IT 01000200 6841 LDR r1,[r0,#4] - 442490 ns MR4_I 01000204 6002d1fc - 442550 ns MR4_D 40006004 00000001 - 442550 ns R r1 00000001 - 442550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 442570 ns R r1 80000000 - 442570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 442590 ns R psr 81000200 - 442590 ns MR4_I 01000208 2a001c5b - 442610 ns MR4_I 01000200 07c96841 - 442630 ns IT 01000200 6841 LDR r1,[r0,#4] - 442650 ns MR4_I 01000204 6002d1fc - 442710 ns MR4_D 40006004 00000001 - 442710 ns R r1 00000001 - 442710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 442730 ns R r1 80000000 - 442730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 442750 ns R psr 81000200 - 442750 ns MR4_I 01000208 2a001c5b - 442770 ns MR4_I 01000200 07c96841 - 442790 ns IT 01000200 6841 LDR r1,[r0,#4] - 442810 ns MR4_I 01000204 6002d1fc - 442870 ns MR4_D 40006004 00000001 - 442870 ns R r1 00000001 - 442870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 442890 ns R r1 80000000 - 442890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 442910 ns R psr 81000200 - 442910 ns MR4_I 01000208 2a001c5b - 442930 ns MR4_I 01000200 07c96841 - 442950 ns IT 01000200 6841 LDR r1,[r0,#4] - 442970 ns MR4_I 01000204 6002d1fc - 443030 ns MR4_D 40006004 00000001 - 443030 ns R r1 00000001 - 443030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 443050 ns R r1 80000000 - 443050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 443070 ns R psr 81000200 - 443070 ns MR4_I 01000208 2a001c5b - 443090 ns MR4_I 01000200 07c96841 - 443110 ns IT 01000200 6841 LDR r1,[r0,#4] - 443130 ns MR4_I 01000204 6002d1fc - 443190 ns MR4_D 40006004 00000001 - 443190 ns R r1 00000001 - 443190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 443210 ns R r1 80000000 - 443210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 443230 ns R psr 81000200 - 443230 ns MR4_I 01000208 2a001c5b - 443250 ns MR4_I 01000200 07c96841 - 443270 ns IT 01000200 6841 LDR r1,[r0,#4] - 443290 ns MR4_I 01000204 6002d1fc - 443350 ns MR4_D 40006004 00000001 - 443350 ns R r1 00000001 - 443350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 443370 ns R r1 80000000 - 443370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 443390 ns R psr 81000200 - 443390 ns MR4_I 01000208 2a001c5b - 443410 ns MR4_I 01000200 07c96841 - 443430 ns IT 01000200 6841 LDR r1,[r0,#4] - 443450 ns MR4_I 01000204 6002d1fc - 443510 ns MR4_D 40006004 00000001 - 443510 ns R r1 00000001 - 443510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 443530 ns R r1 80000000 - 443530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 443550 ns R psr 81000200 - 443550 ns MR4_I 01000208 2a001c5b - 443570 ns MR4_I 01000200 07c96841 - 443590 ns IT 01000200 6841 LDR r1,[r0,#4] - 443610 ns MR4_I 01000204 6002d1fc - 443670 ns MR4_D 40006004 00000001 - 443670 ns R r1 00000001 - 443670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 443690 ns R r1 80000000 - 443690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 443710 ns R psr 81000200 - 443710 ns MR4_I 01000208 2a001c5b - 443730 ns MR4_I 01000200 07c96841 - 443750 ns IT 01000200 6841 LDR r1,[r0,#4] - 443770 ns MR4_I 01000204 6002d1fc - 443830 ns MR4_D 40006004 00000001 - 443830 ns R r1 00000001 - 443830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 443850 ns R r1 80000000 - 443850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 443870 ns R psr 81000200 - 443870 ns MR4_I 01000208 2a001c5b - 443890 ns MR4_I 01000200 07c96841 - 443910 ns IT 01000200 6841 LDR r1,[r0,#4] - 443930 ns MR4_I 01000204 6002d1fc - 443990 ns MR4_D 40006004 00000001 - 443990 ns R r1 00000001 - 443990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 444010 ns R r1 80000000 - 444010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 444030 ns R psr 81000200 - 444030 ns MR4_I 01000208 2a001c5b - 444050 ns MR4_I 01000200 07c96841 - 444070 ns IT 01000200 6841 LDR r1,[r0,#4] - 444090 ns MR4_I 01000204 6002d1fc - 444150 ns MR4_D 40006004 00000001 - 444150 ns R r1 00000001 - 444150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 444170 ns R r1 80000000 - 444170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 444190 ns R psr 81000200 - 444190 ns MR4_I 01000208 2a001c5b - 444210 ns MR4_I 01000200 07c96841 - 444230 ns IT 01000200 6841 LDR r1,[r0,#4] - 444250 ns MR4_I 01000204 6002d1fc - 444310 ns MR4_D 40006004 00000001 - 444310 ns R r1 00000001 - 444310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 444330 ns R r1 80000000 - 444330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 444350 ns R psr 81000200 - 444350 ns MR4_I 01000208 2a001c5b - 444370 ns MR4_I 01000200 07c96841 - 444390 ns IT 01000200 6841 LDR r1,[r0,#4] - 444410 ns MR4_I 01000204 6002d1fc - 444470 ns MR4_D 40006004 00000001 - 444470 ns R r1 00000001 - 444470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 444490 ns R r1 80000000 - 444490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 444510 ns R psr 81000200 - 444510 ns MR4_I 01000208 2a001c5b - 444530 ns MR4_I 01000200 07c96841 - 444550 ns IT 01000200 6841 LDR r1,[r0,#4] - 444570 ns MR4_I 01000204 6002d1fc - 444630 ns MR4_D 40006004 00000001 - 444630 ns R r1 00000001 - 444630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 444650 ns R r1 80000000 - 444650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 444670 ns R psr 81000200 - 444670 ns MR4_I 01000208 2a001c5b - 444690 ns MR4_I 01000200 07c96841 - 444710 ns IT 01000200 6841 LDR r1,[r0,#4] - 444730 ns MR4_I 01000204 6002d1fc - 444790 ns MR4_D 40006004 00000001 - 444790 ns R r1 00000001 - 444790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 444810 ns R r1 80000000 - 444810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 444830 ns R psr 81000200 - 444830 ns MR4_I 01000208 2a001c5b - 444850 ns MR4_I 01000200 07c96841 - 444870 ns IT 01000200 6841 LDR r1,[r0,#4] - 444890 ns MR4_I 01000204 6002d1fc - 444950 ns MR4_D 40006004 00000001 - 444950 ns R r1 00000001 - 444950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 444970 ns R r1 80000000 - 444970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 444990 ns R psr 81000200 - 444990 ns MR4_I 01000208 2a001c5b - 445010 ns MR4_I 01000200 07c96841 - 445030 ns IT 01000200 6841 LDR r1,[r0,#4] - 445050 ns MR4_I 01000204 6002d1fc - 445110 ns MR4_D 40006004 00000001 - 445110 ns R r1 00000001 - 445110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 445130 ns R r1 80000000 - 445130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 445150 ns R psr 81000200 - 445150 ns MR4_I 01000208 2a001c5b - 445170 ns MR4_I 01000200 07c96841 - 445190 ns IT 01000200 6841 LDR r1,[r0,#4] - 445210 ns MR4_I 01000204 6002d1fc - 445270 ns MR4_D 40006004 00000001 - 445270 ns R r1 00000001 - 445270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 445290 ns R r1 80000000 - 445290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 445310 ns R psr 81000200 - 445310 ns MR4_I 01000208 2a001c5b - 445330 ns MR4_I 01000200 07c96841 - 445350 ns IT 01000200 6841 LDR r1,[r0,#4] - 445370 ns MR4_I 01000204 6002d1fc - 445430 ns MR4_D 40006004 00000001 - 445430 ns R r1 00000001 - 445430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 445450 ns R r1 80000000 - 445450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 445470 ns R psr 81000200 - 445470 ns MR4_I 01000208 2a001c5b - 445490 ns MR4_I 01000200 07c96841 - 445510 ns IT 01000200 6841 LDR r1,[r0,#4] - 445530 ns MR4_I 01000204 6002d1fc - 445590 ns MR4_D 40006004 00000001 - 445590 ns R r1 00000001 - 445590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 445610 ns R r1 80000000 - 445610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 445630 ns R psr 81000200 - 445630 ns MR4_I 01000208 2a001c5b - 445650 ns MR4_I 01000200 07c96841 - 445670 ns IT 01000200 6841 LDR r1,[r0,#4] - 445690 ns MR4_I 01000204 6002d1fc - 445750 ns MR4_D 40006004 00000001 - 445750 ns R r1 00000001 - 445750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 445770 ns R r1 80000000 - 445770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 445790 ns R psr 81000200 - 445790 ns MR4_I 01000208 2a001c5b - 445810 ns MR4_I 01000200 07c96841 - 445830 ns IT 01000200 6841 LDR r1,[r0,#4] - 445850 ns MR4_I 01000204 6002d1fc - 445910 ns MR4_D 40006004 00000001 - 445910 ns R r1 00000001 - 445910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 445930 ns R r1 80000000 - 445930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 445950 ns R psr 81000200 - 445950 ns MR4_I 01000208 2a001c5b - 445970 ns MR4_I 01000200 07c96841 - 445990 ns IT 01000200 6841 LDR r1,[r0,#4] - 446010 ns MR4_I 01000204 6002d1fc - 446070 ns MR4_D 40006004 00000001 - 446070 ns R r1 00000001 - 446070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 446090 ns R r1 80000000 - 446090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 446110 ns R psr 81000200 - 446110 ns MR4_I 01000208 2a001c5b - 446130 ns MR4_I 01000200 07c96841 - 446150 ns IT 01000200 6841 LDR r1,[r0,#4] - 446170 ns MR4_I 01000204 6002d1fc - 446230 ns MR4_D 40006004 00000001 - 446230 ns R r1 00000001 - 446230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 446250 ns R r1 80000000 - 446250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 446270 ns R psr 81000200 - 446270 ns MR4_I 01000208 2a001c5b - 446290 ns MR4_I 01000200 07c96841 - 446310 ns IT 01000200 6841 LDR r1,[r0,#4] - 446330 ns MR4_I 01000204 6002d1fc - 446390 ns MR4_D 40006004 00000001 - 446390 ns R r1 00000001 - 446390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 446410 ns R r1 80000000 - 446410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 446430 ns R psr 81000200 - 446430 ns MR4_I 01000208 2a001c5b - 446450 ns MR4_I 01000200 07c96841 - 446470 ns IT 01000200 6841 LDR r1,[r0,#4] - 446490 ns MR4_I 01000204 6002d1fc - 446550 ns MR4_D 40006004 00000001 - 446550 ns R r1 00000001 - 446550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 446570 ns R r1 80000000 - 446570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 446590 ns R psr 81000200 - 446590 ns MR4_I 01000208 2a001c5b - 446610 ns MR4_I 01000200 07c96841 - 446630 ns IT 01000200 6841 LDR r1,[r0,#4] - 446650 ns MR4_I 01000204 6002d1fc - 446710 ns MR4_D 40006004 00000001 - 446710 ns R r1 00000001 - 446710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 446730 ns R r1 80000000 - 446730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 446750 ns R psr 81000200 - 446750 ns MR4_I 01000208 2a001c5b - 446770 ns MR4_I 01000200 07c96841 - 446790 ns IT 01000200 6841 LDR r1,[r0,#4] - 446810 ns MR4_I 01000204 6002d1fc - 446870 ns MR4_D 40006004 00000001 - 446870 ns R r1 00000001 - 446870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 446890 ns R r1 80000000 - 446890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 446910 ns R psr 81000200 - 446910 ns MR4_I 01000208 2a001c5b - 446930 ns MR4_I 01000200 07c96841 - 446950 ns IT 01000200 6841 LDR r1,[r0,#4] - 446970 ns MR4_I 01000204 6002d1fc - 447030 ns MR4_D 40006004 00000001 - 447030 ns R r1 00000001 - 447030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 447050 ns R r1 80000000 - 447050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 447070 ns R psr 81000200 - 447070 ns MR4_I 01000208 2a001c5b - 447090 ns MR4_I 01000200 07c96841 - 447110 ns IT 01000200 6841 LDR r1,[r0,#4] - 447130 ns MR4_I 01000204 6002d1fc - 447190 ns MR4_D 40006004 00000001 - 447190 ns R r1 00000001 - 447190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 447210 ns R r1 80000000 - 447210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 447230 ns R psr 81000200 - 447230 ns MR4_I 01000208 2a001c5b - 447250 ns MR4_I 01000200 07c96841 - 447270 ns IT 01000200 6841 LDR r1,[r0,#4] - 447290 ns MR4_I 01000204 6002d1fc - 447350 ns MR4_D 40006004 00000001 - 447350 ns R r1 00000001 - 447350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 447370 ns R r1 80000000 - 447370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 447390 ns R psr 81000200 - 447390 ns MR4_I 01000208 2a001c5b - 447410 ns MR4_I 01000200 07c96841 - 447430 ns IT 01000200 6841 LDR r1,[r0,#4] - 447450 ns MR4_I 01000204 6002d1fc - 447510 ns MR4_D 40006004 00000001 - 447510 ns R r1 00000001 - 447510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 447530 ns R r1 80000000 - 447530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 447550 ns R psr 81000200 - 447550 ns MR4_I 01000208 2a001c5b - 447570 ns MR4_I 01000200 07c96841 - 447590 ns IT 01000200 6841 LDR r1,[r0,#4] - 447610 ns MR4_I 01000204 6002d1fc - 447670 ns MR4_D 40006004 00000001 - 447670 ns R r1 00000001 - 447670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 447690 ns R r1 80000000 - 447690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 447710 ns R psr 81000200 - 447710 ns MR4_I 01000208 2a001c5b - 447730 ns MR4_I 01000200 07c96841 - 447750 ns IT 01000200 6841 LDR r1,[r0,#4] - 447770 ns MR4_I 01000204 6002d1fc - 447830 ns MR4_D 40006004 00000001 - 447830 ns R r1 00000001 - 447830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 447850 ns R r1 80000000 - 447850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 447870 ns R psr 81000200 - 447870 ns MR4_I 01000208 2a001c5b - 447890 ns MR4_I 01000200 07c96841 - 447910 ns IT 01000200 6841 LDR r1,[r0,#4] - 447930 ns MR4_I 01000204 6002d1fc - 447990 ns MR4_D 40006004 00000001 - 447990 ns R r1 00000001 - 447990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 448010 ns R r1 80000000 - 448010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 448030 ns R psr 81000200 - 448030 ns MR4_I 01000208 2a001c5b - 448050 ns MR4_I 01000200 07c96841 - 448070 ns IT 01000200 6841 LDR r1,[r0,#4] - 448090 ns MR4_I 01000204 6002d1fc - 448150 ns MR4_D 40006004 00000001 - 448150 ns R r1 00000001 - 448150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 448170 ns R r1 80000000 - 448170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 448190 ns R psr 81000200 - 448190 ns MR4_I 01000208 2a001c5b - 448210 ns MR4_I 01000200 07c96841 - 448230 ns IT 01000200 6841 LDR r1,[r0,#4] - 448250 ns MR4_I 01000204 6002d1fc - 448310 ns MR4_D 40006004 00000001 - 448310 ns R r1 00000001 - 448310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 448330 ns R r1 80000000 - 448330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 448350 ns R psr 81000200 - 448350 ns MR4_I 01000208 2a001c5b - 448370 ns MR4_I 01000200 07c96841 - 448390 ns IT 01000200 6841 LDR r1,[r0,#4] - 448410 ns MR4_I 01000204 6002d1fc - 448470 ns MR4_D 40006004 00000001 - 448470 ns R r1 00000001 - 448470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 448490 ns R r1 80000000 - 448490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 448510 ns R psr 81000200 - 448510 ns MR4_I 01000208 2a001c5b - 448530 ns MR4_I 01000200 07c96841 - 448550 ns IT 01000200 6841 LDR r1,[r0,#4] - 448570 ns MR4_I 01000204 6002d1fc - 448630 ns MR4_D 40006004 00000001 - 448630 ns R r1 00000001 - 448630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 448650 ns R r1 80000000 - 448650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 448670 ns R psr 81000200 - 448670 ns MR4_I 01000208 2a001c5b - 448690 ns MR4_I 01000200 07c96841 - 448710 ns IT 01000200 6841 LDR r1,[r0,#4] - 448730 ns MR4_I 01000204 6002d1fc - 448790 ns MR4_D 40006004 00000001 - 448790 ns R r1 00000001 - 448790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 448810 ns R r1 80000000 - 448810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 448830 ns R psr 81000200 - 448830 ns MR4_I 01000208 2a001c5b - 448850 ns MR4_I 01000200 07c96841 - 448870 ns IT 01000200 6841 LDR r1,[r0,#4] - 448890 ns MR4_I 01000204 6002d1fc - 448950 ns MR4_D 40006004 00000001 - 448950 ns R r1 00000001 - 448950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 448970 ns R r1 80000000 - 448970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 448990 ns R psr 81000200 - 448990 ns MR4_I 01000208 2a001c5b - 449010 ns MR4_I 01000200 07c96841 - 449030 ns IT 01000200 6841 LDR r1,[r0,#4] - 449050 ns MR4_I 01000204 6002d1fc - 449110 ns MR4_D 40006004 00000001 - 449110 ns R r1 00000001 - 449110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 449130 ns R r1 80000000 - 449130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 449150 ns R psr 81000200 - 449150 ns MR4_I 01000208 2a001c5b - 449170 ns MR4_I 01000200 07c96841 - 449190 ns IT 01000200 6841 LDR r1,[r0,#4] - 449210 ns MR4_I 01000204 6002d1fc - 449270 ns MR4_D 40006004 00000001 - 449270 ns R r1 00000001 - 449270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 449290 ns R r1 80000000 - 449290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 449310 ns R psr 81000200 - 449310 ns MR4_I 01000208 2a001c5b - 449330 ns MR4_I 01000200 07c96841 - 449350 ns IT 01000200 6841 LDR r1,[r0,#4] - 449370 ns MR4_I 01000204 6002d1fc - 449430 ns MR4_D 40006004 00000001 - 449430 ns R r1 00000001 - 449430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 449450 ns R r1 80000000 - 449450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 449470 ns R psr 81000200 - 449470 ns MR4_I 01000208 2a001c5b - 449490 ns MR4_I 01000200 07c96841 - 449510 ns IT 01000200 6841 LDR r1,[r0,#4] - 449530 ns MR4_I 01000204 6002d1fc - 449590 ns MR4_D 40006004 00000001 - 449590 ns R r1 00000001 - 449590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 449610 ns R r1 80000000 - 449610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 449630 ns R psr 81000200 - 449630 ns MR4_I 01000208 2a001c5b - 449650 ns MR4_I 01000200 07c96841 - 449670 ns IT 01000200 6841 LDR r1,[r0,#4] - 449690 ns MR4_I 01000204 6002d1fc - 449750 ns MR4_D 40006004 00000001 - 449750 ns R r1 00000001 - 449750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 449770 ns R r1 80000000 - 449770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 449790 ns R psr 81000200 - 449790 ns MR4_I 01000208 2a001c5b - 449810 ns MR4_I 01000200 07c96841 - 449830 ns IT 01000200 6841 LDR r1,[r0,#4] - 449850 ns MR4_I 01000204 6002d1fc - 449910 ns MR4_D 40006004 00000001 - 449910 ns R r1 00000001 - 449910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 449930 ns R r1 80000000 - 449930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 449950 ns R psr 81000200 - 449950 ns MR4_I 01000208 2a001c5b - 449970 ns MR4_I 01000200 07c96841 - 449990 ns IT 01000200 6841 LDR r1,[r0,#4] - 450010 ns MR4_I 01000204 6002d1fc - 450070 ns MR4_D 40006004 00000001 - 450070 ns R r1 00000001 - 450070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 450090 ns R r1 80000000 - 450090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 450110 ns R psr 81000200 - 450110 ns MR4_I 01000208 2a001c5b - 450130 ns MR4_I 01000200 07c96841 - 450150 ns IT 01000200 6841 LDR r1,[r0,#4] - 450170 ns MR4_I 01000204 6002d1fc - 450230 ns MR4_D 40006004 00000001 - 450230 ns R r1 00000001 - 450230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 450250 ns R r1 80000000 - 450250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 450270 ns R psr 81000200 - 450270 ns MR4_I 01000208 2a001c5b - 450290 ns MR4_I 01000200 07c96841 - 450310 ns IT 01000200 6841 LDR r1,[r0,#4] - 450330 ns MR4_I 01000204 6002d1fc - 450390 ns MR4_D 40006004 00000001 - 450390 ns R r1 00000001 - 450390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 450410 ns R r1 80000000 - 450410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 450430 ns R psr 81000200 - 450430 ns MR4_I 01000208 2a001c5b - 450450 ns MR4_I 01000200 07c96841 - 450470 ns IT 01000200 6841 LDR r1,[r0,#4] - 450490 ns MR4_I 01000204 6002d1fc - 450550 ns MR4_D 40006004 00000001 - 450550 ns R r1 00000001 - 450550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 450570 ns R r1 80000000 - 450570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 450590 ns R psr 81000200 - 450590 ns MR4_I 01000208 2a001c5b - 450610 ns MR4_I 01000200 07c96841 - 450630 ns IT 01000200 6841 LDR r1,[r0,#4] - 450650 ns MR4_I 01000204 6002d1fc - 450710 ns MR4_D 40006004 00000001 - 450710 ns R r1 00000001 - 450710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 450730 ns R r1 80000000 - 450730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 450750 ns R psr 81000200 - 450750 ns MR4_I 01000208 2a001c5b - 450770 ns MR4_I 01000200 07c96841 - 450790 ns IT 01000200 6841 LDR r1,[r0,#4] - 450810 ns MR4_I 01000204 6002d1fc - 450870 ns MR4_D 40006004 00000001 - 450870 ns R r1 00000001 - 450870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 450890 ns R r1 80000000 - 450890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 450910 ns R psr 81000200 - 450910 ns MR4_I 01000208 2a001c5b - 450930 ns MR4_I 01000200 07c96841 - 450950 ns IT 01000200 6841 LDR r1,[r0,#4] - 450970 ns MR4_I 01000204 6002d1fc - 451030 ns MR4_D 40006004 00000001 - 451030 ns R r1 00000001 - 451030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 451050 ns R r1 80000000 - 451050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 451070 ns R psr 81000200 - 451070 ns MR4_I 01000208 2a001c5b - 451090 ns MR4_I 01000200 07c96841 - 451110 ns IT 01000200 6841 LDR r1,[r0,#4] - 451130 ns MR4_I 01000204 6002d1fc - 451190 ns MR4_D 40006004 00000001 - 451190 ns R r1 00000001 - 451190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 451210 ns R r1 80000000 - 451210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 451230 ns R psr 81000200 - 451230 ns MR4_I 01000208 2a001c5b - 451250 ns MR4_I 01000200 07c96841 - 451270 ns IT 01000200 6841 LDR r1,[r0,#4] - 451290 ns MR4_I 01000204 6002d1fc - 451350 ns MR4_D 40006004 00000001 - 451350 ns R r1 00000001 - 451350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 451370 ns R r1 80000000 - 451370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 451390 ns R psr 81000200 - 451390 ns MR4_I 01000208 2a001c5b - 451410 ns MR4_I 01000200 07c96841 - 451430 ns IT 01000200 6841 LDR r1,[r0,#4] - 451450 ns MR4_I 01000204 6002d1fc - 451510 ns MR4_D 40006004 00000001 - 451510 ns R r1 00000001 - 451510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 451530 ns R r1 80000000 - 451530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 451550 ns R psr 81000200 - 451550 ns MR4_I 01000208 2a001c5b - 451570 ns MR4_I 01000200 07c96841 - 451590 ns IT 01000200 6841 LDR r1,[r0,#4] - 451610 ns MR4_I 01000204 6002d1fc - 451670 ns MR4_D 40006004 00000001 - 451670 ns R r1 00000001 - 451670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 451690 ns R r1 80000000 - 451690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 451710 ns R psr 81000200 - 451710 ns MR4_I 01000208 2a001c5b - 451730 ns MR4_I 01000200 07c96841 - 451750 ns IT 01000200 6841 LDR r1,[r0,#4] - 451770 ns MR4_I 01000204 6002d1fc - 451830 ns MR4_D 40006004 00000001 - 451830 ns R r1 00000001 - 451830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 451850 ns R r1 80000000 - 451850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 451870 ns R psr 81000200 - 451870 ns MR4_I 01000208 2a001c5b - 451890 ns MR4_I 01000200 07c96841 - 451910 ns IT 01000200 6841 LDR r1,[r0,#4] - 451930 ns MR4_I 01000204 6002d1fc - 451990 ns MR4_D 40006004 00000001 - 451990 ns R r1 00000001 - 451990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 452010 ns R r1 80000000 - 452010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 452030 ns R psr 81000200 - 452030 ns MR4_I 01000208 2a001c5b - 452050 ns MR4_I 01000200 07c96841 - 452070 ns IT 01000200 6841 LDR r1,[r0,#4] - 452090 ns MR4_I 01000204 6002d1fc - 452150 ns MR4_D 40006004 00000001 - 452150 ns R r1 00000001 - 452150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 452170 ns R r1 80000000 - 452170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 452190 ns R psr 81000200 - 452190 ns MR4_I 01000208 2a001c5b - 452210 ns MR4_I 01000200 07c96841 - 452230 ns IT 01000200 6841 LDR r1,[r0,#4] - 452250 ns MR4_I 01000204 6002d1fc - 452310 ns MR4_D 40006004 00000001 - 452310 ns R r1 00000001 - 452310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 452330 ns R r1 80000000 - 452330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 452350 ns R psr 81000200 - 452350 ns MR4_I 01000208 2a001c5b - 452370 ns MR4_I 01000200 07c96841 - 452390 ns IT 01000200 6841 LDR r1,[r0,#4] - 452410 ns MR4_I 01000204 6002d1fc - 452470 ns MR4_D 40006004 00000001 - 452470 ns R r1 00000001 - 452470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 452490 ns R r1 80000000 - 452490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 452510 ns R psr 81000200 - 452510 ns MR4_I 01000208 2a001c5b - 452530 ns MR4_I 01000200 07c96841 - 452550 ns IT 01000200 6841 LDR r1,[r0,#4] - 452570 ns MR4_I 01000204 6002d1fc - 452630 ns MR4_D 40006004 00000001 - 452630 ns R r1 00000001 - 452630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 452650 ns R r1 80000000 - 452650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 452670 ns R psr 81000200 - 452670 ns MR4_I 01000208 2a001c5b - 452690 ns MR4_I 01000200 07c96841 - 452710 ns IT 01000200 6841 LDR r1,[r0,#4] - 452730 ns MR4_I 01000204 6002d1fc - 452790 ns MR4_D 40006004 00000001 - 452790 ns R r1 00000001 - 452790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 452810 ns R r1 80000000 - 452810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 452830 ns R psr 81000200 - 452830 ns MR4_I 01000208 2a001c5b - 452850 ns MR4_I 01000200 07c96841 - 452870 ns IT 01000200 6841 LDR r1,[r0,#4] - 452890 ns MR4_I 01000204 6002d1fc - 452950 ns MR4_D 40006004 00000001 - 452950 ns R r1 00000001 - 452950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 452970 ns R r1 80000000 - 452970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 452990 ns R psr 81000200 - 452990 ns MR4_I 01000208 2a001c5b - 453010 ns MR4_I 01000200 07c96841 - 453030 ns IT 01000200 6841 LDR r1,[r0,#4] - 453050 ns MR4_I 01000204 6002d1fc - 453110 ns MR4_D 40006004 00000001 - 453110 ns R r1 00000001 - 453110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 453130 ns R r1 80000000 - 453130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 453150 ns R psr 81000200 - 453150 ns MR4_I 01000208 2a001c5b - 453170 ns MR4_I 01000200 07c96841 - 453190 ns IT 01000200 6841 LDR r1,[r0,#4] - 453210 ns MR4_I 01000204 6002d1fc - 453270 ns MR4_D 40006004 00000001 - 453270 ns R r1 00000001 - 453270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 453290 ns R r1 80000000 - 453290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 453310 ns R psr 81000200 - 453310 ns MR4_I 01000208 2a001c5b - 453330 ns MR4_I 01000200 07c96841 - 453350 ns IT 01000200 6841 LDR r1,[r0,#4] - 453370 ns MR4_I 01000204 6002d1fc - 453430 ns MR4_D 40006004 00000001 - 453430 ns R r1 00000001 - 453430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 453450 ns R r1 80000000 - 453450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 453470 ns R psr 81000200 - 453470 ns MR4_I 01000208 2a001c5b - 453490 ns MR4_I 01000200 07c96841 - 453510 ns IT 01000200 6841 LDR r1,[r0,#4] - 453530 ns MR4_I 01000204 6002d1fc - 453590 ns MR4_D 40006004 00000001 - 453590 ns R r1 00000001 - 453590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 453610 ns R r1 80000000 - 453610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 453630 ns R psr 81000200 - 453630 ns MR4_I 01000208 2a001c5b - 453650 ns MR4_I 01000200 07c96841 - 453670 ns IT 01000200 6841 LDR r1,[r0,#4] - 453690 ns MR4_I 01000204 6002d1fc - 453750 ns MR4_D 40006004 00000001 - 453750 ns R r1 00000001 - 453750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 453770 ns R r1 80000000 - 453770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 453790 ns R psr 81000200 - 453790 ns MR4_I 01000208 2a001c5b - 453810 ns MR4_I 01000200 07c96841 - 453830 ns IT 01000200 6841 LDR r1,[r0,#4] - 453850 ns MR4_I 01000204 6002d1fc - 453910 ns MR4_D 40006004 00000001 - 453910 ns R r1 00000001 - 453910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 453930 ns R r1 80000000 - 453930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 453950 ns R psr 81000200 - 453950 ns MR4_I 01000208 2a001c5b - 453970 ns MR4_I 01000200 07c96841 - 453990 ns IT 01000200 6841 LDR r1,[r0,#4] - 454010 ns MR4_I 01000204 6002d1fc - 454070 ns MR4_D 40006004 00000001 - 454070 ns R r1 00000001 - 454070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 454090 ns R r1 80000000 - 454090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 454110 ns R psr 81000200 - 454110 ns MR4_I 01000208 2a001c5b - 454130 ns MR4_I 01000200 07c96841 - 454150 ns IT 01000200 6841 LDR r1,[r0,#4] - 454170 ns MR4_I 01000204 6002d1fc - 454230 ns MR4_D 40006004 00000001 - 454230 ns R r1 00000001 - 454230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 454250 ns R r1 80000000 - 454250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 454270 ns R psr 81000200 - 454270 ns MR4_I 01000208 2a001c5b - 454290 ns MR4_I 01000200 07c96841 - 454310 ns IT 01000200 6841 LDR r1,[r0,#4] - 454330 ns MR4_I 01000204 6002d1fc - 454390 ns MR4_D 40006004 00000001 - 454390 ns R r1 00000001 - 454390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 454410 ns R r1 80000000 - 454410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 454430 ns R psr 81000200 - 454430 ns MR4_I 01000208 2a001c5b - 454450 ns MR4_I 01000200 07c96841 - 454470 ns IT 01000200 6841 LDR r1,[r0,#4] - 454490 ns MR4_I 01000204 6002d1fc - 454550 ns MR4_D 40006004 00000001 - 454550 ns R r1 00000001 - 454550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 454570 ns R r1 80000000 - 454570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 454590 ns R psr 81000200 - 454590 ns MR4_I 01000208 2a001c5b - 454610 ns MR4_I 01000200 07c96841 - 454630 ns IT 01000200 6841 LDR r1,[r0,#4] - 454650 ns MR4_I 01000204 6002d1fc - 454710 ns MR4_D 40006004 00000001 - 454710 ns R r1 00000001 - 454710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 454730 ns R r1 80000000 - 454730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 454750 ns R psr 81000200 - 454750 ns MR4_I 01000208 2a001c5b - 454770 ns MR4_I 01000200 07c96841 - 454790 ns IT 01000200 6841 LDR r1,[r0,#4] - 454810 ns MR4_I 01000204 6002d1fc - 454870 ns MR4_D 40006004 00000001 - 454870 ns R r1 00000001 - 454870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 454890 ns R r1 80000000 - 454890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 454910 ns R psr 81000200 - 454910 ns MR4_I 01000208 2a001c5b - 454930 ns MR4_I 01000200 07c96841 - 454950 ns IT 01000200 6841 LDR r1,[r0,#4] - 454970 ns MR4_I 01000204 6002d1fc - 455030 ns MR4_D 40006004 00000001 - 455030 ns R r1 00000001 - 455030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 455050 ns R r1 80000000 - 455050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 455070 ns R psr 81000200 - 455070 ns MR4_I 01000208 2a001c5b - 455090 ns MR4_I 01000200 07c96841 - 455110 ns IT 01000200 6841 LDR r1,[r0,#4] - 455130 ns MR4_I 01000204 6002d1fc - 455190 ns MR4_D 40006004 00000001 - 455190 ns R r1 00000001 - 455190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 455210 ns R r1 80000000 - 455210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 455230 ns R psr 81000200 - 455230 ns MR4_I 01000208 2a001c5b - 455250 ns MR4_I 01000200 07c96841 - 455270 ns IT 01000200 6841 LDR r1,[r0,#4] - 455290 ns MR4_I 01000204 6002d1fc - 455350 ns MR4_D 40006004 00000001 - 455350 ns R r1 00000001 - 455350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 455370 ns R r1 80000000 - 455370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 455390 ns R psr 81000200 - 455390 ns MR4_I 01000208 2a001c5b - 455410 ns MR4_I 01000200 07c96841 - 455430 ns IT 01000200 6841 LDR r1,[r0,#4] - 455450 ns MR4_I 01000204 6002d1fc - 455510 ns MR4_D 40006004 00000001 - 455510 ns R r1 00000001 - 455510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 455530 ns R r1 80000000 - 455530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 455550 ns R psr 81000200 - 455550 ns MR4_I 01000208 2a001c5b - 455570 ns MR4_I 01000200 07c96841 - 455590 ns IT 01000200 6841 LDR r1,[r0,#4] - 455610 ns MR4_I 01000204 6002d1fc - 455670 ns MR4_D 40006004 00000001 - 455670 ns R r1 00000001 - 455670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 455690 ns R r1 80000000 - 455690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 455710 ns R psr 81000200 - 455710 ns MR4_I 01000208 2a001c5b - 455730 ns MR4_I 01000200 07c96841 - 455750 ns IT 01000200 6841 LDR r1,[r0,#4] - 455770 ns MR4_I 01000204 6002d1fc - 455830 ns MR4_D 40006004 00000001 - 455830 ns R r1 00000001 - 455830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 455850 ns R r1 80000000 - 455850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 455870 ns R psr 81000200 - 455870 ns MR4_I 01000208 2a001c5b - 455890 ns MR4_I 01000200 07c96841 - 455910 ns IT 01000200 6841 LDR r1,[r0,#4] - 455930 ns MR4_I 01000204 6002d1fc - 455990 ns MR4_D 40006004 00000001 - 455990 ns R r1 00000001 - 455990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 456010 ns R r1 80000000 - 456010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 456030 ns R psr 81000200 - 456030 ns MR4_I 01000208 2a001c5b - 456050 ns MR4_I 01000200 07c96841 - 456070 ns IT 01000200 6841 LDR r1,[r0,#4] - 456090 ns MR4_I 01000204 6002d1fc - 456150 ns MR4_D 40006004 00000001 - 456150 ns R r1 00000001 - 456150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 456170 ns R r1 80000000 - 456170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 456190 ns R psr 81000200 - 456190 ns MR4_I 01000208 2a001c5b - 456210 ns MR4_I 01000200 07c96841 - 456230 ns IT 01000200 6841 LDR r1,[r0,#4] - 456250 ns MR4_I 01000204 6002d1fc - 456310 ns MR4_D 40006004 00000001 - 456310 ns R r1 00000001 - 456310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 456330 ns R r1 80000000 - 456330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 456350 ns R psr 81000200 - 456350 ns MR4_I 01000208 2a001c5b - 456370 ns MR4_I 01000200 07c96841 - 456390 ns IT 01000200 6841 LDR r1,[r0,#4] - 456410 ns MR4_I 01000204 6002d1fc - 456470 ns MR4_D 40006004 00000001 - 456470 ns R r1 00000001 - 456470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 456490 ns R r1 80000000 - 456490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 456510 ns R psr 81000200 - 456510 ns MR4_I 01000208 2a001c5b - 456530 ns MR4_I 01000200 07c96841 - 456550 ns IT 01000200 6841 LDR r1,[r0,#4] - 456570 ns MR4_I 01000204 6002d1fc - 456630 ns MR4_D 40006004 00000001 - 456630 ns R r1 00000001 - 456630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 456650 ns R r1 80000000 - 456650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 456670 ns R psr 81000200 - 456670 ns MR4_I 01000208 2a001c5b - 456690 ns MR4_I 01000200 07c96841 - 456710 ns IT 01000200 6841 LDR r1,[r0,#4] - 456730 ns MR4_I 01000204 6002d1fc - 456790 ns MR4_D 40006004 00000001 - 456790 ns R r1 00000001 - 456790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 456810 ns R r1 80000000 - 456810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 456830 ns R psr 81000200 - 456830 ns MR4_I 01000208 2a001c5b - 456850 ns MR4_I 01000200 07c96841 - 456870 ns IT 01000200 6841 LDR r1,[r0,#4] - 456890 ns MR4_I 01000204 6002d1fc - 456950 ns MR4_D 40006004 00000001 - 456950 ns R r1 00000001 - 456950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 456970 ns R r1 80000000 - 456970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 456990 ns R psr 81000200 - 456990 ns MR4_I 01000208 2a001c5b - 457010 ns MR4_I 01000200 07c96841 - 457030 ns IT 01000200 6841 LDR r1,[r0,#4] - 457050 ns MR4_I 01000204 6002d1fc - 457110 ns MR4_D 40006004 00000001 - 457110 ns R r1 00000001 - 457110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 457130 ns R r1 80000000 - 457130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 457150 ns R psr 81000200 - 457150 ns MR4_I 01000208 2a001c5b - 457170 ns MR4_I 01000200 07c96841 - 457190 ns IT 01000200 6841 LDR r1,[r0,#4] - 457210 ns MR4_I 01000204 6002d1fc - 457270 ns MR4_D 40006004 00000001 - 457270 ns R r1 00000001 - 457270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 457290 ns R r1 80000000 - 457290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 457310 ns R psr 81000200 - 457310 ns MR4_I 01000208 2a001c5b - 457330 ns MR4_I 01000200 07c96841 - 457350 ns IT 01000200 6841 LDR r1,[r0,#4] - 457370 ns MR4_I 01000204 6002d1fc - 457430 ns MR4_D 40006004 00000001 - 457430 ns R r1 00000001 - 457430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 457450 ns R r1 80000000 - 457450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 457470 ns R psr 81000200 - 457470 ns MR4_I 01000208 2a001c5b - 457490 ns MR4_I 01000200 07c96841 - 457510 ns IT 01000200 6841 LDR r1,[r0,#4] - 457530 ns MR4_I 01000204 6002d1fc - 457590 ns MR4_D 40006004 00000001 - 457590 ns R r1 00000001 - 457590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 457610 ns R r1 80000000 - 457610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 457630 ns R psr 81000200 - 457630 ns MR4_I 01000208 2a001c5b - 457650 ns MR4_I 01000200 07c96841 - 457670 ns IT 01000200 6841 LDR r1,[r0,#4] - 457690 ns MR4_I 01000204 6002d1fc - 457750 ns MR4_D 40006004 00000001 - 457750 ns R r1 00000001 - 457750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 457770 ns R r1 80000000 - 457770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 457790 ns R psr 81000200 - 457790 ns MR4_I 01000208 2a001c5b - 457810 ns MR4_I 01000200 07c96841 - 457830 ns IT 01000200 6841 LDR r1,[r0,#4] - 457850 ns MR4_I 01000204 6002d1fc - 457910 ns MR4_D 40006004 00000001 - 457910 ns R r1 00000001 - 457910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 457930 ns R r1 80000000 - 457930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 457950 ns R psr 81000200 - 457950 ns MR4_I 01000208 2a001c5b - 457970 ns MR4_I 01000200 07c96841 - 457990 ns IT 01000200 6841 LDR r1,[r0,#4] - 458010 ns MR4_I 01000204 6002d1fc - 458070 ns MR4_D 40006004 00000001 - 458070 ns R r1 00000001 - 458070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 458090 ns R r1 80000000 - 458090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 458110 ns R psr 81000200 - 458110 ns MR4_I 01000208 2a001c5b - 458130 ns MR4_I 01000200 07c96841 - 458150 ns IT 01000200 6841 LDR r1,[r0,#4] - 458170 ns MR4_I 01000204 6002d1fc - 458230 ns MR4_D 40006004 00000001 - 458230 ns R r1 00000001 - 458230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 458250 ns R r1 80000000 - 458250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 458270 ns R psr 81000200 - 458270 ns MR4_I 01000208 2a001c5b - 458290 ns MR4_I 01000200 07c96841 - 458310 ns IT 01000200 6841 LDR r1,[r0,#4] - 458330 ns MR4_I 01000204 6002d1fc - 458390 ns MR4_D 40006004 00000001 - 458390 ns R r1 00000001 - 458390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 458410 ns R r1 80000000 - 458410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 458430 ns R psr 81000200 - 458430 ns MR4_I 01000208 2a001c5b - 458450 ns MR4_I 01000200 07c96841 - 458470 ns IT 01000200 6841 LDR r1,[r0,#4] - 458490 ns MR4_I 01000204 6002d1fc - 458550 ns MR4_D 40006004 00000001 - 458550 ns R r1 00000001 - 458550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 458570 ns R r1 80000000 - 458570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 458590 ns R psr 81000200 - 458590 ns MR4_I 01000208 2a001c5b - 458610 ns MR4_I 01000200 07c96841 - 458630 ns IT 01000200 6841 LDR r1,[r0,#4] - 458650 ns MR4_I 01000204 6002d1fc - 458710 ns MR4_D 40006004 00000001 - 458710 ns R r1 00000001 - 458710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 458730 ns R r1 80000000 - 458730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 458750 ns R psr 81000200 - 458750 ns MR4_I 01000208 2a001c5b - 458770 ns MR4_I 01000200 07c96841 - 458790 ns IT 01000200 6841 LDR r1,[r0,#4] - 458810 ns MR4_I 01000204 6002d1fc - 458870 ns MR4_D 40006004 00000001 - 458870 ns R r1 00000001 - 458870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 458890 ns R r1 80000000 - 458890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 458910 ns R psr 81000200 - 458910 ns MR4_I 01000208 2a001c5b - 458930 ns MR4_I 01000200 07c96841 - 458950 ns IT 01000200 6841 LDR r1,[r0,#4] - 458970 ns MR4_I 01000204 6002d1fc - 459030 ns MR4_D 40006004 00000001 - 459030 ns R r1 00000001 - 459030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 459050 ns R r1 80000000 - 459050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 459070 ns R psr 81000200 - 459070 ns MR4_I 01000208 2a001c5b - 459090 ns MR4_I 01000200 07c96841 - 459110 ns IT 01000200 6841 LDR r1,[r0,#4] - 459130 ns MR4_I 01000204 6002d1fc - 459190 ns MR4_D 40006004 00000001 - 459190 ns R r1 00000001 - 459190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 459210 ns R r1 80000000 - 459210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 459230 ns R psr 81000200 - 459230 ns MR4_I 01000208 2a001c5b - 459250 ns MR4_I 01000200 07c96841 - 459270 ns IT 01000200 6841 LDR r1,[r0,#4] - 459290 ns MR4_I 01000204 6002d1fc - 459350 ns MR4_D 40006004 00000001 - 459350 ns R r1 00000001 - 459350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 459370 ns R r1 80000000 - 459370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 459390 ns R psr 81000200 - 459390 ns MR4_I 01000208 2a001c5b - 459410 ns MR4_I 01000200 07c96841 - 459430 ns IT 01000200 6841 LDR r1,[r0,#4] - 459450 ns MR4_I 01000204 6002d1fc - 459510 ns MR4_D 40006004 00000001 - 459510 ns R r1 00000001 - 459510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 459530 ns R r1 80000000 - 459530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 459550 ns R psr 81000200 - 459550 ns MR4_I 01000208 2a001c5b - 459570 ns MR4_I 01000200 07c96841 - 459590 ns IT 01000200 6841 LDR r1,[r0,#4] - 459610 ns MR4_I 01000204 6002d1fc - 459670 ns MR4_D 40006004 00000001 - 459670 ns R r1 00000001 - 459670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 459690 ns R r1 80000000 - 459690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 459710 ns R psr 81000200 - 459710 ns MR4_I 01000208 2a001c5b - 459730 ns MR4_I 01000200 07c96841 - 459750 ns IT 01000200 6841 LDR r1,[r0,#4] - 459770 ns MR4_I 01000204 6002d1fc - 459830 ns MR4_D 40006004 00000001 - 459830 ns R r1 00000001 - 459830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 459850 ns R r1 80000000 - 459850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 459870 ns R psr 81000200 - 459870 ns MR4_I 01000208 2a001c5b - 459890 ns MR4_I 01000200 07c96841 - 459910 ns IT 01000200 6841 LDR r1,[r0,#4] - 459930 ns MR4_I 01000204 6002d1fc - 459990 ns MR4_D 40006004 00000001 - 459990 ns R r1 00000001 - 459990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 460010 ns R r1 80000000 - 460010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 460030 ns R psr 81000200 - 460030 ns MR4_I 01000208 2a001c5b - 460050 ns MR4_I 01000200 07c96841 - 460070 ns IT 01000200 6841 LDR r1,[r0,#4] - 460090 ns MR4_I 01000204 6002d1fc - 460150 ns MR4_D 40006004 00000001 - 460150 ns R r1 00000001 - 460150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 460170 ns R r1 80000000 - 460170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 460190 ns R psr 81000200 - 460190 ns MR4_I 01000208 2a001c5b - 460210 ns MR4_I 01000200 07c96841 - 460230 ns IT 01000200 6841 LDR r1,[r0,#4] - 460250 ns MR4_I 01000204 6002d1fc - 460310 ns MR4_D 40006004 00000001 - 460310 ns R r1 00000001 - 460310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 460330 ns R r1 80000000 - 460330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 460350 ns R psr 81000200 - 460350 ns MR4_I 01000208 2a001c5b - 460370 ns MR4_I 01000200 07c96841 - 460390 ns IT 01000200 6841 LDR r1,[r0,#4] - 460410 ns MR4_I 01000204 6002d1fc - 460470 ns MR4_D 40006004 00000001 - 460470 ns R r1 00000001 - 460470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 460490 ns R r1 80000000 - 460490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 460510 ns R psr 81000200 - 460510 ns MR4_I 01000208 2a001c5b - 460530 ns MR4_I 01000200 07c96841 - 460550 ns IT 01000200 6841 LDR r1,[r0,#4] - 460570 ns MR4_I 01000204 6002d1fc - 460630 ns MR4_D 40006004 00000001 - 460630 ns R r1 00000001 - 460630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 460650 ns R r1 80000000 - 460650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 460670 ns R psr 81000200 - 460670 ns MR4_I 01000208 2a001c5b - 460690 ns MR4_I 01000200 07c96841 - 460710 ns IT 01000200 6841 LDR r1,[r0,#4] - 460730 ns MR4_I 01000204 6002d1fc - 460790 ns MR4_D 40006004 00000001 - 460790 ns R r1 00000001 - 460790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 460810 ns R r1 80000000 - 460810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 460830 ns R psr 81000200 - 460830 ns MR4_I 01000208 2a001c5b - 460850 ns MR4_I 01000200 07c96841 - 460870 ns IT 01000200 6841 LDR r1,[r0,#4] - 460890 ns MR4_I 01000204 6002d1fc - 460950 ns MR4_D 40006004 00000001 - 460950 ns R r1 00000001 - 460950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 460970 ns R r1 80000000 - 460970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 460990 ns R psr 81000200 - 460990 ns MR4_I 01000208 2a001c5b - 461010 ns MR4_I 01000200 07c96841 - 461030 ns IT 01000200 6841 LDR r1,[r0,#4] - 461050 ns MR4_I 01000204 6002d1fc - 461110 ns MR4_D 40006004 00000001 - 461110 ns R r1 00000001 - 461110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 461130 ns R r1 80000000 - 461130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 461150 ns R psr 81000200 - 461150 ns MR4_I 01000208 2a001c5b - 461170 ns MR4_I 01000200 07c96841 - 461190 ns IT 01000200 6841 LDR r1,[r0,#4] - 461210 ns MR4_I 01000204 6002d1fc - 461270 ns MR4_D 40006004 00000001 - 461270 ns R r1 00000001 - 461270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 461290 ns R r1 80000000 - 461290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 461310 ns R psr 81000200 - 461310 ns MR4_I 01000208 2a001c5b - 461330 ns MR4_I 01000200 07c96841 - 461350 ns IT 01000200 6841 LDR r1,[r0,#4] - 461370 ns MR4_I 01000204 6002d1fc - 461430 ns MR4_D 40006004 00000001 - 461430 ns R r1 00000001 - 461430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 461450 ns R r1 80000000 - 461450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 461470 ns R psr 81000200 - 461470 ns MR4_I 01000208 2a001c5b - 461490 ns MR4_I 01000200 07c96841 - 461510 ns IT 01000200 6841 LDR r1,[r0,#4] - 461530 ns MR4_I 01000204 6002d1fc - 461590 ns MR4_D 40006004 00000001 - 461590 ns R r1 00000001 - 461590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 461610 ns R r1 80000000 - 461610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 461630 ns R psr 81000200 - 461630 ns MR4_I 01000208 2a001c5b - 461650 ns MR4_I 01000200 07c96841 - 461670 ns IT 01000200 6841 LDR r1,[r0,#4] - 461690 ns MR4_I 01000204 6002d1fc - 461750 ns MR4_D 40006004 00000001 - 461750 ns R r1 00000001 - 461750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 461770 ns R r1 80000000 - 461770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 461790 ns R psr 81000200 - 461790 ns MR4_I 01000208 2a001c5b - 461810 ns MR4_I 01000200 07c96841 - 461830 ns IT 01000200 6841 LDR r1,[r0,#4] - 461850 ns MR4_I 01000204 6002d1fc - 461910 ns MR4_D 40006004 00000001 - 461910 ns R r1 00000001 - 461910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 461930 ns R r1 80000000 - 461930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 461950 ns R psr 81000200 - 461950 ns MR4_I 01000208 2a001c5b - 461970 ns MR4_I 01000200 07c96841 - 461990 ns IT 01000200 6841 LDR r1,[r0,#4] - 462010 ns MR4_I 01000204 6002d1fc - 462070 ns MR4_D 40006004 00000001 - 462070 ns R r1 00000001 - 462070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 462090 ns R r1 80000000 - 462090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 462110 ns R psr 81000200 - 462110 ns MR4_I 01000208 2a001c5b - 462130 ns MR4_I 01000200 07c96841 - 462150 ns IT 01000200 6841 LDR r1,[r0,#4] - 462170 ns MR4_I 01000204 6002d1fc - 462230 ns MR4_D 40006004 00000001 - 462230 ns R r1 00000001 - 462230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 462250 ns R r1 80000000 - 462250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 462270 ns R psr 81000200 - 462270 ns MR4_I 01000208 2a001c5b - 462290 ns MR4_I 01000200 07c96841 - 462310 ns IT 01000200 6841 LDR r1,[r0,#4] - 462330 ns MR4_I 01000204 6002d1fc - 462390 ns MR4_D 40006004 00000001 - 462390 ns R r1 00000001 - 462390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 462410 ns R r1 80000000 - 462410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 462430 ns R psr 81000200 - 462430 ns MR4_I 01000208 2a001c5b - 462450 ns MR4_I 01000200 07c96841 - 462470 ns IT 01000200 6841 LDR r1,[r0,#4] - 462490 ns MR4_I 01000204 6002d1fc - 462550 ns MR4_D 40006004 00000001 - 462550 ns R r1 00000001 - 462550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 462570 ns R r1 80000000 - 462570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 462590 ns R psr 81000200 - 462590 ns MR4_I 01000208 2a001c5b - 462610 ns MR4_I 01000200 07c96841 - 462630 ns IT 01000200 6841 LDR r1,[r0,#4] - 462650 ns MR4_I 01000204 6002d1fc - 462710 ns MR4_D 40006004 00000001 - 462710 ns R r1 00000001 - 462710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 462730 ns R r1 80000000 - 462730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 462750 ns R psr 81000200 - 462750 ns MR4_I 01000208 2a001c5b - 462770 ns MR4_I 01000200 07c96841 - 462790 ns IT 01000200 6841 LDR r1,[r0,#4] - 462810 ns MR4_I 01000204 6002d1fc - 462870 ns MR4_D 40006004 00000001 - 462870 ns R r1 00000001 - 462870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 462890 ns R r1 80000000 - 462890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 462910 ns R psr 81000200 - 462910 ns MR4_I 01000208 2a001c5b - 462930 ns MR4_I 01000200 07c96841 - 462950 ns IT 01000200 6841 LDR r1,[r0,#4] - 462970 ns MR4_I 01000204 6002d1fc - 463030 ns MR4_D 40006004 00000001 - 463030 ns R r1 00000001 - 463030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 463050 ns R r1 80000000 - 463050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 463070 ns R psr 81000200 - 463070 ns MR4_I 01000208 2a001c5b - 463090 ns MR4_I 01000200 07c96841 - 463110 ns IT 01000200 6841 LDR r1,[r0,#4] - 463130 ns MR4_I 01000204 6002d1fc - 463190 ns MR4_D 40006004 00000001 - 463190 ns R r1 00000001 - 463190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 463210 ns R r1 80000000 - 463210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 463230 ns R psr 81000200 - 463230 ns MR4_I 01000208 2a001c5b - 463250 ns MR4_I 01000200 07c96841 - 463270 ns IT 01000200 6841 LDR r1,[r0,#4] - 463290 ns MR4_I 01000204 6002d1fc - 463350 ns MR4_D 40006004 00000001 - 463350 ns R r1 00000001 - 463350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 463370 ns R r1 80000000 - 463370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 463390 ns R psr 81000200 - 463390 ns MR4_I 01000208 2a001c5b - 463410 ns MR4_I 01000200 07c96841 - 463430 ns IT 01000200 6841 LDR r1,[r0,#4] - 463450 ns MR4_I 01000204 6002d1fc - 463510 ns MR4_D 40006004 00000001 - 463510 ns R r1 00000001 - 463510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 463530 ns R r1 80000000 - 463530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 463550 ns R psr 81000200 - 463550 ns MR4_I 01000208 2a001c5b - 463570 ns MR4_I 01000200 07c96841 - 463590 ns IT 01000200 6841 LDR r1,[r0,#4] - 463610 ns MR4_I 01000204 6002d1fc - 463670 ns MR4_D 40006004 00000001 - 463670 ns R r1 00000001 - 463670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 463690 ns R r1 80000000 - 463690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 463710 ns R psr 81000200 - 463710 ns MR4_I 01000208 2a001c5b - 463730 ns MR4_I 01000200 07c96841 - 463750 ns IT 01000200 6841 LDR r1,[r0,#4] - 463770 ns MR4_I 01000204 6002d1fc - 463830 ns MR4_D 40006004 00000001 - 463830 ns R r1 00000001 - 463830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 463850 ns R r1 80000000 - 463850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 463870 ns R psr 81000200 - 463870 ns MR4_I 01000208 2a001c5b - 463890 ns MR4_I 01000200 07c96841 - 463910 ns IT 01000200 6841 LDR r1,[r0,#4] - 463930 ns MR4_I 01000204 6002d1fc - 463990 ns MR4_D 40006004 00000001 - 463990 ns R r1 00000001 - 463990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 464010 ns R r1 80000000 - 464010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 464030 ns R psr 81000200 - 464030 ns MR4_I 01000208 2a001c5b - 464050 ns MR4_I 01000200 07c96841 - 464070 ns IT 01000200 6841 LDR r1,[r0,#4] - 464090 ns MR4_I 01000204 6002d1fc - 464150 ns MR4_D 40006004 00000001 - 464150 ns R r1 00000001 - 464150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 464170 ns R r1 80000000 - 464170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 464190 ns R psr 81000200 - 464190 ns MR4_I 01000208 2a001c5b - 464210 ns MR4_I 01000200 07c96841 - 464230 ns IT 01000200 6841 LDR r1,[r0,#4] - 464250 ns MR4_I 01000204 6002d1fc - 464310 ns MR4_D 40006004 00000001 - 464310 ns R r1 00000001 - 464310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 464330 ns R r1 80000000 - 464330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 464350 ns R psr 81000200 - 464350 ns MR4_I 01000208 2a001c5b - 464370 ns MR4_I 01000200 07c96841 - 464390 ns IT 01000200 6841 LDR r1,[r0,#4] - 464410 ns MR4_I 01000204 6002d1fc - 464470 ns MR4_D 40006004 00000001 - 464470 ns R r1 00000001 - 464470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 464490 ns R r1 80000000 - 464490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 464510 ns R psr 81000200 - 464510 ns MR4_I 01000208 2a001c5b - 464530 ns MR4_I 01000200 07c96841 - 464550 ns IT 01000200 6841 LDR r1,[r0,#4] - 464570 ns MR4_I 01000204 6002d1fc - 464630 ns MR4_D 40006004 00000001 - 464630 ns R r1 00000001 - 464630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 464650 ns R r1 80000000 - 464650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 464670 ns R psr 81000200 - 464670 ns MR4_I 01000208 2a001c5b - 464690 ns MR4_I 01000200 07c96841 - 464710 ns IT 01000200 6841 LDR r1,[r0,#4] - 464730 ns MR4_I 01000204 6002d1fc - 464790 ns MR4_D 40006004 00000001 - 464790 ns R r1 00000001 - 464790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 464810 ns R r1 80000000 - 464810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 464830 ns R psr 81000200 - 464830 ns MR4_I 01000208 2a001c5b - 464850 ns MR4_I 01000200 07c96841 - 464870 ns IT 01000200 6841 LDR r1,[r0,#4] - 464890 ns MR4_I 01000204 6002d1fc - 464950 ns MR4_D 40006004 00000001 - 464950 ns R r1 00000001 - 464950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 464970 ns R r1 80000000 - 464970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 464990 ns R psr 81000200 - 464990 ns MR4_I 01000208 2a001c5b - 465010 ns MR4_I 01000200 07c96841 - 465030 ns IT 01000200 6841 LDR r1,[r0,#4] - 465050 ns MR4_I 01000204 6002d1fc - 465110 ns MR4_D 40006004 00000001 - 465110 ns R r1 00000001 - 465110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 465130 ns R r1 80000000 - 465130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 465150 ns R psr 81000200 - 465150 ns MR4_I 01000208 2a001c5b - 465170 ns MR4_I 01000200 07c96841 - 465190 ns IT 01000200 6841 LDR r1,[r0,#4] - 465210 ns MR4_I 01000204 6002d1fc - 465270 ns MR4_D 40006004 00000001 - 465270 ns R r1 00000001 - 465270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 465290 ns R r1 80000000 - 465290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 465310 ns R psr 81000200 - 465310 ns MR4_I 01000208 2a001c5b - 465330 ns MR4_I 01000200 07c96841 - 465350 ns IT 01000200 6841 LDR r1,[r0,#4] - 465370 ns MR4_I 01000204 6002d1fc - 465430 ns MR4_D 40006004 00000001 - 465430 ns R r1 00000001 - 465430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 465450 ns R r1 80000000 - 465450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 465470 ns R psr 81000200 - 465470 ns MR4_I 01000208 2a001c5b - 465490 ns MR4_I 01000200 07c96841 - 465510 ns IT 01000200 6841 LDR r1,[r0,#4] - 465530 ns MR4_I 01000204 6002d1fc - 465590 ns MR4_D 40006004 00000001 - 465590 ns R r1 00000001 - 465590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 465610 ns R r1 80000000 - 465610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 465630 ns R psr 81000200 - 465630 ns MR4_I 01000208 2a001c5b - 465650 ns MR4_I 01000200 07c96841 - 465670 ns IT 01000200 6841 LDR r1,[r0,#4] - 465690 ns MR4_I 01000204 6002d1fc - 465750 ns MR4_D 40006004 00000001 - 465750 ns R r1 00000001 - 465750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 465770 ns R r1 80000000 - 465770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 465790 ns R psr 81000200 - 465790 ns MR4_I 01000208 2a001c5b - 465810 ns MR4_I 01000200 07c96841 - 465830 ns IT 01000200 6841 LDR r1,[r0,#4] - 465850 ns MR4_I 01000204 6002d1fc - 465910 ns MR4_D 40006004 00000001 - 465910 ns R r1 00000001 - 465910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 465930 ns R r1 80000000 - 465930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 465950 ns R psr 81000200 - 465950 ns MR4_I 01000208 2a001c5b - 465970 ns MR4_I 01000200 07c96841 - 465990 ns IT 01000200 6841 LDR r1,[r0,#4] - 466010 ns MR4_I 01000204 6002d1fc - 466070 ns MR4_D 40006004 00000001 - 466070 ns R r1 00000001 - 466070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 466090 ns R r1 80000000 - 466090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 466110 ns R psr 81000200 - 466110 ns MR4_I 01000208 2a001c5b - 466130 ns MR4_I 01000200 07c96841 - 466150 ns IT 01000200 6841 LDR r1,[r0,#4] - 466170 ns MR4_I 01000204 6002d1fc - 466230 ns MR4_D 40006004 00000001 - 466230 ns R r1 00000001 - 466230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 466250 ns R r1 80000000 - 466250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 466270 ns R psr 81000200 - 466270 ns MR4_I 01000208 2a001c5b - 466290 ns MR4_I 01000200 07c96841 - 466310 ns IT 01000200 6841 LDR r1,[r0,#4] - 466330 ns MR4_I 01000204 6002d1fc - 466390 ns MR4_D 40006004 00000001 - 466390 ns R r1 00000001 - 466390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 466410 ns R r1 80000000 - 466410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 466430 ns R psr 81000200 - 466430 ns MR4_I 01000208 2a001c5b - 466450 ns MR4_I 01000200 07c96841 - 466470 ns IT 01000200 6841 LDR r1,[r0,#4] - 466490 ns MR4_I 01000204 6002d1fc - 466550 ns MR4_D 40006004 00000001 - 466550 ns R r1 00000001 - 466550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 466570 ns R r1 80000000 - 466570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 466590 ns R psr 81000200 - 466590 ns MR4_I 01000208 2a001c5b - 466610 ns MR4_I 01000200 07c96841 - 466630 ns IT 01000200 6841 LDR r1,[r0,#4] - 466650 ns MR4_I 01000204 6002d1fc - 466710 ns MR4_D 40006004 00000001 - 466710 ns R r1 00000001 - 466710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 466730 ns R r1 80000000 - 466730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 466750 ns R psr 81000200 - 466750 ns MR4_I 01000208 2a001c5b - 466770 ns MR4_I 01000200 07c96841 - 466790 ns IT 01000200 6841 LDR r1,[r0,#4] - 466810 ns MR4_I 01000204 6002d1fc - 466870 ns MR4_D 40006004 00000001 - 466870 ns R r1 00000001 - 466870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 466890 ns R r1 80000000 - 466890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 466910 ns R psr 81000200 - 466910 ns MR4_I 01000208 2a001c5b - 466930 ns MR4_I 01000200 07c96841 - 466950 ns IT 01000200 6841 LDR r1,[r0,#4] - 466970 ns MR4_I 01000204 6002d1fc - 467030 ns MR4_D 40006004 00000001 - 467030 ns R r1 00000001 - 467030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 467050 ns R r1 80000000 - 467050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 467070 ns R psr 81000200 - 467070 ns MR4_I 01000208 2a001c5b - 467090 ns MR4_I 01000200 07c96841 - 467110 ns IT 01000200 6841 LDR r1,[r0,#4] - 467130 ns MR4_I 01000204 6002d1fc - 467190 ns MR4_D 40006004 00000001 - 467190 ns R r1 00000001 - 467190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 467210 ns R r1 80000000 - 467210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 467230 ns R psr 81000200 - 467230 ns MR4_I 01000208 2a001c5b - 467250 ns MR4_I 01000200 07c96841 - 467270 ns IT 01000200 6841 LDR r1,[r0,#4] - 467290 ns MR4_I 01000204 6002d1fc - 467350 ns MR4_D 40006004 00000001 - 467350 ns R r1 00000001 - 467350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 467370 ns R r1 80000000 - 467370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 467390 ns R psr 81000200 - 467390 ns MR4_I 01000208 2a001c5b - 467410 ns MR4_I 01000200 07c96841 - 467430 ns IT 01000200 6841 LDR r1,[r0,#4] - 467450 ns MR4_I 01000204 6002d1fc - 467510 ns MR4_D 40006004 00000001 - 467510 ns R r1 00000001 - 467510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 467530 ns R r1 80000000 - 467530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 467550 ns R psr 81000200 - 467550 ns MR4_I 01000208 2a001c5b - 467570 ns MR4_I 01000200 07c96841 - 467590 ns IT 01000200 6841 LDR r1,[r0,#4] - 467610 ns MR4_I 01000204 6002d1fc - 467670 ns MR4_D 40006004 00000001 - 467670 ns R r1 00000001 - 467670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 467690 ns R r1 80000000 - 467690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 467710 ns R psr 81000200 - 467710 ns MR4_I 01000208 2a001c5b - 467730 ns MR4_I 01000200 07c96841 - 467750 ns IT 01000200 6841 LDR r1,[r0,#4] - 467770 ns MR4_I 01000204 6002d1fc - 467830 ns MR4_D 40006004 00000001 - 467830 ns R r1 00000001 - 467830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 467850 ns R r1 80000000 - 467850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 467870 ns R psr 81000200 - 467870 ns MR4_I 01000208 2a001c5b - 467890 ns MR4_I 01000200 07c96841 - 467910 ns IT 01000200 6841 LDR r1,[r0,#4] - 467930 ns MR4_I 01000204 6002d1fc - 467990 ns MR4_D 40006004 00000001 - 467990 ns R r1 00000001 - 467990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 468010 ns R r1 80000000 - 468010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 468030 ns R psr 81000200 - 468030 ns MR4_I 01000208 2a001c5b - 468050 ns MR4_I 01000200 07c96841 - 468070 ns IT 01000200 6841 LDR r1,[r0,#4] - 468090 ns MR4_I 01000204 6002d1fc - 468150 ns MR4_D 40006004 00000001 - 468150 ns R r1 00000001 - 468150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 468170 ns R r1 80000000 - 468170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 468190 ns R psr 81000200 - 468190 ns MR4_I 01000208 2a001c5b - 468210 ns MR4_I 01000200 07c96841 - 468230 ns IT 01000200 6841 LDR r1,[r0,#4] - 468250 ns MR4_I 01000204 6002d1fc - 468310 ns MR4_D 40006004 00000001 - 468310 ns R r1 00000001 - 468310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 468330 ns R r1 80000000 - 468330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 468350 ns R psr 81000200 - 468350 ns MR4_I 01000208 2a001c5b - 468370 ns MR4_I 01000200 07c96841 - 468390 ns IT 01000200 6841 LDR r1,[r0,#4] - 468410 ns MR4_I 01000204 6002d1fc - 468470 ns MR4_D 40006004 00000001 - 468470 ns R r1 00000001 - 468470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 468490 ns R r1 80000000 - 468490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 468510 ns R psr 81000200 - 468510 ns MR4_I 01000208 2a001c5b - 468530 ns MR4_I 01000200 07c96841 - 468550 ns IT 01000200 6841 LDR r1,[r0,#4] - 468570 ns MR4_I 01000204 6002d1fc - 468630 ns MR4_D 40006004 00000001 - 468630 ns R r1 00000001 - 468630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 468650 ns R r1 80000000 - 468650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 468670 ns R psr 81000200 - 468670 ns MR4_I 01000208 2a001c5b - 468690 ns MR4_I 01000200 07c96841 - 468710 ns IT 01000200 6841 LDR r1,[r0,#4] - 468730 ns MR4_I 01000204 6002d1fc - 468790 ns MR4_D 40006004 00000001 - 468790 ns R r1 00000001 - 468790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 468810 ns R r1 80000000 - 468810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 468830 ns R psr 81000200 - 468830 ns MR4_I 01000208 2a001c5b - 468850 ns MR4_I 01000200 07c96841 - 468870 ns IT 01000200 6841 LDR r1,[r0,#4] - 468890 ns MR4_I 01000204 6002d1fc - 468950 ns MR4_D 40006004 00000001 - 468950 ns R r1 00000001 - 468950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 468970 ns R r1 80000000 - 468970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 468990 ns R psr 81000200 - 468990 ns MR4_I 01000208 2a001c5b - 469010 ns MR4_I 01000200 07c96841 - 469030 ns IT 01000200 6841 LDR r1,[r0,#4] - 469050 ns MR4_I 01000204 6002d1fc - 469110 ns MR4_D 40006004 00000001 - 469110 ns R r1 00000001 - 469110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 469130 ns R r1 80000000 - 469130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 469150 ns R psr 81000200 - 469150 ns MR4_I 01000208 2a001c5b - 469170 ns MR4_I 01000200 07c96841 - 469190 ns IT 01000200 6841 LDR r1,[r0,#4] - 469210 ns MR4_I 01000204 6002d1fc - 469270 ns MR4_D 40006004 00000001 - 469270 ns R r1 00000001 - 469270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 469290 ns R r1 80000000 - 469290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 469310 ns R psr 81000200 - 469310 ns MR4_I 01000208 2a001c5b - 469330 ns MR4_I 01000200 07c96841 - 469350 ns IT 01000200 6841 LDR r1,[r0,#4] - 469370 ns MR4_I 01000204 6002d1fc - 469430 ns MR4_D 40006004 00000001 - 469430 ns R r1 00000001 - 469430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 469450 ns R r1 80000000 - 469450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 469470 ns R psr 81000200 - 469470 ns MR4_I 01000208 2a001c5b - 469490 ns MR4_I 01000200 07c96841 - 469510 ns IT 01000200 6841 LDR r1,[r0,#4] - 469530 ns MR4_I 01000204 6002d1fc - 469590 ns MR4_D 40006004 00000001 - 469590 ns R r1 00000001 - 469590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 469610 ns R r1 80000000 - 469610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 469630 ns R psr 81000200 - 469630 ns MR4_I 01000208 2a001c5b - 469650 ns MR4_I 01000200 07c96841 - 469670 ns IT 01000200 6841 LDR r1,[r0,#4] - 469690 ns MR4_I 01000204 6002d1fc - 469750 ns MR4_D 40006004 00000001 - 469750 ns R r1 00000001 - 469750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 469770 ns R r1 80000000 - 469770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 469790 ns R psr 81000200 - 469790 ns MR4_I 01000208 2a001c5b - 469810 ns MR4_I 01000200 07c96841 - 469830 ns IT 01000200 6841 LDR r1,[r0,#4] - 469850 ns MR4_I 01000204 6002d1fc - 469910 ns MR4_D 40006004 00000001 - 469910 ns R r1 00000001 - 469910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 469930 ns R r1 80000000 - 469930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 469950 ns R psr 81000200 - 469950 ns MR4_I 01000208 2a001c5b - 469970 ns MR4_I 01000200 07c96841 - 469990 ns IT 01000200 6841 LDR r1,[r0,#4] - 470010 ns MR4_I 01000204 6002d1fc - 470070 ns MR4_D 40006004 00000001 - 470070 ns R r1 00000001 - 470070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 470090 ns R r1 80000000 - 470090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 470110 ns R psr 81000200 - 470110 ns MR4_I 01000208 2a001c5b - 470130 ns MR4_I 01000200 07c96841 - 470150 ns IT 01000200 6841 LDR r1,[r0,#4] - 470170 ns MR4_I 01000204 6002d1fc - 470230 ns MR4_D 40006004 00000001 - 470230 ns R r1 00000001 - 470230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 470250 ns R r1 80000000 - 470250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 470270 ns R psr 81000200 - 470270 ns MR4_I 01000208 2a001c5b - 470290 ns MR4_I 01000200 07c96841 - 470310 ns IT 01000200 6841 LDR r1,[r0,#4] - 470330 ns MR4_I 01000204 6002d1fc - 470390 ns MR4_D 40006004 00000001 - 470390 ns R r1 00000001 - 470390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 470410 ns R r1 80000000 - 470410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 470430 ns R psr 81000200 - 470430 ns MR4_I 01000208 2a001c5b - 470450 ns MR4_I 01000200 07c96841 - 470470 ns IT 01000200 6841 LDR r1,[r0,#4] - 470490 ns MR4_I 01000204 6002d1fc - 470550 ns MR4_D 40006004 00000001 - 470550 ns R r1 00000001 - 470550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 470570 ns R r1 80000000 - 470570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 470590 ns R psr 81000200 - 470590 ns MR4_I 01000208 2a001c5b - 470610 ns MR4_I 01000200 07c96841 - 470630 ns IT 01000200 6841 LDR r1,[r0,#4] - 470650 ns MR4_I 01000204 6002d1fc - 470710 ns MR4_D 40006004 00000001 - 470710 ns R r1 00000001 - 470710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 470730 ns R r1 80000000 - 470730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 470750 ns R psr 81000200 - 470750 ns MR4_I 01000208 2a001c5b - 470770 ns MR4_I 01000200 07c96841 - 470790 ns IT 01000200 6841 LDR r1,[r0,#4] - 470810 ns MR4_I 01000204 6002d1fc - 470870 ns MR4_D 40006004 00000001 - 470870 ns R r1 00000001 - 470870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 470890 ns R r1 80000000 - 470890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 470910 ns R psr 81000200 - 470910 ns MR4_I 01000208 2a001c5b - 470930 ns MR4_I 01000200 07c96841 - 470950 ns IT 01000200 6841 LDR r1,[r0,#4] - 470970 ns MR4_I 01000204 6002d1fc - 471030 ns MR4_D 40006004 00000001 - 471030 ns R r1 00000001 - 471030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 471050 ns R r1 80000000 - 471050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 471070 ns R psr 81000200 - 471070 ns MR4_I 01000208 2a001c5b - 471090 ns MR4_I 01000200 07c96841 - 471110 ns IT 01000200 6841 LDR r1,[r0,#4] - 471130 ns MR4_I 01000204 6002d1fc - 471190 ns MR4_D 40006004 00000001 - 471190 ns R r1 00000001 - 471190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 471210 ns R r1 80000000 - 471210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 471230 ns R psr 81000200 - 471230 ns MR4_I 01000208 2a001c5b - 471250 ns MR4_I 01000200 07c96841 - 471270 ns IT 01000200 6841 LDR r1,[r0,#4] - 471290 ns MR4_I 01000204 6002d1fc - 471350 ns MR4_D 40006004 00000001 - 471350 ns R r1 00000001 - 471350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 471370 ns R r1 80000000 - 471370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 471390 ns R psr 81000200 - 471390 ns MR4_I 01000208 2a001c5b - 471410 ns MR4_I 01000200 07c96841 - 471430 ns IT 01000200 6841 LDR r1,[r0,#4] - 471450 ns MR4_I 01000204 6002d1fc - 471510 ns MR4_D 40006004 00000001 - 471510 ns R r1 00000001 - 471510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 471530 ns R r1 80000000 - 471530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 471550 ns R psr 81000200 - 471550 ns MR4_I 01000208 2a001c5b - 471570 ns MR4_I 01000200 07c96841 - 471590 ns IT 01000200 6841 LDR r1,[r0,#4] - 471610 ns MR4_I 01000204 6002d1fc - 471670 ns MR4_D 40006004 00000001 - 471670 ns R r1 00000001 - 471670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 471690 ns R r1 80000000 - 471690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 471710 ns R psr 81000200 - 471710 ns MR4_I 01000208 2a001c5b - 471730 ns MR4_I 01000200 07c96841 - 471750 ns IT 01000200 6841 LDR r1,[r0,#4] - 471770 ns MR4_I 01000204 6002d1fc - 471830 ns MR4_D 40006004 00000001 - 471830 ns R r1 00000001 - 471830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 471850 ns R r1 80000000 - 471850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 471870 ns R psr 81000200 - 471870 ns MR4_I 01000208 2a001c5b - 471890 ns MR4_I 01000200 07c96841 - 471910 ns IT 01000200 6841 LDR r1,[r0,#4] - 471930 ns MR4_I 01000204 6002d1fc - 471990 ns MR4_D 40006004 00000001 - 471990 ns R r1 00000001 - 471990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 472010 ns R r1 80000000 - 472010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 472030 ns R psr 81000200 - 472030 ns MR4_I 01000208 2a001c5b - 472050 ns MR4_I 01000200 07c96841 - 472070 ns IT 01000200 6841 LDR r1,[r0,#4] - 472090 ns MR4_I 01000204 6002d1fc - 472150 ns MR4_D 40006004 00000001 - 472150 ns R r1 00000001 - 472150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 472170 ns R r1 80000000 - 472170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 472190 ns R psr 81000200 - 472190 ns MR4_I 01000208 2a001c5b - 472210 ns MR4_I 01000200 07c96841 - 472230 ns IT 01000200 6841 LDR r1,[r0,#4] - 472250 ns MR4_I 01000204 6002d1fc - 472310 ns MR4_D 40006004 00000001 - 472310 ns R r1 00000001 - 472310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 472330 ns R r1 80000000 - 472330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 472350 ns R psr 81000200 - 472350 ns MR4_I 01000208 2a001c5b - 472370 ns MR4_I 01000200 07c96841 - 472390 ns IT 01000200 6841 LDR r1,[r0,#4] - 472410 ns MR4_I 01000204 6002d1fc - 472470 ns MR4_D 40006004 00000001 - 472470 ns R r1 00000001 - 472470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 472490 ns R r1 80000000 - 472490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 472510 ns R psr 81000200 - 472510 ns MR4_I 01000208 2a001c5b - 472530 ns MR4_I 01000200 07c96841 - 472550 ns IT 01000200 6841 LDR r1,[r0,#4] - 472570 ns MR4_I 01000204 6002d1fc - 472630 ns MR4_D 40006004 00000001 - 472630 ns R r1 00000001 - 472630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 472650 ns R r1 80000000 - 472650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 472670 ns R psr 81000200 - 472670 ns MR4_I 01000208 2a001c5b - 472690 ns MR4_I 01000200 07c96841 - 472710 ns IT 01000200 6841 LDR r1,[r0,#4] - 472730 ns MR4_I 01000204 6002d1fc - 472790 ns MR4_D 40006004 00000001 - 472790 ns R r1 00000001 - 472790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 472810 ns R r1 80000000 - 472810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 472830 ns R psr 81000200 - 472830 ns MR4_I 01000208 2a001c5b - 472850 ns MR4_I 01000200 07c96841 - 472870 ns IT 01000200 6841 LDR r1,[r0,#4] - 472890 ns MR4_I 01000204 6002d1fc - 472950 ns MR4_D 40006004 00000001 - 472950 ns R r1 00000001 - 472950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 472970 ns R r1 80000000 - 472970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 472990 ns R psr 81000200 - 472990 ns MR4_I 01000208 2a001c5b - 473010 ns MR4_I 01000200 07c96841 - 473030 ns IT 01000200 6841 LDR r1,[r0,#4] - 473050 ns MR4_I 01000204 6002d1fc - 473110 ns MR4_D 40006004 00000001 - 473110 ns R r1 00000001 - 473110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 473130 ns R r1 80000000 - 473130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 473150 ns R psr 81000200 - 473150 ns MR4_I 01000208 2a001c5b - 473170 ns MR4_I 01000200 07c96841 - 473190 ns IT 01000200 6841 LDR r1,[r0,#4] - 473210 ns MR4_I 01000204 6002d1fc - 473270 ns MR4_D 40006004 00000001 - 473270 ns R r1 00000001 - 473270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 473290 ns R r1 80000000 - 473290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 473310 ns R psr 81000200 - 473310 ns MR4_I 01000208 2a001c5b - 473330 ns MR4_I 01000200 07c96841 - 473350 ns IT 01000200 6841 LDR r1,[r0,#4] - 473370 ns MR4_I 01000204 6002d1fc - 473430 ns MR4_D 40006004 00000001 - 473430 ns R r1 00000001 - 473430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 473450 ns R r1 80000000 - 473450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 473470 ns R psr 81000200 - 473470 ns MR4_I 01000208 2a001c5b - 473490 ns MR4_I 01000200 07c96841 - 473510 ns IT 01000200 6841 LDR r1,[r0,#4] - 473530 ns MR4_I 01000204 6002d1fc - 473590 ns MR4_D 40006004 00000001 - 473590 ns R r1 00000001 - 473590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 473610 ns R r1 80000000 - 473610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 473630 ns R psr 81000200 - 473630 ns MR4_I 01000208 2a001c5b - 473650 ns MR4_I 01000200 07c96841 - 473670 ns IT 01000200 6841 LDR r1,[r0,#4] - 473690 ns MR4_I 01000204 6002d1fc - 473750 ns MR4_D 40006004 00000001 - 473750 ns R r1 00000001 - 473750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 473770 ns R r1 80000000 - 473770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 473790 ns R psr 81000200 - 473790 ns MR4_I 01000208 2a001c5b - 473810 ns MR4_I 01000200 07c96841 - 473830 ns IT 01000200 6841 LDR r1,[r0,#4] - 473850 ns MR4_I 01000204 6002d1fc - 473910 ns MR4_D 40006004 00000001 - 473910 ns R r1 00000001 - 473910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 473930 ns R r1 80000000 - 473930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 473950 ns R psr 81000200 - 473950 ns MR4_I 01000208 2a001c5b - 473970 ns MR4_I 01000200 07c96841 - 473990 ns IT 01000200 6841 LDR r1,[r0,#4] - 474010 ns MR4_I 01000204 6002d1fc - 474070 ns MR4_D 40006004 00000001 - 474070 ns R r1 00000001 - 474070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 474090 ns R r1 80000000 - 474090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 474110 ns R psr 81000200 - 474110 ns MR4_I 01000208 2a001c5b - 474130 ns MR4_I 01000200 07c96841 - 474150 ns IT 01000200 6841 LDR r1,[r0,#4] - 474170 ns MR4_I 01000204 6002d1fc - 474230 ns MR4_D 40006004 00000001 - 474230 ns R r1 00000001 - 474230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 474250 ns R r1 80000000 - 474250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 474270 ns R psr 81000200 - 474270 ns MR4_I 01000208 2a001c5b - 474290 ns MR4_I 01000200 07c96841 - 474310 ns IT 01000200 6841 LDR r1,[r0,#4] - 474330 ns MR4_I 01000204 6002d1fc - 474390 ns MR4_D 40006004 00000000 - 474390 ns R r1 00000000 - 474390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 474410 ns R r1 00000000 - 474410 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 474430 ns R psr 41000200 - 474430 ns MR4_I 01000208 2a001c5b - 474430 ns IT 01000206 6002 STR r2,[r0,#0] - 474510 ns MW4_D 40006000 00000073 - 474510 ns IT 01000208 1c5b ADDS r3,r3,#1 - 474530 ns MR4_I 0100020c a32ad1f5 - 474530 ns R r3 010002a1 - 474530 ns IT 0100020a 2a00 CMP r2,#0 - 474550 ns R psr 01000200 - 474550 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 474570 ns R psr 21000200 - 474570 ns MR4_I 01000210 2a00781a - 474590 ns MR4_I 010001f8 781aa326 - 474610 ns MR4_I 010001fc d0062a00 - 474610 ns IT 010001fa 781a LDRB r2,[r3,#0] - 474650 ns MR1_D 010002a1 41203a73 - 474650 ns R r2 0000003a - 474650 ns IT 010001fc 2a00 CMP r2,#0 - 474670 ns MR4_I 01000200 07c96841 - 474670 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 474690 ns R psr 21000200 - 474690 ns IT 01000200 6841 LDR r1,[r0,#4] - 474710 ns MR4_I 01000204 6002d1fc - 474770 ns MR4_D 40006004 00000001 - 474770 ns R r1 00000001 - 474770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 474790 ns R r1 80000000 - 474790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 474810 ns R psr 81000200 - 474810 ns MR4_I 01000208 2a001c5b - 474830 ns MR4_I 01000200 07c96841 - 474850 ns IT 01000200 6841 LDR r1,[r0,#4] - 474870 ns MR4_I 01000204 6002d1fc - 474930 ns MR4_D 40006004 00000001 - 474930 ns R r1 00000001 - 474930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 474950 ns R r1 80000000 - 474950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 474970 ns R psr 81000200 - 474970 ns MR4_I 01000208 2a001c5b - 474990 ns MR4_I 01000200 07c96841 - 475010 ns IT 01000200 6841 LDR r1,[r0,#4] - 475030 ns MR4_I 01000204 6002d1fc - 475090 ns MR4_D 40006004 00000001 - 475090 ns R r1 00000001 - 475090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 475110 ns R r1 80000000 - 475110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 475130 ns R psr 81000200 - 475130 ns MR4_I 01000208 2a001c5b - 475150 ns MR4_I 01000200 07c96841 - 475170 ns IT 01000200 6841 LDR r1,[r0,#4] - 475190 ns MR4_I 01000204 6002d1fc - 475250 ns MR4_D 40006004 00000001 - 475250 ns R r1 00000001 - 475250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 475270 ns R r1 80000000 - 475270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 475290 ns R psr 81000200 - 475290 ns MR4_I 01000208 2a001c5b - 475310 ns MR4_I 01000200 07c96841 - 475330 ns IT 01000200 6841 LDR r1,[r0,#4] - 475350 ns MR4_I 01000204 6002d1fc - 475410 ns MR4_D 40006004 00000001 - 475410 ns R r1 00000001 - 475410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 475430 ns R r1 80000000 - 475430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 475450 ns R psr 81000200 - 475450 ns MR4_I 01000208 2a001c5b - 475470 ns MR4_I 01000200 07c96841 - 475490 ns IT 01000200 6841 LDR r1,[r0,#4] - 475510 ns MR4_I 01000204 6002d1fc - 475570 ns MR4_D 40006004 00000001 - 475570 ns R r1 00000001 - 475570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 475590 ns R r1 80000000 - 475590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 475610 ns R psr 81000200 - 475610 ns MR4_I 01000208 2a001c5b - 475630 ns MR4_I 01000200 07c96841 - 475650 ns IT 01000200 6841 LDR r1,[r0,#4] - 475670 ns MR4_I 01000204 6002d1fc - 475730 ns MR4_D 40006004 00000001 - 475730 ns R r1 00000001 - 475730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 475750 ns R r1 80000000 - 475750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 475770 ns R psr 81000200 - 475770 ns MR4_I 01000208 2a001c5b - 475790 ns MR4_I 01000200 07c96841 - 475810 ns IT 01000200 6841 LDR r1,[r0,#4] - 475830 ns MR4_I 01000204 6002d1fc - 475890 ns MR4_D 40006004 00000001 - 475890 ns R r1 00000001 - 475890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 475910 ns R r1 80000000 - 475910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 475930 ns R psr 81000200 - 475930 ns MR4_I 01000208 2a001c5b - 475950 ns MR4_I 01000200 07c96841 - 475970 ns IT 01000200 6841 LDR r1,[r0,#4] - 475990 ns MR4_I 01000204 6002d1fc - 476050 ns MR4_D 40006004 00000001 - 476050 ns R r1 00000001 - 476050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 476070 ns R r1 80000000 - 476070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 476090 ns R psr 81000200 - 476090 ns MR4_I 01000208 2a001c5b - 476110 ns MR4_I 01000200 07c96841 - 476130 ns IT 01000200 6841 LDR r1,[r0,#4] - 476150 ns MR4_I 01000204 6002d1fc - 476210 ns MR4_D 40006004 00000001 - 476210 ns R r1 00000001 - 476210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 476230 ns R r1 80000000 - 476230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 476250 ns R psr 81000200 - 476250 ns MR4_I 01000208 2a001c5b - 476270 ns MR4_I 01000200 07c96841 - 476290 ns IT 01000200 6841 LDR r1,[r0,#4] - 476310 ns MR4_I 01000204 6002d1fc - 476370 ns MR4_D 40006004 00000001 - 476370 ns R r1 00000001 - 476370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 476390 ns R r1 80000000 - 476390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 476410 ns R psr 81000200 - 476410 ns MR4_I 01000208 2a001c5b - 476430 ns MR4_I 01000200 07c96841 - 476450 ns IT 01000200 6841 LDR r1,[r0,#4] - 476470 ns MR4_I 01000204 6002d1fc - 476530 ns MR4_D 40006004 00000001 - 476530 ns R r1 00000001 - 476530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 476550 ns R r1 80000000 - 476550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 476570 ns R psr 81000200 - 476570 ns MR4_I 01000208 2a001c5b - 476590 ns MR4_I 01000200 07c96841 - 476610 ns IT 01000200 6841 LDR r1,[r0,#4] - 476630 ns MR4_I 01000204 6002d1fc - 476690 ns MR4_D 40006004 00000001 - 476690 ns R r1 00000001 - 476690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 476710 ns R r1 80000000 - 476710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 476730 ns R psr 81000200 - 476730 ns MR4_I 01000208 2a001c5b - 476750 ns MR4_I 01000200 07c96841 - 476770 ns IT 01000200 6841 LDR r1,[r0,#4] - 476790 ns MR4_I 01000204 6002d1fc - 476850 ns MR4_D 40006004 00000001 - 476850 ns R r1 00000001 - 476850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 476870 ns R r1 80000000 - 476870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 476890 ns R psr 81000200 - 476890 ns MR4_I 01000208 2a001c5b - 476910 ns MR4_I 01000200 07c96841 - 476930 ns IT 01000200 6841 LDR r1,[r0,#4] - 476950 ns MR4_I 01000204 6002d1fc - 477010 ns MR4_D 40006004 00000001 - 477010 ns R r1 00000001 - 477010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 477030 ns R r1 80000000 - 477030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 477050 ns R psr 81000200 - 477050 ns MR4_I 01000208 2a001c5b - 477070 ns MR4_I 01000200 07c96841 - 477090 ns IT 01000200 6841 LDR r1,[r0,#4] - 477110 ns MR4_I 01000204 6002d1fc - 477170 ns MR4_D 40006004 00000001 - 477170 ns R r1 00000001 - 477170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 477190 ns R r1 80000000 - 477190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 477210 ns R psr 81000200 - 477210 ns MR4_I 01000208 2a001c5b - 477230 ns MR4_I 01000200 07c96841 - 477250 ns IT 01000200 6841 LDR r1,[r0,#4] - 477270 ns MR4_I 01000204 6002d1fc - 477330 ns MR4_D 40006004 00000001 - 477330 ns R r1 00000001 - 477330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 477350 ns R r1 80000000 - 477350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 477370 ns R psr 81000200 - 477370 ns MR4_I 01000208 2a001c5b - 477390 ns MR4_I 01000200 07c96841 - 477410 ns IT 01000200 6841 LDR r1,[r0,#4] - 477430 ns MR4_I 01000204 6002d1fc - 477490 ns MR4_D 40006004 00000001 - 477490 ns R r1 00000001 - 477490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 477510 ns R r1 80000000 - 477510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 477530 ns R psr 81000200 - 477530 ns MR4_I 01000208 2a001c5b - 477550 ns MR4_I 01000200 07c96841 - 477570 ns IT 01000200 6841 LDR r1,[r0,#4] - 477590 ns MR4_I 01000204 6002d1fc - 477650 ns MR4_D 40006004 00000001 - 477650 ns R r1 00000001 - 477650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 477670 ns R r1 80000000 - 477670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 477690 ns R psr 81000200 - 477690 ns MR4_I 01000208 2a001c5b - 477710 ns MR4_I 01000200 07c96841 - 477730 ns IT 01000200 6841 LDR r1,[r0,#4] - 477750 ns MR4_I 01000204 6002d1fc - 477810 ns MR4_D 40006004 00000001 - 477810 ns R r1 00000001 - 477810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 477830 ns R r1 80000000 - 477830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 477850 ns R psr 81000200 - 477850 ns MR4_I 01000208 2a001c5b - 477870 ns MR4_I 01000200 07c96841 - 477890 ns IT 01000200 6841 LDR r1,[r0,#4] - 477910 ns MR4_I 01000204 6002d1fc - 477970 ns MR4_D 40006004 00000001 - 477970 ns R r1 00000001 - 477970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 477990 ns R r1 80000000 - 477990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 478010 ns R psr 81000200 - 478010 ns MR4_I 01000208 2a001c5b - 478030 ns MR4_I 01000200 07c96841 - 478050 ns IT 01000200 6841 LDR r1,[r0,#4] - 478070 ns MR4_I 01000204 6002d1fc - 478130 ns MR4_D 40006004 00000001 - 478130 ns R r1 00000001 - 478130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 478150 ns R r1 80000000 - 478150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 478170 ns R psr 81000200 - 478170 ns MR4_I 01000208 2a001c5b - 478190 ns MR4_I 01000200 07c96841 - 478210 ns IT 01000200 6841 LDR r1,[r0,#4] - 478230 ns MR4_I 01000204 6002d1fc - 478290 ns MR4_D 40006004 00000001 - 478290 ns R r1 00000001 - 478290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 478310 ns R r1 80000000 - 478310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 478330 ns R psr 81000200 - 478330 ns MR4_I 01000208 2a001c5b - 478350 ns MR4_I 01000200 07c96841 - 478370 ns IT 01000200 6841 LDR r1,[r0,#4] - 478390 ns MR4_I 01000204 6002d1fc - 478450 ns MR4_D 40006004 00000001 - 478450 ns R r1 00000001 - 478450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 478470 ns R r1 80000000 - 478470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 478490 ns R psr 81000200 - 478490 ns MR4_I 01000208 2a001c5b - 478510 ns MR4_I 01000200 07c96841 - 478530 ns IT 01000200 6841 LDR r1,[r0,#4] - 478550 ns MR4_I 01000204 6002d1fc - 478610 ns MR4_D 40006004 00000001 - 478610 ns R r1 00000001 - 478610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 478630 ns R r1 80000000 - 478630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 478650 ns R psr 81000200 - 478650 ns MR4_I 01000208 2a001c5b - 478670 ns MR4_I 01000200 07c96841 - 478690 ns IT 01000200 6841 LDR r1,[r0,#4] - 478710 ns MR4_I 01000204 6002d1fc - 478770 ns MR4_D 40006004 00000001 - 478770 ns R r1 00000001 - 478770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 478790 ns R r1 80000000 - 478790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 478810 ns R psr 81000200 - 478810 ns MR4_I 01000208 2a001c5b - 478830 ns MR4_I 01000200 07c96841 - 478850 ns IT 01000200 6841 LDR r1,[r0,#4] - 478870 ns MR4_I 01000204 6002d1fc - 478930 ns MR4_D 40006004 00000001 - 478930 ns R r1 00000001 - 478930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 478950 ns R r1 80000000 - 478950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 478970 ns R psr 81000200 - 478970 ns MR4_I 01000208 2a001c5b - 478990 ns MR4_I 01000200 07c96841 - 479010 ns IT 01000200 6841 LDR r1,[r0,#4] - 479030 ns MR4_I 01000204 6002d1fc - 479090 ns MR4_D 40006004 00000001 - 479090 ns R r1 00000001 - 479090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 479110 ns R r1 80000000 - 479110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 479130 ns R psr 81000200 - 479130 ns MR4_I 01000208 2a001c5b - 479150 ns MR4_I 01000200 07c96841 - 479170 ns IT 01000200 6841 LDR r1,[r0,#4] - 479190 ns MR4_I 01000204 6002d1fc - 479250 ns MR4_D 40006004 00000001 - 479250 ns R r1 00000001 - 479250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 479270 ns R r1 80000000 - 479270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 479290 ns R psr 81000200 - 479290 ns MR4_I 01000208 2a001c5b - 479310 ns MR4_I 01000200 07c96841 - 479330 ns IT 01000200 6841 LDR r1,[r0,#4] - 479350 ns MR4_I 01000204 6002d1fc - 479410 ns MR4_D 40006004 00000001 - 479410 ns R r1 00000001 - 479410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 479430 ns R r1 80000000 - 479430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 479450 ns R psr 81000200 - 479450 ns MR4_I 01000208 2a001c5b - 479470 ns MR4_I 01000200 07c96841 - 479490 ns IT 01000200 6841 LDR r1,[r0,#4] - 479510 ns MR4_I 01000204 6002d1fc - 479570 ns MR4_D 40006004 00000001 - 479570 ns R r1 00000001 - 479570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 479590 ns R r1 80000000 - 479590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 479610 ns R psr 81000200 - 479610 ns MR4_I 01000208 2a001c5b - 479630 ns MR4_I 01000200 07c96841 - 479650 ns IT 01000200 6841 LDR r1,[r0,#4] - 479670 ns MR4_I 01000204 6002d1fc - 479730 ns MR4_D 40006004 00000001 - 479730 ns R r1 00000001 - 479730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 479750 ns R r1 80000000 - 479750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 479770 ns R psr 81000200 - 479770 ns MR4_I 01000208 2a001c5b - 479790 ns MR4_I 01000200 07c96841 - 479810 ns IT 01000200 6841 LDR r1,[r0,#4] - 479830 ns MR4_I 01000204 6002d1fc - 479890 ns MR4_D 40006004 00000001 - 479890 ns R r1 00000001 - 479890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 479910 ns R r1 80000000 - 479910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 479930 ns R psr 81000200 - 479930 ns MR4_I 01000208 2a001c5b - 479950 ns MR4_I 01000200 07c96841 - 479970 ns IT 01000200 6841 LDR r1,[r0,#4] - 479990 ns MR4_I 01000204 6002d1fc - 480050 ns MR4_D 40006004 00000001 - 480050 ns R r1 00000001 - 480050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 480070 ns R r1 80000000 - 480070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 480090 ns R psr 81000200 - 480090 ns MR4_I 01000208 2a001c5b - 480110 ns MR4_I 01000200 07c96841 - 480130 ns IT 01000200 6841 LDR r1,[r0,#4] - 480150 ns MR4_I 01000204 6002d1fc - 480210 ns MR4_D 40006004 00000001 - 480210 ns R r1 00000001 - 480210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 480230 ns R r1 80000000 - 480230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 480250 ns R psr 81000200 - 480250 ns MR4_I 01000208 2a001c5b - 480270 ns MR4_I 01000200 07c96841 - 480290 ns IT 01000200 6841 LDR r1,[r0,#4] - 480310 ns MR4_I 01000204 6002d1fc - 480370 ns MR4_D 40006004 00000001 - 480370 ns R r1 00000001 - 480370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 480390 ns R r1 80000000 - 480390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 480410 ns R psr 81000200 - 480410 ns MR4_I 01000208 2a001c5b - 480430 ns MR4_I 01000200 07c96841 - 480450 ns IT 01000200 6841 LDR r1,[r0,#4] - 480470 ns MR4_I 01000204 6002d1fc - 480530 ns MR4_D 40006004 00000001 - 480530 ns R r1 00000001 - 480530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 480550 ns R r1 80000000 - 480550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 480570 ns R psr 81000200 - 480570 ns MR4_I 01000208 2a001c5b - 480590 ns MR4_I 01000200 07c96841 - 480610 ns IT 01000200 6841 LDR r1,[r0,#4] - 480630 ns MR4_I 01000204 6002d1fc - 480690 ns MR4_D 40006004 00000001 - 480690 ns R r1 00000001 - 480690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 480710 ns R r1 80000000 - 480710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 480730 ns R psr 81000200 - 480730 ns MR4_I 01000208 2a001c5b - 480750 ns MR4_I 01000200 07c96841 - 480770 ns IT 01000200 6841 LDR r1,[r0,#4] - 480790 ns MR4_I 01000204 6002d1fc - 480850 ns MR4_D 40006004 00000001 - 480850 ns R r1 00000001 - 480850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 480870 ns R r1 80000000 - 480870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 480890 ns R psr 81000200 - 480890 ns MR4_I 01000208 2a001c5b - 480910 ns MR4_I 01000200 07c96841 - 480930 ns IT 01000200 6841 LDR r1,[r0,#4] - 480950 ns MR4_I 01000204 6002d1fc - 481010 ns MR4_D 40006004 00000001 - 481010 ns R r1 00000001 - 481010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 481030 ns R r1 80000000 - 481030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 481050 ns R psr 81000200 - 481050 ns MR4_I 01000208 2a001c5b - 481070 ns MR4_I 01000200 07c96841 - 481090 ns IT 01000200 6841 LDR r1,[r0,#4] - 481110 ns MR4_I 01000204 6002d1fc - 481170 ns MR4_D 40006004 00000001 - 481170 ns R r1 00000001 - 481170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 481190 ns R r1 80000000 - 481190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 481210 ns R psr 81000200 - 481210 ns MR4_I 01000208 2a001c5b - 481230 ns MR4_I 01000200 07c96841 - 481250 ns IT 01000200 6841 LDR r1,[r0,#4] - 481270 ns MR4_I 01000204 6002d1fc - 481330 ns MR4_D 40006004 00000001 - 481330 ns R r1 00000001 - 481330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 481350 ns R r1 80000000 - 481350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 481370 ns R psr 81000200 - 481370 ns MR4_I 01000208 2a001c5b - 481390 ns MR4_I 01000200 07c96841 - 481410 ns IT 01000200 6841 LDR r1,[r0,#4] - 481430 ns MR4_I 01000204 6002d1fc - 481490 ns MR4_D 40006004 00000001 - 481490 ns R r1 00000001 - 481490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 481510 ns R r1 80000000 - 481510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 481530 ns R psr 81000200 - 481530 ns MR4_I 01000208 2a001c5b - 481550 ns MR4_I 01000200 07c96841 - 481570 ns IT 01000200 6841 LDR r1,[r0,#4] - 481590 ns MR4_I 01000204 6002d1fc - 481650 ns MR4_D 40006004 00000001 - 481650 ns R r1 00000001 - 481650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 481670 ns R r1 80000000 - 481670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 481690 ns R psr 81000200 - 481690 ns MR4_I 01000208 2a001c5b - 481710 ns MR4_I 01000200 07c96841 - 481730 ns IT 01000200 6841 LDR r1,[r0,#4] - 481750 ns MR4_I 01000204 6002d1fc - 481810 ns MR4_D 40006004 00000001 - 481810 ns R r1 00000001 - 481810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 481830 ns R r1 80000000 - 481830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 481850 ns R psr 81000200 - 481850 ns MR4_I 01000208 2a001c5b - 481870 ns MR4_I 01000200 07c96841 - 481890 ns IT 01000200 6841 LDR r1,[r0,#4] - 481910 ns MR4_I 01000204 6002d1fc - 481970 ns MR4_D 40006004 00000001 - 481970 ns R r1 00000001 - 481970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 481990 ns R r1 80000000 - 481990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 482010 ns R psr 81000200 - 482010 ns MR4_I 01000208 2a001c5b - 482030 ns MR4_I 01000200 07c96841 - 482050 ns IT 01000200 6841 LDR r1,[r0,#4] - 482070 ns MR4_I 01000204 6002d1fc - 482130 ns MR4_D 40006004 00000001 - 482130 ns R r1 00000001 - 482130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 482150 ns R r1 80000000 - 482150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 482170 ns R psr 81000200 - 482170 ns MR4_I 01000208 2a001c5b - 482190 ns MR4_I 01000200 07c96841 - 482210 ns IT 01000200 6841 LDR r1,[r0,#4] - 482230 ns MR4_I 01000204 6002d1fc - 482290 ns MR4_D 40006004 00000001 - 482290 ns R r1 00000001 - 482290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 482310 ns R r1 80000000 - 482310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 482330 ns R psr 81000200 - 482330 ns MR4_I 01000208 2a001c5b - 482350 ns MR4_I 01000200 07c96841 - 482370 ns IT 01000200 6841 LDR r1,[r0,#4] - 482390 ns MR4_I 01000204 6002d1fc - 482450 ns MR4_D 40006004 00000001 - 482450 ns R r1 00000001 - 482450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 482470 ns R r1 80000000 - 482470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 482490 ns R psr 81000200 - 482490 ns MR4_I 01000208 2a001c5b - 482510 ns MR4_I 01000200 07c96841 - 482530 ns IT 01000200 6841 LDR r1,[r0,#4] - 482550 ns MR4_I 01000204 6002d1fc - 482610 ns MR4_D 40006004 00000001 - 482610 ns R r1 00000001 - 482610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 482630 ns R r1 80000000 - 482630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 482650 ns R psr 81000200 - 482650 ns MR4_I 01000208 2a001c5b - 482670 ns MR4_I 01000200 07c96841 - 482690 ns IT 01000200 6841 LDR r1,[r0,#4] - 482710 ns MR4_I 01000204 6002d1fc - 482770 ns MR4_D 40006004 00000001 - 482770 ns R r1 00000001 - 482770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 482790 ns R r1 80000000 - 482790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 482810 ns R psr 81000200 - 482810 ns MR4_I 01000208 2a001c5b - 482830 ns MR4_I 01000200 07c96841 - 482850 ns IT 01000200 6841 LDR r1,[r0,#4] - 482870 ns MR4_I 01000204 6002d1fc - 482930 ns MR4_D 40006004 00000001 - 482930 ns R r1 00000001 - 482930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 482950 ns R r1 80000000 - 482950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 482970 ns R psr 81000200 - 482970 ns MR4_I 01000208 2a001c5b - 482990 ns MR4_I 01000200 07c96841 - 483010 ns IT 01000200 6841 LDR r1,[r0,#4] - 483030 ns MR4_I 01000204 6002d1fc - 483090 ns MR4_D 40006004 00000001 - 483090 ns R r1 00000001 - 483090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 483110 ns R r1 80000000 - 483110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 483130 ns R psr 81000200 - 483130 ns MR4_I 01000208 2a001c5b - 483150 ns MR4_I 01000200 07c96841 - 483170 ns IT 01000200 6841 LDR r1,[r0,#4] - 483190 ns MR4_I 01000204 6002d1fc - 483250 ns MR4_D 40006004 00000001 - 483250 ns R r1 00000001 - 483250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 483270 ns R r1 80000000 - 483270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 483290 ns R psr 81000200 - 483290 ns MR4_I 01000208 2a001c5b - 483310 ns MR4_I 01000200 07c96841 - 483330 ns IT 01000200 6841 LDR r1,[r0,#4] - 483350 ns MR4_I 01000204 6002d1fc - 483410 ns MR4_D 40006004 00000001 - 483410 ns R r1 00000001 - 483410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 483430 ns R r1 80000000 - 483430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 483450 ns R psr 81000200 - 483450 ns MR4_I 01000208 2a001c5b - 483470 ns MR4_I 01000200 07c96841 - 483490 ns IT 01000200 6841 LDR r1,[r0,#4] - 483510 ns MR4_I 01000204 6002d1fc - 483570 ns MR4_D 40006004 00000001 - 483570 ns R r1 00000001 - 483570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 483590 ns R r1 80000000 - 483590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 483610 ns R psr 81000200 - 483610 ns MR4_I 01000208 2a001c5b - 483630 ns MR4_I 01000200 07c96841 - 483650 ns IT 01000200 6841 LDR r1,[r0,#4] - 483670 ns MR4_I 01000204 6002d1fc - 483730 ns MR4_D 40006004 00000001 - 483730 ns R r1 00000001 - 483730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 483750 ns R r1 80000000 - 483750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 483770 ns R psr 81000200 - 483770 ns MR4_I 01000208 2a001c5b - 483790 ns MR4_I 01000200 07c96841 - 483810 ns IT 01000200 6841 LDR r1,[r0,#4] - 483830 ns MR4_I 01000204 6002d1fc - 483890 ns MR4_D 40006004 00000001 - 483890 ns R r1 00000001 - 483890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 483910 ns R r1 80000000 - 483910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 483930 ns R psr 81000200 - 483930 ns MR4_I 01000208 2a001c5b - 483950 ns MR4_I 01000200 07c96841 - 483970 ns IT 01000200 6841 LDR r1,[r0,#4] - 483990 ns MR4_I 01000204 6002d1fc - 484050 ns MR4_D 40006004 00000001 - 484050 ns R r1 00000001 - 484050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 484070 ns R r1 80000000 - 484070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 484090 ns R psr 81000200 - 484090 ns MR4_I 01000208 2a001c5b - 484110 ns MR4_I 01000200 07c96841 - 484130 ns IT 01000200 6841 LDR r1,[r0,#4] - 484150 ns MR4_I 01000204 6002d1fc - 484210 ns MR4_D 40006004 00000001 - 484210 ns R r1 00000001 - 484210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 484230 ns R r1 80000000 - 484230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 484250 ns R psr 81000200 - 484250 ns MR4_I 01000208 2a001c5b - 484270 ns MR4_I 01000200 07c96841 - 484290 ns IT 01000200 6841 LDR r1,[r0,#4] - 484310 ns MR4_I 01000204 6002d1fc - 484370 ns MR4_D 40006004 00000001 - 484370 ns R r1 00000001 - 484370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 484390 ns R r1 80000000 - 484390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 484410 ns R psr 81000200 - 484410 ns MR4_I 01000208 2a001c5b - 484430 ns MR4_I 01000200 07c96841 - 484450 ns IT 01000200 6841 LDR r1,[r0,#4] - 484470 ns MR4_I 01000204 6002d1fc - 484530 ns MR4_D 40006004 00000001 - 484530 ns R r1 00000001 - 484530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 484550 ns R r1 80000000 - 484550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 484570 ns R psr 81000200 - 484570 ns MR4_I 01000208 2a001c5b - 484590 ns MR4_I 01000200 07c96841 - 484610 ns IT 01000200 6841 LDR r1,[r0,#4] - 484630 ns MR4_I 01000204 6002d1fc - 484690 ns MR4_D 40006004 00000001 - 484690 ns R r1 00000001 - 484690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 484710 ns R r1 80000000 - 484710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 484730 ns R psr 81000200 - 484730 ns MR4_I 01000208 2a001c5b - 484750 ns MR4_I 01000200 07c96841 - 484770 ns IT 01000200 6841 LDR r1,[r0,#4] - 484790 ns MR4_I 01000204 6002d1fc - 484850 ns MR4_D 40006004 00000001 - 484850 ns R r1 00000001 - 484850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 484870 ns R r1 80000000 - 484870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 484890 ns R psr 81000200 - 484890 ns MR4_I 01000208 2a001c5b - 484910 ns MR4_I 01000200 07c96841 - 484930 ns IT 01000200 6841 LDR r1,[r0,#4] - 484950 ns MR4_I 01000204 6002d1fc - 485010 ns MR4_D 40006004 00000001 - 485010 ns R r1 00000001 - 485010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 485030 ns R r1 80000000 - 485030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 485050 ns R psr 81000200 - 485050 ns MR4_I 01000208 2a001c5b - 485070 ns MR4_I 01000200 07c96841 - 485090 ns IT 01000200 6841 LDR r1,[r0,#4] - 485110 ns MR4_I 01000204 6002d1fc - 485170 ns MR4_D 40006004 00000001 - 485170 ns R r1 00000001 - 485170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 485190 ns R r1 80000000 - 485190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 485210 ns R psr 81000200 - 485210 ns MR4_I 01000208 2a001c5b - 485230 ns MR4_I 01000200 07c96841 - 485250 ns IT 01000200 6841 LDR r1,[r0,#4] - 485270 ns MR4_I 01000204 6002d1fc - 485330 ns MR4_D 40006004 00000001 - 485330 ns R r1 00000001 - 485330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 485350 ns R r1 80000000 - 485350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 485370 ns R psr 81000200 - 485370 ns MR4_I 01000208 2a001c5b - 485390 ns MR4_I 01000200 07c96841 - 485410 ns IT 01000200 6841 LDR r1,[r0,#4] - 485430 ns MR4_I 01000204 6002d1fc - 485490 ns MR4_D 40006004 00000001 - 485490 ns R r1 00000001 - 485490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 485510 ns R r1 80000000 - 485510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 485530 ns R psr 81000200 - 485530 ns MR4_I 01000208 2a001c5b - 485550 ns MR4_I 01000200 07c96841 - 485570 ns IT 01000200 6841 LDR r1,[r0,#4] - 485590 ns MR4_I 01000204 6002d1fc - 485650 ns MR4_D 40006004 00000001 - 485650 ns R r1 00000001 - 485650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 485670 ns R r1 80000000 - 485670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 485690 ns R psr 81000200 - 485690 ns MR4_I 01000208 2a001c5b - 485710 ns MR4_I 01000200 07c96841 - 485730 ns IT 01000200 6841 LDR r1,[r0,#4] - 485750 ns MR4_I 01000204 6002d1fc - 485810 ns MR4_D 40006004 00000001 - 485810 ns R r1 00000001 - 485810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 485830 ns R r1 80000000 - 485830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 485850 ns R psr 81000200 - 485850 ns MR4_I 01000208 2a001c5b - 485870 ns MR4_I 01000200 07c96841 - 485890 ns IT 01000200 6841 LDR r1,[r0,#4] - 485910 ns MR4_I 01000204 6002d1fc - 485970 ns MR4_D 40006004 00000001 - 485970 ns R r1 00000001 - 485970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 485990 ns R r1 80000000 - 485990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 486010 ns R psr 81000200 - 486010 ns MR4_I 01000208 2a001c5b - 486030 ns MR4_I 01000200 07c96841 - 486050 ns IT 01000200 6841 LDR r1,[r0,#4] - 486070 ns MR4_I 01000204 6002d1fc - 486130 ns MR4_D 40006004 00000001 - 486130 ns R r1 00000001 - 486130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 486150 ns R r1 80000000 - 486150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 486170 ns R psr 81000200 - 486170 ns MR4_I 01000208 2a001c5b - 486190 ns MR4_I 01000200 07c96841 - 486210 ns IT 01000200 6841 LDR r1,[r0,#4] - 486230 ns MR4_I 01000204 6002d1fc - 486290 ns MR4_D 40006004 00000001 - 486290 ns R r1 00000001 - 486290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 486310 ns R r1 80000000 - 486310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 486330 ns R psr 81000200 - 486330 ns MR4_I 01000208 2a001c5b - 486350 ns MR4_I 01000200 07c96841 - 486370 ns IT 01000200 6841 LDR r1,[r0,#4] - 486390 ns MR4_I 01000204 6002d1fc - 486450 ns MR4_D 40006004 00000001 - 486450 ns R r1 00000001 - 486450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 486470 ns R r1 80000000 - 486470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 486490 ns R psr 81000200 - 486490 ns MR4_I 01000208 2a001c5b - 486510 ns MR4_I 01000200 07c96841 - 486530 ns IT 01000200 6841 LDR r1,[r0,#4] - 486550 ns MR4_I 01000204 6002d1fc - 486610 ns MR4_D 40006004 00000001 - 486610 ns R r1 00000001 - 486610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 486630 ns R r1 80000000 - 486630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 486650 ns R psr 81000200 - 486650 ns MR4_I 01000208 2a001c5b - 486670 ns MR4_I 01000200 07c96841 - 486690 ns IT 01000200 6841 LDR r1,[r0,#4] - 486710 ns MR4_I 01000204 6002d1fc - 486770 ns MR4_D 40006004 00000001 - 486770 ns R r1 00000001 - 486770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 486790 ns R r1 80000000 - 486790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 486810 ns R psr 81000200 - 486810 ns MR4_I 01000208 2a001c5b - 486830 ns MR4_I 01000200 07c96841 - 486850 ns IT 01000200 6841 LDR r1,[r0,#4] - 486870 ns MR4_I 01000204 6002d1fc - 486930 ns MR4_D 40006004 00000001 - 486930 ns R r1 00000001 - 486930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 486950 ns R r1 80000000 - 486950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 486970 ns R psr 81000200 - 486970 ns MR4_I 01000208 2a001c5b - 486990 ns MR4_I 01000200 07c96841 - 487010 ns IT 01000200 6841 LDR r1,[r0,#4] - 487030 ns MR4_I 01000204 6002d1fc - 487090 ns MR4_D 40006004 00000001 - 487090 ns R r1 00000001 - 487090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 487110 ns R r1 80000000 - 487110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 487130 ns R psr 81000200 - 487130 ns MR4_I 01000208 2a001c5b - 487150 ns MR4_I 01000200 07c96841 - 487170 ns IT 01000200 6841 LDR r1,[r0,#4] - 487190 ns MR4_I 01000204 6002d1fc - 487250 ns MR4_D 40006004 00000001 - 487250 ns R r1 00000001 - 487250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 487270 ns R r1 80000000 - 487270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 487290 ns R psr 81000200 - 487290 ns MR4_I 01000208 2a001c5b - 487310 ns MR4_I 01000200 07c96841 - 487330 ns IT 01000200 6841 LDR r1,[r0,#4] - 487350 ns MR4_I 01000204 6002d1fc - 487410 ns MR4_D 40006004 00000001 - 487410 ns R r1 00000001 - 487410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 487430 ns R r1 80000000 - 487430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 487450 ns R psr 81000200 - 487450 ns MR4_I 01000208 2a001c5b - 487470 ns MR4_I 01000200 07c96841 - 487490 ns IT 01000200 6841 LDR r1,[r0,#4] - 487510 ns MR4_I 01000204 6002d1fc - 487570 ns MR4_D 40006004 00000001 - 487570 ns R r1 00000001 - 487570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 487590 ns R r1 80000000 - 487590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 487610 ns R psr 81000200 - 487610 ns MR4_I 01000208 2a001c5b - 487630 ns MR4_I 01000200 07c96841 - 487650 ns IT 01000200 6841 LDR r1,[r0,#4] - 487670 ns MR4_I 01000204 6002d1fc - 487730 ns MR4_D 40006004 00000001 - 487730 ns R r1 00000001 - 487730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 487750 ns R r1 80000000 - 487750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 487770 ns R psr 81000200 - 487770 ns MR4_I 01000208 2a001c5b - 487790 ns MR4_I 01000200 07c96841 - 487810 ns IT 01000200 6841 LDR r1,[r0,#4] - 487830 ns MR4_I 01000204 6002d1fc - 487890 ns MR4_D 40006004 00000001 - 487890 ns R r1 00000001 - 487890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 487910 ns R r1 80000000 - 487910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 487930 ns R psr 81000200 - 487930 ns MR4_I 01000208 2a001c5b - 487950 ns MR4_I 01000200 07c96841 - 487970 ns IT 01000200 6841 LDR r1,[r0,#4] - 487990 ns MR4_I 01000204 6002d1fc - 488050 ns MR4_D 40006004 00000001 - 488050 ns R r1 00000001 - 488050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 488070 ns R r1 80000000 - 488070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 488090 ns R psr 81000200 - 488090 ns MR4_I 01000208 2a001c5b - 488110 ns MR4_I 01000200 07c96841 - 488130 ns IT 01000200 6841 LDR r1,[r0,#4] - 488150 ns MR4_I 01000204 6002d1fc - 488210 ns MR4_D 40006004 00000001 - 488210 ns R r1 00000001 - 488210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 488230 ns R r1 80000000 - 488230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 488250 ns R psr 81000200 - 488250 ns MR4_I 01000208 2a001c5b - 488270 ns MR4_I 01000200 07c96841 - 488290 ns IT 01000200 6841 LDR r1,[r0,#4] - 488310 ns MR4_I 01000204 6002d1fc - 488370 ns MR4_D 40006004 00000001 - 488370 ns R r1 00000001 - 488370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 488390 ns R r1 80000000 - 488390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 488410 ns R psr 81000200 - 488410 ns MR4_I 01000208 2a001c5b - 488430 ns MR4_I 01000200 07c96841 - 488450 ns IT 01000200 6841 LDR r1,[r0,#4] - 488470 ns MR4_I 01000204 6002d1fc - 488530 ns MR4_D 40006004 00000001 - 488530 ns R r1 00000001 - 488530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 488550 ns R r1 80000000 - 488550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 488570 ns R psr 81000200 - 488570 ns MR4_I 01000208 2a001c5b - 488590 ns MR4_I 01000200 07c96841 - 488610 ns IT 01000200 6841 LDR r1,[r0,#4] - 488630 ns MR4_I 01000204 6002d1fc - 488690 ns MR4_D 40006004 00000001 - 488690 ns R r1 00000001 - 488690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 488710 ns R r1 80000000 - 488710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 488730 ns R psr 81000200 - 488730 ns MR4_I 01000208 2a001c5b - 488750 ns MR4_I 01000200 07c96841 - 488770 ns IT 01000200 6841 LDR r1,[r0,#4] - 488790 ns MR4_I 01000204 6002d1fc - 488850 ns MR4_D 40006004 00000001 - 488850 ns R r1 00000001 - 488850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 488870 ns R r1 80000000 - 488870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 488890 ns R psr 81000200 - 488890 ns MR4_I 01000208 2a001c5b - 488910 ns MR4_I 01000200 07c96841 - 488930 ns IT 01000200 6841 LDR r1,[r0,#4] - 488950 ns MR4_I 01000204 6002d1fc - 489010 ns MR4_D 40006004 00000001 - 489010 ns R r1 00000001 - 489010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 489030 ns R r1 80000000 - 489030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 489050 ns R psr 81000200 - 489050 ns MR4_I 01000208 2a001c5b - 489070 ns MR4_I 01000200 07c96841 - 489090 ns IT 01000200 6841 LDR r1,[r0,#4] - 489110 ns MR4_I 01000204 6002d1fc - 489170 ns MR4_D 40006004 00000001 - 489170 ns R r1 00000001 - 489170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 489190 ns R r1 80000000 - 489190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 489210 ns R psr 81000200 - 489210 ns MR4_I 01000208 2a001c5b - 489230 ns MR4_I 01000200 07c96841 - 489250 ns IT 01000200 6841 LDR r1,[r0,#4] - 489270 ns MR4_I 01000204 6002d1fc - 489330 ns MR4_D 40006004 00000001 - 489330 ns R r1 00000001 - 489330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 489350 ns R r1 80000000 - 489350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 489370 ns R psr 81000200 - 489370 ns MR4_I 01000208 2a001c5b - 489390 ns MR4_I 01000200 07c96841 - 489410 ns IT 01000200 6841 LDR r1,[r0,#4] - 489430 ns MR4_I 01000204 6002d1fc - 489490 ns MR4_D 40006004 00000001 - 489490 ns R r1 00000001 - 489490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 489510 ns R r1 80000000 - 489510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 489530 ns R psr 81000200 - 489530 ns MR4_I 01000208 2a001c5b - 489550 ns MR4_I 01000200 07c96841 - 489570 ns IT 01000200 6841 LDR r1,[r0,#4] - 489590 ns MR4_I 01000204 6002d1fc - 489650 ns MR4_D 40006004 00000001 - 489650 ns R r1 00000001 - 489650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 489670 ns R r1 80000000 - 489670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 489690 ns R psr 81000200 - 489690 ns MR4_I 01000208 2a001c5b - 489710 ns MR4_I 01000200 07c96841 - 489730 ns IT 01000200 6841 LDR r1,[r0,#4] - 489750 ns MR4_I 01000204 6002d1fc - 489810 ns MR4_D 40006004 00000001 - 489810 ns R r1 00000001 - 489810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 489830 ns R r1 80000000 - 489830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 489850 ns R psr 81000200 - 489850 ns MR4_I 01000208 2a001c5b - 489870 ns MR4_I 01000200 07c96841 - 489890 ns IT 01000200 6841 LDR r1,[r0,#4] - 489910 ns MR4_I 01000204 6002d1fc - 489970 ns MR4_D 40006004 00000001 - 489970 ns R r1 00000001 - 489970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 489990 ns R r1 80000000 - 489990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 490010 ns R psr 81000200 - 490010 ns MR4_I 01000208 2a001c5b - 490030 ns MR4_I 01000200 07c96841 - 490050 ns IT 01000200 6841 LDR r1,[r0,#4] - 490070 ns MR4_I 01000204 6002d1fc - 490130 ns MR4_D 40006004 00000001 - 490130 ns R r1 00000001 - 490130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 490150 ns R r1 80000000 - 490150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 490170 ns R psr 81000200 - 490170 ns MR4_I 01000208 2a001c5b - 490190 ns MR4_I 01000200 07c96841 - 490210 ns IT 01000200 6841 LDR r1,[r0,#4] - 490230 ns MR4_I 01000204 6002d1fc - 490290 ns MR4_D 40006004 00000001 - 490290 ns R r1 00000001 - 490290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 490310 ns R r1 80000000 - 490310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 490330 ns R psr 81000200 - 490330 ns MR4_I 01000208 2a001c5b - 490350 ns MR4_I 01000200 07c96841 - 490370 ns IT 01000200 6841 LDR r1,[r0,#4] - 490390 ns MR4_I 01000204 6002d1fc - 490450 ns MR4_D 40006004 00000001 - 490450 ns R r1 00000001 - 490450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 490470 ns R r1 80000000 - 490470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 490490 ns R psr 81000200 - 490490 ns MR4_I 01000208 2a001c5b - 490510 ns MR4_I 01000200 07c96841 - 490530 ns IT 01000200 6841 LDR r1,[r0,#4] - 490550 ns MR4_I 01000204 6002d1fc - 490610 ns MR4_D 40006004 00000001 - 490610 ns R r1 00000001 - 490610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 490630 ns R r1 80000000 - 490630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 490650 ns R psr 81000200 - 490650 ns MR4_I 01000208 2a001c5b - 490670 ns MR4_I 01000200 07c96841 - 490690 ns IT 01000200 6841 LDR r1,[r0,#4] - 490710 ns MR4_I 01000204 6002d1fc - 490770 ns MR4_D 40006004 00000001 - 490770 ns R r1 00000001 - 490770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 490790 ns R r1 80000000 - 490790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 490810 ns R psr 81000200 - 490810 ns MR4_I 01000208 2a001c5b - 490830 ns MR4_I 01000200 07c96841 - 490850 ns IT 01000200 6841 LDR r1,[r0,#4] - 490870 ns MR4_I 01000204 6002d1fc - 490930 ns MR4_D 40006004 00000001 - 490930 ns R r1 00000001 - 490930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 490950 ns R r1 80000000 - 490950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 490970 ns R psr 81000200 - 490970 ns MR4_I 01000208 2a001c5b - 490990 ns MR4_I 01000200 07c96841 - 491010 ns IT 01000200 6841 LDR r1,[r0,#4] - 491030 ns MR4_I 01000204 6002d1fc - 491090 ns MR4_D 40006004 00000001 - 491090 ns R r1 00000001 - 491090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 491110 ns R r1 80000000 - 491110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 491130 ns R psr 81000200 - 491130 ns MR4_I 01000208 2a001c5b - 491150 ns MR4_I 01000200 07c96841 - 491170 ns IT 01000200 6841 LDR r1,[r0,#4] - 491190 ns MR4_I 01000204 6002d1fc - 491250 ns MR4_D 40006004 00000001 - 491250 ns R r1 00000001 - 491250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 491270 ns R r1 80000000 - 491270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 491290 ns R psr 81000200 - 491290 ns MR4_I 01000208 2a001c5b - 491310 ns MR4_I 01000200 07c96841 - 491330 ns IT 01000200 6841 LDR r1,[r0,#4] - 491350 ns MR4_I 01000204 6002d1fc - 491410 ns MR4_D 40006004 00000001 - 491410 ns R r1 00000001 - 491410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 491430 ns R r1 80000000 - 491430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 491450 ns R psr 81000200 - 491450 ns MR4_I 01000208 2a001c5b - 491470 ns MR4_I 01000200 07c96841 - 491490 ns IT 01000200 6841 LDR r1,[r0,#4] - 491510 ns MR4_I 01000204 6002d1fc - 491570 ns MR4_D 40006004 00000001 - 491570 ns R r1 00000001 - 491570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 491590 ns R r1 80000000 - 491590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 491610 ns R psr 81000200 - 491610 ns MR4_I 01000208 2a001c5b - 491630 ns MR4_I 01000200 07c96841 - 491650 ns IT 01000200 6841 LDR r1,[r0,#4] - 491670 ns MR4_I 01000204 6002d1fc - 491730 ns MR4_D 40006004 00000001 - 491730 ns R r1 00000001 - 491730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 491750 ns R r1 80000000 - 491750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 491770 ns R psr 81000200 - 491770 ns MR4_I 01000208 2a001c5b - 491790 ns MR4_I 01000200 07c96841 - 491810 ns IT 01000200 6841 LDR r1,[r0,#4] - 491830 ns MR4_I 01000204 6002d1fc - 491890 ns MR4_D 40006004 00000001 - 491890 ns R r1 00000001 - 491890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 491910 ns R r1 80000000 - 491910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 491930 ns R psr 81000200 - 491930 ns MR4_I 01000208 2a001c5b - 491950 ns MR4_I 01000200 07c96841 - 491970 ns IT 01000200 6841 LDR r1,[r0,#4] - 491990 ns MR4_I 01000204 6002d1fc - 492050 ns MR4_D 40006004 00000001 - 492050 ns R r1 00000001 - 492050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 492070 ns R r1 80000000 - 492070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 492090 ns R psr 81000200 - 492090 ns MR4_I 01000208 2a001c5b - 492110 ns MR4_I 01000200 07c96841 - 492130 ns IT 01000200 6841 LDR r1,[r0,#4] - 492150 ns MR4_I 01000204 6002d1fc - 492210 ns MR4_D 40006004 00000001 - 492210 ns R r1 00000001 - 492210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 492230 ns R r1 80000000 - 492230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 492250 ns R psr 81000200 - 492250 ns MR4_I 01000208 2a001c5b - 492270 ns MR4_I 01000200 07c96841 - 492290 ns IT 01000200 6841 LDR r1,[r0,#4] - 492310 ns MR4_I 01000204 6002d1fc - 492370 ns MR4_D 40006004 00000001 - 492370 ns R r1 00000001 - 492370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 492390 ns R r1 80000000 - 492390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 492410 ns R psr 81000200 - 492410 ns MR4_I 01000208 2a001c5b - 492430 ns MR4_I 01000200 07c96841 - 492450 ns IT 01000200 6841 LDR r1,[r0,#4] - 492470 ns MR4_I 01000204 6002d1fc - 492530 ns MR4_D 40006004 00000001 - 492530 ns R r1 00000001 - 492530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 492550 ns R r1 80000000 - 492550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 492570 ns R psr 81000200 - 492570 ns MR4_I 01000208 2a001c5b - 492590 ns MR4_I 01000200 07c96841 - 492610 ns IT 01000200 6841 LDR r1,[r0,#4] - 492630 ns MR4_I 01000204 6002d1fc - 492690 ns MR4_D 40006004 00000001 - 492690 ns R r1 00000001 - 492690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 492710 ns R r1 80000000 - 492710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 492730 ns R psr 81000200 - 492730 ns MR4_I 01000208 2a001c5b - 492750 ns MR4_I 01000200 07c96841 - 492770 ns IT 01000200 6841 LDR r1,[r0,#4] - 492790 ns MR4_I 01000204 6002d1fc - 492850 ns MR4_D 40006004 00000001 - 492850 ns R r1 00000001 - 492850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 492870 ns R r1 80000000 - 492870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 492890 ns R psr 81000200 - 492890 ns MR4_I 01000208 2a001c5b - 492910 ns MR4_I 01000200 07c96841 - 492930 ns IT 01000200 6841 LDR r1,[r0,#4] - 492950 ns MR4_I 01000204 6002d1fc - 493010 ns MR4_D 40006004 00000001 - 493010 ns R r1 00000001 - 493010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 493030 ns R r1 80000000 - 493030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 493050 ns R psr 81000200 - 493050 ns MR4_I 01000208 2a001c5b - 493070 ns MR4_I 01000200 07c96841 - 493090 ns IT 01000200 6841 LDR r1,[r0,#4] - 493110 ns MR4_I 01000204 6002d1fc - 493170 ns MR4_D 40006004 00000001 - 493170 ns R r1 00000001 - 493170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 493190 ns R r1 80000000 - 493190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 493210 ns R psr 81000200 - 493210 ns MR4_I 01000208 2a001c5b - 493230 ns MR4_I 01000200 07c96841 - 493250 ns IT 01000200 6841 LDR r1,[r0,#4] - 493270 ns MR4_I 01000204 6002d1fc - 493330 ns MR4_D 40006004 00000001 - 493330 ns R r1 00000001 - 493330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 493350 ns R r1 80000000 - 493350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 493370 ns R psr 81000200 - 493370 ns MR4_I 01000208 2a001c5b - 493390 ns MR4_I 01000200 07c96841 - 493410 ns IT 01000200 6841 LDR r1,[r0,#4] - 493430 ns MR4_I 01000204 6002d1fc - 493490 ns MR4_D 40006004 00000001 - 493490 ns R r1 00000001 - 493490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 493510 ns R r1 80000000 - 493510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 493530 ns R psr 81000200 - 493530 ns MR4_I 01000208 2a001c5b - 493550 ns MR4_I 01000200 07c96841 - 493570 ns IT 01000200 6841 LDR r1,[r0,#4] - 493590 ns MR4_I 01000204 6002d1fc - 493650 ns MR4_D 40006004 00000001 - 493650 ns R r1 00000001 - 493650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 493670 ns R r1 80000000 - 493670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 493690 ns R psr 81000200 - 493690 ns MR4_I 01000208 2a001c5b - 493710 ns MR4_I 01000200 07c96841 - 493730 ns IT 01000200 6841 LDR r1,[r0,#4] - 493750 ns MR4_I 01000204 6002d1fc - 493810 ns MR4_D 40006004 00000001 - 493810 ns R r1 00000001 - 493810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 493830 ns R r1 80000000 - 493830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 493850 ns R psr 81000200 - 493850 ns MR4_I 01000208 2a001c5b - 493870 ns MR4_I 01000200 07c96841 - 493890 ns IT 01000200 6841 LDR r1,[r0,#4] - 493910 ns MR4_I 01000204 6002d1fc - 493970 ns MR4_D 40006004 00000001 - 493970 ns R r1 00000001 - 493970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 493990 ns R r1 80000000 - 493990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 494010 ns R psr 81000200 - 494010 ns MR4_I 01000208 2a001c5b - 494030 ns MR4_I 01000200 07c96841 - 494050 ns IT 01000200 6841 LDR r1,[r0,#4] - 494070 ns MR4_I 01000204 6002d1fc - 494130 ns MR4_D 40006004 00000001 - 494130 ns R r1 00000001 - 494130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 494150 ns R r1 80000000 - 494150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 494170 ns R psr 81000200 - 494170 ns MR4_I 01000208 2a001c5b - 494190 ns MR4_I 01000200 07c96841 - 494210 ns IT 01000200 6841 LDR r1,[r0,#4] - 494230 ns MR4_I 01000204 6002d1fc - 494290 ns MR4_D 40006004 00000001 - 494290 ns R r1 00000001 - 494290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 494310 ns R r1 80000000 - 494310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 494330 ns R psr 81000200 - 494330 ns MR4_I 01000208 2a001c5b - 494350 ns MR4_I 01000200 07c96841 - 494370 ns IT 01000200 6841 LDR r1,[r0,#4] - 494390 ns MR4_I 01000204 6002d1fc - 494450 ns MR4_D 40006004 00000001 - 494450 ns R r1 00000001 - 494450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 494470 ns R r1 80000000 - 494470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 494490 ns R psr 81000200 - 494490 ns MR4_I 01000208 2a001c5b - 494510 ns MR4_I 01000200 07c96841 - 494530 ns IT 01000200 6841 LDR r1,[r0,#4] - 494550 ns MR4_I 01000204 6002d1fc - 494610 ns MR4_D 40006004 00000001 - 494610 ns R r1 00000001 - 494610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 494630 ns R r1 80000000 - 494630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 494650 ns R psr 81000200 - 494650 ns MR4_I 01000208 2a001c5b - 494670 ns MR4_I 01000200 07c96841 - 494690 ns IT 01000200 6841 LDR r1,[r0,#4] - 494710 ns MR4_I 01000204 6002d1fc - 494770 ns MR4_D 40006004 00000001 - 494770 ns R r1 00000001 - 494770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 494790 ns R r1 80000000 - 494790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 494810 ns R psr 81000200 - 494810 ns MR4_I 01000208 2a001c5b - 494830 ns MR4_I 01000200 07c96841 - 494850 ns IT 01000200 6841 LDR r1,[r0,#4] - 494870 ns MR4_I 01000204 6002d1fc - 494930 ns MR4_D 40006004 00000001 - 494930 ns R r1 00000001 - 494930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 494950 ns R r1 80000000 - 494950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 494970 ns R psr 81000200 - 494970 ns MR4_I 01000208 2a001c5b - 494990 ns MR4_I 01000200 07c96841 - 495010 ns IT 01000200 6841 LDR r1,[r0,#4] - 495030 ns MR4_I 01000204 6002d1fc - 495090 ns MR4_D 40006004 00000001 - 495090 ns R r1 00000001 - 495090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 495110 ns R r1 80000000 - 495110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 495130 ns R psr 81000200 - 495130 ns MR4_I 01000208 2a001c5b - 495150 ns MR4_I 01000200 07c96841 - 495170 ns IT 01000200 6841 LDR r1,[r0,#4] - 495190 ns MR4_I 01000204 6002d1fc - 495250 ns MR4_D 40006004 00000001 - 495250 ns R r1 00000001 - 495250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 495270 ns R r1 80000000 - 495270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 495290 ns R psr 81000200 - 495290 ns MR4_I 01000208 2a001c5b - 495310 ns MR4_I 01000200 07c96841 - 495330 ns IT 01000200 6841 LDR r1,[r0,#4] - 495350 ns MR4_I 01000204 6002d1fc - 495410 ns MR4_D 40006004 00000001 - 495410 ns R r1 00000001 - 495410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 495430 ns R r1 80000000 - 495430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 495450 ns R psr 81000200 - 495450 ns MR4_I 01000208 2a001c5b - 495470 ns MR4_I 01000200 07c96841 - 495490 ns IT 01000200 6841 LDR r1,[r0,#4] - 495510 ns MR4_I 01000204 6002d1fc - 495570 ns MR4_D 40006004 00000001 - 495570 ns R r1 00000001 - 495570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 495590 ns R r1 80000000 - 495590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 495610 ns R psr 81000200 - 495610 ns MR4_I 01000208 2a001c5b - 495630 ns MR4_I 01000200 07c96841 - 495650 ns IT 01000200 6841 LDR r1,[r0,#4] - 495670 ns MR4_I 01000204 6002d1fc - 495730 ns MR4_D 40006004 00000001 - 495730 ns R r1 00000001 - 495730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 495750 ns R r1 80000000 - 495750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 495770 ns R psr 81000200 - 495770 ns MR4_I 01000208 2a001c5b - 495790 ns MR4_I 01000200 07c96841 - 495810 ns IT 01000200 6841 LDR r1,[r0,#4] - 495830 ns MR4_I 01000204 6002d1fc - 495890 ns MR4_D 40006004 00000001 - 495890 ns R r1 00000001 - 495890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 495910 ns R r1 80000000 - 495910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 495930 ns R psr 81000200 - 495930 ns MR4_I 01000208 2a001c5b - 495950 ns MR4_I 01000200 07c96841 - 495970 ns IT 01000200 6841 LDR r1,[r0,#4] - 495990 ns MR4_I 01000204 6002d1fc - 496050 ns MR4_D 40006004 00000001 - 496050 ns R r1 00000001 - 496050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 496070 ns R r1 80000000 - 496070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 496090 ns R psr 81000200 - 496090 ns MR4_I 01000208 2a001c5b - 496110 ns MR4_I 01000200 07c96841 - 496130 ns IT 01000200 6841 LDR r1,[r0,#4] - 496150 ns MR4_I 01000204 6002d1fc - 496210 ns MR4_D 40006004 00000001 - 496210 ns R r1 00000001 - 496210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 496230 ns R r1 80000000 - 496230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 496250 ns R psr 81000200 - 496250 ns MR4_I 01000208 2a001c5b - 496270 ns MR4_I 01000200 07c96841 - 496290 ns IT 01000200 6841 LDR r1,[r0,#4] - 496310 ns MR4_I 01000204 6002d1fc - 496370 ns MR4_D 40006004 00000001 - 496370 ns R r1 00000001 - 496370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 496390 ns R r1 80000000 - 496390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 496410 ns R psr 81000200 - 496410 ns MR4_I 01000208 2a001c5b - 496430 ns MR4_I 01000200 07c96841 - 496450 ns IT 01000200 6841 LDR r1,[r0,#4] - 496470 ns MR4_I 01000204 6002d1fc - 496530 ns MR4_D 40006004 00000001 - 496530 ns R r1 00000001 - 496530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 496550 ns R r1 80000000 - 496550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 496570 ns R psr 81000200 - 496570 ns MR4_I 01000208 2a001c5b - 496590 ns MR4_I 01000200 07c96841 - 496610 ns IT 01000200 6841 LDR r1,[r0,#4] - 496630 ns MR4_I 01000204 6002d1fc - 496690 ns MR4_D 40006004 00000001 - 496690 ns R r1 00000001 - 496690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 496710 ns R r1 80000000 - 496710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 496730 ns R psr 81000200 - 496730 ns MR4_I 01000208 2a001c5b - 496750 ns MR4_I 01000200 07c96841 - 496770 ns IT 01000200 6841 LDR r1,[r0,#4] - 496790 ns MR4_I 01000204 6002d1fc - 496850 ns MR4_D 40006004 00000001 - 496850 ns R r1 00000001 - 496850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 496870 ns R r1 80000000 - 496870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 496890 ns R psr 81000200 - 496890 ns MR4_I 01000208 2a001c5b - 496910 ns MR4_I 01000200 07c96841 - 496930 ns IT 01000200 6841 LDR r1,[r0,#4] - 496950 ns MR4_I 01000204 6002d1fc - 497010 ns MR4_D 40006004 00000001 - 497010 ns R r1 00000001 - 497010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 497030 ns R r1 80000000 - 497030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 497050 ns R psr 81000200 - 497050 ns MR4_I 01000208 2a001c5b - 497070 ns MR4_I 01000200 07c96841 - 497090 ns IT 01000200 6841 LDR r1,[r0,#4] - 497110 ns MR4_I 01000204 6002d1fc - 497170 ns MR4_D 40006004 00000001 - 497170 ns R r1 00000001 - 497170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 497190 ns R r1 80000000 - 497190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 497210 ns R psr 81000200 - 497210 ns MR4_I 01000208 2a001c5b - 497230 ns MR4_I 01000200 07c96841 - 497250 ns IT 01000200 6841 LDR r1,[r0,#4] - 497270 ns MR4_I 01000204 6002d1fc - 497330 ns MR4_D 40006004 00000001 - 497330 ns R r1 00000001 - 497330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 497350 ns R r1 80000000 - 497350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 497370 ns R psr 81000200 - 497370 ns MR4_I 01000208 2a001c5b - 497390 ns MR4_I 01000200 07c96841 - 497410 ns IT 01000200 6841 LDR r1,[r0,#4] - 497430 ns MR4_I 01000204 6002d1fc - 497490 ns MR4_D 40006004 00000001 - 497490 ns R r1 00000001 - 497490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 497510 ns R r1 80000000 - 497510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 497530 ns R psr 81000200 - 497530 ns MR4_I 01000208 2a001c5b - 497550 ns MR4_I 01000200 07c96841 - 497570 ns IT 01000200 6841 LDR r1,[r0,#4] - 497590 ns MR4_I 01000204 6002d1fc - 497650 ns MR4_D 40006004 00000001 - 497650 ns R r1 00000001 - 497650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 497670 ns R r1 80000000 - 497670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 497690 ns R psr 81000200 - 497690 ns MR4_I 01000208 2a001c5b - 497710 ns MR4_I 01000200 07c96841 - 497730 ns IT 01000200 6841 LDR r1,[r0,#4] - 497750 ns MR4_I 01000204 6002d1fc - 497810 ns MR4_D 40006004 00000001 - 497810 ns R r1 00000001 - 497810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 497830 ns R r1 80000000 - 497830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 497850 ns R psr 81000200 - 497850 ns MR4_I 01000208 2a001c5b - 497870 ns MR4_I 01000200 07c96841 - 497890 ns IT 01000200 6841 LDR r1,[r0,#4] - 497910 ns MR4_I 01000204 6002d1fc - 497970 ns MR4_D 40006004 00000001 - 497970 ns R r1 00000001 - 497970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 497990 ns R r1 80000000 - 497990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 498010 ns R psr 81000200 - 498010 ns MR4_I 01000208 2a001c5b - 498030 ns MR4_I 01000200 07c96841 - 498050 ns IT 01000200 6841 LDR r1,[r0,#4] - 498070 ns MR4_I 01000204 6002d1fc - 498130 ns MR4_D 40006004 00000001 - 498130 ns R r1 00000001 - 498130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 498150 ns R r1 80000000 - 498150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 498170 ns R psr 81000200 - 498170 ns MR4_I 01000208 2a001c5b - 498190 ns MR4_I 01000200 07c96841 - 498210 ns IT 01000200 6841 LDR r1,[r0,#4] - 498230 ns MR4_I 01000204 6002d1fc - 498290 ns MR4_D 40006004 00000001 - 498290 ns R r1 00000001 - 498290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 498310 ns R r1 80000000 - 498310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 498330 ns R psr 81000200 - 498330 ns MR4_I 01000208 2a001c5b - 498350 ns MR4_I 01000200 07c96841 - 498370 ns IT 01000200 6841 LDR r1,[r0,#4] - 498390 ns MR4_I 01000204 6002d1fc - 498450 ns MR4_D 40006004 00000001 - 498450 ns R r1 00000001 - 498450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 498470 ns R r1 80000000 - 498470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 498490 ns R psr 81000200 - 498490 ns MR4_I 01000208 2a001c5b - 498510 ns MR4_I 01000200 07c96841 - 498530 ns IT 01000200 6841 LDR r1,[r0,#4] - 498550 ns MR4_I 01000204 6002d1fc - 498610 ns MR4_D 40006004 00000001 - 498610 ns R r1 00000001 - 498610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 498630 ns R r1 80000000 - 498630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 498650 ns R psr 81000200 - 498650 ns MR4_I 01000208 2a001c5b - 498670 ns MR4_I 01000200 07c96841 - 498690 ns IT 01000200 6841 LDR r1,[r0,#4] - 498710 ns MR4_I 01000204 6002d1fc - 498770 ns MR4_D 40006004 00000001 - 498770 ns R r1 00000001 - 498770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 498790 ns R r1 80000000 - 498790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 498810 ns R psr 81000200 - 498810 ns MR4_I 01000208 2a001c5b - 498830 ns MR4_I 01000200 07c96841 - 498850 ns IT 01000200 6841 LDR r1,[r0,#4] - 498870 ns MR4_I 01000204 6002d1fc - 498930 ns MR4_D 40006004 00000001 - 498930 ns R r1 00000001 - 498930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 498950 ns R r1 80000000 - 498950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 498970 ns R psr 81000200 - 498970 ns MR4_I 01000208 2a001c5b - 498990 ns MR4_I 01000200 07c96841 - 499010 ns IT 01000200 6841 LDR r1,[r0,#4] - 499030 ns MR4_I 01000204 6002d1fc - 499090 ns MR4_D 40006004 00000001 - 499090 ns R r1 00000001 - 499090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 499110 ns R r1 80000000 - 499110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 499130 ns R psr 81000200 - 499130 ns MR4_I 01000208 2a001c5b - 499150 ns MR4_I 01000200 07c96841 - 499170 ns IT 01000200 6841 LDR r1,[r0,#4] - 499190 ns MR4_I 01000204 6002d1fc - 499250 ns MR4_D 40006004 00000001 - 499250 ns R r1 00000001 - 499250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 499270 ns R r1 80000000 - 499270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 499290 ns R psr 81000200 - 499290 ns MR4_I 01000208 2a001c5b - 499310 ns MR4_I 01000200 07c96841 - 499330 ns IT 01000200 6841 LDR r1,[r0,#4] - 499350 ns MR4_I 01000204 6002d1fc - 499410 ns MR4_D 40006004 00000001 - 499410 ns R r1 00000001 - 499410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 499430 ns R r1 80000000 - 499430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 499450 ns R psr 81000200 - 499450 ns MR4_I 01000208 2a001c5b - 499470 ns MR4_I 01000200 07c96841 - 499490 ns IT 01000200 6841 LDR r1,[r0,#4] - 499510 ns MR4_I 01000204 6002d1fc - 499570 ns MR4_D 40006004 00000001 - 499570 ns R r1 00000001 - 499570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 499590 ns R r1 80000000 - 499590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 499610 ns R psr 81000200 - 499610 ns MR4_I 01000208 2a001c5b - 499630 ns MR4_I 01000200 07c96841 - 499650 ns IT 01000200 6841 LDR r1,[r0,#4] - 499670 ns MR4_I 01000204 6002d1fc - 499730 ns MR4_D 40006004 00000001 - 499730 ns R r1 00000001 - 499730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 499750 ns R r1 80000000 - 499750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 499770 ns R psr 81000200 - 499770 ns MR4_I 01000208 2a001c5b - 499790 ns MR4_I 01000200 07c96841 - 499810 ns IT 01000200 6841 LDR r1,[r0,#4] - 499830 ns MR4_I 01000204 6002d1fc - 499890 ns MR4_D 40006004 00000001 - 499890 ns R r1 00000001 - 499890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 499910 ns R r1 80000000 - 499910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 499930 ns R psr 81000200 - 499930 ns MR4_I 01000208 2a001c5b - 499950 ns MR4_I 01000200 07c96841 - 499970 ns IT 01000200 6841 LDR r1,[r0,#4] - 499990 ns MR4_I 01000204 6002d1fc - 500050 ns MR4_D 40006004 00000001 - 500050 ns R r1 00000001 - 500050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 500070 ns R r1 80000000 - 500070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 500090 ns R psr 81000200 - 500090 ns MR4_I 01000208 2a001c5b - 500110 ns MR4_I 01000200 07c96841 - 500130 ns IT 01000200 6841 LDR r1,[r0,#4] - 500150 ns MR4_I 01000204 6002d1fc - 500210 ns MR4_D 40006004 00000001 - 500210 ns R r1 00000001 - 500210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 500230 ns R r1 80000000 - 500230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 500250 ns R psr 81000200 - 500250 ns MR4_I 01000208 2a001c5b - 500270 ns MR4_I 01000200 07c96841 - 500290 ns IT 01000200 6841 LDR r1,[r0,#4] - 500310 ns MR4_I 01000204 6002d1fc - 500370 ns MR4_D 40006004 00000001 - 500370 ns R r1 00000001 - 500370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 500390 ns R r1 80000000 - 500390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 500410 ns R psr 81000200 - 500410 ns MR4_I 01000208 2a001c5b - 500430 ns MR4_I 01000200 07c96841 - 500450 ns IT 01000200 6841 LDR r1,[r0,#4] - 500470 ns MR4_I 01000204 6002d1fc - 500530 ns MR4_D 40006004 00000001 - 500530 ns R r1 00000001 - 500530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 500550 ns R r1 80000000 - 500550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 500570 ns R psr 81000200 - 500570 ns MR4_I 01000208 2a001c5b - 500590 ns MR4_I 01000200 07c96841 - 500610 ns IT 01000200 6841 LDR r1,[r0,#4] - 500630 ns MR4_I 01000204 6002d1fc - 500690 ns MR4_D 40006004 00000001 - 500690 ns R r1 00000001 - 500690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 500710 ns R r1 80000000 - 500710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 500730 ns R psr 81000200 - 500730 ns MR4_I 01000208 2a001c5b - 500750 ns MR4_I 01000200 07c96841 - 500770 ns IT 01000200 6841 LDR r1,[r0,#4] - 500790 ns MR4_I 01000204 6002d1fc - 500850 ns MR4_D 40006004 00000001 - 500850 ns R r1 00000001 - 500850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 500870 ns R r1 80000000 - 500870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 500890 ns R psr 81000200 - 500890 ns MR4_I 01000208 2a001c5b - 500910 ns MR4_I 01000200 07c96841 - 500930 ns IT 01000200 6841 LDR r1,[r0,#4] - 500950 ns MR4_I 01000204 6002d1fc - 501010 ns MR4_D 40006004 00000001 - 501010 ns R r1 00000001 - 501010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 501030 ns R r1 80000000 - 501030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 501050 ns R psr 81000200 - 501050 ns MR4_I 01000208 2a001c5b - 501070 ns MR4_I 01000200 07c96841 - 501090 ns IT 01000200 6841 LDR r1,[r0,#4] - 501110 ns MR4_I 01000204 6002d1fc - 501170 ns MR4_D 40006004 00000001 - 501170 ns R r1 00000001 - 501170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 501190 ns R r1 80000000 - 501190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 501210 ns R psr 81000200 - 501210 ns MR4_I 01000208 2a001c5b - 501230 ns MR4_I 01000200 07c96841 - 501250 ns IT 01000200 6841 LDR r1,[r0,#4] - 501270 ns MR4_I 01000204 6002d1fc - 501330 ns MR4_D 40006004 00000001 - 501330 ns R r1 00000001 - 501330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 501350 ns R r1 80000000 - 501350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 501370 ns R psr 81000200 - 501370 ns MR4_I 01000208 2a001c5b - 501390 ns MR4_I 01000200 07c96841 - 501410 ns IT 01000200 6841 LDR r1,[r0,#4] - 501430 ns MR4_I 01000204 6002d1fc - 501490 ns MR4_D 40006004 00000001 - 501490 ns R r1 00000001 - 501490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 501510 ns R r1 80000000 - 501510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 501530 ns R psr 81000200 - 501530 ns MR4_I 01000208 2a001c5b - 501550 ns MR4_I 01000200 07c96841 - 501570 ns IT 01000200 6841 LDR r1,[r0,#4] - 501590 ns MR4_I 01000204 6002d1fc - 501650 ns MR4_D 40006004 00000001 - 501650 ns R r1 00000001 - 501650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 501670 ns R r1 80000000 - 501670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 501690 ns R psr 81000200 - 501690 ns MR4_I 01000208 2a001c5b - 501710 ns MR4_I 01000200 07c96841 - 501730 ns IT 01000200 6841 LDR r1,[r0,#4] - 501750 ns MR4_I 01000204 6002d1fc - 501810 ns MR4_D 40006004 00000001 - 501810 ns R r1 00000001 - 501810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 501830 ns R r1 80000000 - 501830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 501850 ns R psr 81000200 - 501850 ns MR4_I 01000208 2a001c5b - 501870 ns MR4_I 01000200 07c96841 - 501890 ns IT 01000200 6841 LDR r1,[r0,#4] - 501910 ns MR4_I 01000204 6002d1fc - 501970 ns MR4_D 40006004 00000001 - 501970 ns R r1 00000001 - 501970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 501990 ns R r1 80000000 - 501990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 502010 ns R psr 81000200 - 502010 ns MR4_I 01000208 2a001c5b - 502030 ns MR4_I 01000200 07c96841 - 502050 ns IT 01000200 6841 LDR r1,[r0,#4] - 502070 ns MR4_I 01000204 6002d1fc - 502130 ns MR4_D 40006004 00000001 - 502130 ns R r1 00000001 - 502130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 502150 ns R r1 80000000 - 502150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 502170 ns R psr 81000200 - 502170 ns MR4_I 01000208 2a001c5b - 502190 ns MR4_I 01000200 07c96841 - 502210 ns IT 01000200 6841 LDR r1,[r0,#4] - 502230 ns MR4_I 01000204 6002d1fc - 502290 ns MR4_D 40006004 00000001 - 502290 ns R r1 00000001 - 502290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 502310 ns R r1 80000000 - 502310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 502330 ns R psr 81000200 - 502330 ns MR4_I 01000208 2a001c5b - 502350 ns MR4_I 01000200 07c96841 - 502370 ns IT 01000200 6841 LDR r1,[r0,#4] - 502390 ns MR4_I 01000204 6002d1fc - 502450 ns MR4_D 40006004 00000001 - 502450 ns R r1 00000001 - 502450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 502470 ns R r1 80000000 - 502470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 502490 ns R psr 81000200 - 502490 ns MR4_I 01000208 2a001c5b - 502510 ns MR4_I 01000200 07c96841 - 502530 ns IT 01000200 6841 LDR r1,[r0,#4] - 502550 ns MR4_I 01000204 6002d1fc - 502610 ns MR4_D 40006004 00000001 - 502610 ns R r1 00000001 - 502610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 502630 ns R r1 80000000 - 502630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 502650 ns R psr 81000200 - 502650 ns MR4_I 01000208 2a001c5b - 502670 ns MR4_I 01000200 07c96841 - 502690 ns IT 01000200 6841 LDR r1,[r0,#4] - 502710 ns MR4_I 01000204 6002d1fc - 502770 ns MR4_D 40006004 00000001 - 502770 ns R r1 00000001 - 502770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 502790 ns R r1 80000000 - 502790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 502810 ns R psr 81000200 - 502810 ns MR4_I 01000208 2a001c5b - 502830 ns MR4_I 01000200 07c96841 - 502850 ns IT 01000200 6841 LDR r1,[r0,#4] - 502870 ns MR4_I 01000204 6002d1fc - 502930 ns MR4_D 40006004 00000001 - 502930 ns R r1 00000001 - 502930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 502950 ns R r1 80000000 - 502950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 502970 ns R psr 81000200 - 502970 ns MR4_I 01000208 2a001c5b - 502990 ns MR4_I 01000200 07c96841 - 503010 ns IT 01000200 6841 LDR r1,[r0,#4] - 503030 ns MR4_I 01000204 6002d1fc - 503090 ns MR4_D 40006004 00000001 - 503090 ns R r1 00000001 - 503090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 503110 ns R r1 80000000 - 503110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 503130 ns R psr 81000200 - 503130 ns MR4_I 01000208 2a001c5b - 503150 ns MR4_I 01000200 07c96841 - 503170 ns IT 01000200 6841 LDR r1,[r0,#4] - 503190 ns MR4_I 01000204 6002d1fc - 503250 ns MR4_D 40006004 00000001 - 503250 ns R r1 00000001 - 503250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 503270 ns R r1 80000000 - 503270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 503290 ns R psr 81000200 - 503290 ns MR4_I 01000208 2a001c5b - 503310 ns MR4_I 01000200 07c96841 - 503330 ns IT 01000200 6841 LDR r1,[r0,#4] - 503350 ns MR4_I 01000204 6002d1fc - 503410 ns MR4_D 40006004 00000001 - 503410 ns R r1 00000001 - 503410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 503430 ns R r1 80000000 - 503430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 503450 ns R psr 81000200 - 503450 ns MR4_I 01000208 2a001c5b - 503470 ns MR4_I 01000200 07c96841 - 503490 ns IT 01000200 6841 LDR r1,[r0,#4] - 503510 ns MR4_I 01000204 6002d1fc - 503570 ns MR4_D 40006004 00000001 - 503570 ns R r1 00000001 - 503570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 503590 ns R r1 80000000 - 503590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 503610 ns R psr 81000200 - 503610 ns MR4_I 01000208 2a001c5b - 503630 ns MR4_I 01000200 07c96841 - 503650 ns IT 01000200 6841 LDR r1,[r0,#4] - 503670 ns MR4_I 01000204 6002d1fc - 503730 ns MR4_D 40006004 00000001 - 503730 ns R r1 00000001 - 503730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 503750 ns R r1 80000000 - 503750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 503770 ns R psr 81000200 - 503770 ns MR4_I 01000208 2a001c5b - 503790 ns MR4_I 01000200 07c96841 - 503810 ns IT 01000200 6841 LDR r1,[r0,#4] - 503830 ns MR4_I 01000204 6002d1fc - 503890 ns MR4_D 40006004 00000001 - 503890 ns R r1 00000001 - 503890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 503910 ns R r1 80000000 - 503910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 503930 ns R psr 81000200 - 503930 ns MR4_I 01000208 2a001c5b - 503950 ns MR4_I 01000200 07c96841 - 503970 ns IT 01000200 6841 LDR r1,[r0,#4] - 503990 ns MR4_I 01000204 6002d1fc - 504050 ns MR4_D 40006004 00000001 - 504050 ns R r1 00000001 - 504050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 504070 ns R r1 80000000 - 504070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 504090 ns R psr 81000200 - 504090 ns MR4_I 01000208 2a001c5b - 504110 ns MR4_I 01000200 07c96841 - 504130 ns IT 01000200 6841 LDR r1,[r0,#4] - 504150 ns MR4_I 01000204 6002d1fc - 504210 ns MR4_D 40006004 00000001 - 504210 ns R r1 00000001 - 504210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 504230 ns R r1 80000000 - 504230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 504250 ns R psr 81000200 - 504250 ns MR4_I 01000208 2a001c5b - 504270 ns MR4_I 01000200 07c96841 - 504290 ns IT 01000200 6841 LDR r1,[r0,#4] - 504310 ns MR4_I 01000204 6002d1fc - 504370 ns MR4_D 40006004 00000001 - 504370 ns R r1 00000001 - 504370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 504390 ns R r1 80000000 - 504390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 504410 ns R psr 81000200 - 504410 ns MR4_I 01000208 2a001c5b - 504430 ns MR4_I 01000200 07c96841 - 504450 ns IT 01000200 6841 LDR r1,[r0,#4] - 504470 ns MR4_I 01000204 6002d1fc - 504530 ns MR4_D 40006004 00000001 - 504530 ns R r1 00000001 - 504530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 504550 ns R r1 80000000 - 504550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 504570 ns R psr 81000200 - 504570 ns MR4_I 01000208 2a001c5b - 504590 ns MR4_I 01000200 07c96841 - 504610 ns IT 01000200 6841 LDR r1,[r0,#4] - 504630 ns MR4_I 01000204 6002d1fc - 504690 ns MR4_D 40006004 00000001 - 504690 ns R r1 00000001 - 504690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 504710 ns R r1 80000000 - 504710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 504730 ns R psr 81000200 - 504730 ns MR4_I 01000208 2a001c5b - 504750 ns MR4_I 01000200 07c96841 - 504770 ns IT 01000200 6841 LDR r1,[r0,#4] - 504790 ns MR4_I 01000204 6002d1fc - 504850 ns MR4_D 40006004 00000001 - 504850 ns R r1 00000001 - 504850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 504870 ns R r1 80000000 - 504870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 504890 ns R psr 81000200 - 504890 ns MR4_I 01000208 2a001c5b - 504910 ns MR4_I 01000200 07c96841 - 504930 ns IT 01000200 6841 LDR r1,[r0,#4] - 504950 ns MR4_I 01000204 6002d1fc - 505010 ns MR4_D 40006004 00000001 - 505010 ns R r1 00000001 - 505010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 505030 ns R r1 80000000 - 505030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 505050 ns R psr 81000200 - 505050 ns MR4_I 01000208 2a001c5b - 505070 ns MR4_I 01000200 07c96841 - 505090 ns IT 01000200 6841 LDR r1,[r0,#4] - 505110 ns MR4_I 01000204 6002d1fc - 505170 ns MR4_D 40006004 00000001 - 505170 ns R r1 00000001 - 505170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 505190 ns R r1 80000000 - 505190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 505210 ns R psr 81000200 - 505210 ns MR4_I 01000208 2a001c5b - 505230 ns MR4_I 01000200 07c96841 - 505250 ns IT 01000200 6841 LDR r1,[r0,#4] - 505270 ns MR4_I 01000204 6002d1fc - 505330 ns MR4_D 40006004 00000001 - 505330 ns R r1 00000001 - 505330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 505350 ns R r1 80000000 - 505350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 505370 ns R psr 81000200 - 505370 ns MR4_I 01000208 2a001c5b - 505390 ns MR4_I 01000200 07c96841 - 505410 ns IT 01000200 6841 LDR r1,[r0,#4] - 505430 ns MR4_I 01000204 6002d1fc - 505490 ns MR4_D 40006004 00000001 - 505490 ns R r1 00000001 - 505490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 505510 ns R r1 80000000 - 505510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 505530 ns R psr 81000200 - 505530 ns MR4_I 01000208 2a001c5b - 505550 ns MR4_I 01000200 07c96841 - 505570 ns IT 01000200 6841 LDR r1,[r0,#4] - 505590 ns MR4_I 01000204 6002d1fc - 505650 ns MR4_D 40006004 00000001 - 505650 ns R r1 00000001 - 505650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 505670 ns R r1 80000000 - 505670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 505690 ns R psr 81000200 - 505690 ns MR4_I 01000208 2a001c5b - 505710 ns MR4_I 01000200 07c96841 - 505730 ns IT 01000200 6841 LDR r1,[r0,#4] - 505750 ns MR4_I 01000204 6002d1fc - 505810 ns MR4_D 40006004 00000001 - 505810 ns R r1 00000001 - 505810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 505830 ns R r1 80000000 - 505830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 505850 ns R psr 81000200 - 505850 ns MR4_I 01000208 2a001c5b - 505870 ns MR4_I 01000200 07c96841 - 505890 ns IT 01000200 6841 LDR r1,[r0,#4] - 505910 ns MR4_I 01000204 6002d1fc - 505970 ns MR4_D 40006004 00000001 - 505970 ns R r1 00000001 - 505970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 505990 ns R r1 80000000 - 505990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 506010 ns R psr 81000200 - 506010 ns MR4_I 01000208 2a001c5b - 506030 ns MR4_I 01000200 07c96841 - 506050 ns IT 01000200 6841 LDR r1,[r0,#4] - 506070 ns MR4_I 01000204 6002d1fc - 506130 ns MR4_D 40006004 00000001 - 506130 ns R r1 00000001 - 506130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 506150 ns R r1 80000000 - 506150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 506170 ns R psr 81000200 - 506170 ns MR4_I 01000208 2a001c5b - 506190 ns MR4_I 01000200 07c96841 - 506210 ns IT 01000200 6841 LDR r1,[r0,#4] - 506230 ns MR4_I 01000204 6002d1fc - 506290 ns MR4_D 40006004 00000001 - 506290 ns R r1 00000001 - 506290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 506310 ns R r1 80000000 - 506310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 506330 ns R psr 81000200 - 506330 ns MR4_I 01000208 2a001c5b - 506350 ns MR4_I 01000200 07c96841 - 506370 ns IT 01000200 6841 LDR r1,[r0,#4] - 506390 ns MR4_I 01000204 6002d1fc - 506450 ns MR4_D 40006004 00000001 - 506450 ns R r1 00000001 - 506450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 506470 ns R r1 80000000 - 506470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 506490 ns R psr 81000200 - 506490 ns MR4_I 01000208 2a001c5b - 506510 ns MR4_I 01000200 07c96841 - 506530 ns IT 01000200 6841 LDR r1,[r0,#4] - 506550 ns MR4_I 01000204 6002d1fc - 506610 ns MR4_D 40006004 00000001 - 506610 ns R r1 00000001 - 506610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 506630 ns R r1 80000000 - 506630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 506650 ns R psr 81000200 - 506650 ns MR4_I 01000208 2a001c5b - 506670 ns MR4_I 01000200 07c96841 - 506690 ns IT 01000200 6841 LDR r1,[r0,#4] - 506710 ns MR4_I 01000204 6002d1fc - 506770 ns MR4_D 40006004 00000001 - 506770 ns R r1 00000001 - 506770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 506790 ns R r1 80000000 - 506790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 506810 ns R psr 81000200 - 506810 ns MR4_I 01000208 2a001c5b - 506830 ns MR4_I 01000200 07c96841 - 506850 ns IT 01000200 6841 LDR r1,[r0,#4] - 506870 ns MR4_I 01000204 6002d1fc - 506930 ns MR4_D 40006004 00000001 - 506930 ns R r1 00000001 - 506930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 506950 ns R r1 80000000 - 506950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 506970 ns R psr 81000200 - 506970 ns MR4_I 01000208 2a001c5b - 506990 ns MR4_I 01000200 07c96841 - 507010 ns IT 01000200 6841 LDR r1,[r0,#4] - 507030 ns MR4_I 01000204 6002d1fc - 507090 ns MR4_D 40006004 00000001 - 507090 ns R r1 00000001 - 507090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 507110 ns R r1 80000000 - 507110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 507130 ns R psr 81000200 - 507130 ns MR4_I 01000208 2a001c5b - 507150 ns MR4_I 01000200 07c96841 - 507170 ns IT 01000200 6841 LDR r1,[r0,#4] - 507190 ns MR4_I 01000204 6002d1fc - 507250 ns MR4_D 40006004 00000001 - 507250 ns R r1 00000001 - 507250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 507270 ns R r1 80000000 - 507270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 507290 ns R psr 81000200 - 507290 ns MR4_I 01000208 2a001c5b - 507310 ns MR4_I 01000200 07c96841 - 507330 ns IT 01000200 6841 LDR r1,[r0,#4] - 507350 ns MR4_I 01000204 6002d1fc - 507410 ns MR4_D 40006004 00000001 - 507410 ns R r1 00000001 - 507410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 507430 ns R r1 80000000 - 507430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 507450 ns R psr 81000200 - 507450 ns MR4_I 01000208 2a001c5b - 507470 ns MR4_I 01000200 07c96841 - 507490 ns IT 01000200 6841 LDR r1,[r0,#4] - 507510 ns MR4_I 01000204 6002d1fc - 507570 ns MR4_D 40006004 00000001 - 507570 ns R r1 00000001 - 507570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 507590 ns R r1 80000000 - 507590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 507610 ns R psr 81000200 - 507610 ns MR4_I 01000208 2a001c5b - 507630 ns MR4_I 01000200 07c96841 - 507650 ns IT 01000200 6841 LDR r1,[r0,#4] - 507670 ns MR4_I 01000204 6002d1fc - 507730 ns MR4_D 40006004 00000001 - 507730 ns R r1 00000001 - 507730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 507750 ns R r1 80000000 - 507750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 507770 ns R psr 81000200 - 507770 ns MR4_I 01000208 2a001c5b - 507790 ns MR4_I 01000200 07c96841 - 507810 ns IT 01000200 6841 LDR r1,[r0,#4] - 507830 ns MR4_I 01000204 6002d1fc - 507890 ns MR4_D 40006004 00000001 - 507890 ns R r1 00000001 - 507890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 507910 ns R r1 80000000 - 507910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 507930 ns R psr 81000200 - 507930 ns MR4_I 01000208 2a001c5b - 507950 ns MR4_I 01000200 07c96841 - 507970 ns IT 01000200 6841 LDR r1,[r0,#4] - 507990 ns MR4_I 01000204 6002d1fc - 508050 ns MR4_D 40006004 00000001 - 508050 ns R r1 00000001 - 508050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 508070 ns R r1 80000000 - 508070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 508090 ns R psr 81000200 - 508090 ns MR4_I 01000208 2a001c5b - 508110 ns MR4_I 01000200 07c96841 - 508130 ns IT 01000200 6841 LDR r1,[r0,#4] - 508150 ns MR4_I 01000204 6002d1fc - 508210 ns MR4_D 40006004 00000001 - 508210 ns R r1 00000001 - 508210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 508230 ns R r1 80000000 - 508230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 508250 ns R psr 81000200 - 508250 ns MR4_I 01000208 2a001c5b - 508270 ns MR4_I 01000200 07c96841 - 508290 ns IT 01000200 6841 LDR r1,[r0,#4] - 508310 ns MR4_I 01000204 6002d1fc - 508370 ns MR4_D 40006004 00000001 - 508370 ns R r1 00000001 - 508370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 508390 ns R r1 80000000 - 508390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 508410 ns R psr 81000200 - 508410 ns MR4_I 01000208 2a001c5b - 508430 ns MR4_I 01000200 07c96841 - 508450 ns IT 01000200 6841 LDR r1,[r0,#4] - 508470 ns MR4_I 01000204 6002d1fc - 508530 ns MR4_D 40006004 00000001 - 508530 ns R r1 00000001 - 508530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 508550 ns R r1 80000000 - 508550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 508570 ns R psr 81000200 - 508570 ns MR4_I 01000208 2a001c5b - 508590 ns MR4_I 01000200 07c96841 - 508610 ns IT 01000200 6841 LDR r1,[r0,#4] - 508630 ns MR4_I 01000204 6002d1fc - 508690 ns MR4_D 40006004 00000001 - 508690 ns R r1 00000001 - 508690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 508710 ns R r1 80000000 - 508710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 508730 ns R psr 81000200 - 508730 ns MR4_I 01000208 2a001c5b - 508750 ns MR4_I 01000200 07c96841 - 508770 ns IT 01000200 6841 LDR r1,[r0,#4] - 508790 ns MR4_I 01000204 6002d1fc - 508850 ns MR4_D 40006004 00000001 - 508850 ns R r1 00000001 - 508850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 508870 ns R r1 80000000 - 508870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 508890 ns R psr 81000200 - 508890 ns MR4_I 01000208 2a001c5b - 508910 ns MR4_I 01000200 07c96841 - 508930 ns IT 01000200 6841 LDR r1,[r0,#4] - 508950 ns MR4_I 01000204 6002d1fc - 509010 ns MR4_D 40006004 00000001 - 509010 ns R r1 00000001 - 509010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 509030 ns R r1 80000000 - 509030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 509050 ns R psr 81000200 - 509050 ns MR4_I 01000208 2a001c5b - 509070 ns MR4_I 01000200 07c96841 - 509090 ns IT 01000200 6841 LDR r1,[r0,#4] - 509110 ns MR4_I 01000204 6002d1fc - 509170 ns MR4_D 40006004 00000001 - 509170 ns R r1 00000001 - 509170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 509190 ns R r1 80000000 - 509190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 509210 ns R psr 81000200 - 509210 ns MR4_I 01000208 2a001c5b - 509230 ns MR4_I 01000200 07c96841 - 509250 ns IT 01000200 6841 LDR r1,[r0,#4] - 509270 ns MR4_I 01000204 6002d1fc - 509330 ns MR4_D 40006004 00000001 - 509330 ns R r1 00000001 - 509330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 509350 ns R r1 80000000 - 509350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 509370 ns R psr 81000200 - 509370 ns MR4_I 01000208 2a001c5b - 509390 ns MR4_I 01000200 07c96841 - 509410 ns IT 01000200 6841 LDR r1,[r0,#4] - 509430 ns MR4_I 01000204 6002d1fc - 509490 ns MR4_D 40006004 00000001 - 509490 ns R r1 00000001 - 509490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 509510 ns R r1 80000000 - 509510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 509530 ns R psr 81000200 - 509530 ns MR4_I 01000208 2a001c5b - 509550 ns MR4_I 01000200 07c96841 - 509570 ns IT 01000200 6841 LDR r1,[r0,#4] - 509590 ns MR4_I 01000204 6002d1fc - 509650 ns MR4_D 40006004 00000001 - 509650 ns R r1 00000001 - 509650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 509670 ns R r1 80000000 - 509670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 509690 ns R psr 81000200 - 509690 ns MR4_I 01000208 2a001c5b - 509710 ns MR4_I 01000200 07c96841 - 509730 ns IT 01000200 6841 LDR r1,[r0,#4] - 509750 ns MR4_I 01000204 6002d1fc - 509810 ns MR4_D 40006004 00000001 - 509810 ns R r1 00000001 - 509810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 509830 ns R r1 80000000 - 509830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 509850 ns R psr 81000200 - 509850 ns MR4_I 01000208 2a001c5b - 509870 ns MR4_I 01000200 07c96841 - 509890 ns IT 01000200 6841 LDR r1,[r0,#4] - 509910 ns MR4_I 01000204 6002d1fc - 509970 ns MR4_D 40006004 00000001 - 509970 ns R r1 00000001 - 509970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 509990 ns R r1 80000000 - 509990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 510010 ns R psr 81000200 - 510010 ns MR4_I 01000208 2a001c5b - 510030 ns MR4_I 01000200 07c96841 - 510050 ns IT 01000200 6841 LDR r1,[r0,#4] - 510070 ns MR4_I 01000204 6002d1fc - 510130 ns MR4_D 40006004 00000001 - 510130 ns R r1 00000001 - 510130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 510150 ns R r1 80000000 - 510150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 510170 ns R psr 81000200 - 510170 ns MR4_I 01000208 2a001c5b - 510190 ns MR4_I 01000200 07c96841 - 510210 ns IT 01000200 6841 LDR r1,[r0,#4] - 510230 ns MR4_I 01000204 6002d1fc - 510290 ns MR4_D 40006004 00000001 - 510290 ns R r1 00000001 - 510290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 510310 ns R r1 80000000 - 510310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 510330 ns R psr 81000200 - 510330 ns MR4_I 01000208 2a001c5b - 510350 ns MR4_I 01000200 07c96841 - 510370 ns IT 01000200 6841 LDR r1,[r0,#4] - 510390 ns MR4_I 01000204 6002d1fc - 510450 ns MR4_D 40006004 00000001 - 510450 ns R r1 00000001 - 510450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 510470 ns R r1 80000000 - 510470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 510490 ns R psr 81000200 - 510490 ns MR4_I 01000208 2a001c5b - 510510 ns MR4_I 01000200 07c96841 - 510530 ns IT 01000200 6841 LDR r1,[r0,#4] - 510550 ns MR4_I 01000204 6002d1fc - 510610 ns MR4_D 40006004 00000001 - 510610 ns R r1 00000001 - 510610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 510630 ns R r1 80000000 - 510630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 510650 ns R psr 81000200 - 510650 ns MR4_I 01000208 2a001c5b - 510670 ns MR4_I 01000200 07c96841 - 510690 ns IT 01000200 6841 LDR r1,[r0,#4] - 510710 ns MR4_I 01000204 6002d1fc - 510770 ns MR4_D 40006004 00000001 - 510770 ns R r1 00000001 - 510770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 510790 ns R r1 80000000 - 510790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 510810 ns R psr 81000200 - 510810 ns MR4_I 01000208 2a001c5b - 510830 ns MR4_I 01000200 07c96841 - 510850 ns IT 01000200 6841 LDR r1,[r0,#4] - 510870 ns MR4_I 01000204 6002d1fc - 510930 ns MR4_D 40006004 00000001 - 510930 ns R r1 00000001 - 510930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 510950 ns R r1 80000000 - 510950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 510970 ns R psr 81000200 - 510970 ns MR4_I 01000208 2a001c5b - 510990 ns MR4_I 01000200 07c96841 - 511010 ns IT 01000200 6841 LDR r1,[r0,#4] - 511030 ns MR4_I 01000204 6002d1fc - 511090 ns MR4_D 40006004 00000001 - 511090 ns R r1 00000001 - 511090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 511110 ns R r1 80000000 - 511110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 511130 ns R psr 81000200 - 511130 ns MR4_I 01000208 2a001c5b - 511150 ns MR4_I 01000200 07c96841 - 511170 ns IT 01000200 6841 LDR r1,[r0,#4] - 511190 ns MR4_I 01000204 6002d1fc - 511250 ns MR4_D 40006004 00000001 - 511250 ns R r1 00000001 - 511250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 511270 ns R r1 80000000 - 511270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 511290 ns R psr 81000200 - 511290 ns MR4_I 01000208 2a001c5b - 511310 ns MR4_I 01000200 07c96841 - 511330 ns IT 01000200 6841 LDR r1,[r0,#4] - 511350 ns MR4_I 01000204 6002d1fc - 511410 ns MR4_D 40006004 00000001 - 511410 ns R r1 00000001 - 511410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 511430 ns R r1 80000000 - 511430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 511450 ns R psr 81000200 - 511450 ns MR4_I 01000208 2a001c5b - 511470 ns MR4_I 01000200 07c96841 - 511490 ns IT 01000200 6841 LDR r1,[r0,#4] - 511510 ns MR4_I 01000204 6002d1fc - 511570 ns MR4_D 40006004 00000001 - 511570 ns R r1 00000001 - 511570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 511590 ns R r1 80000000 - 511590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 511610 ns R psr 81000200 - 511610 ns MR4_I 01000208 2a001c5b - 511630 ns MR4_I 01000200 07c96841 - 511650 ns IT 01000200 6841 LDR r1,[r0,#4] - 511670 ns MR4_I 01000204 6002d1fc - 511730 ns MR4_D 40006004 00000001 - 511730 ns R r1 00000001 - 511730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 511750 ns R r1 80000000 - 511750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 511770 ns R psr 81000200 - 511770 ns MR4_I 01000208 2a001c5b - 511790 ns MR4_I 01000200 07c96841 - 511810 ns IT 01000200 6841 LDR r1,[r0,#4] - 511830 ns MR4_I 01000204 6002d1fc - 511890 ns MR4_D 40006004 00000001 - 511890 ns R r1 00000001 - 511890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 511910 ns R r1 80000000 - 511910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 511930 ns R psr 81000200 - 511930 ns MR4_I 01000208 2a001c5b - 511950 ns MR4_I 01000200 07c96841 - 511970 ns IT 01000200 6841 LDR r1,[r0,#4] - 511990 ns MR4_I 01000204 6002d1fc - 512050 ns MR4_D 40006004 00000001 - 512050 ns R r1 00000001 - 512050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 512070 ns R r1 80000000 - 512070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 512090 ns R psr 81000200 - 512090 ns MR4_I 01000208 2a001c5b - 512110 ns MR4_I 01000200 07c96841 - 512130 ns IT 01000200 6841 LDR r1,[r0,#4] - 512150 ns MR4_I 01000204 6002d1fc - 512210 ns MR4_D 40006004 00000001 - 512210 ns R r1 00000001 - 512210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 512230 ns R r1 80000000 - 512230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 512250 ns R psr 81000200 - 512250 ns MR4_I 01000208 2a001c5b - 512270 ns MR4_I 01000200 07c96841 - 512290 ns IT 01000200 6841 LDR r1,[r0,#4] - 512310 ns MR4_I 01000204 6002d1fc - 512370 ns MR4_D 40006004 00000001 - 512370 ns R r1 00000001 - 512370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 512390 ns R r1 80000000 - 512390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 512410 ns R psr 81000200 - 512410 ns MR4_I 01000208 2a001c5b - 512430 ns MR4_I 01000200 07c96841 - 512450 ns IT 01000200 6841 LDR r1,[r0,#4] - 512470 ns MR4_I 01000204 6002d1fc - 512530 ns MR4_D 40006004 00000001 - 512530 ns R r1 00000001 - 512530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 512550 ns R r1 80000000 - 512550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 512570 ns R psr 81000200 - 512570 ns MR4_I 01000208 2a001c5b - 512590 ns MR4_I 01000200 07c96841 - 512610 ns IT 01000200 6841 LDR r1,[r0,#4] - 512630 ns MR4_I 01000204 6002d1fc - 512690 ns MR4_D 40006004 00000001 - 512690 ns R r1 00000001 - 512690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 512710 ns R r1 80000000 - 512710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 512730 ns R psr 81000200 - 512730 ns MR4_I 01000208 2a001c5b - 512750 ns MR4_I 01000200 07c96841 - 512770 ns IT 01000200 6841 LDR r1,[r0,#4] - 512790 ns MR4_I 01000204 6002d1fc - 512850 ns MR4_D 40006004 00000001 - 512850 ns R r1 00000001 - 512850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 512870 ns R r1 80000000 - 512870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 512890 ns R psr 81000200 - 512890 ns MR4_I 01000208 2a001c5b - 512910 ns MR4_I 01000200 07c96841 - 512930 ns IT 01000200 6841 LDR r1,[r0,#4] - 512950 ns MR4_I 01000204 6002d1fc - 513010 ns MR4_D 40006004 00000001 - 513010 ns R r1 00000001 - 513010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 513030 ns R r1 80000000 - 513030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 513050 ns R psr 81000200 - 513050 ns MR4_I 01000208 2a001c5b - 513070 ns MR4_I 01000200 07c96841 - 513090 ns IT 01000200 6841 LDR r1,[r0,#4] - 513110 ns MR4_I 01000204 6002d1fc - 513170 ns MR4_D 40006004 00000001 - 513170 ns R r1 00000001 - 513170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 513190 ns R r1 80000000 - 513190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 513210 ns R psr 81000200 - 513210 ns MR4_I 01000208 2a001c5b - 513230 ns MR4_I 01000200 07c96841 - 513250 ns IT 01000200 6841 LDR r1,[r0,#4] - 513270 ns MR4_I 01000204 6002d1fc - 513330 ns MR4_D 40006004 00000001 - 513330 ns R r1 00000001 - 513330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 513350 ns R r1 80000000 - 513350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 513370 ns R psr 81000200 - 513370 ns MR4_I 01000208 2a001c5b - 513390 ns MR4_I 01000200 07c96841 - 513410 ns IT 01000200 6841 LDR r1,[r0,#4] - 513430 ns MR4_I 01000204 6002d1fc - 513490 ns MR4_D 40006004 00000001 - 513490 ns R r1 00000001 - 513490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 513510 ns R r1 80000000 - 513510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 513530 ns R psr 81000200 - 513530 ns MR4_I 01000208 2a001c5b - 513550 ns MR4_I 01000200 07c96841 - 513570 ns IT 01000200 6841 LDR r1,[r0,#4] - 513590 ns MR4_I 01000204 6002d1fc - 513650 ns MR4_D 40006004 00000001 - 513650 ns R r1 00000001 - 513650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 513670 ns R r1 80000000 - 513670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 513690 ns R psr 81000200 - 513690 ns MR4_I 01000208 2a001c5b - 513710 ns MR4_I 01000200 07c96841 - 513730 ns IT 01000200 6841 LDR r1,[r0,#4] - 513750 ns MR4_I 01000204 6002d1fc - 513810 ns MR4_D 40006004 00000001 - 513810 ns R r1 00000001 - 513810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 513830 ns R r1 80000000 - 513830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 513850 ns R psr 81000200 - 513850 ns MR4_I 01000208 2a001c5b - 513870 ns MR4_I 01000200 07c96841 - 513890 ns IT 01000200 6841 LDR r1,[r0,#4] - 513910 ns MR4_I 01000204 6002d1fc - 513970 ns MR4_D 40006004 00000001 - 513970 ns R r1 00000001 - 513970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 513990 ns R r1 80000000 - 513990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 514010 ns R psr 81000200 - 514010 ns MR4_I 01000208 2a001c5b - 514030 ns MR4_I 01000200 07c96841 - 514050 ns IT 01000200 6841 LDR r1,[r0,#4] - 514070 ns MR4_I 01000204 6002d1fc - 514130 ns MR4_D 40006004 00000001 - 514130 ns R r1 00000001 - 514130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 514150 ns R r1 80000000 - 514150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 514170 ns R psr 81000200 - 514170 ns MR4_I 01000208 2a001c5b - 514190 ns MR4_I 01000200 07c96841 - 514210 ns IT 01000200 6841 LDR r1,[r0,#4] - 514230 ns MR4_I 01000204 6002d1fc - 514290 ns MR4_D 40006004 00000001 - 514290 ns R r1 00000001 - 514290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 514310 ns R r1 80000000 - 514310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 514330 ns R psr 81000200 - 514330 ns MR4_I 01000208 2a001c5b - 514350 ns MR4_I 01000200 07c96841 - 514370 ns IT 01000200 6841 LDR r1,[r0,#4] - 514390 ns MR4_I 01000204 6002d1fc - 514450 ns MR4_D 40006004 00000001 - 514450 ns R r1 00000001 - 514450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 514470 ns R r1 80000000 - 514470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 514490 ns R psr 81000200 - 514490 ns MR4_I 01000208 2a001c5b - 514510 ns MR4_I 01000200 07c96841 - 514530 ns IT 01000200 6841 LDR r1,[r0,#4] - 514550 ns MR4_I 01000204 6002d1fc - 514610 ns MR4_D 40006004 00000001 - 514610 ns R r1 00000001 - 514610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 514630 ns R r1 80000000 - 514630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 514650 ns R psr 81000200 - 514650 ns MR4_I 01000208 2a001c5b - 514670 ns MR4_I 01000200 07c96841 - 514690 ns IT 01000200 6841 LDR r1,[r0,#4] - 514710 ns MR4_I 01000204 6002d1fc - 514770 ns MR4_D 40006004 00000001 - 514770 ns R r1 00000001 - 514770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 514790 ns R r1 80000000 - 514790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 514810 ns R psr 81000200 - 514810 ns MR4_I 01000208 2a001c5b - 514830 ns MR4_I 01000200 07c96841 - 514850 ns IT 01000200 6841 LDR r1,[r0,#4] - 514870 ns MR4_I 01000204 6002d1fc - 514930 ns MR4_D 40006004 00000001 - 514930 ns R r1 00000001 - 514930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 514950 ns R r1 80000000 - 514950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 514970 ns R psr 81000200 - 514970 ns MR4_I 01000208 2a001c5b - 514990 ns MR4_I 01000200 07c96841 - 515010 ns IT 01000200 6841 LDR r1,[r0,#4] - 515030 ns MR4_I 01000204 6002d1fc - 515090 ns MR4_D 40006004 00000001 - 515090 ns R r1 00000001 - 515090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 515110 ns R r1 80000000 - 515110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 515130 ns R psr 81000200 - 515130 ns MR4_I 01000208 2a001c5b - 515150 ns MR4_I 01000200 07c96841 - 515170 ns IT 01000200 6841 LDR r1,[r0,#4] - 515190 ns MR4_I 01000204 6002d1fc - 515250 ns MR4_D 40006004 00000001 - 515250 ns R r1 00000001 - 515250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 515270 ns R r1 80000000 - 515270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 515290 ns R psr 81000200 - 515290 ns MR4_I 01000208 2a001c5b - 515310 ns MR4_I 01000200 07c96841 - 515330 ns IT 01000200 6841 LDR r1,[r0,#4] - 515350 ns MR4_I 01000204 6002d1fc - 515410 ns MR4_D 40006004 00000001 - 515410 ns R r1 00000001 - 515410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 515430 ns R r1 80000000 - 515430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 515450 ns R psr 81000200 - 515450 ns MR4_I 01000208 2a001c5b - 515470 ns MR4_I 01000200 07c96841 - 515490 ns IT 01000200 6841 LDR r1,[r0,#4] - 515510 ns MR4_I 01000204 6002d1fc - 515570 ns MR4_D 40006004 00000001 - 515570 ns R r1 00000001 - 515570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 515590 ns R r1 80000000 - 515590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 515610 ns R psr 81000200 - 515610 ns MR4_I 01000208 2a001c5b - 515630 ns MR4_I 01000200 07c96841 - 515650 ns IT 01000200 6841 LDR r1,[r0,#4] - 515670 ns MR4_I 01000204 6002d1fc - 515730 ns MR4_D 40006004 00000001 - 515730 ns R r1 00000001 - 515730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 515750 ns R r1 80000000 - 515750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 515770 ns R psr 81000200 - 515770 ns MR4_I 01000208 2a001c5b - 515790 ns MR4_I 01000200 07c96841 - 515810 ns IT 01000200 6841 LDR r1,[r0,#4] - 515830 ns MR4_I 01000204 6002d1fc - 515890 ns MR4_D 40006004 00000000 - 515890 ns R r1 00000000 - 515890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 515910 ns R r1 00000000 - 515910 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 515930 ns R psr 41000200 - 515930 ns MR4_I 01000208 2a001c5b - 515930 ns IT 01000206 6002 STR r2,[r0,#0] - 516010 ns MW4_D 40006000 0000003a - 516010 ns IT 01000208 1c5b ADDS r3,r3,#1 - 516030 ns MR4_I 0100020c a32ad1f5 - 516030 ns R r3 010002a2 - 516030 ns IT 0100020a 2a00 CMP r2,#0 - 516050 ns R psr 01000200 - 516050 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 516070 ns R psr 21000200 - 516070 ns MR4_I 01000210 2a00781a - 516090 ns MR4_I 010001f8 781aa326 - 516110 ns MR4_I 010001fc d0062a00 - 516110 ns IT 010001fa 781a LDRB r2,[r3,#0] - 516150 ns MR1_D 010002a2 41203a73 - 516150 ns R r2 00000020 - 516150 ns IT 010001fc 2a00 CMP r2,#0 - 516170 ns MR4_I 01000200 07c96841 - 516170 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 516190 ns R psr 21000200 - 516190 ns IT 01000200 6841 LDR r1,[r0,#4] - 516210 ns MR4_I 01000204 6002d1fc - 516270 ns MR4_D 40006004 00000001 - 516270 ns R r1 00000001 - 516270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 516290 ns R r1 80000000 - 516290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 516310 ns R psr 81000200 - 516310 ns MR4_I 01000208 2a001c5b - 516330 ns MR4_I 01000200 07c96841 - 516350 ns IT 01000200 6841 LDR r1,[r0,#4] - 516370 ns MR4_I 01000204 6002d1fc - 516430 ns MR4_D 40006004 00000001 - 516430 ns R r1 00000001 - 516430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 516450 ns R r1 80000000 - 516450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 516470 ns R psr 81000200 - 516470 ns MR4_I 01000208 2a001c5b - 516490 ns MR4_I 01000200 07c96841 - 516510 ns IT 01000200 6841 LDR r1,[r0,#4] - 516530 ns MR4_I 01000204 6002d1fc - 516590 ns MR4_D 40006004 00000001 - 516590 ns R r1 00000001 - 516590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 516610 ns R r1 80000000 - 516610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 516630 ns R psr 81000200 - 516630 ns MR4_I 01000208 2a001c5b - 516650 ns MR4_I 01000200 07c96841 - 516670 ns IT 01000200 6841 LDR r1,[r0,#4] - 516690 ns MR4_I 01000204 6002d1fc - 516750 ns MR4_D 40006004 00000001 - 516750 ns R r1 00000001 - 516750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 516770 ns R r1 80000000 - 516770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 516790 ns R psr 81000200 - 516790 ns MR4_I 01000208 2a001c5b - 516810 ns MR4_I 01000200 07c96841 - 516830 ns IT 01000200 6841 LDR r1,[r0,#4] - 516850 ns MR4_I 01000204 6002d1fc - 516910 ns MR4_D 40006004 00000001 - 516910 ns R r1 00000001 - 516910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 516930 ns R r1 80000000 - 516930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 516950 ns R psr 81000200 - 516950 ns MR4_I 01000208 2a001c5b - 516970 ns MR4_I 01000200 07c96841 - 516990 ns IT 01000200 6841 LDR r1,[r0,#4] - 517010 ns MR4_I 01000204 6002d1fc - 517070 ns MR4_D 40006004 00000001 - 517070 ns R r1 00000001 - 517070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 517090 ns R r1 80000000 - 517090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 517110 ns R psr 81000200 - 517110 ns MR4_I 01000208 2a001c5b - 517130 ns MR4_I 01000200 07c96841 - 517150 ns IT 01000200 6841 LDR r1,[r0,#4] - 517170 ns MR4_I 01000204 6002d1fc - 517230 ns MR4_D 40006004 00000001 - 517230 ns R r1 00000001 - 517230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 517250 ns R r1 80000000 - 517250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 517270 ns R psr 81000200 - 517270 ns MR4_I 01000208 2a001c5b - 517290 ns MR4_I 01000200 07c96841 - 517310 ns IT 01000200 6841 LDR r1,[r0,#4] - 517330 ns MR4_I 01000204 6002d1fc - 517390 ns MR4_D 40006004 00000001 - 517390 ns R r1 00000001 - 517390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 517410 ns R r1 80000000 - 517410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 517430 ns R psr 81000200 - 517430 ns MR4_I 01000208 2a001c5b - 517450 ns MR4_I 01000200 07c96841 - 517470 ns IT 01000200 6841 LDR r1,[r0,#4] - 517490 ns MR4_I 01000204 6002d1fc - 517550 ns MR4_D 40006004 00000001 - 517550 ns R r1 00000001 - 517550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 517570 ns R r1 80000000 - 517570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 517590 ns R psr 81000200 - 517590 ns MR4_I 01000208 2a001c5b - 517610 ns MR4_I 01000200 07c96841 - 517630 ns IT 01000200 6841 LDR r1,[r0,#4] - 517650 ns MR4_I 01000204 6002d1fc - 517710 ns MR4_D 40006004 00000001 - 517710 ns R r1 00000001 - 517710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 517730 ns R r1 80000000 - 517730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 517750 ns R psr 81000200 - 517750 ns MR4_I 01000208 2a001c5b - 517770 ns MR4_I 01000200 07c96841 - 517790 ns IT 01000200 6841 LDR r1,[r0,#4] - 517810 ns MR4_I 01000204 6002d1fc - 517870 ns MR4_D 40006004 00000001 - 517870 ns R r1 00000001 - 517870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 517890 ns R r1 80000000 - 517890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 517910 ns R psr 81000200 - 517910 ns MR4_I 01000208 2a001c5b - 517930 ns MR4_I 01000200 07c96841 - 517950 ns IT 01000200 6841 LDR r1,[r0,#4] - 517970 ns MR4_I 01000204 6002d1fc - 518030 ns MR4_D 40006004 00000001 - 518030 ns R r1 00000001 - 518030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 518050 ns R r1 80000000 - 518050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 518070 ns R psr 81000200 - 518070 ns MR4_I 01000208 2a001c5b - 518090 ns MR4_I 01000200 07c96841 - 518110 ns IT 01000200 6841 LDR r1,[r0,#4] - 518130 ns MR4_I 01000204 6002d1fc - 518190 ns MR4_D 40006004 00000001 - 518190 ns R r1 00000001 - 518190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 518210 ns R r1 80000000 - 518210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 518230 ns R psr 81000200 - 518230 ns MR4_I 01000208 2a001c5b - 518250 ns MR4_I 01000200 07c96841 - 518270 ns IT 01000200 6841 LDR r1,[r0,#4] - 518290 ns MR4_I 01000204 6002d1fc - 518350 ns MR4_D 40006004 00000001 - 518350 ns R r1 00000001 - 518350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 518370 ns R r1 80000000 - 518370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 518390 ns R psr 81000200 - 518390 ns MR4_I 01000208 2a001c5b - 518410 ns MR4_I 01000200 07c96841 - 518430 ns IT 01000200 6841 LDR r1,[r0,#4] - 518450 ns MR4_I 01000204 6002d1fc - 518510 ns MR4_D 40006004 00000001 - 518510 ns R r1 00000001 - 518510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 518530 ns R r1 80000000 - 518530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 518550 ns R psr 81000200 - 518550 ns MR4_I 01000208 2a001c5b - 518570 ns MR4_I 01000200 07c96841 - 518590 ns IT 01000200 6841 LDR r1,[r0,#4] - 518610 ns MR4_I 01000204 6002d1fc - 518670 ns MR4_D 40006004 00000001 - 518670 ns R r1 00000001 - 518670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 518690 ns R r1 80000000 - 518690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 518710 ns R psr 81000200 - 518710 ns MR4_I 01000208 2a001c5b - 518730 ns MR4_I 01000200 07c96841 - 518750 ns IT 01000200 6841 LDR r1,[r0,#4] - 518770 ns MR4_I 01000204 6002d1fc - 518830 ns MR4_D 40006004 00000001 - 518830 ns R r1 00000001 - 518830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 518850 ns R r1 80000000 - 518850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 518870 ns R psr 81000200 - 518870 ns MR4_I 01000208 2a001c5b - 518890 ns MR4_I 01000200 07c96841 - 518910 ns IT 01000200 6841 LDR r1,[r0,#4] - 518930 ns MR4_I 01000204 6002d1fc - 518990 ns MR4_D 40006004 00000001 - 518990 ns R r1 00000001 - 518990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 519010 ns R r1 80000000 - 519010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 519030 ns R psr 81000200 - 519030 ns MR4_I 01000208 2a001c5b - 519050 ns MR4_I 01000200 07c96841 - 519070 ns IT 01000200 6841 LDR r1,[r0,#4] - 519090 ns MR4_I 01000204 6002d1fc - 519150 ns MR4_D 40006004 00000001 - 519150 ns R r1 00000001 - 519150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 519170 ns R r1 80000000 - 519170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 519190 ns R psr 81000200 - 519190 ns MR4_I 01000208 2a001c5b - 519210 ns MR4_I 01000200 07c96841 - 519230 ns IT 01000200 6841 LDR r1,[r0,#4] - 519250 ns MR4_I 01000204 6002d1fc - 519310 ns MR4_D 40006004 00000001 - 519310 ns R r1 00000001 - 519310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 519330 ns R r1 80000000 - 519330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 519350 ns R psr 81000200 - 519350 ns MR4_I 01000208 2a001c5b - 519370 ns MR4_I 01000200 07c96841 - 519390 ns IT 01000200 6841 LDR r1,[r0,#4] - 519410 ns MR4_I 01000204 6002d1fc - 519470 ns MR4_D 40006004 00000001 - 519470 ns R r1 00000001 - 519470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 519490 ns R r1 80000000 - 519490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 519510 ns R psr 81000200 - 519510 ns MR4_I 01000208 2a001c5b - 519530 ns MR4_I 01000200 07c96841 - 519550 ns IT 01000200 6841 LDR r1,[r0,#4] - 519570 ns MR4_I 01000204 6002d1fc - 519630 ns MR4_D 40006004 00000001 - 519630 ns R r1 00000001 - 519630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 519650 ns R r1 80000000 - 519650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 519670 ns R psr 81000200 - 519670 ns MR4_I 01000208 2a001c5b - 519690 ns MR4_I 01000200 07c96841 - 519710 ns IT 01000200 6841 LDR r1,[r0,#4] - 519730 ns MR4_I 01000204 6002d1fc - 519790 ns MR4_D 40006004 00000001 - 519790 ns R r1 00000001 - 519790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 519810 ns R r1 80000000 - 519810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 519830 ns R psr 81000200 - 519830 ns MR4_I 01000208 2a001c5b - 519850 ns MR4_I 01000200 07c96841 - 519870 ns IT 01000200 6841 LDR r1,[r0,#4] - 519890 ns MR4_I 01000204 6002d1fc - 519950 ns MR4_D 40006004 00000001 - 519950 ns R r1 00000001 - 519950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 519970 ns R r1 80000000 - 519970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 519990 ns R psr 81000200 - 519990 ns MR4_I 01000208 2a001c5b - 520010 ns MR4_I 01000200 07c96841 - 520030 ns IT 01000200 6841 LDR r1,[r0,#4] - 520050 ns MR4_I 01000204 6002d1fc - 520110 ns MR4_D 40006004 00000001 - 520110 ns R r1 00000001 - 520110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 520130 ns R r1 80000000 - 520130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 520150 ns R psr 81000200 - 520150 ns MR4_I 01000208 2a001c5b - 520170 ns MR4_I 01000200 07c96841 - 520190 ns IT 01000200 6841 LDR r1,[r0,#4] - 520210 ns MR4_I 01000204 6002d1fc - 520270 ns MR4_D 40006004 00000001 - 520270 ns R r1 00000001 - 520270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 520290 ns R r1 80000000 - 520290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 520310 ns R psr 81000200 - 520310 ns MR4_I 01000208 2a001c5b - 520330 ns MR4_I 01000200 07c96841 - 520350 ns IT 01000200 6841 LDR r1,[r0,#4] - 520370 ns MR4_I 01000204 6002d1fc - 520430 ns MR4_D 40006004 00000001 - 520430 ns R r1 00000001 - 520430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 520450 ns R r1 80000000 - 520450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 520470 ns R psr 81000200 - 520470 ns MR4_I 01000208 2a001c5b - 520490 ns MR4_I 01000200 07c96841 - 520510 ns IT 01000200 6841 LDR r1,[r0,#4] - 520530 ns MR4_I 01000204 6002d1fc - 520590 ns MR4_D 40006004 00000001 - 520590 ns R r1 00000001 - 520590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 520610 ns R r1 80000000 - 520610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 520630 ns R psr 81000200 - 520630 ns MR4_I 01000208 2a001c5b - 520650 ns MR4_I 01000200 07c96841 - 520670 ns IT 01000200 6841 LDR r1,[r0,#4] - 520690 ns MR4_I 01000204 6002d1fc - 520750 ns MR4_D 40006004 00000001 - 520750 ns R r1 00000001 - 520750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 520770 ns R r1 80000000 - 520770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 520790 ns R psr 81000200 - 520790 ns MR4_I 01000208 2a001c5b - 520810 ns MR4_I 01000200 07c96841 - 520830 ns IT 01000200 6841 LDR r1,[r0,#4] - 520850 ns MR4_I 01000204 6002d1fc - 520910 ns MR4_D 40006004 00000001 - 520910 ns R r1 00000001 - 520910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 520930 ns R r1 80000000 - 520930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 520950 ns R psr 81000200 - 520950 ns MR4_I 01000208 2a001c5b - 520970 ns MR4_I 01000200 07c96841 - 520990 ns IT 01000200 6841 LDR r1,[r0,#4] - 521010 ns MR4_I 01000204 6002d1fc - 521070 ns MR4_D 40006004 00000001 - 521070 ns R r1 00000001 - 521070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 521090 ns R r1 80000000 - 521090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 521110 ns R psr 81000200 - 521110 ns MR4_I 01000208 2a001c5b - 521130 ns MR4_I 01000200 07c96841 - 521150 ns IT 01000200 6841 LDR r1,[r0,#4] - 521170 ns MR4_I 01000204 6002d1fc - 521230 ns MR4_D 40006004 00000001 - 521230 ns R r1 00000001 - 521230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 521250 ns R r1 80000000 - 521250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 521270 ns R psr 81000200 - 521270 ns MR4_I 01000208 2a001c5b - 521290 ns MR4_I 01000200 07c96841 - 521310 ns IT 01000200 6841 LDR r1,[r0,#4] - 521330 ns MR4_I 01000204 6002d1fc - 521390 ns MR4_D 40006004 00000001 - 521390 ns R r1 00000001 - 521390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 521410 ns R r1 80000000 - 521410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 521430 ns R psr 81000200 - 521430 ns MR4_I 01000208 2a001c5b - 521450 ns MR4_I 01000200 07c96841 - 521470 ns IT 01000200 6841 LDR r1,[r0,#4] - 521490 ns MR4_I 01000204 6002d1fc - 521550 ns MR4_D 40006004 00000001 - 521550 ns R r1 00000001 - 521550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 521570 ns R r1 80000000 - 521570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 521590 ns R psr 81000200 - 521590 ns MR4_I 01000208 2a001c5b - 521610 ns MR4_I 01000200 07c96841 - 521630 ns IT 01000200 6841 LDR r1,[r0,#4] - 521650 ns MR4_I 01000204 6002d1fc - 521710 ns MR4_D 40006004 00000001 - 521710 ns R r1 00000001 - 521710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 521730 ns R r1 80000000 - 521730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 521750 ns R psr 81000200 - 521750 ns MR4_I 01000208 2a001c5b - 521770 ns MR4_I 01000200 07c96841 - 521790 ns IT 01000200 6841 LDR r1,[r0,#4] - 521810 ns MR4_I 01000204 6002d1fc - 521870 ns MR4_D 40006004 00000001 - 521870 ns R r1 00000001 - 521870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 521890 ns R r1 80000000 - 521890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 521910 ns R psr 81000200 - 521910 ns MR4_I 01000208 2a001c5b - 521930 ns MR4_I 01000200 07c96841 - 521950 ns IT 01000200 6841 LDR r1,[r0,#4] - 521970 ns MR4_I 01000204 6002d1fc - 522030 ns MR4_D 40006004 00000001 - 522030 ns R r1 00000001 - 522030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 522050 ns R r1 80000000 - 522050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 522070 ns R psr 81000200 - 522070 ns MR4_I 01000208 2a001c5b - 522090 ns MR4_I 01000200 07c96841 - 522110 ns IT 01000200 6841 LDR r1,[r0,#4] - 522130 ns MR4_I 01000204 6002d1fc - 522190 ns MR4_D 40006004 00000001 - 522190 ns R r1 00000001 - 522190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 522210 ns R r1 80000000 - 522210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 522230 ns R psr 81000200 - 522230 ns MR4_I 01000208 2a001c5b - 522250 ns MR4_I 01000200 07c96841 - 522270 ns IT 01000200 6841 LDR r1,[r0,#4] - 522290 ns MR4_I 01000204 6002d1fc - 522350 ns MR4_D 40006004 00000001 - 522350 ns R r1 00000001 - 522350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 522370 ns R r1 80000000 - 522370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 522390 ns R psr 81000200 - 522390 ns MR4_I 01000208 2a001c5b - 522410 ns MR4_I 01000200 07c96841 - 522430 ns IT 01000200 6841 LDR r1,[r0,#4] - 522450 ns MR4_I 01000204 6002d1fc - 522510 ns MR4_D 40006004 00000001 - 522510 ns R r1 00000001 - 522510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 522530 ns R r1 80000000 - 522530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 522550 ns R psr 81000200 - 522550 ns MR4_I 01000208 2a001c5b - 522570 ns MR4_I 01000200 07c96841 - 522590 ns IT 01000200 6841 LDR r1,[r0,#4] - 522610 ns MR4_I 01000204 6002d1fc - 522670 ns MR4_D 40006004 00000001 - 522670 ns R r1 00000001 - 522670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 522690 ns R r1 80000000 - 522690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 522710 ns R psr 81000200 - 522710 ns MR4_I 01000208 2a001c5b - 522730 ns MR4_I 01000200 07c96841 - 522750 ns IT 01000200 6841 LDR r1,[r0,#4] - 522770 ns MR4_I 01000204 6002d1fc - 522830 ns MR4_D 40006004 00000001 - 522830 ns R r1 00000001 - 522830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 522850 ns R r1 80000000 - 522850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 522870 ns R psr 81000200 - 522870 ns MR4_I 01000208 2a001c5b - 522890 ns MR4_I 01000200 07c96841 - 522910 ns IT 01000200 6841 LDR r1,[r0,#4] - 522930 ns MR4_I 01000204 6002d1fc - 522990 ns MR4_D 40006004 00000001 - 522990 ns R r1 00000001 - 522990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 523010 ns R r1 80000000 - 523010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 523030 ns R psr 81000200 - 523030 ns MR4_I 01000208 2a001c5b - 523050 ns MR4_I 01000200 07c96841 - 523070 ns IT 01000200 6841 LDR r1,[r0,#4] - 523090 ns MR4_I 01000204 6002d1fc - 523150 ns MR4_D 40006004 00000001 - 523150 ns R r1 00000001 - 523150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 523170 ns R r1 80000000 - 523170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 523190 ns R psr 81000200 - 523190 ns MR4_I 01000208 2a001c5b - 523210 ns MR4_I 01000200 07c96841 - 523230 ns IT 01000200 6841 LDR r1,[r0,#4] - 523250 ns MR4_I 01000204 6002d1fc - 523310 ns MR4_D 40006004 00000001 - 523310 ns R r1 00000001 - 523310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 523330 ns R r1 80000000 - 523330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 523350 ns R psr 81000200 - 523350 ns MR4_I 01000208 2a001c5b - 523370 ns MR4_I 01000200 07c96841 - 523390 ns IT 01000200 6841 LDR r1,[r0,#4] - 523410 ns MR4_I 01000204 6002d1fc - 523470 ns MR4_D 40006004 00000001 - 523470 ns R r1 00000001 - 523470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 523490 ns R r1 80000000 - 523490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 523510 ns R psr 81000200 - 523510 ns MR4_I 01000208 2a001c5b - 523530 ns MR4_I 01000200 07c96841 - 523550 ns IT 01000200 6841 LDR r1,[r0,#4] - 523570 ns MR4_I 01000204 6002d1fc - 523630 ns MR4_D 40006004 00000001 - 523630 ns R r1 00000001 - 523630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 523650 ns R r1 80000000 - 523650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 523670 ns R psr 81000200 - 523670 ns MR4_I 01000208 2a001c5b - 523690 ns MR4_I 01000200 07c96841 - 523710 ns IT 01000200 6841 LDR r1,[r0,#4] - 523730 ns MR4_I 01000204 6002d1fc - 523790 ns MR4_D 40006004 00000001 - 523790 ns R r1 00000001 - 523790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 523810 ns R r1 80000000 - 523810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 523830 ns R psr 81000200 - 523830 ns MR4_I 01000208 2a001c5b - 523850 ns MR4_I 01000200 07c96841 - 523870 ns IT 01000200 6841 LDR r1,[r0,#4] - 523890 ns MR4_I 01000204 6002d1fc - 523950 ns MR4_D 40006004 00000001 - 523950 ns R r1 00000001 - 523950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 523970 ns R r1 80000000 - 523970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 523990 ns R psr 81000200 - 523990 ns MR4_I 01000208 2a001c5b - 524010 ns MR4_I 01000200 07c96841 - 524030 ns IT 01000200 6841 LDR r1,[r0,#4] - 524050 ns MR4_I 01000204 6002d1fc - 524110 ns MR4_D 40006004 00000001 - 524110 ns R r1 00000001 - 524110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 524130 ns R r1 80000000 - 524130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 524150 ns R psr 81000200 - 524150 ns MR4_I 01000208 2a001c5b - 524170 ns MR4_I 01000200 07c96841 - 524190 ns IT 01000200 6841 LDR r1,[r0,#4] - 524210 ns MR4_I 01000204 6002d1fc - 524270 ns MR4_D 40006004 00000001 - 524270 ns R r1 00000001 - 524270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 524290 ns R r1 80000000 - 524290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 524310 ns R psr 81000200 - 524310 ns MR4_I 01000208 2a001c5b - 524330 ns MR4_I 01000200 07c96841 - 524350 ns IT 01000200 6841 LDR r1,[r0,#4] - 524370 ns MR4_I 01000204 6002d1fc - 524430 ns MR4_D 40006004 00000001 - 524430 ns R r1 00000001 - 524430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 524450 ns R r1 80000000 - 524450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 524470 ns R psr 81000200 - 524470 ns MR4_I 01000208 2a001c5b - 524490 ns MR4_I 01000200 07c96841 - 524510 ns IT 01000200 6841 LDR r1,[r0,#4] - 524530 ns MR4_I 01000204 6002d1fc - 524590 ns MR4_D 40006004 00000001 - 524590 ns R r1 00000001 - 524590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 524610 ns R r1 80000000 - 524610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 524630 ns R psr 81000200 - 524630 ns MR4_I 01000208 2a001c5b - 524650 ns MR4_I 01000200 07c96841 - 524670 ns IT 01000200 6841 LDR r1,[r0,#4] - 524690 ns MR4_I 01000204 6002d1fc - 524750 ns MR4_D 40006004 00000001 - 524750 ns R r1 00000001 - 524750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 524770 ns R r1 80000000 - 524770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 524790 ns R psr 81000200 - 524790 ns MR4_I 01000208 2a001c5b - 524810 ns MR4_I 01000200 07c96841 - 524830 ns IT 01000200 6841 LDR r1,[r0,#4] - 524850 ns MR4_I 01000204 6002d1fc - 524910 ns MR4_D 40006004 00000001 - 524910 ns R r1 00000001 - 524910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 524930 ns R r1 80000000 - 524930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 524950 ns R psr 81000200 - 524950 ns MR4_I 01000208 2a001c5b - 524970 ns MR4_I 01000200 07c96841 - 524990 ns IT 01000200 6841 LDR r1,[r0,#4] - 525010 ns MR4_I 01000204 6002d1fc - 525070 ns MR4_D 40006004 00000001 - 525070 ns R r1 00000001 - 525070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 525090 ns R r1 80000000 - 525090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 525110 ns R psr 81000200 - 525110 ns MR4_I 01000208 2a001c5b - 525130 ns MR4_I 01000200 07c96841 - 525150 ns IT 01000200 6841 LDR r1,[r0,#4] - 525170 ns MR4_I 01000204 6002d1fc - 525230 ns MR4_D 40006004 00000001 - 525230 ns R r1 00000001 - 525230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 525250 ns R r1 80000000 - 525250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 525270 ns R psr 81000200 - 525270 ns MR4_I 01000208 2a001c5b - 525290 ns MR4_I 01000200 07c96841 - 525310 ns IT 01000200 6841 LDR r1,[r0,#4] - 525330 ns MR4_I 01000204 6002d1fc - 525390 ns MR4_D 40006004 00000001 - 525390 ns R r1 00000001 - 525390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 525410 ns R r1 80000000 - 525410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 525430 ns R psr 81000200 - 525430 ns MR4_I 01000208 2a001c5b - 525450 ns MR4_I 01000200 07c96841 - 525470 ns IT 01000200 6841 LDR r1,[r0,#4] - 525490 ns MR4_I 01000204 6002d1fc - 525550 ns MR4_D 40006004 00000001 - 525550 ns R r1 00000001 - 525550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 525570 ns R r1 80000000 - 525570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 525590 ns R psr 81000200 - 525590 ns MR4_I 01000208 2a001c5b - 525610 ns MR4_I 01000200 07c96841 - 525630 ns IT 01000200 6841 LDR r1,[r0,#4] - 525650 ns MR4_I 01000204 6002d1fc - 525710 ns MR4_D 40006004 00000001 - 525710 ns R r1 00000001 - 525710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 525730 ns R r1 80000000 - 525730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 525750 ns R psr 81000200 - 525750 ns MR4_I 01000208 2a001c5b - 525770 ns MR4_I 01000200 07c96841 - 525790 ns IT 01000200 6841 LDR r1,[r0,#4] - 525810 ns MR4_I 01000204 6002d1fc - 525870 ns MR4_D 40006004 00000001 - 525870 ns R r1 00000001 - 525870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 525890 ns R r1 80000000 - 525890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 525910 ns R psr 81000200 - 525910 ns MR4_I 01000208 2a001c5b - 525930 ns MR4_I 01000200 07c96841 - 525950 ns IT 01000200 6841 LDR r1,[r0,#4] - 525970 ns MR4_I 01000204 6002d1fc - 526030 ns MR4_D 40006004 00000001 - 526030 ns R r1 00000001 - 526030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 526050 ns R r1 80000000 - 526050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 526070 ns R psr 81000200 - 526070 ns MR4_I 01000208 2a001c5b - 526090 ns MR4_I 01000200 07c96841 - 526110 ns IT 01000200 6841 LDR r1,[r0,#4] - 526130 ns MR4_I 01000204 6002d1fc - 526190 ns MR4_D 40006004 00000001 - 526190 ns R r1 00000001 - 526190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 526210 ns R r1 80000000 - 526210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 526230 ns R psr 81000200 - 526230 ns MR4_I 01000208 2a001c5b - 526250 ns MR4_I 01000200 07c96841 - 526270 ns IT 01000200 6841 LDR r1,[r0,#4] - 526290 ns MR4_I 01000204 6002d1fc - 526350 ns MR4_D 40006004 00000001 - 526350 ns R r1 00000001 - 526350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 526370 ns R r1 80000000 - 526370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 526390 ns R psr 81000200 - 526390 ns MR4_I 01000208 2a001c5b - 526410 ns MR4_I 01000200 07c96841 - 526430 ns IT 01000200 6841 LDR r1,[r0,#4] - 526450 ns MR4_I 01000204 6002d1fc - 526510 ns MR4_D 40006004 00000001 - 526510 ns R r1 00000001 - 526510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 526530 ns R r1 80000000 - 526530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 526550 ns R psr 81000200 - 526550 ns MR4_I 01000208 2a001c5b - 526570 ns MR4_I 01000200 07c96841 - 526590 ns IT 01000200 6841 LDR r1,[r0,#4] - 526610 ns MR4_I 01000204 6002d1fc - 526670 ns MR4_D 40006004 00000001 - 526670 ns R r1 00000001 - 526670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 526690 ns R r1 80000000 - 526690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 526710 ns R psr 81000200 - 526710 ns MR4_I 01000208 2a001c5b - 526730 ns MR4_I 01000200 07c96841 - 526750 ns IT 01000200 6841 LDR r1,[r0,#4] - 526770 ns MR4_I 01000204 6002d1fc - 526830 ns MR4_D 40006004 00000001 - 526830 ns R r1 00000001 - 526830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 526850 ns R r1 80000000 - 526850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 526870 ns R psr 81000200 - 526870 ns MR4_I 01000208 2a001c5b - 526890 ns MR4_I 01000200 07c96841 - 526910 ns IT 01000200 6841 LDR r1,[r0,#4] - 526930 ns MR4_I 01000204 6002d1fc - 526990 ns MR4_D 40006004 00000001 - 526990 ns R r1 00000001 - 526990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 527010 ns R r1 80000000 - 527010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 527030 ns R psr 81000200 - 527030 ns MR4_I 01000208 2a001c5b - 527050 ns MR4_I 01000200 07c96841 - 527070 ns IT 01000200 6841 LDR r1,[r0,#4] - 527090 ns MR4_I 01000204 6002d1fc - 527150 ns MR4_D 40006004 00000001 - 527150 ns R r1 00000001 - 527150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 527170 ns R r1 80000000 - 527170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 527190 ns R psr 81000200 - 527190 ns MR4_I 01000208 2a001c5b - 527210 ns MR4_I 01000200 07c96841 - 527230 ns IT 01000200 6841 LDR r1,[r0,#4] - 527250 ns MR4_I 01000204 6002d1fc - 527310 ns MR4_D 40006004 00000001 - 527310 ns R r1 00000001 - 527310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 527330 ns R r1 80000000 - 527330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 527350 ns R psr 81000200 - 527350 ns MR4_I 01000208 2a001c5b - 527370 ns MR4_I 01000200 07c96841 - 527390 ns IT 01000200 6841 LDR r1,[r0,#4] - 527410 ns MR4_I 01000204 6002d1fc - 527470 ns MR4_D 40006004 00000001 - 527470 ns R r1 00000001 - 527470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 527490 ns R r1 80000000 - 527490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 527510 ns R psr 81000200 - 527510 ns MR4_I 01000208 2a001c5b - 527530 ns MR4_I 01000200 07c96841 - 527550 ns IT 01000200 6841 LDR r1,[r0,#4] - 527570 ns MR4_I 01000204 6002d1fc - 527630 ns MR4_D 40006004 00000001 - 527630 ns R r1 00000001 - 527630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 527650 ns R r1 80000000 - 527650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 527670 ns R psr 81000200 - 527670 ns MR4_I 01000208 2a001c5b - 527690 ns MR4_I 01000200 07c96841 - 527710 ns IT 01000200 6841 LDR r1,[r0,#4] - 527730 ns MR4_I 01000204 6002d1fc - 527790 ns MR4_D 40006004 00000001 - 527790 ns R r1 00000001 - 527790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 527810 ns R r1 80000000 - 527810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 527830 ns R psr 81000200 - 527830 ns MR4_I 01000208 2a001c5b - 527850 ns MR4_I 01000200 07c96841 - 527870 ns IT 01000200 6841 LDR r1,[r0,#4] - 527890 ns MR4_I 01000204 6002d1fc - 527950 ns MR4_D 40006004 00000001 - 527950 ns R r1 00000001 - 527950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 527970 ns R r1 80000000 - 527970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 527990 ns R psr 81000200 - 527990 ns MR4_I 01000208 2a001c5b - 528010 ns MR4_I 01000200 07c96841 - 528030 ns IT 01000200 6841 LDR r1,[r0,#4] - 528050 ns MR4_I 01000204 6002d1fc - 528110 ns MR4_D 40006004 00000001 - 528110 ns R r1 00000001 - 528110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 528130 ns R r1 80000000 - 528130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 528150 ns R psr 81000200 - 528150 ns MR4_I 01000208 2a001c5b - 528170 ns MR4_I 01000200 07c96841 - 528190 ns IT 01000200 6841 LDR r1,[r0,#4] - 528210 ns MR4_I 01000204 6002d1fc - 528270 ns MR4_D 40006004 00000001 - 528270 ns R r1 00000001 - 528270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 528290 ns R r1 80000000 - 528290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 528310 ns R psr 81000200 - 528310 ns MR4_I 01000208 2a001c5b - 528330 ns MR4_I 01000200 07c96841 - 528350 ns IT 01000200 6841 LDR r1,[r0,#4] - 528370 ns MR4_I 01000204 6002d1fc - 528430 ns MR4_D 40006004 00000001 - 528430 ns R r1 00000001 - 528430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 528450 ns R r1 80000000 - 528450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 528470 ns R psr 81000200 - 528470 ns MR4_I 01000208 2a001c5b - 528490 ns MR4_I 01000200 07c96841 - 528510 ns IT 01000200 6841 LDR r1,[r0,#4] - 528530 ns MR4_I 01000204 6002d1fc - 528590 ns MR4_D 40006004 00000001 - 528590 ns R r1 00000001 - 528590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 528610 ns R r1 80000000 - 528610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 528630 ns R psr 81000200 - 528630 ns MR4_I 01000208 2a001c5b - 528650 ns MR4_I 01000200 07c96841 - 528670 ns IT 01000200 6841 LDR r1,[r0,#4] - 528690 ns MR4_I 01000204 6002d1fc - 528750 ns MR4_D 40006004 00000001 - 528750 ns R r1 00000001 - 528750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 528770 ns R r1 80000000 - 528770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 528790 ns R psr 81000200 - 528790 ns MR4_I 01000208 2a001c5b - 528810 ns MR4_I 01000200 07c96841 - 528830 ns IT 01000200 6841 LDR r1,[r0,#4] - 528850 ns MR4_I 01000204 6002d1fc - 528910 ns MR4_D 40006004 00000001 - 528910 ns R r1 00000001 - 528910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 528930 ns R r1 80000000 - 528930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 528950 ns R psr 81000200 - 528950 ns MR4_I 01000208 2a001c5b - 528970 ns MR4_I 01000200 07c96841 - 528990 ns IT 01000200 6841 LDR r1,[r0,#4] - 529010 ns MR4_I 01000204 6002d1fc - 529070 ns MR4_D 40006004 00000001 - 529070 ns R r1 00000001 - 529070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 529090 ns R r1 80000000 - 529090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 529110 ns R psr 81000200 - 529110 ns MR4_I 01000208 2a001c5b - 529130 ns MR4_I 01000200 07c96841 - 529150 ns IT 01000200 6841 LDR r1,[r0,#4] - 529170 ns MR4_I 01000204 6002d1fc - 529230 ns MR4_D 40006004 00000001 - 529230 ns R r1 00000001 - 529230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 529250 ns R r1 80000000 - 529250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 529270 ns R psr 81000200 - 529270 ns MR4_I 01000208 2a001c5b - 529290 ns MR4_I 01000200 07c96841 - 529310 ns IT 01000200 6841 LDR r1,[r0,#4] - 529330 ns MR4_I 01000204 6002d1fc - 529390 ns MR4_D 40006004 00000001 - 529390 ns R r1 00000001 - 529390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 529410 ns R r1 80000000 - 529410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 529430 ns R psr 81000200 - 529430 ns MR4_I 01000208 2a001c5b - 529450 ns MR4_I 01000200 07c96841 - 529470 ns IT 01000200 6841 LDR r1,[r0,#4] - 529490 ns MR4_I 01000204 6002d1fc - 529550 ns MR4_D 40006004 00000001 - 529550 ns R r1 00000001 - 529550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 529570 ns R r1 80000000 - 529570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 529590 ns R psr 81000200 - 529590 ns MR4_I 01000208 2a001c5b - 529610 ns MR4_I 01000200 07c96841 - 529630 ns IT 01000200 6841 LDR r1,[r0,#4] - 529650 ns MR4_I 01000204 6002d1fc - 529710 ns MR4_D 40006004 00000001 - 529710 ns R r1 00000001 - 529710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 529730 ns R r1 80000000 - 529730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 529750 ns R psr 81000200 - 529750 ns MR4_I 01000208 2a001c5b - 529770 ns MR4_I 01000200 07c96841 - 529790 ns IT 01000200 6841 LDR r1,[r0,#4] - 529810 ns MR4_I 01000204 6002d1fc - 529870 ns MR4_D 40006004 00000001 - 529870 ns R r1 00000001 - 529870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 529890 ns R r1 80000000 - 529890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 529910 ns R psr 81000200 - 529910 ns MR4_I 01000208 2a001c5b - 529930 ns MR4_I 01000200 07c96841 - 529950 ns IT 01000200 6841 LDR r1,[r0,#4] - 529970 ns MR4_I 01000204 6002d1fc - 530030 ns MR4_D 40006004 00000001 - 530030 ns R r1 00000001 - 530030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 530050 ns R r1 80000000 - 530050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 530070 ns R psr 81000200 - 530070 ns MR4_I 01000208 2a001c5b - 530090 ns MR4_I 01000200 07c96841 - 530110 ns IT 01000200 6841 LDR r1,[r0,#4] - 530130 ns MR4_I 01000204 6002d1fc - 530190 ns MR4_D 40006004 00000001 - 530190 ns R r1 00000001 - 530190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 530210 ns R r1 80000000 - 530210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 530230 ns R psr 81000200 - 530230 ns MR4_I 01000208 2a001c5b - 530250 ns MR4_I 01000200 07c96841 - 530270 ns IT 01000200 6841 LDR r1,[r0,#4] - 530290 ns MR4_I 01000204 6002d1fc - 530350 ns MR4_D 40006004 00000001 - 530350 ns R r1 00000001 - 530350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 530370 ns R r1 80000000 - 530370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 530390 ns R psr 81000200 - 530390 ns MR4_I 01000208 2a001c5b - 530410 ns MR4_I 01000200 07c96841 - 530430 ns IT 01000200 6841 LDR r1,[r0,#4] - 530450 ns MR4_I 01000204 6002d1fc - 530510 ns MR4_D 40006004 00000001 - 530510 ns R r1 00000001 - 530510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 530530 ns R r1 80000000 - 530530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 530550 ns R psr 81000200 - 530550 ns MR4_I 01000208 2a001c5b - 530570 ns MR4_I 01000200 07c96841 - 530590 ns IT 01000200 6841 LDR r1,[r0,#4] - 530610 ns MR4_I 01000204 6002d1fc - 530670 ns MR4_D 40006004 00000001 - 530670 ns R r1 00000001 - 530670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 530690 ns R r1 80000000 - 530690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 530710 ns R psr 81000200 - 530710 ns MR4_I 01000208 2a001c5b - 530730 ns MR4_I 01000200 07c96841 - 530750 ns IT 01000200 6841 LDR r1,[r0,#4] - 530770 ns MR4_I 01000204 6002d1fc - 530830 ns MR4_D 40006004 00000001 - 530830 ns R r1 00000001 - 530830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 530850 ns R r1 80000000 - 530850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 530870 ns R psr 81000200 - 530870 ns MR4_I 01000208 2a001c5b - 530890 ns MR4_I 01000200 07c96841 - 530910 ns IT 01000200 6841 LDR r1,[r0,#4] - 530930 ns MR4_I 01000204 6002d1fc - 530990 ns MR4_D 40006004 00000001 - 530990 ns R r1 00000001 - 530990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 531010 ns R r1 80000000 - 531010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 531030 ns R psr 81000200 - 531030 ns MR4_I 01000208 2a001c5b - 531050 ns MR4_I 01000200 07c96841 - 531070 ns IT 01000200 6841 LDR r1,[r0,#4] - 531090 ns MR4_I 01000204 6002d1fc - 531150 ns MR4_D 40006004 00000001 - 531150 ns R r1 00000001 - 531150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 531170 ns R r1 80000000 - 531170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 531190 ns R psr 81000200 - 531190 ns MR4_I 01000208 2a001c5b - 531210 ns MR4_I 01000200 07c96841 - 531230 ns IT 01000200 6841 LDR r1,[r0,#4] - 531250 ns MR4_I 01000204 6002d1fc - 531310 ns MR4_D 40006004 00000001 - 531310 ns R r1 00000001 - 531310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 531330 ns R r1 80000000 - 531330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 531350 ns R psr 81000200 - 531350 ns MR4_I 01000208 2a001c5b - 531370 ns MR4_I 01000200 07c96841 - 531390 ns IT 01000200 6841 LDR r1,[r0,#4] - 531410 ns MR4_I 01000204 6002d1fc - 531470 ns MR4_D 40006004 00000001 - 531470 ns R r1 00000001 - 531470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 531490 ns R r1 80000000 - 531490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 531510 ns R psr 81000200 - 531510 ns MR4_I 01000208 2a001c5b - 531530 ns MR4_I 01000200 07c96841 - 531550 ns IT 01000200 6841 LDR r1,[r0,#4] - 531570 ns MR4_I 01000204 6002d1fc - 531630 ns MR4_D 40006004 00000001 - 531630 ns R r1 00000001 - 531630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 531650 ns R r1 80000000 - 531650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 531670 ns R psr 81000200 - 531670 ns MR4_I 01000208 2a001c5b - 531690 ns MR4_I 01000200 07c96841 - 531710 ns IT 01000200 6841 LDR r1,[r0,#4] - 531730 ns MR4_I 01000204 6002d1fc - 531790 ns MR4_D 40006004 00000001 - 531790 ns R r1 00000001 - 531790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 531810 ns R r1 80000000 - 531810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 531830 ns R psr 81000200 - 531830 ns MR4_I 01000208 2a001c5b - 531850 ns MR4_I 01000200 07c96841 - 531870 ns IT 01000200 6841 LDR r1,[r0,#4] - 531890 ns MR4_I 01000204 6002d1fc - 531950 ns MR4_D 40006004 00000001 - 531950 ns R r1 00000001 - 531950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 531970 ns R r1 80000000 - 531970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 531990 ns R psr 81000200 - 531990 ns MR4_I 01000208 2a001c5b - 532010 ns MR4_I 01000200 07c96841 - 532030 ns IT 01000200 6841 LDR r1,[r0,#4] - 532050 ns MR4_I 01000204 6002d1fc - 532110 ns MR4_D 40006004 00000001 - 532110 ns R r1 00000001 - 532110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 532130 ns R r1 80000000 - 532130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 532150 ns R psr 81000200 - 532150 ns MR4_I 01000208 2a001c5b - 532170 ns MR4_I 01000200 07c96841 - 532190 ns IT 01000200 6841 LDR r1,[r0,#4] - 532210 ns MR4_I 01000204 6002d1fc - 532270 ns MR4_D 40006004 00000001 - 532270 ns R r1 00000001 - 532270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 532290 ns R r1 80000000 - 532290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 532310 ns R psr 81000200 - 532310 ns MR4_I 01000208 2a001c5b - 532330 ns MR4_I 01000200 07c96841 - 532350 ns IT 01000200 6841 LDR r1,[r0,#4] - 532370 ns MR4_I 01000204 6002d1fc - 532430 ns MR4_D 40006004 00000001 - 532430 ns R r1 00000001 - 532430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 532450 ns R r1 80000000 - 532450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 532470 ns R psr 81000200 - 532470 ns MR4_I 01000208 2a001c5b - 532490 ns MR4_I 01000200 07c96841 - 532510 ns IT 01000200 6841 LDR r1,[r0,#4] - 532530 ns MR4_I 01000204 6002d1fc - 532590 ns MR4_D 40006004 00000001 - 532590 ns R r1 00000001 - 532590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 532610 ns R r1 80000000 - 532610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 532630 ns R psr 81000200 - 532630 ns MR4_I 01000208 2a001c5b - 532650 ns MR4_I 01000200 07c96841 - 532670 ns IT 01000200 6841 LDR r1,[r0,#4] - 532690 ns MR4_I 01000204 6002d1fc - 532750 ns MR4_D 40006004 00000001 - 532750 ns R r1 00000001 - 532750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 532770 ns R r1 80000000 - 532770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 532790 ns R psr 81000200 - 532790 ns MR4_I 01000208 2a001c5b - 532810 ns MR4_I 01000200 07c96841 - 532830 ns IT 01000200 6841 LDR r1,[r0,#4] - 532850 ns MR4_I 01000204 6002d1fc - 532910 ns MR4_D 40006004 00000001 - 532910 ns R r1 00000001 - 532910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 532930 ns R r1 80000000 - 532930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 532950 ns R psr 81000200 - 532950 ns MR4_I 01000208 2a001c5b - 532970 ns MR4_I 01000200 07c96841 - 532990 ns IT 01000200 6841 LDR r1,[r0,#4] - 533010 ns MR4_I 01000204 6002d1fc - 533070 ns MR4_D 40006004 00000001 - 533070 ns R r1 00000001 - 533070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 533090 ns R r1 80000000 - 533090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 533110 ns R psr 81000200 - 533110 ns MR4_I 01000208 2a001c5b - 533130 ns MR4_I 01000200 07c96841 - 533150 ns IT 01000200 6841 LDR r1,[r0,#4] - 533170 ns MR4_I 01000204 6002d1fc - 533230 ns MR4_D 40006004 00000001 - 533230 ns R r1 00000001 - 533230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 533250 ns R r1 80000000 - 533250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 533270 ns R psr 81000200 - 533270 ns MR4_I 01000208 2a001c5b - 533290 ns MR4_I 01000200 07c96841 - 533310 ns IT 01000200 6841 LDR r1,[r0,#4] - 533330 ns MR4_I 01000204 6002d1fc - 533390 ns MR4_D 40006004 00000001 - 533390 ns R r1 00000001 - 533390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 533410 ns R r1 80000000 - 533410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 533430 ns R psr 81000200 - 533430 ns MR4_I 01000208 2a001c5b - 533450 ns MR4_I 01000200 07c96841 - 533470 ns IT 01000200 6841 LDR r1,[r0,#4] - 533490 ns MR4_I 01000204 6002d1fc - 533550 ns MR4_D 40006004 00000001 - 533550 ns R r1 00000001 - 533550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 533570 ns R r1 80000000 - 533570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 533590 ns R psr 81000200 - 533590 ns MR4_I 01000208 2a001c5b - 533610 ns MR4_I 01000200 07c96841 - 533630 ns IT 01000200 6841 LDR r1,[r0,#4] - 533650 ns MR4_I 01000204 6002d1fc - 533710 ns MR4_D 40006004 00000001 - 533710 ns R r1 00000001 - 533710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 533730 ns R r1 80000000 - 533730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 533750 ns R psr 81000200 - 533750 ns MR4_I 01000208 2a001c5b - 533770 ns MR4_I 01000200 07c96841 - 533790 ns IT 01000200 6841 LDR r1,[r0,#4] - 533810 ns MR4_I 01000204 6002d1fc - 533870 ns MR4_D 40006004 00000001 - 533870 ns R r1 00000001 - 533870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 533890 ns R r1 80000000 - 533890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 533910 ns R psr 81000200 - 533910 ns MR4_I 01000208 2a001c5b - 533930 ns MR4_I 01000200 07c96841 - 533950 ns IT 01000200 6841 LDR r1,[r0,#4] - 533970 ns MR4_I 01000204 6002d1fc - 534030 ns MR4_D 40006004 00000001 - 534030 ns R r1 00000001 - 534030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 534050 ns R r1 80000000 - 534050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 534070 ns R psr 81000200 - 534070 ns MR4_I 01000208 2a001c5b - 534090 ns MR4_I 01000200 07c96841 - 534110 ns IT 01000200 6841 LDR r1,[r0,#4] - 534130 ns MR4_I 01000204 6002d1fc - 534190 ns MR4_D 40006004 00000001 - 534190 ns R r1 00000001 - 534190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 534210 ns R r1 80000000 - 534210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 534230 ns R psr 81000200 - 534230 ns MR4_I 01000208 2a001c5b - 534250 ns MR4_I 01000200 07c96841 - 534270 ns IT 01000200 6841 LDR r1,[r0,#4] - 534290 ns MR4_I 01000204 6002d1fc - 534350 ns MR4_D 40006004 00000001 - 534350 ns R r1 00000001 - 534350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 534370 ns R r1 80000000 - 534370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 534390 ns R psr 81000200 - 534390 ns MR4_I 01000208 2a001c5b - 534410 ns MR4_I 01000200 07c96841 - 534430 ns IT 01000200 6841 LDR r1,[r0,#4] - 534450 ns MR4_I 01000204 6002d1fc - 534510 ns MR4_D 40006004 00000001 - 534510 ns R r1 00000001 - 534510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 534530 ns R r1 80000000 - 534530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 534550 ns R psr 81000200 - 534550 ns MR4_I 01000208 2a001c5b - 534570 ns MR4_I 01000200 07c96841 - 534590 ns IT 01000200 6841 LDR r1,[r0,#4] - 534610 ns MR4_I 01000204 6002d1fc - 534670 ns MR4_D 40006004 00000001 - 534670 ns R r1 00000001 - 534670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 534690 ns R r1 80000000 - 534690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 534710 ns R psr 81000200 - 534710 ns MR4_I 01000208 2a001c5b - 534730 ns MR4_I 01000200 07c96841 - 534750 ns IT 01000200 6841 LDR r1,[r0,#4] - 534770 ns MR4_I 01000204 6002d1fc - 534830 ns MR4_D 40006004 00000001 - 534830 ns R r1 00000001 - 534830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 534850 ns R r1 80000000 - 534850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 534870 ns R psr 81000200 - 534870 ns MR4_I 01000208 2a001c5b - 534890 ns MR4_I 01000200 07c96841 - 534910 ns IT 01000200 6841 LDR r1,[r0,#4] - 534930 ns MR4_I 01000204 6002d1fc - 534990 ns MR4_D 40006004 00000001 - 534990 ns R r1 00000001 - 534990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 535010 ns R r1 80000000 - 535010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 535030 ns R psr 81000200 - 535030 ns MR4_I 01000208 2a001c5b - 535050 ns MR4_I 01000200 07c96841 - 535070 ns IT 01000200 6841 LDR r1,[r0,#4] - 535090 ns MR4_I 01000204 6002d1fc - 535150 ns MR4_D 40006004 00000001 - 535150 ns R r1 00000001 - 535150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 535170 ns R r1 80000000 - 535170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 535190 ns R psr 81000200 - 535190 ns MR4_I 01000208 2a001c5b - 535210 ns MR4_I 01000200 07c96841 - 535230 ns IT 01000200 6841 LDR r1,[r0,#4] - 535250 ns MR4_I 01000204 6002d1fc - 535310 ns MR4_D 40006004 00000001 - 535310 ns R r1 00000001 - 535310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 535330 ns R r1 80000000 - 535330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 535350 ns R psr 81000200 - 535350 ns MR4_I 01000208 2a001c5b - 535370 ns MR4_I 01000200 07c96841 - 535390 ns IT 01000200 6841 LDR r1,[r0,#4] - 535410 ns MR4_I 01000204 6002d1fc - 535470 ns MR4_D 40006004 00000001 - 535470 ns R r1 00000001 - 535470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 535490 ns R r1 80000000 - 535490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 535510 ns R psr 81000200 - 535510 ns MR4_I 01000208 2a001c5b - 535530 ns MR4_I 01000200 07c96841 - 535550 ns IT 01000200 6841 LDR r1,[r0,#4] - 535570 ns MR4_I 01000204 6002d1fc - 535630 ns MR4_D 40006004 00000001 - 535630 ns R r1 00000001 - 535630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 535650 ns R r1 80000000 - 535650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 535670 ns R psr 81000200 - 535670 ns MR4_I 01000208 2a001c5b - 535690 ns MR4_I 01000200 07c96841 - 535710 ns IT 01000200 6841 LDR r1,[r0,#4] - 535730 ns MR4_I 01000204 6002d1fc - 535790 ns MR4_D 40006004 00000001 - 535790 ns R r1 00000001 - 535790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 535810 ns R r1 80000000 - 535810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 535830 ns R psr 81000200 - 535830 ns MR4_I 01000208 2a001c5b - 535850 ns MR4_I 01000200 07c96841 - 535870 ns IT 01000200 6841 LDR r1,[r0,#4] - 535890 ns MR4_I 01000204 6002d1fc - 535950 ns MR4_D 40006004 00000001 - 535950 ns R r1 00000001 - 535950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 535970 ns R r1 80000000 - 535970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 535990 ns R psr 81000200 - 535990 ns MR4_I 01000208 2a001c5b - 536010 ns MR4_I 01000200 07c96841 - 536030 ns IT 01000200 6841 LDR r1,[r0,#4] - 536050 ns MR4_I 01000204 6002d1fc - 536110 ns MR4_D 40006004 00000001 - 536110 ns R r1 00000001 - 536110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 536130 ns R r1 80000000 - 536130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 536150 ns R psr 81000200 - 536150 ns MR4_I 01000208 2a001c5b - 536170 ns MR4_I 01000200 07c96841 - 536190 ns IT 01000200 6841 LDR r1,[r0,#4] - 536210 ns MR4_I 01000204 6002d1fc - 536270 ns MR4_D 40006004 00000001 - 536270 ns R r1 00000001 - 536270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 536290 ns R r1 80000000 - 536290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 536310 ns R psr 81000200 - 536310 ns MR4_I 01000208 2a001c5b - 536330 ns MR4_I 01000200 07c96841 - 536350 ns IT 01000200 6841 LDR r1,[r0,#4] - 536370 ns MR4_I 01000204 6002d1fc - 536430 ns MR4_D 40006004 00000001 - 536430 ns R r1 00000001 - 536430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 536450 ns R r1 80000000 - 536450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 536470 ns R psr 81000200 - 536470 ns MR4_I 01000208 2a001c5b - 536490 ns MR4_I 01000200 07c96841 - 536510 ns IT 01000200 6841 LDR r1,[r0,#4] - 536530 ns MR4_I 01000204 6002d1fc - 536590 ns MR4_D 40006004 00000001 - 536590 ns R r1 00000001 - 536590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 536610 ns R r1 80000000 - 536610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 536630 ns R psr 81000200 - 536630 ns MR4_I 01000208 2a001c5b - 536650 ns MR4_I 01000200 07c96841 - 536670 ns IT 01000200 6841 LDR r1,[r0,#4] - 536690 ns MR4_I 01000204 6002d1fc - 536750 ns MR4_D 40006004 00000001 - 536750 ns R r1 00000001 - 536750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 536770 ns R r1 80000000 - 536770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 536790 ns R psr 81000200 - 536790 ns MR4_I 01000208 2a001c5b - 536810 ns MR4_I 01000200 07c96841 - 536830 ns IT 01000200 6841 LDR r1,[r0,#4] - 536850 ns MR4_I 01000204 6002d1fc - 536910 ns MR4_D 40006004 00000001 - 536910 ns R r1 00000001 - 536910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 536930 ns R r1 80000000 - 536930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 536950 ns R psr 81000200 - 536950 ns MR4_I 01000208 2a001c5b - 536970 ns MR4_I 01000200 07c96841 - 536990 ns IT 01000200 6841 LDR r1,[r0,#4] - 537010 ns MR4_I 01000204 6002d1fc - 537070 ns MR4_D 40006004 00000001 - 537070 ns R r1 00000001 - 537070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 537090 ns R r1 80000000 - 537090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 537110 ns R psr 81000200 - 537110 ns MR4_I 01000208 2a001c5b - 537130 ns MR4_I 01000200 07c96841 - 537150 ns IT 01000200 6841 LDR r1,[r0,#4] - 537170 ns MR4_I 01000204 6002d1fc - 537230 ns MR4_D 40006004 00000001 - 537230 ns R r1 00000001 - 537230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 537250 ns R r1 80000000 - 537250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 537270 ns R psr 81000200 - 537270 ns MR4_I 01000208 2a001c5b - 537290 ns MR4_I 01000200 07c96841 - 537310 ns IT 01000200 6841 LDR r1,[r0,#4] - 537330 ns MR4_I 01000204 6002d1fc - 537390 ns MR4_D 40006004 00000001 - 537390 ns R r1 00000001 - 537390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 537410 ns R r1 80000000 - 537410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 537430 ns R psr 81000200 - 537430 ns MR4_I 01000208 2a001c5b - 537450 ns MR4_I 01000200 07c96841 - 537470 ns IT 01000200 6841 LDR r1,[r0,#4] - 537490 ns MR4_I 01000204 6002d1fc - 537550 ns MR4_D 40006004 00000001 - 537550 ns R r1 00000001 - 537550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 537570 ns R r1 80000000 - 537570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 537590 ns R psr 81000200 - 537590 ns MR4_I 01000208 2a001c5b - 537610 ns MR4_I 01000200 07c96841 - 537630 ns IT 01000200 6841 LDR r1,[r0,#4] - 537650 ns MR4_I 01000204 6002d1fc - 537710 ns MR4_D 40006004 00000001 - 537710 ns R r1 00000001 - 537710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 537730 ns R r1 80000000 - 537730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 537750 ns R psr 81000200 - 537750 ns MR4_I 01000208 2a001c5b - 537770 ns MR4_I 01000200 07c96841 - 537790 ns IT 01000200 6841 LDR r1,[r0,#4] - 537810 ns MR4_I 01000204 6002d1fc - 537870 ns MR4_D 40006004 00000001 - 537870 ns R r1 00000001 - 537870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 537890 ns R r1 80000000 - 537890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 537910 ns R psr 81000200 - 537910 ns MR4_I 01000208 2a001c5b - 537930 ns MR4_I 01000200 07c96841 - 537950 ns IT 01000200 6841 LDR r1,[r0,#4] - 537970 ns MR4_I 01000204 6002d1fc - 538030 ns MR4_D 40006004 00000001 - 538030 ns R r1 00000001 - 538030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 538050 ns R r1 80000000 - 538050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 538070 ns R psr 81000200 - 538070 ns MR4_I 01000208 2a001c5b - 538090 ns MR4_I 01000200 07c96841 - 538110 ns IT 01000200 6841 LDR r1,[r0,#4] - 538130 ns MR4_I 01000204 6002d1fc - 538190 ns MR4_D 40006004 00000001 - 538190 ns R r1 00000001 - 538190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 538210 ns R r1 80000000 - 538210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 538230 ns R psr 81000200 - 538230 ns MR4_I 01000208 2a001c5b - 538250 ns MR4_I 01000200 07c96841 - 538270 ns IT 01000200 6841 LDR r1,[r0,#4] - 538290 ns MR4_I 01000204 6002d1fc - 538350 ns MR4_D 40006004 00000001 - 538350 ns R r1 00000001 - 538350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 538370 ns R r1 80000000 - 538370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 538390 ns R psr 81000200 - 538390 ns MR4_I 01000208 2a001c5b - 538410 ns MR4_I 01000200 07c96841 - 538430 ns IT 01000200 6841 LDR r1,[r0,#4] - 538450 ns MR4_I 01000204 6002d1fc - 538510 ns MR4_D 40006004 00000001 - 538510 ns R r1 00000001 - 538510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 538530 ns R r1 80000000 - 538530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 538550 ns R psr 81000200 - 538550 ns MR4_I 01000208 2a001c5b - 538570 ns MR4_I 01000200 07c96841 - 538590 ns IT 01000200 6841 LDR r1,[r0,#4] - 538610 ns MR4_I 01000204 6002d1fc - 538670 ns MR4_D 40006004 00000001 - 538670 ns R r1 00000001 - 538670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 538690 ns R r1 80000000 - 538690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 538710 ns R psr 81000200 - 538710 ns MR4_I 01000208 2a001c5b - 538730 ns MR4_I 01000200 07c96841 - 538750 ns IT 01000200 6841 LDR r1,[r0,#4] - 538770 ns MR4_I 01000204 6002d1fc - 538830 ns MR4_D 40006004 00000001 - 538830 ns R r1 00000001 - 538830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 538850 ns R r1 80000000 - 538850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 538870 ns R psr 81000200 - 538870 ns MR4_I 01000208 2a001c5b - 538890 ns MR4_I 01000200 07c96841 - 538910 ns IT 01000200 6841 LDR r1,[r0,#4] - 538930 ns MR4_I 01000204 6002d1fc - 538990 ns MR4_D 40006004 00000001 - 538990 ns R r1 00000001 - 538990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 539010 ns R r1 80000000 - 539010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 539030 ns R psr 81000200 - 539030 ns MR4_I 01000208 2a001c5b - 539050 ns MR4_I 01000200 07c96841 - 539070 ns IT 01000200 6841 LDR r1,[r0,#4] - 539090 ns MR4_I 01000204 6002d1fc - 539150 ns MR4_D 40006004 00000001 - 539150 ns R r1 00000001 - 539150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 539170 ns R r1 80000000 - 539170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 539190 ns R psr 81000200 - 539190 ns MR4_I 01000208 2a001c5b - 539210 ns MR4_I 01000200 07c96841 - 539230 ns IT 01000200 6841 LDR r1,[r0,#4] - 539250 ns MR4_I 01000204 6002d1fc - 539310 ns MR4_D 40006004 00000001 - 539310 ns R r1 00000001 - 539310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 539330 ns R r1 80000000 - 539330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 539350 ns R psr 81000200 - 539350 ns MR4_I 01000208 2a001c5b - 539370 ns MR4_I 01000200 07c96841 - 539390 ns IT 01000200 6841 LDR r1,[r0,#4] - 539410 ns MR4_I 01000204 6002d1fc - 539470 ns MR4_D 40006004 00000001 - 539470 ns R r1 00000001 - 539470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 539490 ns R r1 80000000 - 539490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 539510 ns R psr 81000200 - 539510 ns MR4_I 01000208 2a001c5b - 539530 ns MR4_I 01000200 07c96841 - 539550 ns IT 01000200 6841 LDR r1,[r0,#4] - 539570 ns MR4_I 01000204 6002d1fc - 539630 ns MR4_D 40006004 00000001 - 539630 ns R r1 00000001 - 539630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 539650 ns R r1 80000000 - 539650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 539670 ns R psr 81000200 - 539670 ns MR4_I 01000208 2a001c5b - 539690 ns MR4_I 01000200 07c96841 - 539710 ns IT 01000200 6841 LDR r1,[r0,#4] - 539730 ns MR4_I 01000204 6002d1fc - 539790 ns MR4_D 40006004 00000001 - 539790 ns R r1 00000001 - 539790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 539810 ns R r1 80000000 - 539810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 539830 ns R psr 81000200 - 539830 ns MR4_I 01000208 2a001c5b - 539850 ns MR4_I 01000200 07c96841 - 539870 ns IT 01000200 6841 LDR r1,[r0,#4] - 539890 ns MR4_I 01000204 6002d1fc - 539950 ns MR4_D 40006004 00000001 - 539950 ns R r1 00000001 - 539950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 539970 ns R r1 80000000 - 539970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 539990 ns R psr 81000200 - 539990 ns MR4_I 01000208 2a001c5b - 540010 ns MR4_I 01000200 07c96841 - 540030 ns IT 01000200 6841 LDR r1,[r0,#4] - 540050 ns MR4_I 01000204 6002d1fc - 540110 ns MR4_D 40006004 00000001 - 540110 ns R r1 00000001 - 540110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 540130 ns R r1 80000000 - 540130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 540150 ns R psr 81000200 - 540150 ns MR4_I 01000208 2a001c5b - 540170 ns MR4_I 01000200 07c96841 - 540190 ns IT 01000200 6841 LDR r1,[r0,#4] - 540210 ns MR4_I 01000204 6002d1fc - 540270 ns MR4_D 40006004 00000001 - 540270 ns R r1 00000001 - 540270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 540290 ns R r1 80000000 - 540290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 540310 ns R psr 81000200 - 540310 ns MR4_I 01000208 2a001c5b - 540330 ns MR4_I 01000200 07c96841 - 540350 ns IT 01000200 6841 LDR r1,[r0,#4] - 540370 ns MR4_I 01000204 6002d1fc - 540430 ns MR4_D 40006004 00000001 - 540430 ns R r1 00000001 - 540430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 540450 ns R r1 80000000 - 540450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 540470 ns R psr 81000200 - 540470 ns MR4_I 01000208 2a001c5b - 540490 ns MR4_I 01000200 07c96841 - 540510 ns IT 01000200 6841 LDR r1,[r0,#4] - 540530 ns MR4_I 01000204 6002d1fc - 540590 ns MR4_D 40006004 00000001 - 540590 ns R r1 00000001 - 540590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 540610 ns R r1 80000000 - 540610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 540630 ns R psr 81000200 - 540630 ns MR4_I 01000208 2a001c5b - 540650 ns MR4_I 01000200 07c96841 - 540670 ns IT 01000200 6841 LDR r1,[r0,#4] - 540690 ns MR4_I 01000204 6002d1fc - 540750 ns MR4_D 40006004 00000001 - 540750 ns R r1 00000001 - 540750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 540770 ns R r1 80000000 - 540770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 540790 ns R psr 81000200 - 540790 ns MR4_I 01000208 2a001c5b - 540810 ns MR4_I 01000200 07c96841 - 540830 ns IT 01000200 6841 LDR r1,[r0,#4] - 540850 ns MR4_I 01000204 6002d1fc - 540910 ns MR4_D 40006004 00000001 - 540910 ns R r1 00000001 - 540910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 540930 ns R r1 80000000 - 540930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 540950 ns R psr 81000200 - 540950 ns MR4_I 01000208 2a001c5b - 540970 ns MR4_I 01000200 07c96841 - 540990 ns IT 01000200 6841 LDR r1,[r0,#4] - 541010 ns MR4_I 01000204 6002d1fc - 541070 ns MR4_D 40006004 00000001 - 541070 ns R r1 00000001 - 541070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 541090 ns R r1 80000000 - 541090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 541110 ns R psr 81000200 - 541110 ns MR4_I 01000208 2a001c5b - 541130 ns MR4_I 01000200 07c96841 - 541150 ns IT 01000200 6841 LDR r1,[r0,#4] - 541170 ns MR4_I 01000204 6002d1fc - 541230 ns MR4_D 40006004 00000001 - 541230 ns R r1 00000001 - 541230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 541250 ns R r1 80000000 - 541250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 541270 ns R psr 81000200 - 541270 ns MR4_I 01000208 2a001c5b - 541290 ns MR4_I 01000200 07c96841 - 541310 ns IT 01000200 6841 LDR r1,[r0,#4] - 541330 ns MR4_I 01000204 6002d1fc - 541390 ns MR4_D 40006004 00000001 - 541390 ns R r1 00000001 - 541390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 541410 ns R r1 80000000 - 541410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 541430 ns R psr 81000200 - 541430 ns MR4_I 01000208 2a001c5b - 541450 ns MR4_I 01000200 07c96841 - 541470 ns IT 01000200 6841 LDR r1,[r0,#4] - 541490 ns MR4_I 01000204 6002d1fc - 541550 ns MR4_D 40006004 00000001 - 541550 ns R r1 00000001 - 541550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 541570 ns R r1 80000000 - 541570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 541590 ns R psr 81000200 - 541590 ns MR4_I 01000208 2a001c5b - 541610 ns MR4_I 01000200 07c96841 - 541630 ns IT 01000200 6841 LDR r1,[r0,#4] - 541650 ns MR4_I 01000204 6002d1fc - 541710 ns MR4_D 40006004 00000001 - 541710 ns R r1 00000001 - 541710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 541730 ns R r1 80000000 - 541730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 541750 ns R psr 81000200 - 541750 ns MR4_I 01000208 2a001c5b - 541770 ns MR4_I 01000200 07c96841 - 541790 ns IT 01000200 6841 LDR r1,[r0,#4] - 541810 ns MR4_I 01000204 6002d1fc - 541870 ns MR4_D 40006004 00000001 - 541870 ns R r1 00000001 - 541870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 541890 ns R r1 80000000 - 541890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 541910 ns R psr 81000200 - 541910 ns MR4_I 01000208 2a001c5b - 541930 ns MR4_I 01000200 07c96841 - 541950 ns IT 01000200 6841 LDR r1,[r0,#4] - 541970 ns MR4_I 01000204 6002d1fc - 542030 ns MR4_D 40006004 00000001 - 542030 ns R r1 00000001 - 542030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 542050 ns R r1 80000000 - 542050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 542070 ns R psr 81000200 - 542070 ns MR4_I 01000208 2a001c5b - 542090 ns MR4_I 01000200 07c96841 - 542110 ns IT 01000200 6841 LDR r1,[r0,#4] - 542130 ns MR4_I 01000204 6002d1fc - 542190 ns MR4_D 40006004 00000001 - 542190 ns R r1 00000001 - 542190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 542210 ns R r1 80000000 - 542210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 542230 ns R psr 81000200 - 542230 ns MR4_I 01000208 2a001c5b - 542250 ns MR4_I 01000200 07c96841 - 542270 ns IT 01000200 6841 LDR r1,[r0,#4] - 542290 ns MR4_I 01000204 6002d1fc - 542350 ns MR4_D 40006004 00000001 - 542350 ns R r1 00000001 - 542350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 542370 ns R r1 80000000 - 542370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 542390 ns R psr 81000200 - 542390 ns MR4_I 01000208 2a001c5b - 542410 ns MR4_I 01000200 07c96841 - 542430 ns IT 01000200 6841 LDR r1,[r0,#4] - 542450 ns MR4_I 01000204 6002d1fc - 542510 ns MR4_D 40006004 00000001 - 542510 ns R r1 00000001 - 542510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 542530 ns R r1 80000000 - 542530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 542550 ns R psr 81000200 - 542550 ns MR4_I 01000208 2a001c5b - 542570 ns MR4_I 01000200 07c96841 - 542590 ns IT 01000200 6841 LDR r1,[r0,#4] - 542610 ns MR4_I 01000204 6002d1fc - 542670 ns MR4_D 40006004 00000001 - 542670 ns R r1 00000001 - 542670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 542690 ns R r1 80000000 - 542690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 542710 ns R psr 81000200 - 542710 ns MR4_I 01000208 2a001c5b - 542730 ns MR4_I 01000200 07c96841 - 542750 ns IT 01000200 6841 LDR r1,[r0,#4] - 542770 ns MR4_I 01000204 6002d1fc - 542830 ns MR4_D 40006004 00000001 - 542830 ns R r1 00000001 - 542830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 542850 ns R r1 80000000 - 542850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 542870 ns R psr 81000200 - 542870 ns MR4_I 01000208 2a001c5b - 542890 ns MR4_I 01000200 07c96841 - 542910 ns IT 01000200 6841 LDR r1,[r0,#4] - 542930 ns MR4_I 01000204 6002d1fc - 542990 ns MR4_D 40006004 00000001 - 542990 ns R r1 00000001 - 542990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 543010 ns R r1 80000000 - 543010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 543030 ns R psr 81000200 - 543030 ns MR4_I 01000208 2a001c5b - 543050 ns MR4_I 01000200 07c96841 - 543070 ns IT 01000200 6841 LDR r1,[r0,#4] - 543090 ns MR4_I 01000204 6002d1fc - 543150 ns MR4_D 40006004 00000001 - 543150 ns R r1 00000001 - 543150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 543170 ns R r1 80000000 - 543170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 543190 ns R psr 81000200 - 543190 ns MR4_I 01000208 2a001c5b - 543210 ns MR4_I 01000200 07c96841 - 543230 ns IT 01000200 6841 LDR r1,[r0,#4] - 543250 ns MR4_I 01000204 6002d1fc - 543310 ns MR4_D 40006004 00000001 - 543310 ns R r1 00000001 - 543310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 543330 ns R r1 80000000 - 543330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 543350 ns R psr 81000200 - 543350 ns MR4_I 01000208 2a001c5b - 543370 ns MR4_I 01000200 07c96841 - 543390 ns IT 01000200 6841 LDR r1,[r0,#4] - 543410 ns MR4_I 01000204 6002d1fc - 543470 ns MR4_D 40006004 00000001 - 543470 ns R r1 00000001 - 543470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 543490 ns R r1 80000000 - 543490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 543510 ns R psr 81000200 - 543510 ns MR4_I 01000208 2a001c5b - 543530 ns MR4_I 01000200 07c96841 - 543550 ns IT 01000200 6841 LDR r1,[r0,#4] - 543570 ns MR4_I 01000204 6002d1fc - 543630 ns MR4_D 40006004 00000001 - 543630 ns R r1 00000001 - 543630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 543650 ns R r1 80000000 - 543650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 543670 ns R psr 81000200 - 543670 ns MR4_I 01000208 2a001c5b - 543690 ns MR4_I 01000200 07c96841 - 543710 ns IT 01000200 6841 LDR r1,[r0,#4] - 543730 ns MR4_I 01000204 6002d1fc - 543790 ns MR4_D 40006004 00000001 - 543790 ns R r1 00000001 - 543790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 543810 ns R r1 80000000 - 543810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 543830 ns R psr 81000200 - 543830 ns MR4_I 01000208 2a001c5b - 543850 ns MR4_I 01000200 07c96841 - 543870 ns IT 01000200 6841 LDR r1,[r0,#4] - 543890 ns MR4_I 01000204 6002d1fc - 543950 ns MR4_D 40006004 00000001 - 543950 ns R r1 00000001 - 543950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 543970 ns R r1 80000000 - 543970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 543990 ns R psr 81000200 - 543990 ns MR4_I 01000208 2a001c5b - 544010 ns MR4_I 01000200 07c96841 - 544030 ns IT 01000200 6841 LDR r1,[r0,#4] - 544050 ns MR4_I 01000204 6002d1fc - 544110 ns MR4_D 40006004 00000001 - 544110 ns R r1 00000001 - 544110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 544130 ns R r1 80000000 - 544130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 544150 ns R psr 81000200 - 544150 ns MR4_I 01000208 2a001c5b - 544170 ns MR4_I 01000200 07c96841 - 544190 ns IT 01000200 6841 LDR r1,[r0,#4] - 544210 ns MR4_I 01000204 6002d1fc - 544270 ns MR4_D 40006004 00000001 - 544270 ns R r1 00000001 - 544270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 544290 ns R r1 80000000 - 544290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 544310 ns R psr 81000200 - 544310 ns MR4_I 01000208 2a001c5b - 544330 ns MR4_I 01000200 07c96841 - 544350 ns IT 01000200 6841 LDR r1,[r0,#4] - 544370 ns MR4_I 01000204 6002d1fc - 544430 ns MR4_D 40006004 00000001 - 544430 ns R r1 00000001 - 544430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 544450 ns R r1 80000000 - 544450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 544470 ns R psr 81000200 - 544470 ns MR4_I 01000208 2a001c5b - 544490 ns MR4_I 01000200 07c96841 - 544510 ns IT 01000200 6841 LDR r1,[r0,#4] - 544530 ns MR4_I 01000204 6002d1fc - 544590 ns MR4_D 40006004 00000001 - 544590 ns R r1 00000001 - 544590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 544610 ns R r1 80000000 - 544610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 544630 ns R psr 81000200 - 544630 ns MR4_I 01000208 2a001c5b - 544650 ns MR4_I 01000200 07c96841 - 544670 ns IT 01000200 6841 LDR r1,[r0,#4] - 544690 ns MR4_I 01000204 6002d1fc - 544750 ns MR4_D 40006004 00000001 - 544750 ns R r1 00000001 - 544750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 544770 ns R r1 80000000 - 544770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 544790 ns R psr 81000200 - 544790 ns MR4_I 01000208 2a001c5b - 544810 ns MR4_I 01000200 07c96841 - 544830 ns IT 01000200 6841 LDR r1,[r0,#4] - 544850 ns MR4_I 01000204 6002d1fc - 544910 ns MR4_D 40006004 00000001 - 544910 ns R r1 00000001 - 544910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 544930 ns R r1 80000000 - 544930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 544950 ns R psr 81000200 - 544950 ns MR4_I 01000208 2a001c5b - 544970 ns MR4_I 01000200 07c96841 - 544990 ns IT 01000200 6841 LDR r1,[r0,#4] - 545010 ns MR4_I 01000204 6002d1fc - 545070 ns MR4_D 40006004 00000001 - 545070 ns R r1 00000001 - 545070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 545090 ns R r1 80000000 - 545090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 545110 ns R psr 81000200 - 545110 ns MR4_I 01000208 2a001c5b - 545130 ns MR4_I 01000200 07c96841 - 545150 ns IT 01000200 6841 LDR r1,[r0,#4] - 545170 ns MR4_I 01000204 6002d1fc - 545230 ns MR4_D 40006004 00000001 - 545230 ns R r1 00000001 - 545230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 545250 ns R r1 80000000 - 545250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 545270 ns R psr 81000200 - 545270 ns MR4_I 01000208 2a001c5b - 545290 ns MR4_I 01000200 07c96841 - 545310 ns IT 01000200 6841 LDR r1,[r0,#4] - 545330 ns MR4_I 01000204 6002d1fc - 545390 ns MR4_D 40006004 00000001 - 545390 ns R r1 00000001 - 545390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 545410 ns R r1 80000000 - 545410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 545430 ns R psr 81000200 - 545430 ns MR4_I 01000208 2a001c5b - 545450 ns MR4_I 01000200 07c96841 - 545470 ns IT 01000200 6841 LDR r1,[r0,#4] - 545490 ns MR4_I 01000204 6002d1fc - 545550 ns MR4_D 40006004 00000001 - 545550 ns R r1 00000001 - 545550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 545570 ns R r1 80000000 - 545570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 545590 ns R psr 81000200 - 545590 ns MR4_I 01000208 2a001c5b - 545610 ns MR4_I 01000200 07c96841 - 545630 ns IT 01000200 6841 LDR r1,[r0,#4] - 545650 ns MR4_I 01000204 6002d1fc - 545710 ns MR4_D 40006004 00000001 - 545710 ns R r1 00000001 - 545710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 545730 ns R r1 80000000 - 545730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 545750 ns R psr 81000200 - 545750 ns MR4_I 01000208 2a001c5b - 545770 ns MR4_I 01000200 07c96841 - 545790 ns IT 01000200 6841 LDR r1,[r0,#4] - 545810 ns MR4_I 01000204 6002d1fc - 545870 ns MR4_D 40006004 00000001 - 545870 ns R r1 00000001 - 545870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 545890 ns R r1 80000000 - 545890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 545910 ns R psr 81000200 - 545910 ns MR4_I 01000208 2a001c5b - 545930 ns MR4_I 01000200 07c96841 - 545950 ns IT 01000200 6841 LDR r1,[r0,#4] - 545970 ns MR4_I 01000204 6002d1fc - 546030 ns MR4_D 40006004 00000001 - 546030 ns R r1 00000001 - 546030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 546050 ns R r1 80000000 - 546050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 546070 ns R psr 81000200 - 546070 ns MR4_I 01000208 2a001c5b - 546090 ns MR4_I 01000200 07c96841 - 546110 ns IT 01000200 6841 LDR r1,[r0,#4] - 546130 ns MR4_I 01000204 6002d1fc - 546190 ns MR4_D 40006004 00000001 - 546190 ns R r1 00000001 - 546190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 546210 ns R r1 80000000 - 546210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 546230 ns R psr 81000200 - 546230 ns MR4_I 01000208 2a001c5b - 546250 ns MR4_I 01000200 07c96841 - 546270 ns IT 01000200 6841 LDR r1,[r0,#4] - 546290 ns MR4_I 01000204 6002d1fc - 546350 ns MR4_D 40006004 00000001 - 546350 ns R r1 00000001 - 546350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 546370 ns R r1 80000000 - 546370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 546390 ns R psr 81000200 - 546390 ns MR4_I 01000208 2a001c5b - 546410 ns MR4_I 01000200 07c96841 - 546430 ns IT 01000200 6841 LDR r1,[r0,#4] - 546450 ns MR4_I 01000204 6002d1fc - 546510 ns MR4_D 40006004 00000001 - 546510 ns R r1 00000001 - 546510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 546530 ns R r1 80000000 - 546530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 546550 ns R psr 81000200 - 546550 ns MR4_I 01000208 2a001c5b - 546570 ns MR4_I 01000200 07c96841 - 546590 ns IT 01000200 6841 LDR r1,[r0,#4] - 546610 ns MR4_I 01000204 6002d1fc - 546670 ns MR4_D 40006004 00000001 - 546670 ns R r1 00000001 - 546670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 546690 ns R r1 80000000 - 546690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 546710 ns R psr 81000200 - 546710 ns MR4_I 01000208 2a001c5b - 546730 ns MR4_I 01000200 07c96841 - 546750 ns IT 01000200 6841 LDR r1,[r0,#4] - 546770 ns MR4_I 01000204 6002d1fc - 546830 ns MR4_D 40006004 00000001 - 546830 ns R r1 00000001 - 546830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 546850 ns R r1 80000000 - 546850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 546870 ns R psr 81000200 - 546870 ns MR4_I 01000208 2a001c5b - 546890 ns MR4_I 01000200 07c96841 - 546910 ns IT 01000200 6841 LDR r1,[r0,#4] - 546930 ns MR4_I 01000204 6002d1fc - 546990 ns MR4_D 40006004 00000001 - 546990 ns R r1 00000001 - 546990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 547010 ns R r1 80000000 - 547010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 547030 ns R psr 81000200 - 547030 ns MR4_I 01000208 2a001c5b - 547050 ns MR4_I 01000200 07c96841 - 547070 ns IT 01000200 6841 LDR r1,[r0,#4] - 547090 ns MR4_I 01000204 6002d1fc - 547150 ns MR4_D 40006004 00000001 - 547150 ns R r1 00000001 - 547150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 547170 ns R r1 80000000 - 547170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 547190 ns R psr 81000200 - 547190 ns MR4_I 01000208 2a001c5b - 547210 ns MR4_I 01000200 07c96841 - 547230 ns IT 01000200 6841 LDR r1,[r0,#4] - 547250 ns MR4_I 01000204 6002d1fc - 547310 ns MR4_D 40006004 00000001 - 547310 ns R r1 00000001 - 547310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 547330 ns R r1 80000000 - 547330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 547350 ns R psr 81000200 - 547350 ns MR4_I 01000208 2a001c5b - 547370 ns MR4_I 01000200 07c96841 - 547390 ns IT 01000200 6841 LDR r1,[r0,#4] - 547410 ns MR4_I 01000204 6002d1fc - 547470 ns MR4_D 40006004 00000001 - 547470 ns R r1 00000001 - 547470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 547490 ns R r1 80000000 - 547490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 547510 ns R psr 81000200 - 547510 ns MR4_I 01000208 2a001c5b - 547530 ns MR4_I 01000200 07c96841 - 547550 ns IT 01000200 6841 LDR r1,[r0,#4] - 547570 ns MR4_I 01000204 6002d1fc - 547630 ns MR4_D 40006004 00000001 - 547630 ns R r1 00000001 - 547630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 547650 ns R r1 80000000 - 547650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 547670 ns R psr 81000200 - 547670 ns MR4_I 01000208 2a001c5b - 547690 ns MR4_I 01000200 07c96841 - 547710 ns IT 01000200 6841 LDR r1,[r0,#4] - 547730 ns MR4_I 01000204 6002d1fc - 547790 ns MR4_D 40006004 00000001 - 547790 ns R r1 00000001 - 547790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 547810 ns R r1 80000000 - 547810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 547830 ns R psr 81000200 - 547830 ns MR4_I 01000208 2a001c5b - 547850 ns MR4_I 01000200 07c96841 - 547870 ns IT 01000200 6841 LDR r1,[r0,#4] - 547890 ns MR4_I 01000204 6002d1fc - 547950 ns MR4_D 40006004 00000001 - 547950 ns R r1 00000001 - 547950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 547970 ns R r1 80000000 - 547970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 547990 ns R psr 81000200 - 547990 ns MR4_I 01000208 2a001c5b - 548010 ns MR4_I 01000200 07c96841 - 548030 ns IT 01000200 6841 LDR r1,[r0,#4] - 548050 ns MR4_I 01000204 6002d1fc - 548110 ns MR4_D 40006004 00000001 - 548110 ns R r1 00000001 - 548110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 548130 ns R r1 80000000 - 548130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 548150 ns R psr 81000200 - 548150 ns MR4_I 01000208 2a001c5b - 548170 ns MR4_I 01000200 07c96841 - 548190 ns IT 01000200 6841 LDR r1,[r0,#4] - 548210 ns MR4_I 01000204 6002d1fc - 548270 ns MR4_D 40006004 00000001 - 548270 ns R r1 00000001 - 548270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 548290 ns R r1 80000000 - 548290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 548310 ns R psr 81000200 - 548310 ns MR4_I 01000208 2a001c5b - 548330 ns MR4_I 01000200 07c96841 - 548350 ns IT 01000200 6841 LDR r1,[r0,#4] - 548370 ns MR4_I 01000204 6002d1fc - 548430 ns MR4_D 40006004 00000001 - 548430 ns R r1 00000001 - 548430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 548450 ns R r1 80000000 - 548450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 548470 ns R psr 81000200 - 548470 ns MR4_I 01000208 2a001c5b - 548490 ns MR4_I 01000200 07c96841 - 548510 ns IT 01000200 6841 LDR r1,[r0,#4] - 548530 ns MR4_I 01000204 6002d1fc - 548590 ns MR4_D 40006004 00000001 - 548590 ns R r1 00000001 - 548590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 548610 ns R r1 80000000 - 548610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 548630 ns R psr 81000200 - 548630 ns MR4_I 01000208 2a001c5b - 548650 ns MR4_I 01000200 07c96841 - 548670 ns IT 01000200 6841 LDR r1,[r0,#4] - 548690 ns MR4_I 01000204 6002d1fc - 548750 ns MR4_D 40006004 00000001 - 548750 ns R r1 00000001 - 548750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 548770 ns R r1 80000000 - 548770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 548790 ns R psr 81000200 - 548790 ns MR4_I 01000208 2a001c5b - 548810 ns MR4_I 01000200 07c96841 - 548830 ns IT 01000200 6841 LDR r1,[r0,#4] - 548850 ns MR4_I 01000204 6002d1fc - 548910 ns MR4_D 40006004 00000001 - 548910 ns R r1 00000001 - 548910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 548930 ns R r1 80000000 - 548930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 548950 ns R psr 81000200 - 548950 ns MR4_I 01000208 2a001c5b - 548970 ns MR4_I 01000200 07c96841 - 548990 ns IT 01000200 6841 LDR r1,[r0,#4] - 549010 ns MR4_I 01000204 6002d1fc - 549070 ns MR4_D 40006004 00000001 - 549070 ns R r1 00000001 - 549070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 549090 ns R r1 80000000 - 549090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 549110 ns R psr 81000200 - 549110 ns MR4_I 01000208 2a001c5b - 549130 ns MR4_I 01000200 07c96841 - 549150 ns IT 01000200 6841 LDR r1,[r0,#4] - 549170 ns MR4_I 01000204 6002d1fc - 549230 ns MR4_D 40006004 00000001 - 549230 ns R r1 00000001 - 549230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 549250 ns R r1 80000000 - 549250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 549270 ns R psr 81000200 - 549270 ns MR4_I 01000208 2a001c5b - 549290 ns MR4_I 01000200 07c96841 - 549310 ns IT 01000200 6841 LDR r1,[r0,#4] - 549330 ns MR4_I 01000204 6002d1fc - 549390 ns MR4_D 40006004 00000001 - 549390 ns R r1 00000001 - 549390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 549410 ns R r1 80000000 - 549410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 549430 ns R psr 81000200 - 549430 ns MR4_I 01000208 2a001c5b - 549450 ns MR4_I 01000200 07c96841 - 549470 ns IT 01000200 6841 LDR r1,[r0,#4] - 549490 ns MR4_I 01000204 6002d1fc - 549550 ns MR4_D 40006004 00000001 - 549550 ns R r1 00000001 - 549550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 549570 ns R r1 80000000 - 549570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 549590 ns R psr 81000200 - 549590 ns MR4_I 01000208 2a001c5b - 549610 ns MR4_I 01000200 07c96841 - 549630 ns IT 01000200 6841 LDR r1,[r0,#4] - 549650 ns MR4_I 01000204 6002d1fc - 549710 ns MR4_D 40006004 00000001 - 549710 ns R r1 00000001 - 549710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 549730 ns R r1 80000000 - 549730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 549750 ns R psr 81000200 - 549750 ns MR4_I 01000208 2a001c5b - 549770 ns MR4_I 01000200 07c96841 - 549790 ns IT 01000200 6841 LDR r1,[r0,#4] - 549810 ns MR4_I 01000204 6002d1fc - 549870 ns MR4_D 40006004 00000001 - 549870 ns R r1 00000001 - 549870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 549890 ns R r1 80000000 - 549890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 549910 ns R psr 81000200 - 549910 ns MR4_I 01000208 2a001c5b - 549930 ns MR4_I 01000200 07c96841 - 549950 ns IT 01000200 6841 LDR r1,[r0,#4] - 549970 ns MR4_I 01000204 6002d1fc - 550030 ns MR4_D 40006004 00000001 - 550030 ns R r1 00000001 - 550030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 550050 ns R r1 80000000 - 550050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 550070 ns R psr 81000200 - 550070 ns MR4_I 01000208 2a001c5b - 550090 ns MR4_I 01000200 07c96841 - 550110 ns IT 01000200 6841 LDR r1,[r0,#4] - 550130 ns MR4_I 01000204 6002d1fc - 550190 ns MR4_D 40006004 00000001 - 550190 ns R r1 00000001 - 550190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 550210 ns R r1 80000000 - 550210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 550230 ns R psr 81000200 - 550230 ns MR4_I 01000208 2a001c5b - 550250 ns MR4_I 01000200 07c96841 - 550270 ns IT 01000200 6841 LDR r1,[r0,#4] - 550290 ns MR4_I 01000204 6002d1fc - 550350 ns MR4_D 40006004 00000001 - 550350 ns R r1 00000001 - 550350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 550370 ns R r1 80000000 - 550370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 550390 ns R psr 81000200 - 550390 ns MR4_I 01000208 2a001c5b - 550410 ns MR4_I 01000200 07c96841 - 550430 ns IT 01000200 6841 LDR r1,[r0,#4] - 550450 ns MR4_I 01000204 6002d1fc - 550510 ns MR4_D 40006004 00000001 - 550510 ns R r1 00000001 - 550510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 550530 ns R r1 80000000 - 550530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 550550 ns R psr 81000200 - 550550 ns MR4_I 01000208 2a001c5b - 550570 ns MR4_I 01000200 07c96841 - 550590 ns IT 01000200 6841 LDR r1,[r0,#4] - 550610 ns MR4_I 01000204 6002d1fc - 550670 ns MR4_D 40006004 00000001 - 550670 ns R r1 00000001 - 550670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 550690 ns R r1 80000000 - 550690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 550710 ns R psr 81000200 - 550710 ns MR4_I 01000208 2a001c5b - 550730 ns MR4_I 01000200 07c96841 - 550750 ns IT 01000200 6841 LDR r1,[r0,#4] - 550770 ns MR4_I 01000204 6002d1fc - 550830 ns MR4_D 40006004 00000001 - 550830 ns R r1 00000001 - 550830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 550850 ns R r1 80000000 - 550850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 550870 ns R psr 81000200 - 550870 ns MR4_I 01000208 2a001c5b - 550890 ns MR4_I 01000200 07c96841 - 550910 ns IT 01000200 6841 LDR r1,[r0,#4] - 550930 ns MR4_I 01000204 6002d1fc - 550990 ns MR4_D 40006004 00000001 - 550990 ns R r1 00000001 - 550990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 551010 ns R r1 80000000 - 551010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 551030 ns R psr 81000200 - 551030 ns MR4_I 01000208 2a001c5b - 551050 ns MR4_I 01000200 07c96841 - 551070 ns IT 01000200 6841 LDR r1,[r0,#4] - 551090 ns MR4_I 01000204 6002d1fc - 551150 ns MR4_D 40006004 00000001 - 551150 ns R r1 00000001 - 551150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 551170 ns R r1 80000000 - 551170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 551190 ns R psr 81000200 - 551190 ns MR4_I 01000208 2a001c5b - 551210 ns MR4_I 01000200 07c96841 - 551230 ns IT 01000200 6841 LDR r1,[r0,#4] - 551250 ns MR4_I 01000204 6002d1fc - 551310 ns MR4_D 40006004 00000001 - 551310 ns R r1 00000001 - 551310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 551330 ns R r1 80000000 - 551330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 551350 ns R psr 81000200 - 551350 ns MR4_I 01000208 2a001c5b - 551370 ns MR4_I 01000200 07c96841 - 551390 ns IT 01000200 6841 LDR r1,[r0,#4] - 551410 ns MR4_I 01000204 6002d1fc - 551470 ns MR4_D 40006004 00000001 - 551470 ns R r1 00000001 - 551470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 551490 ns R r1 80000000 - 551490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 551510 ns R psr 81000200 - 551510 ns MR4_I 01000208 2a001c5b - 551530 ns MR4_I 01000200 07c96841 - 551550 ns IT 01000200 6841 LDR r1,[r0,#4] - 551570 ns MR4_I 01000204 6002d1fc - 551630 ns MR4_D 40006004 00000001 - 551630 ns R r1 00000001 - 551630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 551650 ns R r1 80000000 - 551650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 551670 ns R psr 81000200 - 551670 ns MR4_I 01000208 2a001c5b - 551690 ns MR4_I 01000200 07c96841 - 551710 ns IT 01000200 6841 LDR r1,[r0,#4] - 551730 ns MR4_I 01000204 6002d1fc - 551790 ns MR4_D 40006004 00000001 - 551790 ns R r1 00000001 - 551790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 551810 ns R r1 80000000 - 551810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 551830 ns R psr 81000200 - 551830 ns MR4_I 01000208 2a001c5b - 551850 ns MR4_I 01000200 07c96841 - 551870 ns IT 01000200 6841 LDR r1,[r0,#4] - 551890 ns MR4_I 01000204 6002d1fc - 551950 ns MR4_D 40006004 00000001 - 551950 ns R r1 00000001 - 551950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 551970 ns R r1 80000000 - 551970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 551990 ns R psr 81000200 - 551990 ns MR4_I 01000208 2a001c5b - 552010 ns MR4_I 01000200 07c96841 - 552030 ns IT 01000200 6841 LDR r1,[r0,#4] - 552050 ns MR4_I 01000204 6002d1fc - 552110 ns MR4_D 40006004 00000001 - 552110 ns R r1 00000001 - 552110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 552130 ns R r1 80000000 - 552130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 552150 ns R psr 81000200 - 552150 ns MR4_I 01000208 2a001c5b - 552170 ns MR4_I 01000200 07c96841 - 552190 ns IT 01000200 6841 LDR r1,[r0,#4] - 552210 ns MR4_I 01000204 6002d1fc - 552270 ns MR4_D 40006004 00000001 - 552270 ns R r1 00000001 - 552270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 552290 ns R r1 80000000 - 552290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 552310 ns R psr 81000200 - 552310 ns MR4_I 01000208 2a001c5b - 552330 ns MR4_I 01000200 07c96841 - 552350 ns IT 01000200 6841 LDR r1,[r0,#4] - 552370 ns MR4_I 01000204 6002d1fc - 552430 ns MR4_D 40006004 00000001 - 552430 ns R r1 00000001 - 552430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 552450 ns R r1 80000000 - 552450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 552470 ns R psr 81000200 - 552470 ns MR4_I 01000208 2a001c5b - 552490 ns MR4_I 01000200 07c96841 - 552510 ns IT 01000200 6841 LDR r1,[r0,#4] - 552530 ns MR4_I 01000204 6002d1fc - 552590 ns MR4_D 40006004 00000001 - 552590 ns R r1 00000001 - 552590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 552610 ns R r1 80000000 - 552610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 552630 ns R psr 81000200 - 552630 ns MR4_I 01000208 2a001c5b - 552650 ns MR4_I 01000200 07c96841 - 552670 ns IT 01000200 6841 LDR r1,[r0,#4] - 552690 ns MR4_I 01000204 6002d1fc - 552750 ns MR4_D 40006004 00000001 - 552750 ns R r1 00000001 - 552750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 552770 ns R r1 80000000 - 552770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 552790 ns R psr 81000200 - 552790 ns MR4_I 01000208 2a001c5b - 552810 ns MR4_I 01000200 07c96841 - 552830 ns IT 01000200 6841 LDR r1,[r0,#4] - 552850 ns MR4_I 01000204 6002d1fc - 552910 ns MR4_D 40006004 00000001 - 552910 ns R r1 00000001 - 552910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 552930 ns R r1 80000000 - 552930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 552950 ns R psr 81000200 - 552950 ns MR4_I 01000208 2a001c5b - 552970 ns MR4_I 01000200 07c96841 - 552990 ns IT 01000200 6841 LDR r1,[r0,#4] - 553010 ns MR4_I 01000204 6002d1fc - 553070 ns MR4_D 40006004 00000001 - 553070 ns R r1 00000001 - 553070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 553090 ns R r1 80000000 - 553090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 553110 ns R psr 81000200 - 553110 ns MR4_I 01000208 2a001c5b - 553130 ns MR4_I 01000200 07c96841 - 553150 ns IT 01000200 6841 LDR r1,[r0,#4] - 553170 ns MR4_I 01000204 6002d1fc - 553230 ns MR4_D 40006004 00000001 - 553230 ns R r1 00000001 - 553230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 553250 ns R r1 80000000 - 553250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 553270 ns R psr 81000200 - 553270 ns MR4_I 01000208 2a001c5b - 553290 ns MR4_I 01000200 07c96841 - 553310 ns IT 01000200 6841 LDR r1,[r0,#4] - 553330 ns MR4_I 01000204 6002d1fc - 553390 ns MR4_D 40006004 00000001 - 553390 ns R r1 00000001 - 553390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 553410 ns R r1 80000000 - 553410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 553430 ns R psr 81000200 - 553430 ns MR4_I 01000208 2a001c5b - 553450 ns MR4_I 01000200 07c96841 - 553470 ns IT 01000200 6841 LDR r1,[r0,#4] - 553490 ns MR4_I 01000204 6002d1fc - 553550 ns MR4_D 40006004 00000001 - 553550 ns R r1 00000001 - 553550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 553570 ns R r1 80000000 - 553570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 553590 ns R psr 81000200 - 553590 ns MR4_I 01000208 2a001c5b - 553610 ns MR4_I 01000200 07c96841 - 553630 ns IT 01000200 6841 LDR r1,[r0,#4] - 553650 ns MR4_I 01000204 6002d1fc - 553710 ns MR4_D 40006004 00000001 - 553710 ns R r1 00000001 - 553710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 553730 ns R r1 80000000 - 553730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 553750 ns R psr 81000200 - 553750 ns MR4_I 01000208 2a001c5b - 553770 ns MR4_I 01000200 07c96841 - 553790 ns IT 01000200 6841 LDR r1,[r0,#4] - 553810 ns MR4_I 01000204 6002d1fc - 553870 ns MR4_D 40006004 00000001 - 553870 ns R r1 00000001 - 553870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 553890 ns R r1 80000000 - 553890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 553910 ns R psr 81000200 - 553910 ns MR4_I 01000208 2a001c5b - 553930 ns MR4_I 01000200 07c96841 - 553950 ns IT 01000200 6841 LDR r1,[r0,#4] - 553970 ns MR4_I 01000204 6002d1fc - 554030 ns MR4_D 40006004 00000001 - 554030 ns R r1 00000001 - 554030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 554050 ns R r1 80000000 - 554050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 554070 ns R psr 81000200 - 554070 ns MR4_I 01000208 2a001c5b - 554090 ns MR4_I 01000200 07c96841 - 554110 ns IT 01000200 6841 LDR r1,[r0,#4] - 554130 ns MR4_I 01000204 6002d1fc - 554190 ns MR4_D 40006004 00000001 - 554190 ns R r1 00000001 - 554190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 554210 ns R r1 80000000 - 554210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 554230 ns R psr 81000200 - 554230 ns MR4_I 01000208 2a001c5b - 554250 ns MR4_I 01000200 07c96841 - 554270 ns IT 01000200 6841 LDR r1,[r0,#4] - 554290 ns MR4_I 01000204 6002d1fc - 554350 ns MR4_D 40006004 00000001 - 554350 ns R r1 00000001 - 554350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 554370 ns R r1 80000000 - 554370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 554390 ns R psr 81000200 - 554390 ns MR4_I 01000208 2a001c5b - 554410 ns MR4_I 01000200 07c96841 - 554430 ns IT 01000200 6841 LDR r1,[r0,#4] - 554450 ns MR4_I 01000204 6002d1fc - 554510 ns MR4_D 40006004 00000001 - 554510 ns R r1 00000001 - 554510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 554530 ns R r1 80000000 - 554530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 554550 ns R psr 81000200 - 554550 ns MR4_I 01000208 2a001c5b - 554570 ns MR4_I 01000200 07c96841 - 554590 ns IT 01000200 6841 LDR r1,[r0,#4] - 554610 ns MR4_I 01000204 6002d1fc - 554670 ns MR4_D 40006004 00000001 - 554670 ns R r1 00000001 - 554670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 554690 ns R r1 80000000 - 554690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 554710 ns R psr 81000200 - 554710 ns MR4_I 01000208 2a001c5b - 554730 ns MR4_I 01000200 07c96841 - 554750 ns IT 01000200 6841 LDR r1,[r0,#4] - 554770 ns MR4_I 01000204 6002d1fc - 554830 ns MR4_D 40006004 00000001 - 554830 ns R r1 00000001 - 554830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 554850 ns R r1 80000000 - 554850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 554870 ns R psr 81000200 - 554870 ns MR4_I 01000208 2a001c5b - 554890 ns MR4_I 01000200 07c96841 - 554910 ns IT 01000200 6841 LDR r1,[r0,#4] - 554930 ns MR4_I 01000204 6002d1fc - 554990 ns MR4_D 40006004 00000001 - 554990 ns R r1 00000001 - 554990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 555010 ns R r1 80000000 - 555010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 555030 ns R psr 81000200 - 555030 ns MR4_I 01000208 2a001c5b - 555050 ns MR4_I 01000200 07c96841 - 555070 ns IT 01000200 6841 LDR r1,[r0,#4] - 555090 ns MR4_I 01000204 6002d1fc - 555150 ns MR4_D 40006004 00000001 - 555150 ns R r1 00000001 - 555150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 555170 ns R r1 80000000 - 555170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 555190 ns R psr 81000200 - 555190 ns MR4_I 01000208 2a001c5b - 555210 ns MR4_I 01000200 07c96841 - 555230 ns IT 01000200 6841 LDR r1,[r0,#4] - 555250 ns MR4_I 01000204 6002d1fc - 555310 ns MR4_D 40006004 00000001 - 555310 ns R r1 00000001 - 555310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 555330 ns R r1 80000000 - 555330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 555350 ns R psr 81000200 - 555350 ns MR4_I 01000208 2a001c5b - 555370 ns MR4_I 01000200 07c96841 - 555390 ns IT 01000200 6841 LDR r1,[r0,#4] - 555410 ns MR4_I 01000204 6002d1fc - 555470 ns MR4_D 40006004 00000001 - 555470 ns R r1 00000001 - 555470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 555490 ns R r1 80000000 - 555490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 555510 ns R psr 81000200 - 555510 ns MR4_I 01000208 2a001c5b - 555530 ns MR4_I 01000200 07c96841 - 555550 ns IT 01000200 6841 LDR r1,[r0,#4] - 555570 ns MR4_I 01000204 6002d1fc - 555630 ns MR4_D 40006004 00000001 - 555630 ns R r1 00000001 - 555630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 555650 ns R r1 80000000 - 555650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 555670 ns R psr 81000200 - 555670 ns MR4_I 01000208 2a001c5b - 555690 ns MR4_I 01000200 07c96841 - 555710 ns IT 01000200 6841 LDR r1,[r0,#4] - 555730 ns MR4_I 01000204 6002d1fc - 555790 ns MR4_D 40006004 00000001 - 555790 ns R r1 00000001 - 555790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 555810 ns R r1 80000000 - 555810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 555830 ns R psr 81000200 - 555830 ns MR4_I 01000208 2a001c5b - 555850 ns MR4_I 01000200 07c96841 - 555870 ns IT 01000200 6841 LDR r1,[r0,#4] - 555890 ns MR4_I 01000204 6002d1fc - 555950 ns MR4_D 40006004 00000001 - 555950 ns R r1 00000001 - 555950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 555970 ns R r1 80000000 - 555970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 555990 ns R psr 81000200 - 555990 ns MR4_I 01000208 2a001c5b - 556010 ns MR4_I 01000200 07c96841 - 556030 ns IT 01000200 6841 LDR r1,[r0,#4] - 556050 ns MR4_I 01000204 6002d1fc - 556110 ns MR4_D 40006004 00000001 - 556110 ns R r1 00000001 - 556110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 556130 ns R r1 80000000 - 556130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 556150 ns R psr 81000200 - 556150 ns MR4_I 01000208 2a001c5b - 556170 ns MR4_I 01000200 07c96841 - 556190 ns IT 01000200 6841 LDR r1,[r0,#4] - 556210 ns MR4_I 01000204 6002d1fc - 556270 ns MR4_D 40006004 00000001 - 556270 ns R r1 00000001 - 556270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 556290 ns R r1 80000000 - 556290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 556310 ns R psr 81000200 - 556310 ns MR4_I 01000208 2a001c5b - 556330 ns MR4_I 01000200 07c96841 - 556350 ns IT 01000200 6841 LDR r1,[r0,#4] - 556370 ns MR4_I 01000204 6002d1fc - 556430 ns MR4_D 40006004 00000001 - 556430 ns R r1 00000001 - 556430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 556450 ns R r1 80000000 - 556450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 556470 ns R psr 81000200 - 556470 ns MR4_I 01000208 2a001c5b - 556490 ns MR4_I 01000200 07c96841 - 556510 ns IT 01000200 6841 LDR r1,[r0,#4] - 556530 ns MR4_I 01000204 6002d1fc - 556590 ns MR4_D 40006004 00000001 - 556590 ns R r1 00000001 - 556590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 556610 ns R r1 80000000 - 556610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 556630 ns R psr 81000200 - 556630 ns MR4_I 01000208 2a001c5b - 556650 ns MR4_I 01000200 07c96841 - 556670 ns IT 01000200 6841 LDR r1,[r0,#4] - 556690 ns MR4_I 01000204 6002d1fc - 556750 ns MR4_D 40006004 00000001 - 556750 ns R r1 00000001 - 556750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 556770 ns R r1 80000000 - 556770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 556790 ns R psr 81000200 - 556790 ns MR4_I 01000208 2a001c5b - 556810 ns MR4_I 01000200 07c96841 - 556830 ns IT 01000200 6841 LDR r1,[r0,#4] - 556850 ns MR4_I 01000204 6002d1fc - 556910 ns MR4_D 40006004 00000001 - 556910 ns R r1 00000001 - 556910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 556930 ns R r1 80000000 - 556930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 556950 ns R psr 81000200 - 556950 ns MR4_I 01000208 2a001c5b - 556970 ns MR4_I 01000200 07c96841 - 556990 ns IT 01000200 6841 LDR r1,[r0,#4] - 557010 ns MR4_I 01000204 6002d1fc - 557070 ns MR4_D 40006004 00000001 - 557070 ns R r1 00000001 - 557070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 557090 ns R r1 80000000 - 557090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 557110 ns R psr 81000200 - 557110 ns MR4_I 01000208 2a001c5b - 557130 ns MR4_I 01000200 07c96841 - 557150 ns IT 01000200 6841 LDR r1,[r0,#4] - 557170 ns MR4_I 01000204 6002d1fc - 557230 ns MR4_D 40006004 00000001 - 557230 ns R r1 00000001 - 557230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 557250 ns R r1 80000000 - 557250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 557270 ns R psr 81000200 - 557270 ns MR4_I 01000208 2a001c5b - 557290 ns MR4_I 01000200 07c96841 - 557310 ns IT 01000200 6841 LDR r1,[r0,#4] - 557330 ns MR4_I 01000204 6002d1fc - 557390 ns MR4_D 40006004 00000001 - 557390 ns R r1 00000001 - 557390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 557410 ns R r1 80000000 - 557410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 557430 ns R psr 81000200 - 557430 ns MR4_I 01000208 2a001c5b - 557450 ns MR4_I 01000200 07c96841 - 557470 ns IT 01000200 6841 LDR r1,[r0,#4] - 557490 ns MR4_I 01000204 6002d1fc - 557550 ns MR4_D 40006004 00000000 - 557550 ns R r1 00000000 - 557550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 557570 ns R r1 00000000 - 557570 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 557590 ns R psr 41000200 - 557590 ns MR4_I 01000208 2a001c5b - 557590 ns IT 01000206 6002 STR r2,[r0,#0] - 557670 ns MW4_D 40006000 00000020 - 557670 ns IT 01000208 1c5b ADDS r3,r3,#1 - 557690 ns MR4_I 0100020c a32ad1f5 - 557690 ns R r3 010002a3 - 557690 ns IT 0100020a 2a00 CMP r2,#0 - 557710 ns R psr 01000200 - 557710 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 557730 ns R psr 21000200 - 557730 ns MR4_I 01000210 2a00781a - 557750 ns MR4_I 010001f8 781aa326 - 557770 ns MR4_I 010001fc d0062a00 - 557770 ns IT 010001fa 781a LDRB r2,[r3,#0] - 557810 ns MR1_D 010002a3 41203a73 - 557810 ns R r2 00000041 - 557810 ns IT 010001fc 2a00 CMP r2,#0 - 557830 ns MR4_I 01000200 07c96841 - 557830 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 557850 ns R psr 21000200 - 557850 ns IT 01000200 6841 LDR r1,[r0,#4] - 557870 ns MR4_I 01000204 6002d1fc - 557930 ns MR4_D 40006004 00000001 - 557930 ns R r1 00000001 - 557930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 557950 ns R r1 80000000 - 557950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 557970 ns R psr 81000200 - 557970 ns MR4_I 01000208 2a001c5b - 557990 ns MR4_I 01000200 07c96841 - 558010 ns IT 01000200 6841 LDR r1,[r0,#4] - 558030 ns MR4_I 01000204 6002d1fc - 558090 ns MR4_D 40006004 00000001 - 558090 ns R r1 00000001 - 558090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 558110 ns R r1 80000000 - 558110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 558130 ns R psr 81000200 - 558130 ns MR4_I 01000208 2a001c5b - 558150 ns MR4_I 01000200 07c96841 - 558170 ns IT 01000200 6841 LDR r1,[r0,#4] - 558190 ns MR4_I 01000204 6002d1fc - 558250 ns MR4_D 40006004 00000001 - 558250 ns R r1 00000001 - 558250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 558270 ns R r1 80000000 - 558270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 558290 ns R psr 81000200 - 558290 ns MR4_I 01000208 2a001c5b - 558310 ns MR4_I 01000200 07c96841 - 558330 ns IT 01000200 6841 LDR r1,[r0,#4] - 558350 ns MR4_I 01000204 6002d1fc - 558410 ns MR4_D 40006004 00000001 - 558410 ns R r1 00000001 - 558410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 558430 ns R r1 80000000 - 558430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 558450 ns R psr 81000200 - 558450 ns MR4_I 01000208 2a001c5b - 558470 ns MR4_I 01000200 07c96841 - 558490 ns IT 01000200 6841 LDR r1,[r0,#4] - 558510 ns MR4_I 01000204 6002d1fc - 558570 ns MR4_D 40006004 00000001 - 558570 ns R r1 00000001 - 558570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 558590 ns R r1 80000000 - 558590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 558610 ns R psr 81000200 - 558610 ns MR4_I 01000208 2a001c5b - 558630 ns MR4_I 01000200 07c96841 - 558650 ns IT 01000200 6841 LDR r1,[r0,#4] - 558670 ns MR4_I 01000204 6002d1fc - 558730 ns MR4_D 40006004 00000001 - 558730 ns R r1 00000001 - 558730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 558750 ns R r1 80000000 - 558750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 558770 ns R psr 81000200 - 558770 ns MR4_I 01000208 2a001c5b - 558790 ns MR4_I 01000200 07c96841 - 558810 ns IT 01000200 6841 LDR r1,[r0,#4] - 558830 ns MR4_I 01000204 6002d1fc - 558890 ns MR4_D 40006004 00000001 - 558890 ns R r1 00000001 - 558890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 558910 ns R r1 80000000 - 558910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 558930 ns R psr 81000200 - 558930 ns MR4_I 01000208 2a001c5b - 558950 ns MR4_I 01000200 07c96841 - 558970 ns IT 01000200 6841 LDR r1,[r0,#4] - 558990 ns MR4_I 01000204 6002d1fc - 559050 ns MR4_D 40006004 00000001 - 559050 ns R r1 00000001 - 559050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 559070 ns R r1 80000000 - 559070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 559090 ns R psr 81000200 - 559090 ns MR4_I 01000208 2a001c5b - 559110 ns MR4_I 01000200 07c96841 - 559130 ns IT 01000200 6841 LDR r1,[r0,#4] - 559150 ns MR4_I 01000204 6002d1fc - 559210 ns MR4_D 40006004 00000001 - 559210 ns R r1 00000001 - 559210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 559230 ns R r1 80000000 - 559230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 559250 ns R psr 81000200 - 559250 ns MR4_I 01000208 2a001c5b - 559270 ns MR4_I 01000200 07c96841 - 559290 ns IT 01000200 6841 LDR r1,[r0,#4] - 559310 ns MR4_I 01000204 6002d1fc - 559370 ns MR4_D 40006004 00000001 - 559370 ns R r1 00000001 - 559370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 559390 ns R r1 80000000 - 559390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 559410 ns R psr 81000200 - 559410 ns MR4_I 01000208 2a001c5b - 559430 ns MR4_I 01000200 07c96841 - 559450 ns IT 01000200 6841 LDR r1,[r0,#4] - 559470 ns MR4_I 01000204 6002d1fc - 559530 ns MR4_D 40006004 00000001 - 559530 ns R r1 00000001 - 559530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 559550 ns R r1 80000000 - 559550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 559570 ns R psr 81000200 - 559570 ns MR4_I 01000208 2a001c5b - 559590 ns MR4_I 01000200 07c96841 - 559610 ns IT 01000200 6841 LDR r1,[r0,#4] - 559630 ns MR4_I 01000204 6002d1fc - 559690 ns MR4_D 40006004 00000001 - 559690 ns R r1 00000001 - 559690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 559710 ns R r1 80000000 - 559710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 559730 ns R psr 81000200 - 559730 ns MR4_I 01000208 2a001c5b - 559750 ns MR4_I 01000200 07c96841 - 559770 ns IT 01000200 6841 LDR r1,[r0,#4] - 559790 ns MR4_I 01000204 6002d1fc - 559850 ns MR4_D 40006004 00000001 - 559850 ns R r1 00000001 - 559850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 559870 ns R r1 80000000 - 559870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 559890 ns R psr 81000200 - 559890 ns MR4_I 01000208 2a001c5b - 559910 ns MR4_I 01000200 07c96841 - 559930 ns IT 01000200 6841 LDR r1,[r0,#4] - 559950 ns MR4_I 01000204 6002d1fc - 560010 ns MR4_D 40006004 00000001 - 560010 ns R r1 00000001 - 560010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 560030 ns R r1 80000000 - 560030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 560050 ns R psr 81000200 - 560050 ns MR4_I 01000208 2a001c5b - 560070 ns MR4_I 01000200 07c96841 - 560090 ns IT 01000200 6841 LDR r1,[r0,#4] - 560110 ns MR4_I 01000204 6002d1fc - 560170 ns MR4_D 40006004 00000001 - 560170 ns R r1 00000001 - 560170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 560190 ns R r1 80000000 - 560190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 560210 ns R psr 81000200 - 560210 ns MR4_I 01000208 2a001c5b - 560230 ns MR4_I 01000200 07c96841 - 560250 ns IT 01000200 6841 LDR r1,[r0,#4] - 560270 ns MR4_I 01000204 6002d1fc - 560330 ns MR4_D 40006004 00000001 - 560330 ns R r1 00000001 - 560330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 560350 ns R r1 80000000 - 560350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 560370 ns R psr 81000200 - 560370 ns MR4_I 01000208 2a001c5b - 560390 ns MR4_I 01000200 07c96841 - 560410 ns IT 01000200 6841 LDR r1,[r0,#4] - 560430 ns MR4_I 01000204 6002d1fc - 560490 ns MR4_D 40006004 00000001 - 560490 ns R r1 00000001 - 560490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 560510 ns R r1 80000000 - 560510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 560530 ns R psr 81000200 - 560530 ns MR4_I 01000208 2a001c5b - 560550 ns MR4_I 01000200 07c96841 - 560570 ns IT 01000200 6841 LDR r1,[r0,#4] - 560590 ns MR4_I 01000204 6002d1fc - 560650 ns MR4_D 40006004 00000001 - 560650 ns R r1 00000001 - 560650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 560670 ns R r1 80000000 - 560670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 560690 ns R psr 81000200 - 560690 ns MR4_I 01000208 2a001c5b - 560710 ns MR4_I 01000200 07c96841 - 560730 ns IT 01000200 6841 LDR r1,[r0,#4] - 560750 ns MR4_I 01000204 6002d1fc - 560810 ns MR4_D 40006004 00000001 - 560810 ns R r1 00000001 - 560810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 560830 ns R r1 80000000 - 560830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 560850 ns R psr 81000200 - 560850 ns MR4_I 01000208 2a001c5b - 560870 ns MR4_I 01000200 07c96841 - 560890 ns IT 01000200 6841 LDR r1,[r0,#4] - 560910 ns MR4_I 01000204 6002d1fc - 560970 ns MR4_D 40006004 00000001 - 560970 ns R r1 00000001 - 560970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 560990 ns R r1 80000000 - 560990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 561010 ns R psr 81000200 - 561010 ns MR4_I 01000208 2a001c5b - 561030 ns MR4_I 01000200 07c96841 - 561050 ns IT 01000200 6841 LDR r1,[r0,#4] - 561070 ns MR4_I 01000204 6002d1fc - 561130 ns MR4_D 40006004 00000001 - 561130 ns R r1 00000001 - 561130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 561150 ns R r1 80000000 - 561150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 561170 ns R psr 81000200 - 561170 ns MR4_I 01000208 2a001c5b - 561190 ns MR4_I 01000200 07c96841 - 561210 ns IT 01000200 6841 LDR r1,[r0,#4] - 561230 ns MR4_I 01000204 6002d1fc - 561290 ns MR4_D 40006004 00000001 - 561290 ns R r1 00000001 - 561290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 561310 ns R r1 80000000 - 561310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 561330 ns R psr 81000200 - 561330 ns MR4_I 01000208 2a001c5b - 561350 ns MR4_I 01000200 07c96841 - 561370 ns IT 01000200 6841 LDR r1,[r0,#4] - 561390 ns MR4_I 01000204 6002d1fc - 561450 ns MR4_D 40006004 00000001 - 561450 ns R r1 00000001 - 561450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 561470 ns R r1 80000000 - 561470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 561490 ns R psr 81000200 - 561490 ns MR4_I 01000208 2a001c5b - 561510 ns MR4_I 01000200 07c96841 - 561530 ns IT 01000200 6841 LDR r1,[r0,#4] - 561550 ns MR4_I 01000204 6002d1fc - 561610 ns MR4_D 40006004 00000001 - 561610 ns R r1 00000001 - 561610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 561630 ns R r1 80000000 - 561630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 561650 ns R psr 81000200 - 561650 ns MR4_I 01000208 2a001c5b - 561670 ns MR4_I 01000200 07c96841 - 561690 ns IT 01000200 6841 LDR r1,[r0,#4] - 561710 ns MR4_I 01000204 6002d1fc - 561770 ns MR4_D 40006004 00000001 - 561770 ns R r1 00000001 - 561770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 561790 ns R r1 80000000 - 561790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 561810 ns R psr 81000200 - 561810 ns MR4_I 01000208 2a001c5b - 561830 ns MR4_I 01000200 07c96841 - 561850 ns IT 01000200 6841 LDR r1,[r0,#4] - 561870 ns MR4_I 01000204 6002d1fc - 561930 ns MR4_D 40006004 00000001 - 561930 ns R r1 00000001 - 561930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 561950 ns R r1 80000000 - 561950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 561970 ns R psr 81000200 - 561970 ns MR4_I 01000208 2a001c5b - 561990 ns MR4_I 01000200 07c96841 - 562010 ns IT 01000200 6841 LDR r1,[r0,#4] - 562030 ns MR4_I 01000204 6002d1fc - 562090 ns MR4_D 40006004 00000001 - 562090 ns R r1 00000001 - 562090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 562110 ns R r1 80000000 - 562110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 562130 ns R psr 81000200 - 562130 ns MR4_I 01000208 2a001c5b - 562150 ns MR4_I 01000200 07c96841 - 562170 ns IT 01000200 6841 LDR r1,[r0,#4] - 562190 ns MR4_I 01000204 6002d1fc - 562250 ns MR4_D 40006004 00000001 - 562250 ns R r1 00000001 - 562250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 562270 ns R r1 80000000 - 562270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 562290 ns R psr 81000200 - 562290 ns MR4_I 01000208 2a001c5b - 562310 ns MR4_I 01000200 07c96841 - 562330 ns IT 01000200 6841 LDR r1,[r0,#4] - 562350 ns MR4_I 01000204 6002d1fc - 562410 ns MR4_D 40006004 00000001 - 562410 ns R r1 00000001 - 562410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 562430 ns R r1 80000000 - 562430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 562450 ns R psr 81000200 - 562450 ns MR4_I 01000208 2a001c5b - 562470 ns MR4_I 01000200 07c96841 - 562490 ns IT 01000200 6841 LDR r1,[r0,#4] - 562510 ns MR4_I 01000204 6002d1fc - 562570 ns MR4_D 40006004 00000001 - 562570 ns R r1 00000001 - 562570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 562590 ns R r1 80000000 - 562590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 562610 ns R psr 81000200 - 562610 ns MR4_I 01000208 2a001c5b - 562630 ns MR4_I 01000200 07c96841 - 562650 ns IT 01000200 6841 LDR r1,[r0,#4] - 562670 ns MR4_I 01000204 6002d1fc - 562730 ns MR4_D 40006004 00000001 - 562730 ns R r1 00000001 - 562730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 562750 ns R r1 80000000 - 562750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 562770 ns R psr 81000200 - 562770 ns MR4_I 01000208 2a001c5b - 562790 ns MR4_I 01000200 07c96841 - 562810 ns IT 01000200 6841 LDR r1,[r0,#4] - 562830 ns MR4_I 01000204 6002d1fc - 562890 ns MR4_D 40006004 00000001 - 562890 ns R r1 00000001 - 562890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 562910 ns R r1 80000000 - 562910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 562930 ns R psr 81000200 - 562930 ns MR4_I 01000208 2a001c5b - 562950 ns MR4_I 01000200 07c96841 - 562970 ns IT 01000200 6841 LDR r1,[r0,#4] - 562990 ns MR4_I 01000204 6002d1fc - 563050 ns MR4_D 40006004 00000001 - 563050 ns R r1 00000001 - 563050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 563070 ns R r1 80000000 - 563070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 563090 ns R psr 81000200 - 563090 ns MR4_I 01000208 2a001c5b - 563110 ns MR4_I 01000200 07c96841 - 563130 ns IT 01000200 6841 LDR r1,[r0,#4] - 563150 ns MR4_I 01000204 6002d1fc - 563210 ns MR4_D 40006004 00000001 - 563210 ns R r1 00000001 - 563210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 563230 ns R r1 80000000 - 563230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 563250 ns R psr 81000200 - 563250 ns MR4_I 01000208 2a001c5b - 563270 ns MR4_I 01000200 07c96841 - 563290 ns IT 01000200 6841 LDR r1,[r0,#4] - 563310 ns MR4_I 01000204 6002d1fc - 563370 ns MR4_D 40006004 00000001 - 563370 ns R r1 00000001 - 563370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 563390 ns R r1 80000000 - 563390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 563410 ns R psr 81000200 - 563410 ns MR4_I 01000208 2a001c5b - 563430 ns MR4_I 01000200 07c96841 - 563450 ns IT 01000200 6841 LDR r1,[r0,#4] - 563470 ns MR4_I 01000204 6002d1fc - 563530 ns MR4_D 40006004 00000001 - 563530 ns R r1 00000001 - 563530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 563550 ns R r1 80000000 - 563550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 563570 ns R psr 81000200 - 563570 ns MR4_I 01000208 2a001c5b - 563590 ns MR4_I 01000200 07c96841 - 563610 ns IT 01000200 6841 LDR r1,[r0,#4] - 563630 ns MR4_I 01000204 6002d1fc - 563690 ns MR4_D 40006004 00000001 - 563690 ns R r1 00000001 - 563690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 563710 ns R r1 80000000 - 563710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 563730 ns R psr 81000200 - 563730 ns MR4_I 01000208 2a001c5b - 563750 ns MR4_I 01000200 07c96841 - 563770 ns IT 01000200 6841 LDR r1,[r0,#4] - 563790 ns MR4_I 01000204 6002d1fc - 563850 ns MR4_D 40006004 00000001 - 563850 ns R r1 00000001 - 563850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 563870 ns R r1 80000000 - 563870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 563890 ns R psr 81000200 - 563890 ns MR4_I 01000208 2a001c5b - 563910 ns MR4_I 01000200 07c96841 - 563930 ns IT 01000200 6841 LDR r1,[r0,#4] - 563950 ns MR4_I 01000204 6002d1fc - 564010 ns MR4_D 40006004 00000001 - 564010 ns R r1 00000001 - 564010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 564030 ns R r1 80000000 - 564030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 564050 ns R psr 81000200 - 564050 ns MR4_I 01000208 2a001c5b - 564070 ns MR4_I 01000200 07c96841 - 564090 ns IT 01000200 6841 LDR r1,[r0,#4] - 564110 ns MR4_I 01000204 6002d1fc - 564170 ns MR4_D 40006004 00000001 - 564170 ns R r1 00000001 - 564170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 564190 ns R r1 80000000 - 564190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 564210 ns R psr 81000200 - 564210 ns MR4_I 01000208 2a001c5b - 564230 ns MR4_I 01000200 07c96841 - 564250 ns IT 01000200 6841 LDR r1,[r0,#4] - 564270 ns MR4_I 01000204 6002d1fc - 564330 ns MR4_D 40006004 00000001 - 564330 ns R r1 00000001 - 564330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 564350 ns R r1 80000000 - 564350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 564370 ns R psr 81000200 - 564370 ns MR4_I 01000208 2a001c5b - 564390 ns MR4_I 01000200 07c96841 - 564410 ns IT 01000200 6841 LDR r1,[r0,#4] - 564430 ns MR4_I 01000204 6002d1fc - 564490 ns MR4_D 40006004 00000001 - 564490 ns R r1 00000001 - 564490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 564510 ns R r1 80000000 - 564510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 564530 ns R psr 81000200 - 564530 ns MR4_I 01000208 2a001c5b - 564550 ns MR4_I 01000200 07c96841 - 564570 ns IT 01000200 6841 LDR r1,[r0,#4] - 564590 ns MR4_I 01000204 6002d1fc - 564650 ns MR4_D 40006004 00000001 - 564650 ns R r1 00000001 - 564650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 564670 ns R r1 80000000 - 564670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 564690 ns R psr 81000200 - 564690 ns MR4_I 01000208 2a001c5b - 564710 ns MR4_I 01000200 07c96841 - 564730 ns IT 01000200 6841 LDR r1,[r0,#4] - 564750 ns MR4_I 01000204 6002d1fc - 564810 ns MR4_D 40006004 00000001 - 564810 ns R r1 00000001 - 564810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 564830 ns R r1 80000000 - 564830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 564850 ns R psr 81000200 - 564850 ns MR4_I 01000208 2a001c5b - 564870 ns MR4_I 01000200 07c96841 - 564890 ns IT 01000200 6841 LDR r1,[r0,#4] - 564910 ns MR4_I 01000204 6002d1fc - 564970 ns MR4_D 40006004 00000001 - 564970 ns R r1 00000001 - 564970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 564990 ns R r1 80000000 - 564990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 565010 ns R psr 81000200 - 565010 ns MR4_I 01000208 2a001c5b - 565030 ns MR4_I 01000200 07c96841 - 565050 ns IT 01000200 6841 LDR r1,[r0,#4] - 565070 ns MR4_I 01000204 6002d1fc - 565130 ns MR4_D 40006004 00000001 - 565130 ns R r1 00000001 - 565130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 565150 ns R r1 80000000 - 565150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 565170 ns R psr 81000200 - 565170 ns MR4_I 01000208 2a001c5b - 565190 ns MR4_I 01000200 07c96841 - 565210 ns IT 01000200 6841 LDR r1,[r0,#4] - 565230 ns MR4_I 01000204 6002d1fc - 565290 ns MR4_D 40006004 00000001 - 565290 ns R r1 00000001 - 565290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 565310 ns R r1 80000000 - 565310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 565330 ns R psr 81000200 - 565330 ns MR4_I 01000208 2a001c5b - 565350 ns MR4_I 01000200 07c96841 - 565370 ns IT 01000200 6841 LDR r1,[r0,#4] - 565390 ns MR4_I 01000204 6002d1fc - 565450 ns MR4_D 40006004 00000001 - 565450 ns R r1 00000001 - 565450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 565470 ns R r1 80000000 - 565470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 565490 ns R psr 81000200 - 565490 ns MR4_I 01000208 2a001c5b - 565510 ns MR4_I 01000200 07c96841 - 565530 ns IT 01000200 6841 LDR r1,[r0,#4] - 565550 ns MR4_I 01000204 6002d1fc - 565610 ns MR4_D 40006004 00000001 - 565610 ns R r1 00000001 - 565610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 565630 ns R r1 80000000 - 565630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 565650 ns R psr 81000200 - 565650 ns MR4_I 01000208 2a001c5b - 565670 ns MR4_I 01000200 07c96841 - 565690 ns IT 01000200 6841 LDR r1,[r0,#4] - 565710 ns MR4_I 01000204 6002d1fc - 565770 ns MR4_D 40006004 00000001 - 565770 ns R r1 00000001 - 565770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 565790 ns R r1 80000000 - 565790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 565810 ns R psr 81000200 - 565810 ns MR4_I 01000208 2a001c5b - 565830 ns MR4_I 01000200 07c96841 - 565850 ns IT 01000200 6841 LDR r1,[r0,#4] - 565870 ns MR4_I 01000204 6002d1fc - 565930 ns MR4_D 40006004 00000001 - 565930 ns R r1 00000001 - 565930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 565950 ns R r1 80000000 - 565950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 565970 ns R psr 81000200 - 565970 ns MR4_I 01000208 2a001c5b - 565990 ns MR4_I 01000200 07c96841 - 566010 ns IT 01000200 6841 LDR r1,[r0,#4] - 566030 ns MR4_I 01000204 6002d1fc - 566090 ns MR4_D 40006004 00000001 - 566090 ns R r1 00000001 - 566090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 566110 ns R r1 80000000 - 566110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 566130 ns R psr 81000200 - 566130 ns MR4_I 01000208 2a001c5b - 566150 ns MR4_I 01000200 07c96841 - 566170 ns IT 01000200 6841 LDR r1,[r0,#4] - 566190 ns MR4_I 01000204 6002d1fc - 566250 ns MR4_D 40006004 00000001 - 566250 ns R r1 00000001 - 566250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 566270 ns R r1 80000000 - 566270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 566290 ns R psr 81000200 - 566290 ns MR4_I 01000208 2a001c5b - 566310 ns MR4_I 01000200 07c96841 - 566330 ns IT 01000200 6841 LDR r1,[r0,#4] - 566350 ns MR4_I 01000204 6002d1fc - 566410 ns MR4_D 40006004 00000001 - 566410 ns R r1 00000001 - 566410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 566430 ns R r1 80000000 - 566430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 566450 ns R psr 81000200 - 566450 ns MR4_I 01000208 2a001c5b - 566470 ns MR4_I 01000200 07c96841 - 566490 ns IT 01000200 6841 LDR r1,[r0,#4] - 566510 ns MR4_I 01000204 6002d1fc - 566570 ns MR4_D 40006004 00000001 - 566570 ns R r1 00000001 - 566570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 566590 ns R r1 80000000 - 566590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 566610 ns R psr 81000200 - 566610 ns MR4_I 01000208 2a001c5b - 566630 ns MR4_I 01000200 07c96841 - 566650 ns IT 01000200 6841 LDR r1,[r0,#4] - 566670 ns MR4_I 01000204 6002d1fc - 566730 ns MR4_D 40006004 00000001 - 566730 ns R r1 00000001 - 566730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 566750 ns R r1 80000000 - 566750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 566770 ns R psr 81000200 - 566770 ns MR4_I 01000208 2a001c5b - 566790 ns MR4_I 01000200 07c96841 - 566810 ns IT 01000200 6841 LDR r1,[r0,#4] - 566830 ns MR4_I 01000204 6002d1fc - 566890 ns MR4_D 40006004 00000001 - 566890 ns R r1 00000001 - 566890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 566910 ns R r1 80000000 - 566910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 566930 ns R psr 81000200 - 566930 ns MR4_I 01000208 2a001c5b - 566950 ns MR4_I 01000200 07c96841 - 566970 ns IT 01000200 6841 LDR r1,[r0,#4] - 566990 ns MR4_I 01000204 6002d1fc - 567050 ns MR4_D 40006004 00000001 - 567050 ns R r1 00000001 - 567050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 567070 ns R r1 80000000 - 567070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 567090 ns R psr 81000200 - 567090 ns MR4_I 01000208 2a001c5b - 567110 ns MR4_I 01000200 07c96841 - 567130 ns IT 01000200 6841 LDR r1,[r0,#4] - 567150 ns MR4_I 01000204 6002d1fc - 567210 ns MR4_D 40006004 00000001 - 567210 ns R r1 00000001 - 567210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 567230 ns R r1 80000000 - 567230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 567250 ns R psr 81000200 - 567250 ns MR4_I 01000208 2a001c5b - 567270 ns MR4_I 01000200 07c96841 - 567290 ns IT 01000200 6841 LDR r1,[r0,#4] - 567310 ns MR4_I 01000204 6002d1fc - 567370 ns MR4_D 40006004 00000001 - 567370 ns R r1 00000001 - 567370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 567390 ns R r1 80000000 - 567390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 567410 ns R psr 81000200 - 567410 ns MR4_I 01000208 2a001c5b - 567430 ns MR4_I 01000200 07c96841 - 567450 ns IT 01000200 6841 LDR r1,[r0,#4] - 567470 ns MR4_I 01000204 6002d1fc - 567530 ns MR4_D 40006004 00000001 - 567530 ns R r1 00000001 - 567530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 567550 ns R r1 80000000 - 567550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 567570 ns R psr 81000200 - 567570 ns MR4_I 01000208 2a001c5b - 567590 ns MR4_I 01000200 07c96841 - 567610 ns IT 01000200 6841 LDR r1,[r0,#4] - 567630 ns MR4_I 01000204 6002d1fc - 567690 ns MR4_D 40006004 00000001 - 567690 ns R r1 00000001 - 567690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 567710 ns R r1 80000000 - 567710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 567730 ns R psr 81000200 - 567730 ns MR4_I 01000208 2a001c5b - 567750 ns MR4_I 01000200 07c96841 - 567770 ns IT 01000200 6841 LDR r1,[r0,#4] - 567790 ns MR4_I 01000204 6002d1fc - 567850 ns MR4_D 40006004 00000001 - 567850 ns R r1 00000001 - 567850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 567870 ns R r1 80000000 - 567870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 567890 ns R psr 81000200 - 567890 ns MR4_I 01000208 2a001c5b - 567910 ns MR4_I 01000200 07c96841 - 567930 ns IT 01000200 6841 LDR r1,[r0,#4] - 567950 ns MR4_I 01000204 6002d1fc - 568010 ns MR4_D 40006004 00000001 - 568010 ns R r1 00000001 - 568010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 568030 ns R r1 80000000 - 568030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 568050 ns R psr 81000200 - 568050 ns MR4_I 01000208 2a001c5b - 568070 ns MR4_I 01000200 07c96841 - 568090 ns IT 01000200 6841 LDR r1,[r0,#4] - 568110 ns MR4_I 01000204 6002d1fc - 568170 ns MR4_D 40006004 00000001 - 568170 ns R r1 00000001 - 568170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 568190 ns R r1 80000000 - 568190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 568210 ns R psr 81000200 - 568210 ns MR4_I 01000208 2a001c5b - 568230 ns MR4_I 01000200 07c96841 - 568250 ns IT 01000200 6841 LDR r1,[r0,#4] - 568270 ns MR4_I 01000204 6002d1fc - 568330 ns MR4_D 40006004 00000001 - 568330 ns R r1 00000001 - 568330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 568350 ns R r1 80000000 - 568350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 568370 ns R psr 81000200 - 568370 ns MR4_I 01000208 2a001c5b - 568390 ns MR4_I 01000200 07c96841 - 568410 ns IT 01000200 6841 LDR r1,[r0,#4] - 568430 ns MR4_I 01000204 6002d1fc - 568490 ns MR4_D 40006004 00000001 - 568490 ns R r1 00000001 - 568490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 568510 ns R r1 80000000 - 568510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 568530 ns R psr 81000200 - 568530 ns MR4_I 01000208 2a001c5b - 568550 ns MR4_I 01000200 07c96841 - 568570 ns IT 01000200 6841 LDR r1,[r0,#4] - 568590 ns MR4_I 01000204 6002d1fc - 568650 ns MR4_D 40006004 00000001 - 568650 ns R r1 00000001 - 568650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 568670 ns R r1 80000000 - 568670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 568690 ns R psr 81000200 - 568690 ns MR4_I 01000208 2a001c5b - 568710 ns MR4_I 01000200 07c96841 - 568730 ns IT 01000200 6841 LDR r1,[r0,#4] - 568750 ns MR4_I 01000204 6002d1fc - 568810 ns MR4_D 40006004 00000001 - 568810 ns R r1 00000001 - 568810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 568830 ns R r1 80000000 - 568830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 568850 ns R psr 81000200 - 568850 ns MR4_I 01000208 2a001c5b - 568870 ns MR4_I 01000200 07c96841 - 568890 ns IT 01000200 6841 LDR r1,[r0,#4] - 568910 ns MR4_I 01000204 6002d1fc - 568970 ns MR4_D 40006004 00000001 - 568970 ns R r1 00000001 - 568970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 568990 ns R r1 80000000 - 568990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 569010 ns R psr 81000200 - 569010 ns MR4_I 01000208 2a001c5b - 569030 ns MR4_I 01000200 07c96841 - 569050 ns IT 01000200 6841 LDR r1,[r0,#4] - 569070 ns MR4_I 01000204 6002d1fc - 569130 ns MR4_D 40006004 00000001 - 569130 ns R r1 00000001 - 569130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 569150 ns R r1 80000000 - 569150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 569170 ns R psr 81000200 - 569170 ns MR4_I 01000208 2a001c5b - 569190 ns MR4_I 01000200 07c96841 - 569210 ns IT 01000200 6841 LDR r1,[r0,#4] - 569230 ns MR4_I 01000204 6002d1fc - 569290 ns MR4_D 40006004 00000001 - 569290 ns R r1 00000001 - 569290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 569310 ns R r1 80000000 - 569310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 569330 ns R psr 81000200 - 569330 ns MR4_I 01000208 2a001c5b - 569350 ns MR4_I 01000200 07c96841 - 569370 ns IT 01000200 6841 LDR r1,[r0,#4] - 569390 ns MR4_I 01000204 6002d1fc - 569450 ns MR4_D 40006004 00000001 - 569450 ns R r1 00000001 - 569450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 569470 ns R r1 80000000 - 569470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 569490 ns R psr 81000200 - 569490 ns MR4_I 01000208 2a001c5b - 569510 ns MR4_I 01000200 07c96841 - 569530 ns IT 01000200 6841 LDR r1,[r0,#4] - 569550 ns MR4_I 01000204 6002d1fc - 569610 ns MR4_D 40006004 00000001 - 569610 ns R r1 00000001 - 569610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 569630 ns R r1 80000000 - 569630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 569650 ns R psr 81000200 - 569650 ns MR4_I 01000208 2a001c5b - 569670 ns MR4_I 01000200 07c96841 - 569690 ns IT 01000200 6841 LDR r1,[r0,#4] - 569710 ns MR4_I 01000204 6002d1fc - 569770 ns MR4_D 40006004 00000001 - 569770 ns R r1 00000001 - 569770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 569790 ns R r1 80000000 - 569790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 569810 ns R psr 81000200 - 569810 ns MR4_I 01000208 2a001c5b - 569830 ns MR4_I 01000200 07c96841 - 569850 ns IT 01000200 6841 LDR r1,[r0,#4] - 569870 ns MR4_I 01000204 6002d1fc - 569930 ns MR4_D 40006004 00000001 - 569930 ns R r1 00000001 - 569930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 569950 ns R r1 80000000 - 569950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 569970 ns R psr 81000200 - 569970 ns MR4_I 01000208 2a001c5b - 569990 ns MR4_I 01000200 07c96841 - 570010 ns IT 01000200 6841 LDR r1,[r0,#4] - 570030 ns MR4_I 01000204 6002d1fc - 570090 ns MR4_D 40006004 00000001 - 570090 ns R r1 00000001 - 570090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 570110 ns R r1 80000000 - 570110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 570130 ns R psr 81000200 - 570130 ns MR4_I 01000208 2a001c5b - 570150 ns MR4_I 01000200 07c96841 - 570170 ns IT 01000200 6841 LDR r1,[r0,#4] - 570190 ns MR4_I 01000204 6002d1fc - 570250 ns MR4_D 40006004 00000001 - 570250 ns R r1 00000001 - 570250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 570270 ns R r1 80000000 - 570270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 570290 ns R psr 81000200 - 570290 ns MR4_I 01000208 2a001c5b - 570310 ns MR4_I 01000200 07c96841 - 570330 ns IT 01000200 6841 LDR r1,[r0,#4] - 570350 ns MR4_I 01000204 6002d1fc - 570410 ns MR4_D 40006004 00000001 - 570410 ns R r1 00000001 - 570410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 570430 ns R r1 80000000 - 570430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 570450 ns R psr 81000200 - 570450 ns MR4_I 01000208 2a001c5b - 570470 ns MR4_I 01000200 07c96841 - 570490 ns IT 01000200 6841 LDR r1,[r0,#4] - 570510 ns MR4_I 01000204 6002d1fc - 570570 ns MR4_D 40006004 00000001 - 570570 ns R r1 00000001 - 570570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 570590 ns R r1 80000000 - 570590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 570610 ns R psr 81000200 - 570610 ns MR4_I 01000208 2a001c5b - 570630 ns MR4_I 01000200 07c96841 - 570650 ns IT 01000200 6841 LDR r1,[r0,#4] - 570670 ns MR4_I 01000204 6002d1fc - 570730 ns MR4_D 40006004 00000001 - 570730 ns R r1 00000001 - 570730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 570750 ns R r1 80000000 - 570750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 570770 ns R psr 81000200 - 570770 ns MR4_I 01000208 2a001c5b - 570790 ns MR4_I 01000200 07c96841 - 570810 ns IT 01000200 6841 LDR r1,[r0,#4] - 570830 ns MR4_I 01000204 6002d1fc - 570890 ns MR4_D 40006004 00000001 - 570890 ns R r1 00000001 - 570890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 570910 ns R r1 80000000 - 570910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 570930 ns R psr 81000200 - 570930 ns MR4_I 01000208 2a001c5b - 570950 ns MR4_I 01000200 07c96841 - 570970 ns IT 01000200 6841 LDR r1,[r0,#4] - 570990 ns MR4_I 01000204 6002d1fc - 571050 ns MR4_D 40006004 00000001 - 571050 ns R r1 00000001 - 571050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 571070 ns R r1 80000000 - 571070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 571090 ns R psr 81000200 - 571090 ns MR4_I 01000208 2a001c5b - 571110 ns MR4_I 01000200 07c96841 - 571130 ns IT 01000200 6841 LDR r1,[r0,#4] - 571150 ns MR4_I 01000204 6002d1fc - 571210 ns MR4_D 40006004 00000001 - 571210 ns R r1 00000001 - 571210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 571230 ns R r1 80000000 - 571230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 571250 ns R psr 81000200 - 571250 ns MR4_I 01000208 2a001c5b - 571270 ns MR4_I 01000200 07c96841 - 571290 ns IT 01000200 6841 LDR r1,[r0,#4] - 571310 ns MR4_I 01000204 6002d1fc - 571370 ns MR4_D 40006004 00000001 - 571370 ns R r1 00000001 - 571370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 571390 ns R r1 80000000 - 571390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 571410 ns R psr 81000200 - 571410 ns MR4_I 01000208 2a001c5b - 571430 ns MR4_I 01000200 07c96841 - 571450 ns IT 01000200 6841 LDR r1,[r0,#4] - 571470 ns MR4_I 01000204 6002d1fc - 571530 ns MR4_D 40006004 00000001 - 571530 ns R r1 00000001 - 571530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 571550 ns R r1 80000000 - 571550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 571570 ns R psr 81000200 - 571570 ns MR4_I 01000208 2a001c5b - 571590 ns MR4_I 01000200 07c96841 - 571610 ns IT 01000200 6841 LDR r1,[r0,#4] - 571630 ns MR4_I 01000204 6002d1fc - 571690 ns MR4_D 40006004 00000001 - 571690 ns R r1 00000001 - 571690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 571710 ns R r1 80000000 - 571710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 571730 ns R psr 81000200 - 571730 ns MR4_I 01000208 2a001c5b - 571750 ns MR4_I 01000200 07c96841 - 571770 ns IT 01000200 6841 LDR r1,[r0,#4] - 571790 ns MR4_I 01000204 6002d1fc - 571850 ns MR4_D 40006004 00000001 - 571850 ns R r1 00000001 - 571850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 571870 ns R r1 80000000 - 571870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 571890 ns R psr 81000200 - 571890 ns MR4_I 01000208 2a001c5b - 571910 ns MR4_I 01000200 07c96841 - 571930 ns IT 01000200 6841 LDR r1,[r0,#4] - 571950 ns MR4_I 01000204 6002d1fc - 572010 ns MR4_D 40006004 00000001 - 572010 ns R r1 00000001 - 572010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 572030 ns R r1 80000000 - 572030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 572050 ns R psr 81000200 - 572050 ns MR4_I 01000208 2a001c5b - 572070 ns MR4_I 01000200 07c96841 - 572090 ns IT 01000200 6841 LDR r1,[r0,#4] - 572110 ns MR4_I 01000204 6002d1fc - 572170 ns MR4_D 40006004 00000001 - 572170 ns R r1 00000001 - 572170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 572190 ns R r1 80000000 - 572190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 572210 ns R psr 81000200 - 572210 ns MR4_I 01000208 2a001c5b - 572230 ns MR4_I 01000200 07c96841 - 572250 ns IT 01000200 6841 LDR r1,[r0,#4] - 572270 ns MR4_I 01000204 6002d1fc - 572330 ns MR4_D 40006004 00000001 - 572330 ns R r1 00000001 - 572330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 572350 ns R r1 80000000 - 572350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 572370 ns R psr 81000200 - 572370 ns MR4_I 01000208 2a001c5b - 572390 ns MR4_I 01000200 07c96841 - 572410 ns IT 01000200 6841 LDR r1,[r0,#4] - 572430 ns MR4_I 01000204 6002d1fc - 572490 ns MR4_D 40006004 00000001 - 572490 ns R r1 00000001 - 572490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 572510 ns R r1 80000000 - 572510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 572530 ns R psr 81000200 - 572530 ns MR4_I 01000208 2a001c5b - 572550 ns MR4_I 01000200 07c96841 - 572570 ns IT 01000200 6841 LDR r1,[r0,#4] - 572590 ns MR4_I 01000204 6002d1fc - 572650 ns MR4_D 40006004 00000001 - 572650 ns R r1 00000001 - 572650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 572670 ns R r1 80000000 - 572670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 572690 ns R psr 81000200 - 572690 ns MR4_I 01000208 2a001c5b - 572710 ns MR4_I 01000200 07c96841 - 572730 ns IT 01000200 6841 LDR r1,[r0,#4] - 572750 ns MR4_I 01000204 6002d1fc - 572810 ns MR4_D 40006004 00000001 - 572810 ns R r1 00000001 - 572810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 572830 ns R r1 80000000 - 572830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 572850 ns R psr 81000200 - 572850 ns MR4_I 01000208 2a001c5b - 572870 ns MR4_I 01000200 07c96841 - 572890 ns IT 01000200 6841 LDR r1,[r0,#4] - 572910 ns MR4_I 01000204 6002d1fc - 572970 ns MR4_D 40006004 00000001 - 572970 ns R r1 00000001 - 572970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 572990 ns R r1 80000000 - 572990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 573010 ns R psr 81000200 - 573010 ns MR4_I 01000208 2a001c5b - 573030 ns MR4_I 01000200 07c96841 - 573050 ns IT 01000200 6841 LDR r1,[r0,#4] - 573070 ns MR4_I 01000204 6002d1fc - 573130 ns MR4_D 40006004 00000001 - 573130 ns R r1 00000001 - 573130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 573150 ns R r1 80000000 - 573150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 573170 ns R psr 81000200 - 573170 ns MR4_I 01000208 2a001c5b - 573190 ns MR4_I 01000200 07c96841 - 573210 ns IT 01000200 6841 LDR r1,[r0,#4] - 573230 ns MR4_I 01000204 6002d1fc - 573290 ns MR4_D 40006004 00000001 - 573290 ns R r1 00000001 - 573290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 573310 ns R r1 80000000 - 573310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 573330 ns R psr 81000200 - 573330 ns MR4_I 01000208 2a001c5b - 573350 ns MR4_I 01000200 07c96841 - 573370 ns IT 01000200 6841 LDR r1,[r0,#4] - 573390 ns MR4_I 01000204 6002d1fc - 573450 ns MR4_D 40006004 00000001 - 573450 ns R r1 00000001 - 573450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 573470 ns R r1 80000000 - 573470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 573490 ns R psr 81000200 - 573490 ns MR4_I 01000208 2a001c5b - 573510 ns MR4_I 01000200 07c96841 - 573530 ns IT 01000200 6841 LDR r1,[r0,#4] - 573550 ns MR4_I 01000204 6002d1fc - 573610 ns MR4_D 40006004 00000001 - 573610 ns R r1 00000001 - 573610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 573630 ns R r1 80000000 - 573630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 573650 ns R psr 81000200 - 573650 ns MR4_I 01000208 2a001c5b - 573670 ns MR4_I 01000200 07c96841 - 573690 ns IT 01000200 6841 LDR r1,[r0,#4] - 573710 ns MR4_I 01000204 6002d1fc - 573770 ns MR4_D 40006004 00000001 - 573770 ns R r1 00000001 - 573770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 573790 ns R r1 80000000 - 573790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 573810 ns R psr 81000200 - 573810 ns MR4_I 01000208 2a001c5b - 573830 ns MR4_I 01000200 07c96841 - 573850 ns IT 01000200 6841 LDR r1,[r0,#4] - 573870 ns MR4_I 01000204 6002d1fc - 573930 ns MR4_D 40006004 00000001 - 573930 ns R r1 00000001 - 573930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 573950 ns R r1 80000000 - 573950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 573970 ns R psr 81000200 - 573970 ns MR4_I 01000208 2a001c5b - 573990 ns MR4_I 01000200 07c96841 - 574010 ns IT 01000200 6841 LDR r1,[r0,#4] - 574030 ns MR4_I 01000204 6002d1fc - 574090 ns MR4_D 40006004 00000001 - 574090 ns R r1 00000001 - 574090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 574110 ns R r1 80000000 - 574110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 574130 ns R psr 81000200 - 574130 ns MR4_I 01000208 2a001c5b - 574150 ns MR4_I 01000200 07c96841 - 574170 ns IT 01000200 6841 LDR r1,[r0,#4] - 574190 ns MR4_I 01000204 6002d1fc - 574250 ns MR4_D 40006004 00000001 - 574250 ns R r1 00000001 - 574250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 574270 ns R r1 80000000 - 574270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 574290 ns R psr 81000200 - 574290 ns MR4_I 01000208 2a001c5b - 574310 ns MR4_I 01000200 07c96841 - 574330 ns IT 01000200 6841 LDR r1,[r0,#4] - 574350 ns MR4_I 01000204 6002d1fc - 574410 ns MR4_D 40006004 00000001 - 574410 ns R r1 00000001 - 574410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 574430 ns R r1 80000000 - 574430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 574450 ns R psr 81000200 - 574450 ns MR4_I 01000208 2a001c5b - 574470 ns MR4_I 01000200 07c96841 - 574490 ns IT 01000200 6841 LDR r1,[r0,#4] - 574510 ns MR4_I 01000204 6002d1fc - 574570 ns MR4_D 40006004 00000001 - 574570 ns R r1 00000001 - 574570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 574590 ns R r1 80000000 - 574590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 574610 ns R psr 81000200 - 574610 ns MR4_I 01000208 2a001c5b - 574630 ns MR4_I 01000200 07c96841 - 574650 ns IT 01000200 6841 LDR r1,[r0,#4] - 574670 ns MR4_I 01000204 6002d1fc - 574730 ns MR4_D 40006004 00000001 - 574730 ns R r1 00000001 - 574730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 574750 ns R r1 80000000 - 574750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 574770 ns R psr 81000200 - 574770 ns MR4_I 01000208 2a001c5b - 574790 ns MR4_I 01000200 07c96841 - 574810 ns IT 01000200 6841 LDR r1,[r0,#4] - 574830 ns MR4_I 01000204 6002d1fc - 574890 ns MR4_D 40006004 00000001 - 574890 ns R r1 00000001 - 574890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 574910 ns R r1 80000000 - 574910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 574930 ns R psr 81000200 - 574930 ns MR4_I 01000208 2a001c5b - 574950 ns MR4_I 01000200 07c96841 - 574970 ns IT 01000200 6841 LDR r1,[r0,#4] - 574990 ns MR4_I 01000204 6002d1fc - 575050 ns MR4_D 40006004 00000001 - 575050 ns R r1 00000001 - 575050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 575070 ns R r1 80000000 - 575070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 575090 ns R psr 81000200 - 575090 ns MR4_I 01000208 2a001c5b - 575110 ns MR4_I 01000200 07c96841 - 575130 ns IT 01000200 6841 LDR r1,[r0,#4] - 575150 ns MR4_I 01000204 6002d1fc - 575210 ns MR4_D 40006004 00000001 - 575210 ns R r1 00000001 - 575210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 575230 ns R r1 80000000 - 575230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 575250 ns R psr 81000200 - 575250 ns MR4_I 01000208 2a001c5b - 575270 ns MR4_I 01000200 07c96841 - 575290 ns IT 01000200 6841 LDR r1,[r0,#4] - 575310 ns MR4_I 01000204 6002d1fc - 575370 ns MR4_D 40006004 00000001 - 575370 ns R r1 00000001 - 575370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 575390 ns R r1 80000000 - 575390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 575410 ns R psr 81000200 - 575410 ns MR4_I 01000208 2a001c5b - 575430 ns MR4_I 01000200 07c96841 - 575450 ns IT 01000200 6841 LDR r1,[r0,#4] - 575470 ns MR4_I 01000204 6002d1fc - 575530 ns MR4_D 40006004 00000001 - 575530 ns R r1 00000001 - 575530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 575550 ns R r1 80000000 - 575550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 575570 ns R psr 81000200 - 575570 ns MR4_I 01000208 2a001c5b - 575590 ns MR4_I 01000200 07c96841 - 575610 ns IT 01000200 6841 LDR r1,[r0,#4] - 575630 ns MR4_I 01000204 6002d1fc - 575690 ns MR4_D 40006004 00000001 - 575690 ns R r1 00000001 - 575690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 575710 ns R r1 80000000 - 575710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 575730 ns R psr 81000200 - 575730 ns MR4_I 01000208 2a001c5b - 575750 ns MR4_I 01000200 07c96841 - 575770 ns IT 01000200 6841 LDR r1,[r0,#4] - 575790 ns MR4_I 01000204 6002d1fc - 575850 ns MR4_D 40006004 00000001 - 575850 ns R r1 00000001 - 575850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 575870 ns R r1 80000000 - 575870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 575890 ns R psr 81000200 - 575890 ns MR4_I 01000208 2a001c5b - 575910 ns MR4_I 01000200 07c96841 - 575930 ns IT 01000200 6841 LDR r1,[r0,#4] - 575950 ns MR4_I 01000204 6002d1fc - 576010 ns MR4_D 40006004 00000001 - 576010 ns R r1 00000001 - 576010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 576030 ns R r1 80000000 - 576030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 576050 ns R psr 81000200 - 576050 ns MR4_I 01000208 2a001c5b - 576070 ns MR4_I 01000200 07c96841 - 576090 ns IT 01000200 6841 LDR r1,[r0,#4] - 576110 ns MR4_I 01000204 6002d1fc - 576170 ns MR4_D 40006004 00000001 - 576170 ns R r1 00000001 - 576170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 576190 ns R r1 80000000 - 576190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 576210 ns R psr 81000200 - 576210 ns MR4_I 01000208 2a001c5b - 576230 ns MR4_I 01000200 07c96841 - 576250 ns IT 01000200 6841 LDR r1,[r0,#4] - 576270 ns MR4_I 01000204 6002d1fc - 576330 ns MR4_D 40006004 00000001 - 576330 ns R r1 00000001 - 576330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 576350 ns R r1 80000000 - 576350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 576370 ns R psr 81000200 - 576370 ns MR4_I 01000208 2a001c5b - 576390 ns MR4_I 01000200 07c96841 - 576410 ns IT 01000200 6841 LDR r1,[r0,#4] - 576430 ns MR4_I 01000204 6002d1fc - 576490 ns MR4_D 40006004 00000001 - 576490 ns R r1 00000001 - 576490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 576510 ns R r1 80000000 - 576510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 576530 ns R psr 81000200 - 576530 ns MR4_I 01000208 2a001c5b - 576550 ns MR4_I 01000200 07c96841 - 576570 ns IT 01000200 6841 LDR r1,[r0,#4] - 576590 ns MR4_I 01000204 6002d1fc - 576650 ns MR4_D 40006004 00000001 - 576650 ns R r1 00000001 - 576650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 576670 ns R r1 80000000 - 576670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 576690 ns R psr 81000200 - 576690 ns MR4_I 01000208 2a001c5b - 576710 ns MR4_I 01000200 07c96841 - 576730 ns IT 01000200 6841 LDR r1,[r0,#4] - 576750 ns MR4_I 01000204 6002d1fc - 576810 ns MR4_D 40006004 00000001 - 576810 ns R r1 00000001 - 576810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 576830 ns R r1 80000000 - 576830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 576850 ns R psr 81000200 - 576850 ns MR4_I 01000208 2a001c5b - 576870 ns MR4_I 01000200 07c96841 - 576890 ns IT 01000200 6841 LDR r1,[r0,#4] - 576910 ns MR4_I 01000204 6002d1fc - 576970 ns MR4_D 40006004 00000001 - 576970 ns R r1 00000001 - 576970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 576990 ns R r1 80000000 - 576990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 577010 ns R psr 81000200 - 577010 ns MR4_I 01000208 2a001c5b - 577030 ns MR4_I 01000200 07c96841 - 577050 ns IT 01000200 6841 LDR r1,[r0,#4] - 577070 ns MR4_I 01000204 6002d1fc - 577130 ns MR4_D 40006004 00000001 - 577130 ns R r1 00000001 - 577130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 577150 ns R r1 80000000 - 577150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 577170 ns R psr 81000200 - 577170 ns MR4_I 01000208 2a001c5b - 577190 ns MR4_I 01000200 07c96841 - 577210 ns IT 01000200 6841 LDR r1,[r0,#4] - 577230 ns MR4_I 01000204 6002d1fc - 577290 ns MR4_D 40006004 00000001 - 577290 ns R r1 00000001 - 577290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 577310 ns R r1 80000000 - 577310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 577330 ns R psr 81000200 - 577330 ns MR4_I 01000208 2a001c5b - 577350 ns MR4_I 01000200 07c96841 - 577370 ns IT 01000200 6841 LDR r1,[r0,#4] - 577390 ns MR4_I 01000204 6002d1fc - 577450 ns MR4_D 40006004 00000001 - 577450 ns R r1 00000001 - 577450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 577470 ns R r1 80000000 - 577470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 577490 ns R psr 81000200 - 577490 ns MR4_I 01000208 2a001c5b - 577510 ns MR4_I 01000200 07c96841 - 577530 ns IT 01000200 6841 LDR r1,[r0,#4] - 577550 ns MR4_I 01000204 6002d1fc - 577610 ns MR4_D 40006004 00000001 - 577610 ns R r1 00000001 - 577610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 577630 ns R r1 80000000 - 577630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 577650 ns R psr 81000200 - 577650 ns MR4_I 01000208 2a001c5b - 577670 ns MR4_I 01000200 07c96841 - 577690 ns IT 01000200 6841 LDR r1,[r0,#4] - 577710 ns MR4_I 01000204 6002d1fc - 577770 ns MR4_D 40006004 00000001 - 577770 ns R r1 00000001 - 577770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 577790 ns R r1 80000000 - 577790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 577810 ns R psr 81000200 - 577810 ns MR4_I 01000208 2a001c5b - 577830 ns MR4_I 01000200 07c96841 - 577850 ns IT 01000200 6841 LDR r1,[r0,#4] - 577870 ns MR4_I 01000204 6002d1fc - 577930 ns MR4_D 40006004 00000001 - 577930 ns R r1 00000001 - 577930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 577950 ns R r1 80000000 - 577950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 577970 ns R psr 81000200 - 577970 ns MR4_I 01000208 2a001c5b - 577990 ns MR4_I 01000200 07c96841 - 578010 ns IT 01000200 6841 LDR r1,[r0,#4] - 578030 ns MR4_I 01000204 6002d1fc - 578090 ns MR4_D 40006004 00000001 - 578090 ns R r1 00000001 - 578090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 578110 ns R r1 80000000 - 578110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 578130 ns R psr 81000200 - 578130 ns MR4_I 01000208 2a001c5b - 578150 ns MR4_I 01000200 07c96841 - 578170 ns IT 01000200 6841 LDR r1,[r0,#4] - 578190 ns MR4_I 01000204 6002d1fc - 578250 ns MR4_D 40006004 00000001 - 578250 ns R r1 00000001 - 578250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 578270 ns R r1 80000000 - 578270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 578290 ns R psr 81000200 - 578290 ns MR4_I 01000208 2a001c5b - 578310 ns MR4_I 01000200 07c96841 - 578330 ns IT 01000200 6841 LDR r1,[r0,#4] - 578350 ns MR4_I 01000204 6002d1fc - 578410 ns MR4_D 40006004 00000001 - 578410 ns R r1 00000001 - 578410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 578430 ns R r1 80000000 - 578430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 578450 ns R psr 81000200 - 578450 ns MR4_I 01000208 2a001c5b - 578470 ns MR4_I 01000200 07c96841 - 578490 ns IT 01000200 6841 LDR r1,[r0,#4] - 578510 ns MR4_I 01000204 6002d1fc - 578570 ns MR4_D 40006004 00000001 - 578570 ns R r1 00000001 - 578570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 578590 ns R r1 80000000 - 578590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 578610 ns R psr 81000200 - 578610 ns MR4_I 01000208 2a001c5b - 578630 ns MR4_I 01000200 07c96841 - 578650 ns IT 01000200 6841 LDR r1,[r0,#4] - 578670 ns MR4_I 01000204 6002d1fc - 578730 ns MR4_D 40006004 00000001 - 578730 ns R r1 00000001 - 578730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 578750 ns R r1 80000000 - 578750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 578770 ns R psr 81000200 - 578770 ns MR4_I 01000208 2a001c5b - 578790 ns MR4_I 01000200 07c96841 - 578810 ns IT 01000200 6841 LDR r1,[r0,#4] - 578830 ns MR4_I 01000204 6002d1fc - 578890 ns MR4_D 40006004 00000001 - 578890 ns R r1 00000001 - 578890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 578910 ns R r1 80000000 - 578910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 578930 ns R psr 81000200 - 578930 ns MR4_I 01000208 2a001c5b - 578950 ns MR4_I 01000200 07c96841 - 578970 ns IT 01000200 6841 LDR r1,[r0,#4] - 578990 ns MR4_I 01000204 6002d1fc - 579050 ns MR4_D 40006004 00000001 - 579050 ns R r1 00000001 - 579050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 579070 ns R r1 80000000 - 579070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 579090 ns R psr 81000200 - 579090 ns MR4_I 01000208 2a001c5b - 579110 ns MR4_I 01000200 07c96841 - 579130 ns IT 01000200 6841 LDR r1,[r0,#4] - 579150 ns MR4_I 01000204 6002d1fc - 579210 ns MR4_D 40006004 00000001 - 579210 ns R r1 00000001 - 579210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 579230 ns R r1 80000000 - 579230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 579250 ns R psr 81000200 - 579250 ns MR4_I 01000208 2a001c5b - 579270 ns MR4_I 01000200 07c96841 - 579290 ns IT 01000200 6841 LDR r1,[r0,#4] - 579310 ns MR4_I 01000204 6002d1fc - 579370 ns MR4_D 40006004 00000001 - 579370 ns R r1 00000001 - 579370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 579390 ns R r1 80000000 - 579390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 579410 ns R psr 81000200 - 579410 ns MR4_I 01000208 2a001c5b - 579430 ns MR4_I 01000200 07c96841 - 579450 ns IT 01000200 6841 LDR r1,[r0,#4] - 579470 ns MR4_I 01000204 6002d1fc - 579530 ns MR4_D 40006004 00000001 - 579530 ns R r1 00000001 - 579530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 579550 ns R r1 80000000 - 579550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 579570 ns R psr 81000200 - 579570 ns MR4_I 01000208 2a001c5b - 579590 ns MR4_I 01000200 07c96841 - 579610 ns IT 01000200 6841 LDR r1,[r0,#4] - 579630 ns MR4_I 01000204 6002d1fc - 579690 ns MR4_D 40006004 00000001 - 579690 ns R r1 00000001 - 579690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 579710 ns R r1 80000000 - 579710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 579730 ns R psr 81000200 - 579730 ns MR4_I 01000208 2a001c5b - 579750 ns MR4_I 01000200 07c96841 - 579770 ns IT 01000200 6841 LDR r1,[r0,#4] - 579790 ns MR4_I 01000204 6002d1fc - 579850 ns MR4_D 40006004 00000001 - 579850 ns R r1 00000001 - 579850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 579870 ns R r1 80000000 - 579870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 579890 ns R psr 81000200 - 579890 ns MR4_I 01000208 2a001c5b - 579910 ns MR4_I 01000200 07c96841 - 579930 ns IT 01000200 6841 LDR r1,[r0,#4] - 579950 ns MR4_I 01000204 6002d1fc - 580010 ns MR4_D 40006004 00000001 - 580010 ns R r1 00000001 - 580010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 580030 ns R r1 80000000 - 580030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 580050 ns R psr 81000200 - 580050 ns MR4_I 01000208 2a001c5b - 580070 ns MR4_I 01000200 07c96841 - 580090 ns IT 01000200 6841 LDR r1,[r0,#4] - 580110 ns MR4_I 01000204 6002d1fc - 580170 ns MR4_D 40006004 00000001 - 580170 ns R r1 00000001 - 580170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 580190 ns R r1 80000000 - 580190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 580210 ns R psr 81000200 - 580210 ns MR4_I 01000208 2a001c5b - 580230 ns MR4_I 01000200 07c96841 - 580250 ns IT 01000200 6841 LDR r1,[r0,#4] - 580270 ns MR4_I 01000204 6002d1fc - 580330 ns MR4_D 40006004 00000001 - 580330 ns R r1 00000001 - 580330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 580350 ns R r1 80000000 - 580350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 580370 ns R psr 81000200 - 580370 ns MR4_I 01000208 2a001c5b - 580390 ns MR4_I 01000200 07c96841 - 580410 ns IT 01000200 6841 LDR r1,[r0,#4] - 580430 ns MR4_I 01000204 6002d1fc - 580490 ns MR4_D 40006004 00000001 - 580490 ns R r1 00000001 - 580490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 580510 ns R r1 80000000 - 580510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 580530 ns R psr 81000200 - 580530 ns MR4_I 01000208 2a001c5b - 580550 ns MR4_I 01000200 07c96841 - 580570 ns IT 01000200 6841 LDR r1,[r0,#4] - 580590 ns MR4_I 01000204 6002d1fc - 580650 ns MR4_D 40006004 00000001 - 580650 ns R r1 00000001 - 580650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 580670 ns R r1 80000000 - 580670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 580690 ns R psr 81000200 - 580690 ns MR4_I 01000208 2a001c5b - 580710 ns MR4_I 01000200 07c96841 - 580730 ns IT 01000200 6841 LDR r1,[r0,#4] - 580750 ns MR4_I 01000204 6002d1fc - 580810 ns MR4_D 40006004 00000001 - 580810 ns R r1 00000001 - 580810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 580830 ns R r1 80000000 - 580830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 580850 ns R psr 81000200 - 580850 ns MR4_I 01000208 2a001c5b - 580870 ns MR4_I 01000200 07c96841 - 580890 ns IT 01000200 6841 LDR r1,[r0,#4] - 580910 ns MR4_I 01000204 6002d1fc - 580970 ns MR4_D 40006004 00000001 - 580970 ns R r1 00000001 - 580970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 580990 ns R r1 80000000 - 580990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 581010 ns R psr 81000200 - 581010 ns MR4_I 01000208 2a001c5b - 581030 ns MR4_I 01000200 07c96841 - 581050 ns IT 01000200 6841 LDR r1,[r0,#4] - 581070 ns MR4_I 01000204 6002d1fc - 581130 ns MR4_D 40006004 00000001 - 581130 ns R r1 00000001 - 581130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 581150 ns R r1 80000000 - 581150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 581170 ns R psr 81000200 - 581170 ns MR4_I 01000208 2a001c5b - 581190 ns MR4_I 01000200 07c96841 - 581210 ns IT 01000200 6841 LDR r1,[r0,#4] - 581230 ns MR4_I 01000204 6002d1fc - 581290 ns MR4_D 40006004 00000001 - 581290 ns R r1 00000001 - 581290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 581310 ns R r1 80000000 - 581310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 581330 ns R psr 81000200 - 581330 ns MR4_I 01000208 2a001c5b - 581350 ns MR4_I 01000200 07c96841 - 581370 ns IT 01000200 6841 LDR r1,[r0,#4] - 581390 ns MR4_I 01000204 6002d1fc - 581450 ns MR4_D 40006004 00000001 - 581450 ns R r1 00000001 - 581450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 581470 ns R r1 80000000 - 581470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 581490 ns R psr 81000200 - 581490 ns MR4_I 01000208 2a001c5b - 581510 ns MR4_I 01000200 07c96841 - 581530 ns IT 01000200 6841 LDR r1,[r0,#4] - 581550 ns MR4_I 01000204 6002d1fc - 581610 ns MR4_D 40006004 00000001 - 581610 ns R r1 00000001 - 581610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 581630 ns R r1 80000000 - 581630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 581650 ns R psr 81000200 - 581650 ns MR4_I 01000208 2a001c5b - 581670 ns MR4_I 01000200 07c96841 - 581690 ns IT 01000200 6841 LDR r1,[r0,#4] - 581710 ns MR4_I 01000204 6002d1fc - 581770 ns MR4_D 40006004 00000001 - 581770 ns R r1 00000001 - 581770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 581790 ns R r1 80000000 - 581790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 581810 ns R psr 81000200 - 581810 ns MR4_I 01000208 2a001c5b - 581830 ns MR4_I 01000200 07c96841 - 581850 ns IT 01000200 6841 LDR r1,[r0,#4] - 581870 ns MR4_I 01000204 6002d1fc - 581930 ns MR4_D 40006004 00000001 - 581930 ns R r1 00000001 - 581930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 581950 ns R r1 80000000 - 581950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 581970 ns R psr 81000200 - 581970 ns MR4_I 01000208 2a001c5b - 581990 ns MR4_I 01000200 07c96841 - 582010 ns IT 01000200 6841 LDR r1,[r0,#4] - 582030 ns MR4_I 01000204 6002d1fc - 582090 ns MR4_D 40006004 00000001 - 582090 ns R r1 00000001 - 582090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 582110 ns R r1 80000000 - 582110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 582130 ns R psr 81000200 - 582130 ns MR4_I 01000208 2a001c5b - 582150 ns MR4_I 01000200 07c96841 - 582170 ns IT 01000200 6841 LDR r1,[r0,#4] - 582190 ns MR4_I 01000204 6002d1fc - 582250 ns MR4_D 40006004 00000001 - 582250 ns R r1 00000001 - 582250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 582270 ns R r1 80000000 - 582270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 582290 ns R psr 81000200 - 582290 ns MR4_I 01000208 2a001c5b - 582310 ns MR4_I 01000200 07c96841 - 582330 ns IT 01000200 6841 LDR r1,[r0,#4] - 582350 ns MR4_I 01000204 6002d1fc - 582410 ns MR4_D 40006004 00000001 - 582410 ns R r1 00000001 - 582410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 582430 ns R r1 80000000 - 582430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 582450 ns R psr 81000200 - 582450 ns MR4_I 01000208 2a001c5b - 582470 ns MR4_I 01000200 07c96841 - 582490 ns IT 01000200 6841 LDR r1,[r0,#4] - 582510 ns MR4_I 01000204 6002d1fc - 582570 ns MR4_D 40006004 00000001 - 582570 ns R r1 00000001 - 582570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 582590 ns R r1 80000000 - 582590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 582610 ns R psr 81000200 - 582610 ns MR4_I 01000208 2a001c5b - 582630 ns MR4_I 01000200 07c96841 - 582650 ns IT 01000200 6841 LDR r1,[r0,#4] - 582670 ns MR4_I 01000204 6002d1fc - 582730 ns MR4_D 40006004 00000001 - 582730 ns R r1 00000001 - 582730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 582750 ns R r1 80000000 - 582750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 582770 ns R psr 81000200 - 582770 ns MR4_I 01000208 2a001c5b - 582790 ns MR4_I 01000200 07c96841 - 582810 ns IT 01000200 6841 LDR r1,[r0,#4] - 582830 ns MR4_I 01000204 6002d1fc - 582890 ns MR4_D 40006004 00000001 - 582890 ns R r1 00000001 - 582890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 582910 ns R r1 80000000 - 582910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 582930 ns R psr 81000200 - 582930 ns MR4_I 01000208 2a001c5b - 582950 ns MR4_I 01000200 07c96841 - 582970 ns IT 01000200 6841 LDR r1,[r0,#4] - 582990 ns MR4_I 01000204 6002d1fc - 583050 ns MR4_D 40006004 00000001 - 583050 ns R r1 00000001 - 583050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 583070 ns R r1 80000000 - 583070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 583090 ns R psr 81000200 - 583090 ns MR4_I 01000208 2a001c5b - 583110 ns MR4_I 01000200 07c96841 - 583130 ns IT 01000200 6841 LDR r1,[r0,#4] - 583150 ns MR4_I 01000204 6002d1fc - 583210 ns MR4_D 40006004 00000001 - 583210 ns R r1 00000001 - 583210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 583230 ns R r1 80000000 - 583230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 583250 ns R psr 81000200 - 583250 ns MR4_I 01000208 2a001c5b - 583270 ns MR4_I 01000200 07c96841 - 583290 ns IT 01000200 6841 LDR r1,[r0,#4] - 583310 ns MR4_I 01000204 6002d1fc - 583370 ns MR4_D 40006004 00000001 - 583370 ns R r1 00000001 - 583370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 583390 ns R r1 80000000 - 583390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 583410 ns R psr 81000200 - 583410 ns MR4_I 01000208 2a001c5b - 583430 ns MR4_I 01000200 07c96841 - 583450 ns IT 01000200 6841 LDR r1,[r0,#4] - 583470 ns MR4_I 01000204 6002d1fc - 583530 ns MR4_D 40006004 00000001 - 583530 ns R r1 00000001 - 583530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 583550 ns R r1 80000000 - 583550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 583570 ns R psr 81000200 - 583570 ns MR4_I 01000208 2a001c5b - 583590 ns MR4_I 01000200 07c96841 - 583610 ns IT 01000200 6841 LDR r1,[r0,#4] - 583630 ns MR4_I 01000204 6002d1fc - 583690 ns MR4_D 40006004 00000001 - 583690 ns R r1 00000001 - 583690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 583710 ns R r1 80000000 - 583710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 583730 ns R psr 81000200 - 583730 ns MR4_I 01000208 2a001c5b - 583750 ns MR4_I 01000200 07c96841 - 583770 ns IT 01000200 6841 LDR r1,[r0,#4] - 583790 ns MR4_I 01000204 6002d1fc - 583850 ns MR4_D 40006004 00000001 - 583850 ns R r1 00000001 - 583850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 583870 ns R r1 80000000 - 583870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 583890 ns R psr 81000200 - 583890 ns MR4_I 01000208 2a001c5b - 583910 ns MR4_I 01000200 07c96841 - 583930 ns IT 01000200 6841 LDR r1,[r0,#4] - 583950 ns MR4_I 01000204 6002d1fc - 584010 ns MR4_D 40006004 00000001 - 584010 ns R r1 00000001 - 584010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 584030 ns R r1 80000000 - 584030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 584050 ns R psr 81000200 - 584050 ns MR4_I 01000208 2a001c5b - 584070 ns MR4_I 01000200 07c96841 - 584090 ns IT 01000200 6841 LDR r1,[r0,#4] - 584110 ns MR4_I 01000204 6002d1fc - 584170 ns MR4_D 40006004 00000001 - 584170 ns R r1 00000001 - 584170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 584190 ns R r1 80000000 - 584190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 584210 ns R psr 81000200 - 584210 ns MR4_I 01000208 2a001c5b - 584230 ns MR4_I 01000200 07c96841 - 584250 ns IT 01000200 6841 LDR r1,[r0,#4] - 584270 ns MR4_I 01000204 6002d1fc - 584330 ns MR4_D 40006004 00000001 - 584330 ns R r1 00000001 - 584330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 584350 ns R r1 80000000 - 584350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 584370 ns R psr 81000200 - 584370 ns MR4_I 01000208 2a001c5b - 584390 ns MR4_I 01000200 07c96841 - 584410 ns IT 01000200 6841 LDR r1,[r0,#4] - 584430 ns MR4_I 01000204 6002d1fc - 584490 ns MR4_D 40006004 00000001 - 584490 ns R r1 00000001 - 584490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 584510 ns R r1 80000000 - 584510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 584530 ns R psr 81000200 - 584530 ns MR4_I 01000208 2a001c5b - 584550 ns MR4_I 01000200 07c96841 - 584570 ns IT 01000200 6841 LDR r1,[r0,#4] - 584590 ns MR4_I 01000204 6002d1fc - 584650 ns MR4_D 40006004 00000001 - 584650 ns R r1 00000001 - 584650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 584670 ns R r1 80000000 - 584670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 584690 ns R psr 81000200 - 584690 ns MR4_I 01000208 2a001c5b - 584710 ns MR4_I 01000200 07c96841 - 584730 ns IT 01000200 6841 LDR r1,[r0,#4] - 584750 ns MR4_I 01000204 6002d1fc - 584810 ns MR4_D 40006004 00000001 - 584810 ns R r1 00000001 - 584810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 584830 ns R r1 80000000 - 584830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 584850 ns R psr 81000200 - 584850 ns MR4_I 01000208 2a001c5b - 584870 ns MR4_I 01000200 07c96841 - 584890 ns IT 01000200 6841 LDR r1,[r0,#4] - 584910 ns MR4_I 01000204 6002d1fc - 584970 ns MR4_D 40006004 00000001 - 584970 ns R r1 00000001 - 584970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 584990 ns R r1 80000000 - 584990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 585010 ns R psr 81000200 - 585010 ns MR4_I 01000208 2a001c5b - 585030 ns MR4_I 01000200 07c96841 - 585050 ns IT 01000200 6841 LDR r1,[r0,#4] - 585070 ns MR4_I 01000204 6002d1fc - 585130 ns MR4_D 40006004 00000001 - 585130 ns R r1 00000001 - 585130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 585150 ns R r1 80000000 - 585150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 585170 ns R psr 81000200 - 585170 ns MR4_I 01000208 2a001c5b - 585190 ns MR4_I 01000200 07c96841 - 585210 ns IT 01000200 6841 LDR r1,[r0,#4] - 585230 ns MR4_I 01000204 6002d1fc - 585290 ns MR4_D 40006004 00000001 - 585290 ns R r1 00000001 - 585290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 585310 ns R r1 80000000 - 585310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 585330 ns R psr 81000200 - 585330 ns MR4_I 01000208 2a001c5b - 585350 ns MR4_I 01000200 07c96841 - 585370 ns IT 01000200 6841 LDR r1,[r0,#4] - 585390 ns MR4_I 01000204 6002d1fc - 585450 ns MR4_D 40006004 00000001 - 585450 ns R r1 00000001 - 585450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 585470 ns R r1 80000000 - 585470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 585490 ns R psr 81000200 - 585490 ns MR4_I 01000208 2a001c5b - 585510 ns MR4_I 01000200 07c96841 - 585530 ns IT 01000200 6841 LDR r1,[r0,#4] - 585550 ns MR4_I 01000204 6002d1fc - 585610 ns MR4_D 40006004 00000001 - 585610 ns R r1 00000001 - 585610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 585630 ns R r1 80000000 - 585630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 585650 ns R psr 81000200 - 585650 ns MR4_I 01000208 2a001c5b - 585670 ns MR4_I 01000200 07c96841 - 585690 ns IT 01000200 6841 LDR r1,[r0,#4] - 585710 ns MR4_I 01000204 6002d1fc - 585770 ns MR4_D 40006004 00000001 - 585770 ns R r1 00000001 - 585770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 585790 ns R r1 80000000 - 585790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 585810 ns R psr 81000200 - 585810 ns MR4_I 01000208 2a001c5b - 585830 ns MR4_I 01000200 07c96841 - 585850 ns IT 01000200 6841 LDR r1,[r0,#4] - 585870 ns MR4_I 01000204 6002d1fc - 585930 ns MR4_D 40006004 00000001 - 585930 ns R r1 00000001 - 585930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 585950 ns R r1 80000000 - 585950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 585970 ns R psr 81000200 - 585970 ns MR4_I 01000208 2a001c5b - 585990 ns MR4_I 01000200 07c96841 - 586010 ns IT 01000200 6841 LDR r1,[r0,#4] - 586030 ns MR4_I 01000204 6002d1fc - 586090 ns MR4_D 40006004 00000001 - 586090 ns R r1 00000001 - 586090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 586110 ns R r1 80000000 - 586110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 586130 ns R psr 81000200 - 586130 ns MR4_I 01000208 2a001c5b - 586150 ns MR4_I 01000200 07c96841 - 586170 ns IT 01000200 6841 LDR r1,[r0,#4] - 586190 ns MR4_I 01000204 6002d1fc - 586250 ns MR4_D 40006004 00000001 - 586250 ns R r1 00000001 - 586250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 586270 ns R r1 80000000 - 586270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 586290 ns R psr 81000200 - 586290 ns MR4_I 01000208 2a001c5b - 586310 ns MR4_I 01000200 07c96841 - 586330 ns IT 01000200 6841 LDR r1,[r0,#4] - 586350 ns MR4_I 01000204 6002d1fc - 586410 ns MR4_D 40006004 00000001 - 586410 ns R r1 00000001 - 586410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 586430 ns R r1 80000000 - 586430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 586450 ns R psr 81000200 - 586450 ns MR4_I 01000208 2a001c5b - 586470 ns MR4_I 01000200 07c96841 - 586490 ns IT 01000200 6841 LDR r1,[r0,#4] - 586510 ns MR4_I 01000204 6002d1fc - 586570 ns MR4_D 40006004 00000001 - 586570 ns R r1 00000001 - 586570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 586590 ns R r1 80000000 - 586590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 586610 ns R psr 81000200 - 586610 ns MR4_I 01000208 2a001c5b - 586630 ns MR4_I 01000200 07c96841 - 586650 ns IT 01000200 6841 LDR r1,[r0,#4] - 586670 ns MR4_I 01000204 6002d1fc - 586730 ns MR4_D 40006004 00000001 - 586730 ns R r1 00000001 - 586730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 586750 ns R r1 80000000 - 586750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 586770 ns R psr 81000200 - 586770 ns MR4_I 01000208 2a001c5b - 586790 ns MR4_I 01000200 07c96841 - 586810 ns IT 01000200 6841 LDR r1,[r0,#4] - 586830 ns MR4_I 01000204 6002d1fc - 586890 ns MR4_D 40006004 00000001 - 586890 ns R r1 00000001 - 586890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 586910 ns R r1 80000000 - 586910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 586930 ns R psr 81000200 - 586930 ns MR4_I 01000208 2a001c5b - 586950 ns MR4_I 01000200 07c96841 - 586970 ns IT 01000200 6841 LDR r1,[r0,#4] - 586990 ns MR4_I 01000204 6002d1fc - 587050 ns MR4_D 40006004 00000001 - 587050 ns R r1 00000001 - 587050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 587070 ns R r1 80000000 - 587070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 587090 ns R psr 81000200 - 587090 ns MR4_I 01000208 2a001c5b - 587110 ns MR4_I 01000200 07c96841 - 587130 ns IT 01000200 6841 LDR r1,[r0,#4] - 587150 ns MR4_I 01000204 6002d1fc - 587210 ns MR4_D 40006004 00000001 - 587210 ns R r1 00000001 - 587210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 587230 ns R r1 80000000 - 587230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 587250 ns R psr 81000200 - 587250 ns MR4_I 01000208 2a001c5b - 587270 ns MR4_I 01000200 07c96841 - 587290 ns IT 01000200 6841 LDR r1,[r0,#4] - 587310 ns MR4_I 01000204 6002d1fc - 587370 ns MR4_D 40006004 00000001 - 587370 ns R r1 00000001 - 587370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 587390 ns R r1 80000000 - 587390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 587410 ns R psr 81000200 - 587410 ns MR4_I 01000208 2a001c5b - 587430 ns MR4_I 01000200 07c96841 - 587450 ns IT 01000200 6841 LDR r1,[r0,#4] - 587470 ns MR4_I 01000204 6002d1fc - 587530 ns MR4_D 40006004 00000001 - 587530 ns R r1 00000001 - 587530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 587550 ns R r1 80000000 - 587550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 587570 ns R psr 81000200 - 587570 ns MR4_I 01000208 2a001c5b - 587590 ns MR4_I 01000200 07c96841 - 587610 ns IT 01000200 6841 LDR r1,[r0,#4] - 587630 ns MR4_I 01000204 6002d1fc - 587690 ns MR4_D 40006004 00000001 - 587690 ns R r1 00000001 - 587690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 587710 ns R r1 80000000 - 587710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 587730 ns R psr 81000200 - 587730 ns MR4_I 01000208 2a001c5b - 587750 ns MR4_I 01000200 07c96841 - 587770 ns IT 01000200 6841 LDR r1,[r0,#4] - 587790 ns MR4_I 01000204 6002d1fc - 587850 ns MR4_D 40006004 00000001 - 587850 ns R r1 00000001 - 587850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 587870 ns R r1 80000000 - 587870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 587890 ns R psr 81000200 - 587890 ns MR4_I 01000208 2a001c5b - 587910 ns MR4_I 01000200 07c96841 - 587930 ns IT 01000200 6841 LDR r1,[r0,#4] - 587950 ns MR4_I 01000204 6002d1fc - 588010 ns MR4_D 40006004 00000001 - 588010 ns R r1 00000001 - 588010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 588030 ns R r1 80000000 - 588030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 588050 ns R psr 81000200 - 588050 ns MR4_I 01000208 2a001c5b - 588070 ns MR4_I 01000200 07c96841 - 588090 ns IT 01000200 6841 LDR r1,[r0,#4] - 588110 ns MR4_I 01000204 6002d1fc - 588170 ns MR4_D 40006004 00000001 - 588170 ns R r1 00000001 - 588170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 588190 ns R r1 80000000 - 588190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 588210 ns R psr 81000200 - 588210 ns MR4_I 01000208 2a001c5b - 588230 ns MR4_I 01000200 07c96841 - 588250 ns IT 01000200 6841 LDR r1,[r0,#4] - 588270 ns MR4_I 01000204 6002d1fc - 588330 ns MR4_D 40006004 00000001 - 588330 ns R r1 00000001 - 588330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 588350 ns R r1 80000000 - 588350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 588370 ns R psr 81000200 - 588370 ns MR4_I 01000208 2a001c5b - 588390 ns MR4_I 01000200 07c96841 - 588410 ns IT 01000200 6841 LDR r1,[r0,#4] - 588430 ns MR4_I 01000204 6002d1fc - 588490 ns MR4_D 40006004 00000001 - 588490 ns R r1 00000001 - 588490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 588510 ns R r1 80000000 - 588510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 588530 ns R psr 81000200 - 588530 ns MR4_I 01000208 2a001c5b - 588550 ns MR4_I 01000200 07c96841 - 588570 ns IT 01000200 6841 LDR r1,[r0,#4] - 588590 ns MR4_I 01000204 6002d1fc - 588650 ns MR4_D 40006004 00000001 - 588650 ns R r1 00000001 - 588650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 588670 ns R r1 80000000 - 588670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 588690 ns R psr 81000200 - 588690 ns MR4_I 01000208 2a001c5b - 588710 ns MR4_I 01000200 07c96841 - 588730 ns IT 01000200 6841 LDR r1,[r0,#4] - 588750 ns MR4_I 01000204 6002d1fc - 588810 ns MR4_D 40006004 00000001 - 588810 ns R r1 00000001 - 588810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 588830 ns R r1 80000000 - 588830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 588850 ns R psr 81000200 - 588850 ns MR4_I 01000208 2a001c5b - 588870 ns MR4_I 01000200 07c96841 - 588890 ns IT 01000200 6841 LDR r1,[r0,#4] - 588910 ns MR4_I 01000204 6002d1fc - 588970 ns MR4_D 40006004 00000001 - 588970 ns R r1 00000001 - 588970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 588990 ns R r1 80000000 - 588990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 589010 ns R psr 81000200 - 589010 ns MR4_I 01000208 2a001c5b - 589030 ns MR4_I 01000200 07c96841 - 589050 ns IT 01000200 6841 LDR r1,[r0,#4] - 589070 ns MR4_I 01000204 6002d1fc - 589130 ns MR4_D 40006004 00000001 - 589130 ns R r1 00000001 - 589130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 589150 ns R r1 80000000 - 589150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 589170 ns R psr 81000200 - 589170 ns MR4_I 01000208 2a001c5b - 589190 ns MR4_I 01000200 07c96841 - 589210 ns IT 01000200 6841 LDR r1,[r0,#4] - 589230 ns MR4_I 01000204 6002d1fc - 589290 ns MR4_D 40006004 00000001 - 589290 ns R r1 00000001 - 589290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 589310 ns R r1 80000000 - 589310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 589330 ns R psr 81000200 - 589330 ns MR4_I 01000208 2a001c5b - 589350 ns MR4_I 01000200 07c96841 - 589370 ns IT 01000200 6841 LDR r1,[r0,#4] - 589390 ns MR4_I 01000204 6002d1fc - 589450 ns MR4_D 40006004 00000001 - 589450 ns R r1 00000001 - 589450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 589470 ns R r1 80000000 - 589470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 589490 ns R psr 81000200 - 589490 ns MR4_I 01000208 2a001c5b - 589510 ns MR4_I 01000200 07c96841 - 589530 ns IT 01000200 6841 LDR r1,[r0,#4] - 589550 ns MR4_I 01000204 6002d1fc - 589610 ns MR4_D 40006004 00000001 - 589610 ns R r1 00000001 - 589610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 589630 ns R r1 80000000 - 589630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 589650 ns R psr 81000200 - 589650 ns MR4_I 01000208 2a001c5b - 589670 ns MR4_I 01000200 07c96841 - 589690 ns IT 01000200 6841 LDR r1,[r0,#4] - 589710 ns MR4_I 01000204 6002d1fc - 589770 ns MR4_D 40006004 00000001 - 589770 ns R r1 00000001 - 589770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 589790 ns R r1 80000000 - 589790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 589810 ns R psr 81000200 - 589810 ns MR4_I 01000208 2a001c5b - 589830 ns MR4_I 01000200 07c96841 - 589850 ns IT 01000200 6841 LDR r1,[r0,#4] - 589870 ns MR4_I 01000204 6002d1fc - 589930 ns MR4_D 40006004 00000001 - 589930 ns R r1 00000001 - 589930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 589950 ns R r1 80000000 - 589950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 589970 ns R psr 81000200 - 589970 ns MR4_I 01000208 2a001c5b - 589990 ns MR4_I 01000200 07c96841 - 590010 ns IT 01000200 6841 LDR r1,[r0,#4] - 590030 ns MR4_I 01000204 6002d1fc - 590090 ns MR4_D 40006004 00000001 - 590090 ns R r1 00000001 - 590090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 590110 ns R r1 80000000 - 590110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 590130 ns R psr 81000200 - 590130 ns MR4_I 01000208 2a001c5b - 590150 ns MR4_I 01000200 07c96841 - 590170 ns IT 01000200 6841 LDR r1,[r0,#4] - 590190 ns MR4_I 01000204 6002d1fc - 590250 ns MR4_D 40006004 00000001 - 590250 ns R r1 00000001 - 590250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 590270 ns R r1 80000000 - 590270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 590290 ns R psr 81000200 - 590290 ns MR4_I 01000208 2a001c5b - 590310 ns MR4_I 01000200 07c96841 - 590330 ns IT 01000200 6841 LDR r1,[r0,#4] - 590350 ns MR4_I 01000204 6002d1fc - 590410 ns MR4_D 40006004 00000001 - 590410 ns R r1 00000001 - 590410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 590430 ns R r1 80000000 - 590430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 590450 ns R psr 81000200 - 590450 ns MR4_I 01000208 2a001c5b - 590470 ns MR4_I 01000200 07c96841 - 590490 ns IT 01000200 6841 LDR r1,[r0,#4] - 590510 ns MR4_I 01000204 6002d1fc - 590570 ns MR4_D 40006004 00000001 - 590570 ns R r1 00000001 - 590570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 590590 ns R r1 80000000 - 590590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 590610 ns R psr 81000200 - 590610 ns MR4_I 01000208 2a001c5b - 590630 ns MR4_I 01000200 07c96841 - 590650 ns IT 01000200 6841 LDR r1,[r0,#4] - 590670 ns MR4_I 01000204 6002d1fc - 590730 ns MR4_D 40006004 00000001 - 590730 ns R r1 00000001 - 590730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 590750 ns R r1 80000000 - 590750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 590770 ns R psr 81000200 - 590770 ns MR4_I 01000208 2a001c5b - 590790 ns MR4_I 01000200 07c96841 - 590810 ns IT 01000200 6841 LDR r1,[r0,#4] - 590830 ns MR4_I 01000204 6002d1fc - 590890 ns MR4_D 40006004 00000001 - 590890 ns R r1 00000001 - 590890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 590910 ns R r1 80000000 - 590910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 590930 ns R psr 81000200 - 590930 ns MR4_I 01000208 2a001c5b - 590950 ns MR4_I 01000200 07c96841 - 590970 ns IT 01000200 6841 LDR r1,[r0,#4] - 590990 ns MR4_I 01000204 6002d1fc - 591050 ns MR4_D 40006004 00000001 - 591050 ns R r1 00000001 - 591050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 591070 ns R r1 80000000 - 591070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 591090 ns R psr 81000200 - 591090 ns MR4_I 01000208 2a001c5b - 591110 ns MR4_I 01000200 07c96841 - 591130 ns IT 01000200 6841 LDR r1,[r0,#4] - 591150 ns MR4_I 01000204 6002d1fc - 591210 ns MR4_D 40006004 00000001 - 591210 ns R r1 00000001 - 591210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 591230 ns R r1 80000000 - 591230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 591250 ns R psr 81000200 - 591250 ns MR4_I 01000208 2a001c5b - 591270 ns MR4_I 01000200 07c96841 - 591290 ns IT 01000200 6841 LDR r1,[r0,#4] - 591310 ns MR4_I 01000204 6002d1fc - 591370 ns MR4_D 40006004 00000001 - 591370 ns R r1 00000001 - 591370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 591390 ns R r1 80000000 - 591390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 591410 ns R psr 81000200 - 591410 ns MR4_I 01000208 2a001c5b - 591430 ns MR4_I 01000200 07c96841 - 591450 ns IT 01000200 6841 LDR r1,[r0,#4] - 591470 ns MR4_I 01000204 6002d1fc - 591530 ns MR4_D 40006004 00000001 - 591530 ns R r1 00000001 - 591530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 591550 ns R r1 80000000 - 591550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 591570 ns R psr 81000200 - 591570 ns MR4_I 01000208 2a001c5b - 591590 ns MR4_I 01000200 07c96841 - 591610 ns IT 01000200 6841 LDR r1,[r0,#4] - 591630 ns MR4_I 01000204 6002d1fc - 591690 ns MR4_D 40006004 00000001 - 591690 ns R r1 00000001 - 591690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 591710 ns R r1 80000000 - 591710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 591730 ns R psr 81000200 - 591730 ns MR4_I 01000208 2a001c5b - 591750 ns MR4_I 01000200 07c96841 - 591770 ns IT 01000200 6841 LDR r1,[r0,#4] - 591790 ns MR4_I 01000204 6002d1fc - 591850 ns MR4_D 40006004 00000001 - 591850 ns R r1 00000001 - 591850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 591870 ns R r1 80000000 - 591870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 591890 ns R psr 81000200 - 591890 ns MR4_I 01000208 2a001c5b - 591910 ns MR4_I 01000200 07c96841 - 591930 ns IT 01000200 6841 LDR r1,[r0,#4] - 591950 ns MR4_I 01000204 6002d1fc - 592010 ns MR4_D 40006004 00000001 - 592010 ns R r1 00000001 - 592010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 592030 ns R r1 80000000 - 592030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 592050 ns R psr 81000200 - 592050 ns MR4_I 01000208 2a001c5b - 592070 ns MR4_I 01000200 07c96841 - 592090 ns IT 01000200 6841 LDR r1,[r0,#4] - 592110 ns MR4_I 01000204 6002d1fc - 592170 ns MR4_D 40006004 00000001 - 592170 ns R r1 00000001 - 592170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 592190 ns R r1 80000000 - 592190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 592210 ns R psr 81000200 - 592210 ns MR4_I 01000208 2a001c5b - 592230 ns MR4_I 01000200 07c96841 - 592250 ns IT 01000200 6841 LDR r1,[r0,#4] - 592270 ns MR4_I 01000204 6002d1fc - 592330 ns MR4_D 40006004 00000001 - 592330 ns R r1 00000001 - 592330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 592350 ns R r1 80000000 - 592350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 592370 ns R psr 81000200 - 592370 ns MR4_I 01000208 2a001c5b - 592390 ns MR4_I 01000200 07c96841 - 592410 ns IT 01000200 6841 LDR r1,[r0,#4] - 592430 ns MR4_I 01000204 6002d1fc - 592490 ns MR4_D 40006004 00000001 - 592490 ns R r1 00000001 - 592490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 592510 ns R r1 80000000 - 592510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 592530 ns R psr 81000200 - 592530 ns MR4_I 01000208 2a001c5b - 592550 ns MR4_I 01000200 07c96841 - 592570 ns IT 01000200 6841 LDR r1,[r0,#4] - 592590 ns MR4_I 01000204 6002d1fc - 592650 ns MR4_D 40006004 00000001 - 592650 ns R r1 00000001 - 592650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 592670 ns R r1 80000000 - 592670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 592690 ns R psr 81000200 - 592690 ns MR4_I 01000208 2a001c5b - 592710 ns MR4_I 01000200 07c96841 - 592730 ns IT 01000200 6841 LDR r1,[r0,#4] - 592750 ns MR4_I 01000204 6002d1fc - 592810 ns MR4_D 40006004 00000001 - 592810 ns R r1 00000001 - 592810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 592830 ns R r1 80000000 - 592830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 592850 ns R psr 81000200 - 592850 ns MR4_I 01000208 2a001c5b - 592870 ns MR4_I 01000200 07c96841 - 592890 ns IT 01000200 6841 LDR r1,[r0,#4] - 592910 ns MR4_I 01000204 6002d1fc - 592970 ns MR4_D 40006004 00000001 - 592970 ns R r1 00000001 - 592970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 592990 ns R r1 80000000 - 592990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 593010 ns R psr 81000200 - 593010 ns MR4_I 01000208 2a001c5b - 593030 ns MR4_I 01000200 07c96841 - 593050 ns IT 01000200 6841 LDR r1,[r0,#4] - 593070 ns MR4_I 01000204 6002d1fc - 593130 ns MR4_D 40006004 00000001 - 593130 ns R r1 00000001 - 593130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 593150 ns R r1 80000000 - 593150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 593170 ns R psr 81000200 - 593170 ns MR4_I 01000208 2a001c5b - 593190 ns MR4_I 01000200 07c96841 - 593210 ns IT 01000200 6841 LDR r1,[r0,#4] - 593230 ns MR4_I 01000204 6002d1fc - 593290 ns MR4_D 40006004 00000001 - 593290 ns R r1 00000001 - 593290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 593310 ns R r1 80000000 - 593310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 593330 ns R psr 81000200 - 593330 ns MR4_I 01000208 2a001c5b - 593350 ns MR4_I 01000200 07c96841 - 593370 ns IT 01000200 6841 LDR r1,[r0,#4] - 593390 ns MR4_I 01000204 6002d1fc - 593450 ns MR4_D 40006004 00000001 - 593450 ns R r1 00000001 - 593450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 593470 ns R r1 80000000 - 593470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 593490 ns R psr 81000200 - 593490 ns MR4_I 01000208 2a001c5b - 593510 ns MR4_I 01000200 07c96841 - 593530 ns IT 01000200 6841 LDR r1,[r0,#4] - 593550 ns MR4_I 01000204 6002d1fc - 593610 ns MR4_D 40006004 00000001 - 593610 ns R r1 00000001 - 593610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 593630 ns R r1 80000000 - 593630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 593650 ns R psr 81000200 - 593650 ns MR4_I 01000208 2a001c5b - 593670 ns MR4_I 01000200 07c96841 - 593690 ns IT 01000200 6841 LDR r1,[r0,#4] - 593710 ns MR4_I 01000204 6002d1fc - 593770 ns MR4_D 40006004 00000001 - 593770 ns R r1 00000001 - 593770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 593790 ns R r1 80000000 - 593790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 593810 ns R psr 81000200 - 593810 ns MR4_I 01000208 2a001c5b - 593830 ns MR4_I 01000200 07c96841 - 593850 ns IT 01000200 6841 LDR r1,[r0,#4] - 593870 ns MR4_I 01000204 6002d1fc - 593930 ns MR4_D 40006004 00000001 - 593930 ns R r1 00000001 - 593930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 593950 ns R r1 80000000 - 593950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 593970 ns R psr 81000200 - 593970 ns MR4_I 01000208 2a001c5b - 593990 ns MR4_I 01000200 07c96841 - 594010 ns IT 01000200 6841 LDR r1,[r0,#4] - 594030 ns MR4_I 01000204 6002d1fc - 594090 ns MR4_D 40006004 00000001 - 594090 ns R r1 00000001 - 594090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 594110 ns R r1 80000000 - 594110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 594130 ns R psr 81000200 - 594130 ns MR4_I 01000208 2a001c5b - 594150 ns MR4_I 01000200 07c96841 - 594170 ns IT 01000200 6841 LDR r1,[r0,#4] - 594190 ns MR4_I 01000204 6002d1fc - 594250 ns MR4_D 40006004 00000001 - 594250 ns R r1 00000001 - 594250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 594270 ns R r1 80000000 - 594270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 594290 ns R psr 81000200 - 594290 ns MR4_I 01000208 2a001c5b - 594310 ns MR4_I 01000200 07c96841 - 594330 ns IT 01000200 6841 LDR r1,[r0,#4] - 594350 ns MR4_I 01000204 6002d1fc - 594410 ns MR4_D 40006004 00000001 - 594410 ns R r1 00000001 - 594410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 594430 ns R r1 80000000 - 594430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 594450 ns R psr 81000200 - 594450 ns MR4_I 01000208 2a001c5b - 594470 ns MR4_I 01000200 07c96841 - 594490 ns IT 01000200 6841 LDR r1,[r0,#4] - 594510 ns MR4_I 01000204 6002d1fc - 594570 ns MR4_D 40006004 00000001 - 594570 ns R r1 00000001 - 594570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 594590 ns R r1 80000000 - 594590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 594610 ns R psr 81000200 - 594610 ns MR4_I 01000208 2a001c5b - 594630 ns MR4_I 01000200 07c96841 - 594650 ns IT 01000200 6841 LDR r1,[r0,#4] - 594670 ns MR4_I 01000204 6002d1fc - 594730 ns MR4_D 40006004 00000001 - 594730 ns R r1 00000001 - 594730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 594750 ns R r1 80000000 - 594750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 594770 ns R psr 81000200 - 594770 ns MR4_I 01000208 2a001c5b - 594790 ns MR4_I 01000200 07c96841 - 594810 ns IT 01000200 6841 LDR r1,[r0,#4] - 594830 ns MR4_I 01000204 6002d1fc - 594890 ns MR4_D 40006004 00000001 - 594890 ns R r1 00000001 - 594890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 594910 ns R r1 80000000 - 594910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 594930 ns R psr 81000200 - 594930 ns MR4_I 01000208 2a001c5b - 594950 ns MR4_I 01000200 07c96841 - 594970 ns IT 01000200 6841 LDR r1,[r0,#4] - 594990 ns MR4_I 01000204 6002d1fc - 595050 ns MR4_D 40006004 00000001 - 595050 ns R r1 00000001 - 595050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 595070 ns R r1 80000000 - 595070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 595090 ns R psr 81000200 - 595090 ns MR4_I 01000208 2a001c5b - 595110 ns MR4_I 01000200 07c96841 - 595130 ns IT 01000200 6841 LDR r1,[r0,#4] - 595150 ns MR4_I 01000204 6002d1fc - 595210 ns MR4_D 40006004 00000001 - 595210 ns R r1 00000001 - 595210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 595230 ns R r1 80000000 - 595230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 595250 ns R psr 81000200 - 595250 ns MR4_I 01000208 2a001c5b - 595270 ns MR4_I 01000200 07c96841 - 595290 ns IT 01000200 6841 LDR r1,[r0,#4] - 595310 ns MR4_I 01000204 6002d1fc - 595370 ns MR4_D 40006004 00000001 - 595370 ns R r1 00000001 - 595370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 595390 ns R r1 80000000 - 595390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 595410 ns R psr 81000200 - 595410 ns MR4_I 01000208 2a001c5b - 595430 ns MR4_I 01000200 07c96841 - 595450 ns IT 01000200 6841 LDR r1,[r0,#4] - 595470 ns MR4_I 01000204 6002d1fc - 595530 ns MR4_D 40006004 00000001 - 595530 ns R r1 00000001 - 595530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 595550 ns R r1 80000000 - 595550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 595570 ns R psr 81000200 - 595570 ns MR4_I 01000208 2a001c5b - 595590 ns MR4_I 01000200 07c96841 - 595610 ns IT 01000200 6841 LDR r1,[r0,#4] - 595630 ns MR4_I 01000204 6002d1fc - 595690 ns MR4_D 40006004 00000001 - 595690 ns R r1 00000001 - 595690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 595710 ns R r1 80000000 - 595710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 595730 ns R psr 81000200 - 595730 ns MR4_I 01000208 2a001c5b - 595750 ns MR4_I 01000200 07c96841 - 595770 ns IT 01000200 6841 LDR r1,[r0,#4] - 595790 ns MR4_I 01000204 6002d1fc - 595850 ns MR4_D 40006004 00000001 - 595850 ns R r1 00000001 - 595850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 595870 ns R r1 80000000 - 595870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 595890 ns R psr 81000200 - 595890 ns MR4_I 01000208 2a001c5b - 595910 ns MR4_I 01000200 07c96841 - 595930 ns IT 01000200 6841 LDR r1,[r0,#4] - 595950 ns MR4_I 01000204 6002d1fc - 596010 ns MR4_D 40006004 00000001 - 596010 ns R r1 00000001 - 596010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 596030 ns R r1 80000000 - 596030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 596050 ns R psr 81000200 - 596050 ns MR4_I 01000208 2a001c5b - 596070 ns MR4_I 01000200 07c96841 - 596090 ns IT 01000200 6841 LDR r1,[r0,#4] - 596110 ns MR4_I 01000204 6002d1fc - 596170 ns MR4_D 40006004 00000001 - 596170 ns R r1 00000001 - 596170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 596190 ns R r1 80000000 - 596190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 596210 ns R psr 81000200 - 596210 ns MR4_I 01000208 2a001c5b - 596230 ns MR4_I 01000200 07c96841 - 596250 ns IT 01000200 6841 LDR r1,[r0,#4] - 596270 ns MR4_I 01000204 6002d1fc - 596330 ns MR4_D 40006004 00000001 - 596330 ns R r1 00000001 - 596330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 596350 ns R r1 80000000 - 596350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 596370 ns R psr 81000200 - 596370 ns MR4_I 01000208 2a001c5b - 596390 ns MR4_I 01000200 07c96841 - 596410 ns IT 01000200 6841 LDR r1,[r0,#4] - 596430 ns MR4_I 01000204 6002d1fc - 596490 ns MR4_D 40006004 00000001 - 596490 ns R r1 00000001 - 596490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 596510 ns R r1 80000000 - 596510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 596530 ns R psr 81000200 - 596530 ns MR4_I 01000208 2a001c5b - 596550 ns MR4_I 01000200 07c96841 - 596570 ns IT 01000200 6841 LDR r1,[r0,#4] - 596590 ns MR4_I 01000204 6002d1fc - 596650 ns MR4_D 40006004 00000001 - 596650 ns R r1 00000001 - 596650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 596670 ns R r1 80000000 - 596670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 596690 ns R psr 81000200 - 596690 ns MR4_I 01000208 2a001c5b - 596710 ns MR4_I 01000200 07c96841 - 596730 ns IT 01000200 6841 LDR r1,[r0,#4] - 596750 ns MR4_I 01000204 6002d1fc - 596810 ns MR4_D 40006004 00000001 - 596810 ns R r1 00000001 - 596810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 596830 ns R r1 80000000 - 596830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 596850 ns R psr 81000200 - 596850 ns MR4_I 01000208 2a001c5b - 596870 ns MR4_I 01000200 07c96841 - 596890 ns IT 01000200 6841 LDR r1,[r0,#4] - 596910 ns MR4_I 01000204 6002d1fc - 596970 ns MR4_D 40006004 00000001 - 596970 ns R r1 00000001 - 596970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 596990 ns R r1 80000000 - 596990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 597010 ns R psr 81000200 - 597010 ns MR4_I 01000208 2a001c5b - 597030 ns MR4_I 01000200 07c96841 - 597050 ns IT 01000200 6841 LDR r1,[r0,#4] - 597070 ns MR4_I 01000204 6002d1fc - 597130 ns MR4_D 40006004 00000001 - 597130 ns R r1 00000001 - 597130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 597150 ns R r1 80000000 - 597150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 597170 ns R psr 81000200 - 597170 ns MR4_I 01000208 2a001c5b - 597190 ns MR4_I 01000200 07c96841 - 597210 ns IT 01000200 6841 LDR r1,[r0,#4] - 597230 ns MR4_I 01000204 6002d1fc - 597290 ns MR4_D 40006004 00000001 - 597290 ns R r1 00000001 - 597290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 597310 ns R r1 80000000 - 597310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 597330 ns R psr 81000200 - 597330 ns MR4_I 01000208 2a001c5b - 597350 ns MR4_I 01000200 07c96841 - 597370 ns IT 01000200 6841 LDR r1,[r0,#4] - 597390 ns MR4_I 01000204 6002d1fc - 597450 ns MR4_D 40006004 00000001 - 597450 ns R r1 00000001 - 597450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 597470 ns R r1 80000000 - 597470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 597490 ns R psr 81000200 - 597490 ns MR4_I 01000208 2a001c5b - 597510 ns MR4_I 01000200 07c96841 - 597530 ns IT 01000200 6841 LDR r1,[r0,#4] - 597550 ns MR4_I 01000204 6002d1fc - 597610 ns MR4_D 40006004 00000001 - 597610 ns R r1 00000001 - 597610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 597630 ns R r1 80000000 - 597630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 597650 ns R psr 81000200 - 597650 ns MR4_I 01000208 2a001c5b - 597670 ns MR4_I 01000200 07c96841 - 597690 ns IT 01000200 6841 LDR r1,[r0,#4] - 597710 ns MR4_I 01000204 6002d1fc - 597770 ns MR4_D 40006004 00000001 - 597770 ns R r1 00000001 - 597770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 597790 ns R r1 80000000 - 597790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 597810 ns R psr 81000200 - 597810 ns MR4_I 01000208 2a001c5b - 597830 ns MR4_I 01000200 07c96841 - 597850 ns IT 01000200 6841 LDR r1,[r0,#4] - 597870 ns MR4_I 01000204 6002d1fc - 597930 ns MR4_D 40006004 00000001 - 597930 ns R r1 00000001 - 597930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 597950 ns R r1 80000000 - 597950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 597970 ns R psr 81000200 - 597970 ns MR4_I 01000208 2a001c5b - 597990 ns MR4_I 01000200 07c96841 - 598010 ns IT 01000200 6841 LDR r1,[r0,#4] - 598030 ns MR4_I 01000204 6002d1fc - 598090 ns MR4_D 40006004 00000001 - 598090 ns R r1 00000001 - 598090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 598110 ns R r1 80000000 - 598110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 598130 ns R psr 81000200 - 598130 ns MR4_I 01000208 2a001c5b - 598150 ns MR4_I 01000200 07c96841 - 598170 ns IT 01000200 6841 LDR r1,[r0,#4] - 598190 ns MR4_I 01000204 6002d1fc - 598250 ns MR4_D 40006004 00000001 - 598250 ns R r1 00000001 - 598250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 598270 ns R r1 80000000 - 598270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 598290 ns R psr 81000200 - 598290 ns MR4_I 01000208 2a001c5b - 598310 ns MR4_I 01000200 07c96841 - 598330 ns IT 01000200 6841 LDR r1,[r0,#4] - 598350 ns MR4_I 01000204 6002d1fc - 598410 ns MR4_D 40006004 00000001 - 598410 ns R r1 00000001 - 598410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 598430 ns R r1 80000000 - 598430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 598450 ns R psr 81000200 - 598450 ns MR4_I 01000208 2a001c5b - 598470 ns MR4_I 01000200 07c96841 - 598490 ns IT 01000200 6841 LDR r1,[r0,#4] - 598510 ns MR4_I 01000204 6002d1fc - 598570 ns MR4_D 40006004 00000001 - 598570 ns R r1 00000001 - 598570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 598590 ns R r1 80000000 - 598590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 598610 ns R psr 81000200 - 598610 ns MR4_I 01000208 2a001c5b - 598630 ns MR4_I 01000200 07c96841 - 598650 ns IT 01000200 6841 LDR r1,[r0,#4] - 598670 ns MR4_I 01000204 6002d1fc - 598730 ns MR4_D 40006004 00000001 - 598730 ns R r1 00000001 - 598730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 598750 ns R r1 80000000 - 598750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 598770 ns R psr 81000200 - 598770 ns MR4_I 01000208 2a001c5b - 598790 ns MR4_I 01000200 07c96841 - 598810 ns IT 01000200 6841 LDR r1,[r0,#4] - 598830 ns MR4_I 01000204 6002d1fc - 598890 ns MR4_D 40006004 00000001 - 598890 ns R r1 00000001 - 598890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 598910 ns R r1 80000000 - 598910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 598930 ns R psr 81000200 - 598930 ns MR4_I 01000208 2a001c5b - 598950 ns MR4_I 01000200 07c96841 - 598970 ns IT 01000200 6841 LDR r1,[r0,#4] - 598990 ns MR4_I 01000204 6002d1fc - 599050 ns MR4_D 40006004 00000001 - 599050 ns R r1 00000001 - 599050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 599070 ns R r1 80000000 - 599070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 599090 ns R psr 81000200 - 599090 ns MR4_I 01000208 2a001c5b - 599110 ns MR4_I 01000200 07c96841 - 599130 ns IT 01000200 6841 LDR r1,[r0,#4] - 599150 ns MR4_I 01000204 6002d1fc - 599210 ns MR4_D 40006004 00000000 - 599210 ns R r1 00000000 - 599210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 599230 ns R r1 00000000 - 599230 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 599250 ns R psr 41000200 - 599250 ns MR4_I 01000208 2a001c5b - 599250 ns IT 01000206 6002 STR r2,[r0,#0] - 599330 ns MW4_D 40006000 00000041 - 599330 ns IT 01000208 1c5b ADDS r3,r3,#1 - 599350 ns MR4_I 0100020c a32ad1f5 - 599350 ns R r3 010002a4 - 599350 ns IT 0100020a 2a00 CMP r2,#0 - 599370 ns R psr 01000200 - 599370 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 599390 ns R psr 21000200 - 599390 ns MR4_I 01000210 2a00781a - 599410 ns MR4_I 010001f8 781aa326 - 599430 ns MR4_I 010001fc d0062a00 - 599430 ns IT 010001fa 781a LDRB r2,[r3,#0] - 599470 ns MR1_D 010002a4 43204d52 - 599470 ns R r2 00000052 - 599470 ns IT 010001fc 2a00 CMP r2,#0 - 599490 ns MR4_I 01000200 07c96841 - 599490 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 599510 ns R psr 21000200 - 599510 ns IT 01000200 6841 LDR r1,[r0,#4] - 599530 ns MR4_I 01000204 6002d1fc - 599590 ns MR4_D 40006004 00000001 - 599590 ns R r1 00000001 - 599590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 599610 ns R r1 80000000 - 599610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 599630 ns R psr 81000200 - 599630 ns MR4_I 01000208 2a001c5b - 599650 ns MR4_I 01000200 07c96841 - 599670 ns IT 01000200 6841 LDR r1,[r0,#4] - 599690 ns MR4_I 01000204 6002d1fc - 599750 ns MR4_D 40006004 00000001 - 599750 ns R r1 00000001 - 599750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 599770 ns R r1 80000000 - 599770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 599790 ns R psr 81000200 - 599790 ns MR4_I 01000208 2a001c5b - 599810 ns MR4_I 01000200 07c96841 - 599830 ns IT 01000200 6841 LDR r1,[r0,#4] - 599850 ns MR4_I 01000204 6002d1fc - 599910 ns MR4_D 40006004 00000001 - 599910 ns R r1 00000001 - 599910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 599930 ns R r1 80000000 - 599930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 599950 ns R psr 81000200 - 599950 ns MR4_I 01000208 2a001c5b - 599970 ns MR4_I 01000200 07c96841 - 599990 ns IT 01000200 6841 LDR r1,[r0,#4] - 600010 ns MR4_I 01000204 6002d1fc - 600070 ns MR4_D 40006004 00000001 - 600070 ns R r1 00000001 - 600070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 600090 ns R r1 80000000 - 600090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 600110 ns R psr 81000200 - 600110 ns MR4_I 01000208 2a001c5b - 600130 ns MR4_I 01000200 07c96841 - 600150 ns IT 01000200 6841 LDR r1,[r0,#4] - 600170 ns MR4_I 01000204 6002d1fc - 600230 ns MR4_D 40006004 00000001 - 600230 ns R r1 00000001 - 600230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 600250 ns R r1 80000000 - 600250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 600270 ns R psr 81000200 - 600270 ns MR4_I 01000208 2a001c5b - 600290 ns MR4_I 01000200 07c96841 - 600310 ns IT 01000200 6841 LDR r1,[r0,#4] - 600330 ns MR4_I 01000204 6002d1fc - 600390 ns MR4_D 40006004 00000001 - 600390 ns R r1 00000001 - 600390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 600410 ns R r1 80000000 - 600410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 600430 ns R psr 81000200 - 600430 ns MR4_I 01000208 2a001c5b - 600450 ns MR4_I 01000200 07c96841 - 600470 ns IT 01000200 6841 LDR r1,[r0,#4] - 600490 ns MR4_I 01000204 6002d1fc - 600550 ns MR4_D 40006004 00000001 - 600550 ns R r1 00000001 - 600550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 600570 ns R r1 80000000 - 600570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 600590 ns R psr 81000200 - 600590 ns MR4_I 01000208 2a001c5b - 600610 ns MR4_I 01000200 07c96841 - 600630 ns IT 01000200 6841 LDR r1,[r0,#4] - 600650 ns MR4_I 01000204 6002d1fc - 600710 ns MR4_D 40006004 00000001 - 600710 ns R r1 00000001 - 600710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 600730 ns R r1 80000000 - 600730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 600750 ns R psr 81000200 - 600750 ns MR4_I 01000208 2a001c5b - 600770 ns MR4_I 01000200 07c96841 - 600790 ns IT 01000200 6841 LDR r1,[r0,#4] - 600810 ns MR4_I 01000204 6002d1fc - 600870 ns MR4_D 40006004 00000001 - 600870 ns R r1 00000001 - 600870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 600890 ns R r1 80000000 - 600890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 600910 ns R psr 81000200 - 600910 ns MR4_I 01000208 2a001c5b - 600930 ns MR4_I 01000200 07c96841 - 600950 ns IT 01000200 6841 LDR r1,[r0,#4] - 600970 ns MR4_I 01000204 6002d1fc - 601030 ns MR4_D 40006004 00000001 - 601030 ns R r1 00000001 - 601030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 601050 ns R r1 80000000 - 601050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 601070 ns R psr 81000200 - 601070 ns MR4_I 01000208 2a001c5b - 601090 ns MR4_I 01000200 07c96841 - 601110 ns IT 01000200 6841 LDR r1,[r0,#4] - 601130 ns MR4_I 01000204 6002d1fc - 601190 ns MR4_D 40006004 00000001 - 601190 ns R r1 00000001 - 601190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 601210 ns R r1 80000000 - 601210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 601230 ns R psr 81000200 - 601230 ns MR4_I 01000208 2a001c5b - 601250 ns MR4_I 01000200 07c96841 - 601270 ns IT 01000200 6841 LDR r1,[r0,#4] - 601290 ns MR4_I 01000204 6002d1fc - 601350 ns MR4_D 40006004 00000001 - 601350 ns R r1 00000001 - 601350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 601370 ns R r1 80000000 - 601370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 601390 ns R psr 81000200 - 601390 ns MR4_I 01000208 2a001c5b - 601410 ns MR4_I 01000200 07c96841 - 601430 ns IT 01000200 6841 LDR r1,[r0,#4] - 601450 ns MR4_I 01000204 6002d1fc - 601510 ns MR4_D 40006004 00000001 - 601510 ns R r1 00000001 - 601510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 601530 ns R r1 80000000 - 601530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 601550 ns R psr 81000200 - 601550 ns MR4_I 01000208 2a001c5b - 601570 ns MR4_I 01000200 07c96841 - 601590 ns IT 01000200 6841 LDR r1,[r0,#4] - 601610 ns MR4_I 01000204 6002d1fc - 601670 ns MR4_D 40006004 00000001 - 601670 ns R r1 00000001 - 601670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 601690 ns R r1 80000000 - 601690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 601710 ns R psr 81000200 - 601710 ns MR4_I 01000208 2a001c5b - 601730 ns MR4_I 01000200 07c96841 - 601750 ns IT 01000200 6841 LDR r1,[r0,#4] - 601770 ns MR4_I 01000204 6002d1fc - 601830 ns MR4_D 40006004 00000001 - 601830 ns R r1 00000001 - 601830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 601850 ns R r1 80000000 - 601850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 601870 ns R psr 81000200 - 601870 ns MR4_I 01000208 2a001c5b - 601890 ns MR4_I 01000200 07c96841 - 601910 ns IT 01000200 6841 LDR r1,[r0,#4] - 601930 ns MR4_I 01000204 6002d1fc - 601990 ns MR4_D 40006004 00000001 - 601990 ns R r1 00000001 - 601990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 602010 ns R r1 80000000 - 602010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 602030 ns R psr 81000200 - 602030 ns MR4_I 01000208 2a001c5b - 602050 ns MR4_I 01000200 07c96841 - 602070 ns IT 01000200 6841 LDR r1,[r0,#4] - 602090 ns MR4_I 01000204 6002d1fc - 602150 ns MR4_D 40006004 00000001 - 602150 ns R r1 00000001 - 602150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 602170 ns R r1 80000000 - 602170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 602190 ns R psr 81000200 - 602190 ns MR4_I 01000208 2a001c5b - 602210 ns MR4_I 01000200 07c96841 - 602230 ns IT 01000200 6841 LDR r1,[r0,#4] - 602250 ns MR4_I 01000204 6002d1fc - 602310 ns MR4_D 40006004 00000001 - 602310 ns R r1 00000001 - 602310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 602330 ns R r1 80000000 - 602330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 602350 ns R psr 81000200 - 602350 ns MR4_I 01000208 2a001c5b - 602370 ns MR4_I 01000200 07c96841 - 602390 ns IT 01000200 6841 LDR r1,[r0,#4] - 602410 ns MR4_I 01000204 6002d1fc - 602470 ns MR4_D 40006004 00000001 - 602470 ns R r1 00000001 - 602470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 602490 ns R r1 80000000 - 602490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 602510 ns R psr 81000200 - 602510 ns MR4_I 01000208 2a001c5b - 602530 ns MR4_I 01000200 07c96841 - 602550 ns IT 01000200 6841 LDR r1,[r0,#4] - 602570 ns MR4_I 01000204 6002d1fc - 602630 ns MR4_D 40006004 00000001 - 602630 ns R r1 00000001 - 602630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 602650 ns R r1 80000000 - 602650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 602670 ns R psr 81000200 - 602670 ns MR4_I 01000208 2a001c5b - 602690 ns MR4_I 01000200 07c96841 - 602710 ns IT 01000200 6841 LDR r1,[r0,#4] - 602730 ns MR4_I 01000204 6002d1fc - 602790 ns MR4_D 40006004 00000001 - 602790 ns R r1 00000001 - 602790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 602810 ns R r1 80000000 - 602810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 602830 ns R psr 81000200 - 602830 ns MR4_I 01000208 2a001c5b - 602850 ns MR4_I 01000200 07c96841 - 602870 ns IT 01000200 6841 LDR r1,[r0,#4] - 602890 ns MR4_I 01000204 6002d1fc - 602950 ns MR4_D 40006004 00000001 - 602950 ns R r1 00000001 - 602950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 602970 ns R r1 80000000 - 602970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 602990 ns R psr 81000200 - 602990 ns MR4_I 01000208 2a001c5b - 603010 ns MR4_I 01000200 07c96841 - 603030 ns IT 01000200 6841 LDR r1,[r0,#4] - 603050 ns MR4_I 01000204 6002d1fc - 603110 ns MR4_D 40006004 00000001 - 603110 ns R r1 00000001 - 603110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 603130 ns R r1 80000000 - 603130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 603150 ns R psr 81000200 - 603150 ns MR4_I 01000208 2a001c5b - 603170 ns MR4_I 01000200 07c96841 - 603190 ns IT 01000200 6841 LDR r1,[r0,#4] - 603210 ns MR4_I 01000204 6002d1fc - 603270 ns MR4_D 40006004 00000001 - 603270 ns R r1 00000001 - 603270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 603290 ns R r1 80000000 - 603290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 603310 ns R psr 81000200 - 603310 ns MR4_I 01000208 2a001c5b - 603330 ns MR4_I 01000200 07c96841 - 603350 ns IT 01000200 6841 LDR r1,[r0,#4] - 603370 ns MR4_I 01000204 6002d1fc - 603430 ns MR4_D 40006004 00000001 - 603430 ns R r1 00000001 - 603430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 603450 ns R r1 80000000 - 603450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 603470 ns R psr 81000200 - 603470 ns MR4_I 01000208 2a001c5b - 603490 ns MR4_I 01000200 07c96841 - 603510 ns IT 01000200 6841 LDR r1,[r0,#4] - 603530 ns MR4_I 01000204 6002d1fc - 603590 ns MR4_D 40006004 00000001 - 603590 ns R r1 00000001 - 603590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 603610 ns R r1 80000000 - 603610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 603630 ns R psr 81000200 - 603630 ns MR4_I 01000208 2a001c5b - 603650 ns MR4_I 01000200 07c96841 - 603670 ns IT 01000200 6841 LDR r1,[r0,#4] - 603690 ns MR4_I 01000204 6002d1fc - 603750 ns MR4_D 40006004 00000001 - 603750 ns R r1 00000001 - 603750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 603770 ns R r1 80000000 - 603770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 603790 ns R psr 81000200 - 603790 ns MR4_I 01000208 2a001c5b - 603810 ns MR4_I 01000200 07c96841 - 603830 ns IT 01000200 6841 LDR r1,[r0,#4] - 603850 ns MR4_I 01000204 6002d1fc - 603910 ns MR4_D 40006004 00000001 - 603910 ns R r1 00000001 - 603910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 603930 ns R r1 80000000 - 603930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 603950 ns R psr 81000200 - 603950 ns MR4_I 01000208 2a001c5b - 603970 ns MR4_I 01000200 07c96841 - 603990 ns IT 01000200 6841 LDR r1,[r0,#4] - 604010 ns MR4_I 01000204 6002d1fc - 604070 ns MR4_D 40006004 00000001 - 604070 ns R r1 00000001 - 604070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 604090 ns R r1 80000000 - 604090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 604110 ns R psr 81000200 - 604110 ns MR4_I 01000208 2a001c5b - 604130 ns MR4_I 01000200 07c96841 - 604150 ns IT 01000200 6841 LDR r1,[r0,#4] - 604170 ns MR4_I 01000204 6002d1fc - 604230 ns MR4_D 40006004 00000001 - 604230 ns R r1 00000001 - 604230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 604250 ns R r1 80000000 - 604250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 604270 ns R psr 81000200 - 604270 ns MR4_I 01000208 2a001c5b - 604290 ns MR4_I 01000200 07c96841 - 604310 ns IT 01000200 6841 LDR r1,[r0,#4] - 604330 ns MR4_I 01000204 6002d1fc - 604390 ns MR4_D 40006004 00000001 - 604390 ns R r1 00000001 - 604390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 604410 ns R r1 80000000 - 604410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 604430 ns R psr 81000200 - 604430 ns MR4_I 01000208 2a001c5b - 604450 ns MR4_I 01000200 07c96841 - 604470 ns IT 01000200 6841 LDR r1,[r0,#4] - 604490 ns MR4_I 01000204 6002d1fc - 604550 ns MR4_D 40006004 00000001 - 604550 ns R r1 00000001 - 604550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 604570 ns R r1 80000000 - 604570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 604590 ns R psr 81000200 - 604590 ns MR4_I 01000208 2a001c5b - 604610 ns MR4_I 01000200 07c96841 - 604630 ns IT 01000200 6841 LDR r1,[r0,#4] - 604650 ns MR4_I 01000204 6002d1fc - 604710 ns MR4_D 40006004 00000001 - 604710 ns R r1 00000001 - 604710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 604730 ns R r1 80000000 - 604730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 604750 ns R psr 81000200 - 604750 ns MR4_I 01000208 2a001c5b - 604770 ns MR4_I 01000200 07c96841 - 604790 ns IT 01000200 6841 LDR r1,[r0,#4] - 604810 ns MR4_I 01000204 6002d1fc - 604870 ns MR4_D 40006004 00000001 - 604870 ns R r1 00000001 - 604870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 604890 ns R r1 80000000 - 604890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 604910 ns R psr 81000200 - 604910 ns MR4_I 01000208 2a001c5b - 604930 ns MR4_I 01000200 07c96841 - 604950 ns IT 01000200 6841 LDR r1,[r0,#4] - 604970 ns MR4_I 01000204 6002d1fc - 605030 ns MR4_D 40006004 00000001 - 605030 ns R r1 00000001 - 605030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 605050 ns R r1 80000000 - 605050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 605070 ns R psr 81000200 - 605070 ns MR4_I 01000208 2a001c5b - 605090 ns MR4_I 01000200 07c96841 - 605110 ns IT 01000200 6841 LDR r1,[r0,#4] - 605130 ns MR4_I 01000204 6002d1fc - 605190 ns MR4_D 40006004 00000001 - 605190 ns R r1 00000001 - 605190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 605210 ns R r1 80000000 - 605210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 605230 ns R psr 81000200 - 605230 ns MR4_I 01000208 2a001c5b - 605250 ns MR4_I 01000200 07c96841 - 605270 ns IT 01000200 6841 LDR r1,[r0,#4] - 605290 ns MR4_I 01000204 6002d1fc - 605350 ns MR4_D 40006004 00000001 - 605350 ns R r1 00000001 - 605350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 605370 ns R r1 80000000 - 605370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 605390 ns R psr 81000200 - 605390 ns MR4_I 01000208 2a001c5b - 605410 ns MR4_I 01000200 07c96841 - 605430 ns IT 01000200 6841 LDR r1,[r0,#4] - 605450 ns MR4_I 01000204 6002d1fc - 605510 ns MR4_D 40006004 00000001 - 605510 ns R r1 00000001 - 605510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 605530 ns R r1 80000000 - 605530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 605550 ns R psr 81000200 - 605550 ns MR4_I 01000208 2a001c5b - 605570 ns MR4_I 01000200 07c96841 - 605590 ns IT 01000200 6841 LDR r1,[r0,#4] - 605610 ns MR4_I 01000204 6002d1fc - 605670 ns MR4_D 40006004 00000001 - 605670 ns R r1 00000001 - 605670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 605690 ns R r1 80000000 - 605690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 605710 ns R psr 81000200 - 605710 ns MR4_I 01000208 2a001c5b - 605730 ns MR4_I 01000200 07c96841 - 605750 ns IT 01000200 6841 LDR r1,[r0,#4] - 605770 ns MR4_I 01000204 6002d1fc - 605830 ns MR4_D 40006004 00000001 - 605830 ns R r1 00000001 - 605830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 605850 ns R r1 80000000 - 605850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 605870 ns R psr 81000200 - 605870 ns MR4_I 01000208 2a001c5b - 605890 ns MR4_I 01000200 07c96841 - 605910 ns IT 01000200 6841 LDR r1,[r0,#4] - 605930 ns MR4_I 01000204 6002d1fc - 605990 ns MR4_D 40006004 00000001 - 605990 ns R r1 00000001 - 605990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 606010 ns R r1 80000000 - 606010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 606030 ns R psr 81000200 - 606030 ns MR4_I 01000208 2a001c5b - 606050 ns MR4_I 01000200 07c96841 - 606070 ns IT 01000200 6841 LDR r1,[r0,#4] - 606090 ns MR4_I 01000204 6002d1fc - 606150 ns MR4_D 40006004 00000001 - 606150 ns R r1 00000001 - 606150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 606170 ns R r1 80000000 - 606170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 606190 ns R psr 81000200 - 606190 ns MR4_I 01000208 2a001c5b - 606210 ns MR4_I 01000200 07c96841 - 606230 ns IT 01000200 6841 LDR r1,[r0,#4] - 606250 ns MR4_I 01000204 6002d1fc - 606310 ns MR4_D 40006004 00000001 - 606310 ns R r1 00000001 - 606310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 606330 ns R r1 80000000 - 606330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 606350 ns R psr 81000200 - 606350 ns MR4_I 01000208 2a001c5b - 606370 ns MR4_I 01000200 07c96841 - 606390 ns IT 01000200 6841 LDR r1,[r0,#4] - 606410 ns MR4_I 01000204 6002d1fc - 606470 ns MR4_D 40006004 00000001 - 606470 ns R r1 00000001 - 606470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 606490 ns R r1 80000000 - 606490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 606510 ns R psr 81000200 - 606510 ns MR4_I 01000208 2a001c5b - 606530 ns MR4_I 01000200 07c96841 - 606550 ns IT 01000200 6841 LDR r1,[r0,#4] - 606570 ns MR4_I 01000204 6002d1fc - 606630 ns MR4_D 40006004 00000001 - 606630 ns R r1 00000001 - 606630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 606650 ns R r1 80000000 - 606650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 606670 ns R psr 81000200 - 606670 ns MR4_I 01000208 2a001c5b - 606690 ns MR4_I 01000200 07c96841 - 606710 ns IT 01000200 6841 LDR r1,[r0,#4] - 606730 ns MR4_I 01000204 6002d1fc - 606790 ns MR4_D 40006004 00000001 - 606790 ns R r1 00000001 - 606790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 606810 ns R r1 80000000 - 606810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 606830 ns R psr 81000200 - 606830 ns MR4_I 01000208 2a001c5b - 606850 ns MR4_I 01000200 07c96841 - 606870 ns IT 01000200 6841 LDR r1,[r0,#4] - 606890 ns MR4_I 01000204 6002d1fc - 606950 ns MR4_D 40006004 00000001 - 606950 ns R r1 00000001 - 606950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 606970 ns R r1 80000000 - 606970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 606990 ns R psr 81000200 - 606990 ns MR4_I 01000208 2a001c5b - 607010 ns MR4_I 01000200 07c96841 - 607030 ns IT 01000200 6841 LDR r1,[r0,#4] - 607050 ns MR4_I 01000204 6002d1fc - 607110 ns MR4_D 40006004 00000001 - 607110 ns R r1 00000001 - 607110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 607130 ns R r1 80000000 - 607130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 607150 ns R psr 81000200 - 607150 ns MR4_I 01000208 2a001c5b - 607170 ns MR4_I 01000200 07c96841 - 607190 ns IT 01000200 6841 LDR r1,[r0,#4] - 607210 ns MR4_I 01000204 6002d1fc - 607270 ns MR4_D 40006004 00000001 - 607270 ns R r1 00000001 - 607270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 607290 ns R r1 80000000 - 607290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 607310 ns R psr 81000200 - 607310 ns MR4_I 01000208 2a001c5b - 607330 ns MR4_I 01000200 07c96841 - 607350 ns IT 01000200 6841 LDR r1,[r0,#4] - 607370 ns MR4_I 01000204 6002d1fc - 607430 ns MR4_D 40006004 00000001 - 607430 ns R r1 00000001 - 607430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 607450 ns R r1 80000000 - 607450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 607470 ns R psr 81000200 - 607470 ns MR4_I 01000208 2a001c5b - 607490 ns MR4_I 01000200 07c96841 - 607510 ns IT 01000200 6841 LDR r1,[r0,#4] - 607530 ns MR4_I 01000204 6002d1fc - 607590 ns MR4_D 40006004 00000001 - 607590 ns R r1 00000001 - 607590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 607610 ns R r1 80000000 - 607610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 607630 ns R psr 81000200 - 607630 ns MR4_I 01000208 2a001c5b - 607650 ns MR4_I 01000200 07c96841 - 607670 ns IT 01000200 6841 LDR r1,[r0,#4] - 607690 ns MR4_I 01000204 6002d1fc - 607750 ns MR4_D 40006004 00000001 - 607750 ns R r1 00000001 - 607750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 607770 ns R r1 80000000 - 607770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 607790 ns R psr 81000200 - 607790 ns MR4_I 01000208 2a001c5b - 607810 ns MR4_I 01000200 07c96841 - 607830 ns IT 01000200 6841 LDR r1,[r0,#4] - 607850 ns MR4_I 01000204 6002d1fc - 607910 ns MR4_D 40006004 00000001 - 607910 ns R r1 00000001 - 607910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 607930 ns R r1 80000000 - 607930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 607950 ns R psr 81000200 - 607950 ns MR4_I 01000208 2a001c5b - 607970 ns MR4_I 01000200 07c96841 - 607990 ns IT 01000200 6841 LDR r1,[r0,#4] - 608010 ns MR4_I 01000204 6002d1fc - 608070 ns MR4_D 40006004 00000001 - 608070 ns R r1 00000001 - 608070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 608090 ns R r1 80000000 - 608090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 608110 ns R psr 81000200 - 608110 ns MR4_I 01000208 2a001c5b - 608130 ns MR4_I 01000200 07c96841 - 608150 ns IT 01000200 6841 LDR r1,[r0,#4] - 608170 ns MR4_I 01000204 6002d1fc - 608230 ns MR4_D 40006004 00000001 - 608230 ns R r1 00000001 - 608230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 608250 ns R r1 80000000 - 608250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 608270 ns R psr 81000200 - 608270 ns MR4_I 01000208 2a001c5b - 608290 ns MR4_I 01000200 07c96841 - 608310 ns IT 01000200 6841 LDR r1,[r0,#4] - 608330 ns MR4_I 01000204 6002d1fc - 608390 ns MR4_D 40006004 00000001 - 608390 ns R r1 00000001 - 608390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 608410 ns R r1 80000000 - 608410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 608430 ns R psr 81000200 - 608430 ns MR4_I 01000208 2a001c5b - 608450 ns MR4_I 01000200 07c96841 - 608470 ns IT 01000200 6841 LDR r1,[r0,#4] - 608490 ns MR4_I 01000204 6002d1fc - 608550 ns MR4_D 40006004 00000001 - 608550 ns R r1 00000001 - 608550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 608570 ns R r1 80000000 - 608570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 608590 ns R psr 81000200 - 608590 ns MR4_I 01000208 2a001c5b - 608610 ns MR4_I 01000200 07c96841 - 608630 ns IT 01000200 6841 LDR r1,[r0,#4] - 608650 ns MR4_I 01000204 6002d1fc - 608710 ns MR4_D 40006004 00000001 - 608710 ns R r1 00000001 - 608710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 608730 ns R r1 80000000 - 608730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 608750 ns R psr 81000200 - 608750 ns MR4_I 01000208 2a001c5b - 608770 ns MR4_I 01000200 07c96841 - 608790 ns IT 01000200 6841 LDR r1,[r0,#4] - 608810 ns MR4_I 01000204 6002d1fc - 608870 ns MR4_D 40006004 00000001 - 608870 ns R r1 00000001 - 608870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 608890 ns R r1 80000000 - 608890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 608910 ns R psr 81000200 - 608910 ns MR4_I 01000208 2a001c5b - 608930 ns MR4_I 01000200 07c96841 - 608950 ns IT 01000200 6841 LDR r1,[r0,#4] - 608970 ns MR4_I 01000204 6002d1fc - 609030 ns MR4_D 40006004 00000001 - 609030 ns R r1 00000001 - 609030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 609050 ns R r1 80000000 - 609050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 609070 ns R psr 81000200 - 609070 ns MR4_I 01000208 2a001c5b - 609090 ns MR4_I 01000200 07c96841 - 609110 ns IT 01000200 6841 LDR r1,[r0,#4] - 609130 ns MR4_I 01000204 6002d1fc - 609190 ns MR4_D 40006004 00000001 - 609190 ns R r1 00000001 - 609190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 609210 ns R r1 80000000 - 609210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 609230 ns R psr 81000200 - 609230 ns MR4_I 01000208 2a001c5b - 609250 ns MR4_I 01000200 07c96841 - 609270 ns IT 01000200 6841 LDR r1,[r0,#4] - 609290 ns MR4_I 01000204 6002d1fc - 609350 ns MR4_D 40006004 00000001 - 609350 ns R r1 00000001 - 609350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 609370 ns R r1 80000000 - 609370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 609390 ns R psr 81000200 - 609390 ns MR4_I 01000208 2a001c5b - 609410 ns MR4_I 01000200 07c96841 - 609430 ns IT 01000200 6841 LDR r1,[r0,#4] - 609450 ns MR4_I 01000204 6002d1fc - 609510 ns MR4_D 40006004 00000001 - 609510 ns R r1 00000001 - 609510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 609530 ns R r1 80000000 - 609530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 609550 ns R psr 81000200 - 609550 ns MR4_I 01000208 2a001c5b - 609570 ns MR4_I 01000200 07c96841 - 609590 ns IT 01000200 6841 LDR r1,[r0,#4] - 609610 ns MR4_I 01000204 6002d1fc - 609670 ns MR4_D 40006004 00000001 - 609670 ns R r1 00000001 - 609670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 609690 ns R r1 80000000 - 609690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 609710 ns R psr 81000200 - 609710 ns MR4_I 01000208 2a001c5b - 609730 ns MR4_I 01000200 07c96841 - 609750 ns IT 01000200 6841 LDR r1,[r0,#4] - 609770 ns MR4_I 01000204 6002d1fc - 609830 ns MR4_D 40006004 00000001 - 609830 ns R r1 00000001 - 609830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 609850 ns R r1 80000000 - 609850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 609870 ns R psr 81000200 - 609870 ns MR4_I 01000208 2a001c5b - 609890 ns MR4_I 01000200 07c96841 - 609910 ns IT 01000200 6841 LDR r1,[r0,#4] - 609930 ns MR4_I 01000204 6002d1fc - 609990 ns MR4_D 40006004 00000001 - 609990 ns R r1 00000001 - 609990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 610010 ns R r1 80000000 - 610010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 610030 ns R psr 81000200 - 610030 ns MR4_I 01000208 2a001c5b - 610050 ns MR4_I 01000200 07c96841 - 610070 ns IT 01000200 6841 LDR r1,[r0,#4] - 610090 ns MR4_I 01000204 6002d1fc - 610150 ns MR4_D 40006004 00000001 - 610150 ns R r1 00000001 - 610150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 610170 ns R r1 80000000 - 610170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 610190 ns R psr 81000200 - 610190 ns MR4_I 01000208 2a001c5b - 610210 ns MR4_I 01000200 07c96841 - 610230 ns IT 01000200 6841 LDR r1,[r0,#4] - 610250 ns MR4_I 01000204 6002d1fc - 610310 ns MR4_D 40006004 00000001 - 610310 ns R r1 00000001 - 610310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 610330 ns R r1 80000000 - 610330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 610350 ns R psr 81000200 - 610350 ns MR4_I 01000208 2a001c5b - 610370 ns MR4_I 01000200 07c96841 - 610390 ns IT 01000200 6841 LDR r1,[r0,#4] - 610410 ns MR4_I 01000204 6002d1fc - 610470 ns MR4_D 40006004 00000001 - 610470 ns R r1 00000001 - 610470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 610490 ns R r1 80000000 - 610490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 610510 ns R psr 81000200 - 610510 ns MR4_I 01000208 2a001c5b - 610530 ns MR4_I 01000200 07c96841 - 610550 ns IT 01000200 6841 LDR r1,[r0,#4] - 610570 ns MR4_I 01000204 6002d1fc - 610630 ns MR4_D 40006004 00000001 - 610630 ns R r1 00000001 - 610630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 610650 ns R r1 80000000 - 610650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 610670 ns R psr 81000200 - 610670 ns MR4_I 01000208 2a001c5b - 610690 ns MR4_I 01000200 07c96841 - 610710 ns IT 01000200 6841 LDR r1,[r0,#4] - 610730 ns MR4_I 01000204 6002d1fc - 610790 ns MR4_D 40006004 00000001 - 610790 ns R r1 00000001 - 610790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 610810 ns R r1 80000000 - 610810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 610830 ns R psr 81000200 - 610830 ns MR4_I 01000208 2a001c5b - 610850 ns MR4_I 01000200 07c96841 - 610870 ns IT 01000200 6841 LDR r1,[r0,#4] - 610890 ns MR4_I 01000204 6002d1fc - 610950 ns MR4_D 40006004 00000001 - 610950 ns R r1 00000001 - 610950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 610970 ns R r1 80000000 - 610970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 610990 ns R psr 81000200 - 610990 ns MR4_I 01000208 2a001c5b - 611010 ns MR4_I 01000200 07c96841 - 611030 ns IT 01000200 6841 LDR r1,[r0,#4] - 611050 ns MR4_I 01000204 6002d1fc - 611110 ns MR4_D 40006004 00000001 - 611110 ns R r1 00000001 - 611110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 611130 ns R r1 80000000 - 611130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 611150 ns R psr 81000200 - 611150 ns MR4_I 01000208 2a001c5b - 611170 ns MR4_I 01000200 07c96841 - 611190 ns IT 01000200 6841 LDR r1,[r0,#4] - 611210 ns MR4_I 01000204 6002d1fc - 611270 ns MR4_D 40006004 00000001 - 611270 ns R r1 00000001 - 611270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 611290 ns R r1 80000000 - 611290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 611310 ns R psr 81000200 - 611310 ns MR4_I 01000208 2a001c5b - 611330 ns MR4_I 01000200 07c96841 - 611350 ns IT 01000200 6841 LDR r1,[r0,#4] - 611370 ns MR4_I 01000204 6002d1fc - 611430 ns MR4_D 40006004 00000001 - 611430 ns R r1 00000001 - 611430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 611450 ns R r1 80000000 - 611450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 611470 ns R psr 81000200 - 611470 ns MR4_I 01000208 2a001c5b - 611490 ns MR4_I 01000200 07c96841 - 611510 ns IT 01000200 6841 LDR r1,[r0,#4] - 611530 ns MR4_I 01000204 6002d1fc - 611590 ns MR4_D 40006004 00000001 - 611590 ns R r1 00000001 - 611590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 611610 ns R r1 80000000 - 611610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 611630 ns R psr 81000200 - 611630 ns MR4_I 01000208 2a001c5b - 611650 ns MR4_I 01000200 07c96841 - 611670 ns IT 01000200 6841 LDR r1,[r0,#4] - 611690 ns MR4_I 01000204 6002d1fc - 611750 ns MR4_D 40006004 00000001 - 611750 ns R r1 00000001 - 611750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 611770 ns R r1 80000000 - 611770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 611790 ns R psr 81000200 - 611790 ns MR4_I 01000208 2a001c5b - 611810 ns MR4_I 01000200 07c96841 - 611830 ns IT 01000200 6841 LDR r1,[r0,#4] - 611850 ns MR4_I 01000204 6002d1fc - 611910 ns MR4_D 40006004 00000001 - 611910 ns R r1 00000001 - 611910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 611930 ns R r1 80000000 - 611930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 611950 ns R psr 81000200 - 611950 ns MR4_I 01000208 2a001c5b - 611970 ns MR4_I 01000200 07c96841 - 611990 ns IT 01000200 6841 LDR r1,[r0,#4] - 612010 ns MR4_I 01000204 6002d1fc - 612070 ns MR4_D 40006004 00000001 - 612070 ns R r1 00000001 - 612070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 612090 ns R r1 80000000 - 612090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 612110 ns R psr 81000200 - 612110 ns MR4_I 01000208 2a001c5b - 612130 ns MR4_I 01000200 07c96841 - 612150 ns IT 01000200 6841 LDR r1,[r0,#4] - 612170 ns MR4_I 01000204 6002d1fc - 612230 ns MR4_D 40006004 00000001 - 612230 ns R r1 00000001 - 612230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 612250 ns R r1 80000000 - 612250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 612270 ns R psr 81000200 - 612270 ns MR4_I 01000208 2a001c5b - 612290 ns MR4_I 01000200 07c96841 - 612310 ns IT 01000200 6841 LDR r1,[r0,#4] - 612330 ns MR4_I 01000204 6002d1fc - 612390 ns MR4_D 40006004 00000001 - 612390 ns R r1 00000001 - 612390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 612410 ns R r1 80000000 - 612410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 612430 ns R psr 81000200 - 612430 ns MR4_I 01000208 2a001c5b - 612450 ns MR4_I 01000200 07c96841 - 612470 ns IT 01000200 6841 LDR r1,[r0,#4] - 612490 ns MR4_I 01000204 6002d1fc - 612550 ns MR4_D 40006004 00000001 - 612550 ns R r1 00000001 - 612550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 612570 ns R r1 80000000 - 612570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 612590 ns R psr 81000200 - 612590 ns MR4_I 01000208 2a001c5b - 612610 ns MR4_I 01000200 07c96841 - 612630 ns IT 01000200 6841 LDR r1,[r0,#4] - 612650 ns MR4_I 01000204 6002d1fc - 612710 ns MR4_D 40006004 00000001 - 612710 ns R r1 00000001 - 612710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 612730 ns R r1 80000000 - 612730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 612750 ns R psr 81000200 - 612750 ns MR4_I 01000208 2a001c5b - 612770 ns MR4_I 01000200 07c96841 - 612790 ns IT 01000200 6841 LDR r1,[r0,#4] - 612810 ns MR4_I 01000204 6002d1fc - 612870 ns MR4_D 40006004 00000001 - 612870 ns R r1 00000001 - 612870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 612890 ns R r1 80000000 - 612890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 612910 ns R psr 81000200 - 612910 ns MR4_I 01000208 2a001c5b - 612930 ns MR4_I 01000200 07c96841 - 612950 ns IT 01000200 6841 LDR r1,[r0,#4] - 612970 ns MR4_I 01000204 6002d1fc - 613030 ns MR4_D 40006004 00000001 - 613030 ns R r1 00000001 - 613030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 613050 ns R r1 80000000 - 613050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 613070 ns R psr 81000200 - 613070 ns MR4_I 01000208 2a001c5b - 613090 ns MR4_I 01000200 07c96841 - 613110 ns IT 01000200 6841 LDR r1,[r0,#4] - 613130 ns MR4_I 01000204 6002d1fc - 613190 ns MR4_D 40006004 00000001 - 613190 ns R r1 00000001 - 613190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 613210 ns R r1 80000000 - 613210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 613230 ns R psr 81000200 - 613230 ns MR4_I 01000208 2a001c5b - 613250 ns MR4_I 01000200 07c96841 - 613270 ns IT 01000200 6841 LDR r1,[r0,#4] - 613290 ns MR4_I 01000204 6002d1fc - 613350 ns MR4_D 40006004 00000001 - 613350 ns R r1 00000001 - 613350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 613370 ns R r1 80000000 - 613370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 613390 ns R psr 81000200 - 613390 ns MR4_I 01000208 2a001c5b - 613410 ns MR4_I 01000200 07c96841 - 613430 ns IT 01000200 6841 LDR r1,[r0,#4] - 613450 ns MR4_I 01000204 6002d1fc - 613510 ns MR4_D 40006004 00000001 - 613510 ns R r1 00000001 - 613510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 613530 ns R r1 80000000 - 613530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 613550 ns R psr 81000200 - 613550 ns MR4_I 01000208 2a001c5b - 613570 ns MR4_I 01000200 07c96841 - 613590 ns IT 01000200 6841 LDR r1,[r0,#4] - 613610 ns MR4_I 01000204 6002d1fc - 613670 ns MR4_D 40006004 00000001 - 613670 ns R r1 00000001 - 613670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 613690 ns R r1 80000000 - 613690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 613710 ns R psr 81000200 - 613710 ns MR4_I 01000208 2a001c5b - 613730 ns MR4_I 01000200 07c96841 - 613750 ns IT 01000200 6841 LDR r1,[r0,#4] - 613770 ns MR4_I 01000204 6002d1fc - 613830 ns MR4_D 40006004 00000001 - 613830 ns R r1 00000001 - 613830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 613850 ns R r1 80000000 - 613850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 613870 ns R psr 81000200 - 613870 ns MR4_I 01000208 2a001c5b - 613890 ns MR4_I 01000200 07c96841 - 613910 ns IT 01000200 6841 LDR r1,[r0,#4] - 613930 ns MR4_I 01000204 6002d1fc - 613990 ns MR4_D 40006004 00000001 - 613990 ns R r1 00000001 - 613990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 614010 ns R r1 80000000 - 614010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 614030 ns R psr 81000200 - 614030 ns MR4_I 01000208 2a001c5b - 614050 ns MR4_I 01000200 07c96841 - 614070 ns IT 01000200 6841 LDR r1,[r0,#4] - 614090 ns MR4_I 01000204 6002d1fc - 614150 ns MR4_D 40006004 00000001 - 614150 ns R r1 00000001 - 614150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 614170 ns R r1 80000000 - 614170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 614190 ns R psr 81000200 - 614190 ns MR4_I 01000208 2a001c5b - 614210 ns MR4_I 01000200 07c96841 - 614230 ns IT 01000200 6841 LDR r1,[r0,#4] - 614250 ns MR4_I 01000204 6002d1fc - 614310 ns MR4_D 40006004 00000001 - 614310 ns R r1 00000001 - 614310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 614330 ns R r1 80000000 - 614330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 614350 ns R psr 81000200 - 614350 ns MR4_I 01000208 2a001c5b - 614370 ns MR4_I 01000200 07c96841 - 614390 ns IT 01000200 6841 LDR r1,[r0,#4] - 614410 ns MR4_I 01000204 6002d1fc - 614470 ns MR4_D 40006004 00000001 - 614470 ns R r1 00000001 - 614470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 614490 ns R r1 80000000 - 614490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 614510 ns R psr 81000200 - 614510 ns MR4_I 01000208 2a001c5b - 614530 ns MR4_I 01000200 07c96841 - 614550 ns IT 01000200 6841 LDR r1,[r0,#4] - 614570 ns MR4_I 01000204 6002d1fc - 614630 ns MR4_D 40006004 00000001 - 614630 ns R r1 00000001 - 614630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 614650 ns R r1 80000000 - 614650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 614670 ns R psr 81000200 - 614670 ns MR4_I 01000208 2a001c5b - 614690 ns MR4_I 01000200 07c96841 - 614710 ns IT 01000200 6841 LDR r1,[r0,#4] - 614730 ns MR4_I 01000204 6002d1fc - 614790 ns MR4_D 40006004 00000001 - 614790 ns R r1 00000001 - 614790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 614810 ns R r1 80000000 - 614810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 614830 ns R psr 81000200 - 614830 ns MR4_I 01000208 2a001c5b - 614850 ns MR4_I 01000200 07c96841 - 614870 ns IT 01000200 6841 LDR r1,[r0,#4] - 614890 ns MR4_I 01000204 6002d1fc - 614950 ns MR4_D 40006004 00000001 - 614950 ns R r1 00000001 - 614950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 614970 ns R r1 80000000 - 614970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 614990 ns R psr 81000200 - 614990 ns MR4_I 01000208 2a001c5b - 615010 ns MR4_I 01000200 07c96841 - 615030 ns IT 01000200 6841 LDR r1,[r0,#4] - 615050 ns MR4_I 01000204 6002d1fc - 615110 ns MR4_D 40006004 00000001 - 615110 ns R r1 00000001 - 615110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 615130 ns R r1 80000000 - 615130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 615150 ns R psr 81000200 - 615150 ns MR4_I 01000208 2a001c5b - 615170 ns MR4_I 01000200 07c96841 - 615190 ns IT 01000200 6841 LDR r1,[r0,#4] - 615210 ns MR4_I 01000204 6002d1fc - 615270 ns MR4_D 40006004 00000001 - 615270 ns R r1 00000001 - 615270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 615290 ns R r1 80000000 - 615290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 615310 ns R psr 81000200 - 615310 ns MR4_I 01000208 2a001c5b - 615330 ns MR4_I 01000200 07c96841 - 615350 ns IT 01000200 6841 LDR r1,[r0,#4] - 615370 ns MR4_I 01000204 6002d1fc - 615430 ns MR4_D 40006004 00000001 - 615430 ns R r1 00000001 - 615430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 615450 ns R r1 80000000 - 615450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 615470 ns R psr 81000200 - 615470 ns MR4_I 01000208 2a001c5b - 615490 ns MR4_I 01000200 07c96841 - 615510 ns IT 01000200 6841 LDR r1,[r0,#4] - 615530 ns MR4_I 01000204 6002d1fc - 615590 ns MR4_D 40006004 00000001 - 615590 ns R r1 00000001 - 615590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 615610 ns R r1 80000000 - 615610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 615630 ns R psr 81000200 - 615630 ns MR4_I 01000208 2a001c5b - 615650 ns MR4_I 01000200 07c96841 - 615670 ns IT 01000200 6841 LDR r1,[r0,#4] - 615690 ns MR4_I 01000204 6002d1fc - 615750 ns MR4_D 40006004 00000001 - 615750 ns R r1 00000001 - 615750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 615770 ns R r1 80000000 - 615770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 615790 ns R psr 81000200 - 615790 ns MR4_I 01000208 2a001c5b - 615810 ns MR4_I 01000200 07c96841 - 615830 ns IT 01000200 6841 LDR r1,[r0,#4] - 615850 ns MR4_I 01000204 6002d1fc - 615910 ns MR4_D 40006004 00000001 - 615910 ns R r1 00000001 - 615910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 615930 ns R r1 80000000 - 615930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 615950 ns R psr 81000200 - 615950 ns MR4_I 01000208 2a001c5b - 615970 ns MR4_I 01000200 07c96841 - 615990 ns IT 01000200 6841 LDR r1,[r0,#4] - 616010 ns MR4_I 01000204 6002d1fc - 616070 ns MR4_D 40006004 00000001 - 616070 ns R r1 00000001 - 616070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 616090 ns R r1 80000000 - 616090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 616110 ns R psr 81000200 - 616110 ns MR4_I 01000208 2a001c5b - 616130 ns MR4_I 01000200 07c96841 - 616150 ns IT 01000200 6841 LDR r1,[r0,#4] - 616170 ns MR4_I 01000204 6002d1fc - 616230 ns MR4_D 40006004 00000001 - 616230 ns R r1 00000001 - 616230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 616250 ns R r1 80000000 - 616250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 616270 ns R psr 81000200 - 616270 ns MR4_I 01000208 2a001c5b - 616290 ns MR4_I 01000200 07c96841 - 616310 ns IT 01000200 6841 LDR r1,[r0,#4] - 616330 ns MR4_I 01000204 6002d1fc - 616390 ns MR4_D 40006004 00000001 - 616390 ns R r1 00000001 - 616390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 616410 ns R r1 80000000 - 616410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 616430 ns R psr 81000200 - 616430 ns MR4_I 01000208 2a001c5b - 616450 ns MR4_I 01000200 07c96841 - 616470 ns IT 01000200 6841 LDR r1,[r0,#4] - 616490 ns MR4_I 01000204 6002d1fc - 616550 ns MR4_D 40006004 00000001 - 616550 ns R r1 00000001 - 616550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 616570 ns R r1 80000000 - 616570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 616590 ns R psr 81000200 - 616590 ns MR4_I 01000208 2a001c5b - 616610 ns MR4_I 01000200 07c96841 - 616630 ns IT 01000200 6841 LDR r1,[r0,#4] - 616650 ns MR4_I 01000204 6002d1fc - 616710 ns MR4_D 40006004 00000001 - 616710 ns R r1 00000001 - 616710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 616730 ns R r1 80000000 - 616730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 616750 ns R psr 81000200 - 616750 ns MR4_I 01000208 2a001c5b - 616770 ns MR4_I 01000200 07c96841 - 616790 ns IT 01000200 6841 LDR r1,[r0,#4] - 616810 ns MR4_I 01000204 6002d1fc - 616870 ns MR4_D 40006004 00000001 - 616870 ns R r1 00000001 - 616870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 616890 ns R r1 80000000 - 616890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 616910 ns R psr 81000200 - 616910 ns MR4_I 01000208 2a001c5b - 616930 ns MR4_I 01000200 07c96841 - 616950 ns IT 01000200 6841 LDR r1,[r0,#4] - 616970 ns MR4_I 01000204 6002d1fc - 617030 ns MR4_D 40006004 00000001 - 617030 ns R r1 00000001 - 617030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 617050 ns R r1 80000000 - 617050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 617070 ns R psr 81000200 - 617070 ns MR4_I 01000208 2a001c5b - 617090 ns MR4_I 01000200 07c96841 - 617110 ns IT 01000200 6841 LDR r1,[r0,#4] - 617130 ns MR4_I 01000204 6002d1fc - 617190 ns MR4_D 40006004 00000001 - 617190 ns R r1 00000001 - 617190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 617210 ns R r1 80000000 - 617210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 617230 ns R psr 81000200 - 617230 ns MR4_I 01000208 2a001c5b - 617250 ns MR4_I 01000200 07c96841 - 617270 ns IT 01000200 6841 LDR r1,[r0,#4] - 617290 ns MR4_I 01000204 6002d1fc - 617350 ns MR4_D 40006004 00000001 - 617350 ns R r1 00000001 - 617350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 617370 ns R r1 80000000 - 617370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 617390 ns R psr 81000200 - 617390 ns MR4_I 01000208 2a001c5b - 617410 ns MR4_I 01000200 07c96841 - 617430 ns IT 01000200 6841 LDR r1,[r0,#4] - 617450 ns MR4_I 01000204 6002d1fc - 617510 ns MR4_D 40006004 00000001 - 617510 ns R r1 00000001 - 617510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 617530 ns R r1 80000000 - 617530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 617550 ns R psr 81000200 - 617550 ns MR4_I 01000208 2a001c5b - 617570 ns MR4_I 01000200 07c96841 - 617590 ns IT 01000200 6841 LDR r1,[r0,#4] - 617610 ns MR4_I 01000204 6002d1fc - 617670 ns MR4_D 40006004 00000001 - 617670 ns R r1 00000001 - 617670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 617690 ns R r1 80000000 - 617690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 617710 ns R psr 81000200 - 617710 ns MR4_I 01000208 2a001c5b - 617730 ns MR4_I 01000200 07c96841 - 617750 ns IT 01000200 6841 LDR r1,[r0,#4] - 617770 ns MR4_I 01000204 6002d1fc - 617830 ns MR4_D 40006004 00000001 - 617830 ns R r1 00000001 - 617830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 617850 ns R r1 80000000 - 617850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 617870 ns R psr 81000200 - 617870 ns MR4_I 01000208 2a001c5b - 617890 ns MR4_I 01000200 07c96841 - 617910 ns IT 01000200 6841 LDR r1,[r0,#4] - 617930 ns MR4_I 01000204 6002d1fc - 617990 ns MR4_D 40006004 00000001 - 617990 ns R r1 00000001 - 617990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 618010 ns R r1 80000000 - 618010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 618030 ns R psr 81000200 - 618030 ns MR4_I 01000208 2a001c5b - 618050 ns MR4_I 01000200 07c96841 - 618070 ns IT 01000200 6841 LDR r1,[r0,#4] - 618090 ns MR4_I 01000204 6002d1fc - 618150 ns MR4_D 40006004 00000001 - 618150 ns R r1 00000001 - 618150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 618170 ns R r1 80000000 - 618170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 618190 ns R psr 81000200 - 618190 ns MR4_I 01000208 2a001c5b - 618210 ns MR4_I 01000200 07c96841 - 618230 ns IT 01000200 6841 LDR r1,[r0,#4] - 618250 ns MR4_I 01000204 6002d1fc - 618310 ns MR4_D 40006004 00000001 - 618310 ns R r1 00000001 - 618310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 618330 ns R r1 80000000 - 618330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 618350 ns R psr 81000200 - 618350 ns MR4_I 01000208 2a001c5b - 618370 ns MR4_I 01000200 07c96841 - 618390 ns IT 01000200 6841 LDR r1,[r0,#4] - 618410 ns MR4_I 01000204 6002d1fc - 618470 ns MR4_D 40006004 00000001 - 618470 ns R r1 00000001 - 618470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 618490 ns R r1 80000000 - 618490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 618510 ns R psr 81000200 - 618510 ns MR4_I 01000208 2a001c5b - 618530 ns MR4_I 01000200 07c96841 - 618550 ns IT 01000200 6841 LDR r1,[r0,#4] - 618570 ns MR4_I 01000204 6002d1fc - 618630 ns MR4_D 40006004 00000001 - 618630 ns R r1 00000001 - 618630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 618650 ns R r1 80000000 - 618650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 618670 ns R psr 81000200 - 618670 ns MR4_I 01000208 2a001c5b - 618690 ns MR4_I 01000200 07c96841 - 618710 ns IT 01000200 6841 LDR r1,[r0,#4] - 618730 ns MR4_I 01000204 6002d1fc - 618790 ns MR4_D 40006004 00000001 - 618790 ns R r1 00000001 - 618790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 618810 ns R r1 80000000 - 618810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 618830 ns R psr 81000200 - 618830 ns MR4_I 01000208 2a001c5b - 618850 ns MR4_I 01000200 07c96841 - 618870 ns IT 01000200 6841 LDR r1,[r0,#4] - 618890 ns MR4_I 01000204 6002d1fc - 618950 ns MR4_D 40006004 00000001 - 618950 ns R r1 00000001 - 618950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 618970 ns R r1 80000000 - 618970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 618990 ns R psr 81000200 - 618990 ns MR4_I 01000208 2a001c5b - 619010 ns MR4_I 01000200 07c96841 - 619030 ns IT 01000200 6841 LDR r1,[r0,#4] - 619050 ns MR4_I 01000204 6002d1fc - 619110 ns MR4_D 40006004 00000001 - 619110 ns R r1 00000001 - 619110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 619130 ns R r1 80000000 - 619130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 619150 ns R psr 81000200 - 619150 ns MR4_I 01000208 2a001c5b - 619170 ns MR4_I 01000200 07c96841 - 619190 ns IT 01000200 6841 LDR r1,[r0,#4] - 619210 ns MR4_I 01000204 6002d1fc - 619270 ns MR4_D 40006004 00000001 - 619270 ns R r1 00000001 - 619270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 619290 ns R r1 80000000 - 619290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 619310 ns R psr 81000200 - 619310 ns MR4_I 01000208 2a001c5b - 619330 ns MR4_I 01000200 07c96841 - 619350 ns IT 01000200 6841 LDR r1,[r0,#4] - 619370 ns MR4_I 01000204 6002d1fc - 619430 ns MR4_D 40006004 00000001 - 619430 ns R r1 00000001 - 619430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 619450 ns R r1 80000000 - 619450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 619470 ns R psr 81000200 - 619470 ns MR4_I 01000208 2a001c5b - 619490 ns MR4_I 01000200 07c96841 - 619510 ns IT 01000200 6841 LDR r1,[r0,#4] - 619530 ns MR4_I 01000204 6002d1fc - 619590 ns MR4_D 40006004 00000001 - 619590 ns R r1 00000001 - 619590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 619610 ns R r1 80000000 - 619610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 619630 ns R psr 81000200 - 619630 ns MR4_I 01000208 2a001c5b - 619650 ns MR4_I 01000200 07c96841 - 619670 ns IT 01000200 6841 LDR r1,[r0,#4] - 619690 ns MR4_I 01000204 6002d1fc - 619750 ns MR4_D 40006004 00000001 - 619750 ns R r1 00000001 - 619750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 619770 ns R r1 80000000 - 619770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 619790 ns R psr 81000200 - 619790 ns MR4_I 01000208 2a001c5b - 619810 ns MR4_I 01000200 07c96841 - 619830 ns IT 01000200 6841 LDR r1,[r0,#4] - 619850 ns MR4_I 01000204 6002d1fc - 619910 ns MR4_D 40006004 00000001 - 619910 ns R r1 00000001 - 619910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 619930 ns R r1 80000000 - 619930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 619950 ns R psr 81000200 - 619950 ns MR4_I 01000208 2a001c5b - 619970 ns MR4_I 01000200 07c96841 - 619990 ns IT 01000200 6841 LDR r1,[r0,#4] - 620010 ns MR4_I 01000204 6002d1fc - 620070 ns MR4_D 40006004 00000001 - 620070 ns R r1 00000001 - 620070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 620090 ns R r1 80000000 - 620090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 620110 ns R psr 81000200 - 620110 ns MR4_I 01000208 2a001c5b - 620130 ns MR4_I 01000200 07c96841 - 620150 ns IT 01000200 6841 LDR r1,[r0,#4] - 620170 ns MR4_I 01000204 6002d1fc - 620230 ns MR4_D 40006004 00000001 - 620230 ns R r1 00000001 - 620230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 620250 ns R r1 80000000 - 620250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 620270 ns R psr 81000200 - 620270 ns MR4_I 01000208 2a001c5b - 620290 ns MR4_I 01000200 07c96841 - 620310 ns IT 01000200 6841 LDR r1,[r0,#4] - 620330 ns MR4_I 01000204 6002d1fc - 620390 ns MR4_D 40006004 00000001 - 620390 ns R r1 00000001 - 620390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 620410 ns R r1 80000000 - 620410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 620430 ns R psr 81000200 - 620430 ns MR4_I 01000208 2a001c5b - 620450 ns MR4_I 01000200 07c96841 - 620470 ns IT 01000200 6841 LDR r1,[r0,#4] - 620490 ns MR4_I 01000204 6002d1fc - 620550 ns MR4_D 40006004 00000001 - 620550 ns R r1 00000001 - 620550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 620570 ns R r1 80000000 - 620570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 620590 ns R psr 81000200 - 620590 ns MR4_I 01000208 2a001c5b - 620610 ns MR4_I 01000200 07c96841 - 620630 ns IT 01000200 6841 LDR r1,[r0,#4] - 620650 ns MR4_I 01000204 6002d1fc - 620710 ns MR4_D 40006004 00000001 - 620710 ns R r1 00000001 - 620710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 620730 ns R r1 80000000 - 620730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 620750 ns R psr 81000200 - 620750 ns MR4_I 01000208 2a001c5b - 620770 ns MR4_I 01000200 07c96841 - 620790 ns IT 01000200 6841 LDR r1,[r0,#4] - 620810 ns MR4_I 01000204 6002d1fc - 620870 ns MR4_D 40006004 00000001 - 620870 ns R r1 00000001 - 620870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 620890 ns R r1 80000000 - 620890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 620910 ns R psr 81000200 - 620910 ns MR4_I 01000208 2a001c5b - 620930 ns MR4_I 01000200 07c96841 - 620950 ns IT 01000200 6841 LDR r1,[r0,#4] - 620970 ns MR4_I 01000204 6002d1fc - 621030 ns MR4_D 40006004 00000001 - 621030 ns R r1 00000001 - 621030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 621050 ns R r1 80000000 - 621050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 621070 ns R psr 81000200 - 621070 ns MR4_I 01000208 2a001c5b - 621090 ns MR4_I 01000200 07c96841 - 621110 ns IT 01000200 6841 LDR r1,[r0,#4] - 621130 ns MR4_I 01000204 6002d1fc - 621190 ns MR4_D 40006004 00000001 - 621190 ns R r1 00000001 - 621190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 621210 ns R r1 80000000 - 621210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 621230 ns R psr 81000200 - 621230 ns MR4_I 01000208 2a001c5b - 621250 ns MR4_I 01000200 07c96841 - 621270 ns IT 01000200 6841 LDR r1,[r0,#4] - 621290 ns MR4_I 01000204 6002d1fc - 621350 ns MR4_D 40006004 00000001 - 621350 ns R r1 00000001 - 621350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 621370 ns R r1 80000000 - 621370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 621390 ns R psr 81000200 - 621390 ns MR4_I 01000208 2a001c5b - 621410 ns MR4_I 01000200 07c96841 - 621430 ns IT 01000200 6841 LDR r1,[r0,#4] - 621450 ns MR4_I 01000204 6002d1fc - 621510 ns MR4_D 40006004 00000001 - 621510 ns R r1 00000001 - 621510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 621530 ns R r1 80000000 - 621530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 621550 ns R psr 81000200 - 621550 ns MR4_I 01000208 2a001c5b - 621570 ns MR4_I 01000200 07c96841 - 621590 ns IT 01000200 6841 LDR r1,[r0,#4] - 621610 ns MR4_I 01000204 6002d1fc - 621670 ns MR4_D 40006004 00000001 - 621670 ns R r1 00000001 - 621670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 621690 ns R r1 80000000 - 621690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 621710 ns R psr 81000200 - 621710 ns MR4_I 01000208 2a001c5b - 621730 ns MR4_I 01000200 07c96841 - 621750 ns IT 01000200 6841 LDR r1,[r0,#4] - 621770 ns MR4_I 01000204 6002d1fc - 621830 ns MR4_D 40006004 00000001 - 621830 ns R r1 00000001 - 621830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 621850 ns R r1 80000000 - 621850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 621870 ns R psr 81000200 - 621870 ns MR4_I 01000208 2a001c5b - 621890 ns MR4_I 01000200 07c96841 - 621910 ns IT 01000200 6841 LDR r1,[r0,#4] - 621930 ns MR4_I 01000204 6002d1fc - 621990 ns MR4_D 40006004 00000001 - 621990 ns R r1 00000001 - 621990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 622010 ns R r1 80000000 - 622010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 622030 ns R psr 81000200 - 622030 ns MR4_I 01000208 2a001c5b - 622050 ns MR4_I 01000200 07c96841 - 622070 ns IT 01000200 6841 LDR r1,[r0,#4] - 622090 ns MR4_I 01000204 6002d1fc - 622150 ns MR4_D 40006004 00000001 - 622150 ns R r1 00000001 - 622150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 622170 ns R r1 80000000 - 622170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 622190 ns R psr 81000200 - 622190 ns MR4_I 01000208 2a001c5b - 622210 ns MR4_I 01000200 07c96841 - 622230 ns IT 01000200 6841 LDR r1,[r0,#4] - 622250 ns MR4_I 01000204 6002d1fc - 622310 ns MR4_D 40006004 00000001 - 622310 ns R r1 00000001 - 622310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 622330 ns R r1 80000000 - 622330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 622350 ns R psr 81000200 - 622350 ns MR4_I 01000208 2a001c5b - 622370 ns MR4_I 01000200 07c96841 - 622390 ns IT 01000200 6841 LDR r1,[r0,#4] - 622410 ns MR4_I 01000204 6002d1fc - 622470 ns MR4_D 40006004 00000001 - 622470 ns R r1 00000001 - 622470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 622490 ns R r1 80000000 - 622490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 622510 ns R psr 81000200 - 622510 ns MR4_I 01000208 2a001c5b - 622530 ns MR4_I 01000200 07c96841 - 622550 ns IT 01000200 6841 LDR r1,[r0,#4] - 622570 ns MR4_I 01000204 6002d1fc - 622630 ns MR4_D 40006004 00000001 - 622630 ns R r1 00000001 - 622630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 622650 ns R r1 80000000 - 622650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 622670 ns R psr 81000200 - 622670 ns MR4_I 01000208 2a001c5b - 622690 ns MR4_I 01000200 07c96841 - 622710 ns IT 01000200 6841 LDR r1,[r0,#4] - 622730 ns MR4_I 01000204 6002d1fc - 622790 ns MR4_D 40006004 00000001 - 622790 ns R r1 00000001 - 622790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 622810 ns R r1 80000000 - 622810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 622830 ns R psr 81000200 - 622830 ns MR4_I 01000208 2a001c5b - 622850 ns MR4_I 01000200 07c96841 - 622870 ns IT 01000200 6841 LDR r1,[r0,#4] - 622890 ns MR4_I 01000204 6002d1fc - 622950 ns MR4_D 40006004 00000001 - 622950 ns R r1 00000001 - 622950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 622970 ns R r1 80000000 - 622970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 622990 ns R psr 81000200 - 622990 ns MR4_I 01000208 2a001c5b - 623010 ns MR4_I 01000200 07c96841 - 623030 ns IT 01000200 6841 LDR r1,[r0,#4] - 623050 ns MR4_I 01000204 6002d1fc - 623110 ns MR4_D 40006004 00000001 - 623110 ns R r1 00000001 - 623110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 623130 ns R r1 80000000 - 623130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 623150 ns R psr 81000200 - 623150 ns MR4_I 01000208 2a001c5b - 623170 ns MR4_I 01000200 07c96841 - 623190 ns IT 01000200 6841 LDR r1,[r0,#4] - 623210 ns MR4_I 01000204 6002d1fc - 623270 ns MR4_D 40006004 00000001 - 623270 ns R r1 00000001 - 623270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 623290 ns R r1 80000000 - 623290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 623310 ns R psr 81000200 - 623310 ns MR4_I 01000208 2a001c5b - 623330 ns MR4_I 01000200 07c96841 - 623350 ns IT 01000200 6841 LDR r1,[r0,#4] - 623370 ns MR4_I 01000204 6002d1fc - 623430 ns MR4_D 40006004 00000001 - 623430 ns R r1 00000001 - 623430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 623450 ns R r1 80000000 - 623450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 623470 ns R psr 81000200 - 623470 ns MR4_I 01000208 2a001c5b - 623490 ns MR4_I 01000200 07c96841 - 623510 ns IT 01000200 6841 LDR r1,[r0,#4] - 623530 ns MR4_I 01000204 6002d1fc - 623590 ns MR4_D 40006004 00000001 - 623590 ns R r1 00000001 - 623590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 623610 ns R r1 80000000 - 623610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 623630 ns R psr 81000200 - 623630 ns MR4_I 01000208 2a001c5b - 623650 ns MR4_I 01000200 07c96841 - 623670 ns IT 01000200 6841 LDR r1,[r0,#4] - 623690 ns MR4_I 01000204 6002d1fc - 623750 ns MR4_D 40006004 00000001 - 623750 ns R r1 00000001 - 623750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 623770 ns R r1 80000000 - 623770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 623790 ns R psr 81000200 - 623790 ns MR4_I 01000208 2a001c5b - 623810 ns MR4_I 01000200 07c96841 - 623830 ns IT 01000200 6841 LDR r1,[r0,#4] - 623850 ns MR4_I 01000204 6002d1fc - 623910 ns MR4_D 40006004 00000001 - 623910 ns R r1 00000001 - 623910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 623930 ns R r1 80000000 - 623930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 623950 ns R psr 81000200 - 623950 ns MR4_I 01000208 2a001c5b - 623970 ns MR4_I 01000200 07c96841 - 623990 ns IT 01000200 6841 LDR r1,[r0,#4] - 624010 ns MR4_I 01000204 6002d1fc - 624070 ns MR4_D 40006004 00000001 - 624070 ns R r1 00000001 - 624070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 624090 ns R r1 80000000 - 624090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 624110 ns R psr 81000200 - 624110 ns MR4_I 01000208 2a001c5b - 624130 ns MR4_I 01000200 07c96841 - 624150 ns IT 01000200 6841 LDR r1,[r0,#4] - 624170 ns MR4_I 01000204 6002d1fc - 624230 ns MR4_D 40006004 00000001 - 624230 ns R r1 00000001 - 624230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 624250 ns R r1 80000000 - 624250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 624270 ns R psr 81000200 - 624270 ns MR4_I 01000208 2a001c5b - 624290 ns MR4_I 01000200 07c96841 - 624310 ns IT 01000200 6841 LDR r1,[r0,#4] - 624330 ns MR4_I 01000204 6002d1fc - 624390 ns MR4_D 40006004 00000001 - 624390 ns R r1 00000001 - 624390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 624410 ns R r1 80000000 - 624410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 624430 ns R psr 81000200 - 624430 ns MR4_I 01000208 2a001c5b - 624450 ns MR4_I 01000200 07c96841 - 624470 ns IT 01000200 6841 LDR r1,[r0,#4] - 624490 ns MR4_I 01000204 6002d1fc - 624550 ns MR4_D 40006004 00000001 - 624550 ns R r1 00000001 - 624550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 624570 ns R r1 80000000 - 624570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 624590 ns R psr 81000200 - 624590 ns MR4_I 01000208 2a001c5b - 624610 ns MR4_I 01000200 07c96841 - 624630 ns IT 01000200 6841 LDR r1,[r0,#4] - 624650 ns MR4_I 01000204 6002d1fc - 624710 ns MR4_D 40006004 00000001 - 624710 ns R r1 00000001 - 624710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 624730 ns R r1 80000000 - 624730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 624750 ns R psr 81000200 - 624750 ns MR4_I 01000208 2a001c5b - 624770 ns MR4_I 01000200 07c96841 - 624790 ns IT 01000200 6841 LDR r1,[r0,#4] - 624810 ns MR4_I 01000204 6002d1fc - 624870 ns MR4_D 40006004 00000001 - 624870 ns R r1 00000001 - 624870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 624890 ns R r1 80000000 - 624890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 624910 ns R psr 81000200 - 624910 ns MR4_I 01000208 2a001c5b - 624930 ns MR4_I 01000200 07c96841 - 624950 ns IT 01000200 6841 LDR r1,[r0,#4] - 624970 ns MR4_I 01000204 6002d1fc - 625030 ns MR4_D 40006004 00000001 - 625030 ns R r1 00000001 - 625030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 625050 ns R r1 80000000 - 625050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 625070 ns R psr 81000200 - 625070 ns MR4_I 01000208 2a001c5b - 625090 ns MR4_I 01000200 07c96841 - 625110 ns IT 01000200 6841 LDR r1,[r0,#4] - 625130 ns MR4_I 01000204 6002d1fc - 625190 ns MR4_D 40006004 00000001 - 625190 ns R r1 00000001 - 625190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 625210 ns R r1 80000000 - 625210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 625230 ns R psr 81000200 - 625230 ns MR4_I 01000208 2a001c5b - 625250 ns MR4_I 01000200 07c96841 - 625270 ns IT 01000200 6841 LDR r1,[r0,#4] - 625290 ns MR4_I 01000204 6002d1fc - 625350 ns MR4_D 40006004 00000001 - 625350 ns R r1 00000001 - 625350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 625370 ns R r1 80000000 - 625370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 625390 ns R psr 81000200 - 625390 ns MR4_I 01000208 2a001c5b - 625410 ns MR4_I 01000200 07c96841 - 625430 ns IT 01000200 6841 LDR r1,[r0,#4] - 625450 ns MR4_I 01000204 6002d1fc - 625510 ns MR4_D 40006004 00000001 - 625510 ns R r1 00000001 - 625510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 625530 ns R r1 80000000 - 625530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 625550 ns R psr 81000200 - 625550 ns MR4_I 01000208 2a001c5b - 625570 ns MR4_I 01000200 07c96841 - 625590 ns IT 01000200 6841 LDR r1,[r0,#4] - 625610 ns MR4_I 01000204 6002d1fc - 625670 ns MR4_D 40006004 00000001 - 625670 ns R r1 00000001 - 625670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 625690 ns R r1 80000000 - 625690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 625710 ns R psr 81000200 - 625710 ns MR4_I 01000208 2a001c5b - 625730 ns MR4_I 01000200 07c96841 - 625750 ns IT 01000200 6841 LDR r1,[r0,#4] - 625770 ns MR4_I 01000204 6002d1fc - 625830 ns MR4_D 40006004 00000001 - 625830 ns R r1 00000001 - 625830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 625850 ns R r1 80000000 - 625850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 625870 ns R psr 81000200 - 625870 ns MR4_I 01000208 2a001c5b - 625890 ns MR4_I 01000200 07c96841 - 625910 ns IT 01000200 6841 LDR r1,[r0,#4] - 625930 ns MR4_I 01000204 6002d1fc - 625990 ns MR4_D 40006004 00000001 - 625990 ns R r1 00000001 - 625990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 626010 ns R r1 80000000 - 626010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 626030 ns R psr 81000200 - 626030 ns MR4_I 01000208 2a001c5b - 626050 ns MR4_I 01000200 07c96841 - 626070 ns IT 01000200 6841 LDR r1,[r0,#4] - 626090 ns MR4_I 01000204 6002d1fc - 626150 ns MR4_D 40006004 00000001 - 626150 ns R r1 00000001 - 626150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 626170 ns R r1 80000000 - 626170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 626190 ns R psr 81000200 - 626190 ns MR4_I 01000208 2a001c5b - 626210 ns MR4_I 01000200 07c96841 - 626230 ns IT 01000200 6841 LDR r1,[r0,#4] - 626250 ns MR4_I 01000204 6002d1fc - 626310 ns MR4_D 40006004 00000001 - 626310 ns R r1 00000001 - 626310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 626330 ns R r1 80000000 - 626330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 626350 ns R psr 81000200 - 626350 ns MR4_I 01000208 2a001c5b - 626370 ns MR4_I 01000200 07c96841 - 626390 ns IT 01000200 6841 LDR r1,[r0,#4] - 626410 ns MR4_I 01000204 6002d1fc - 626470 ns MR4_D 40006004 00000001 - 626470 ns R r1 00000001 - 626470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 626490 ns R r1 80000000 - 626490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 626510 ns R psr 81000200 - 626510 ns MR4_I 01000208 2a001c5b - 626530 ns MR4_I 01000200 07c96841 - 626550 ns IT 01000200 6841 LDR r1,[r0,#4] - 626570 ns MR4_I 01000204 6002d1fc - 626630 ns MR4_D 40006004 00000001 - 626630 ns R r1 00000001 - 626630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 626650 ns R r1 80000000 - 626650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 626670 ns R psr 81000200 - 626670 ns MR4_I 01000208 2a001c5b - 626690 ns MR4_I 01000200 07c96841 - 626710 ns IT 01000200 6841 LDR r1,[r0,#4] - 626730 ns MR4_I 01000204 6002d1fc - 626790 ns MR4_D 40006004 00000001 - 626790 ns R r1 00000001 - 626790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 626810 ns R r1 80000000 - 626810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 626830 ns R psr 81000200 - 626830 ns MR4_I 01000208 2a001c5b - 626850 ns MR4_I 01000200 07c96841 - 626870 ns IT 01000200 6841 LDR r1,[r0,#4] - 626890 ns MR4_I 01000204 6002d1fc - 626950 ns MR4_D 40006004 00000001 - 626950 ns R r1 00000001 - 626950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 626970 ns R r1 80000000 - 626970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 626990 ns R psr 81000200 - 626990 ns MR4_I 01000208 2a001c5b - 627010 ns MR4_I 01000200 07c96841 - 627030 ns IT 01000200 6841 LDR r1,[r0,#4] - 627050 ns MR4_I 01000204 6002d1fc - 627110 ns MR4_D 40006004 00000001 - 627110 ns R r1 00000001 - 627110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 627130 ns R r1 80000000 - 627130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 627150 ns R psr 81000200 - 627150 ns MR4_I 01000208 2a001c5b - 627170 ns MR4_I 01000200 07c96841 - 627190 ns IT 01000200 6841 LDR r1,[r0,#4] - 627210 ns MR4_I 01000204 6002d1fc - 627270 ns MR4_D 40006004 00000001 - 627270 ns R r1 00000001 - 627270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 627290 ns R r1 80000000 - 627290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 627310 ns R psr 81000200 - 627310 ns MR4_I 01000208 2a001c5b - 627330 ns MR4_I 01000200 07c96841 - 627350 ns IT 01000200 6841 LDR r1,[r0,#4] - 627370 ns MR4_I 01000204 6002d1fc - 627430 ns MR4_D 40006004 00000001 - 627430 ns R r1 00000001 - 627430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 627450 ns R r1 80000000 - 627450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 627470 ns R psr 81000200 - 627470 ns MR4_I 01000208 2a001c5b - 627490 ns MR4_I 01000200 07c96841 - 627510 ns IT 01000200 6841 LDR r1,[r0,#4] - 627530 ns MR4_I 01000204 6002d1fc - 627590 ns MR4_D 40006004 00000001 - 627590 ns R r1 00000001 - 627590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 627610 ns R r1 80000000 - 627610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 627630 ns R psr 81000200 - 627630 ns MR4_I 01000208 2a001c5b - 627650 ns MR4_I 01000200 07c96841 - 627670 ns IT 01000200 6841 LDR r1,[r0,#4] - 627690 ns MR4_I 01000204 6002d1fc - 627750 ns MR4_D 40006004 00000001 - 627750 ns R r1 00000001 - 627750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 627770 ns R r1 80000000 - 627770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 627790 ns R psr 81000200 - 627790 ns MR4_I 01000208 2a001c5b - 627810 ns MR4_I 01000200 07c96841 - 627830 ns IT 01000200 6841 LDR r1,[r0,#4] - 627850 ns MR4_I 01000204 6002d1fc - 627910 ns MR4_D 40006004 00000001 - 627910 ns R r1 00000001 - 627910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 627930 ns R r1 80000000 - 627930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 627950 ns R psr 81000200 - 627950 ns MR4_I 01000208 2a001c5b - 627970 ns MR4_I 01000200 07c96841 - 627990 ns IT 01000200 6841 LDR r1,[r0,#4] - 628010 ns MR4_I 01000204 6002d1fc - 628070 ns MR4_D 40006004 00000001 - 628070 ns R r1 00000001 - 628070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 628090 ns R r1 80000000 - 628090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 628110 ns R psr 81000200 - 628110 ns MR4_I 01000208 2a001c5b - 628130 ns MR4_I 01000200 07c96841 - 628150 ns IT 01000200 6841 LDR r1,[r0,#4] - 628170 ns MR4_I 01000204 6002d1fc - 628230 ns MR4_D 40006004 00000001 - 628230 ns R r1 00000001 - 628230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 628250 ns R r1 80000000 - 628250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 628270 ns R psr 81000200 - 628270 ns MR4_I 01000208 2a001c5b - 628290 ns MR4_I 01000200 07c96841 - 628310 ns IT 01000200 6841 LDR r1,[r0,#4] - 628330 ns MR4_I 01000204 6002d1fc - 628390 ns MR4_D 40006004 00000001 - 628390 ns R r1 00000001 - 628390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 628410 ns R r1 80000000 - 628410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 628430 ns R psr 81000200 - 628430 ns MR4_I 01000208 2a001c5b - 628450 ns MR4_I 01000200 07c96841 - 628470 ns IT 01000200 6841 LDR r1,[r0,#4] - 628490 ns MR4_I 01000204 6002d1fc - 628550 ns MR4_D 40006004 00000001 - 628550 ns R r1 00000001 - 628550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 628570 ns R r1 80000000 - 628570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 628590 ns R psr 81000200 - 628590 ns MR4_I 01000208 2a001c5b - 628610 ns MR4_I 01000200 07c96841 - 628630 ns IT 01000200 6841 LDR r1,[r0,#4] - 628650 ns MR4_I 01000204 6002d1fc - 628710 ns MR4_D 40006004 00000001 - 628710 ns R r1 00000001 - 628710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 628730 ns R r1 80000000 - 628730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 628750 ns R psr 81000200 - 628750 ns MR4_I 01000208 2a001c5b - 628770 ns MR4_I 01000200 07c96841 - 628790 ns IT 01000200 6841 LDR r1,[r0,#4] - 628810 ns MR4_I 01000204 6002d1fc - 628870 ns MR4_D 40006004 00000001 - 628870 ns R r1 00000001 - 628870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 628890 ns R r1 80000000 - 628890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 628910 ns R psr 81000200 - 628910 ns MR4_I 01000208 2a001c5b - 628930 ns MR4_I 01000200 07c96841 - 628950 ns IT 01000200 6841 LDR r1,[r0,#4] - 628970 ns MR4_I 01000204 6002d1fc - 629030 ns MR4_D 40006004 00000001 - 629030 ns R r1 00000001 - 629030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 629050 ns R r1 80000000 - 629050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 629070 ns R psr 81000200 - 629070 ns MR4_I 01000208 2a001c5b - 629090 ns MR4_I 01000200 07c96841 - 629110 ns IT 01000200 6841 LDR r1,[r0,#4] - 629130 ns MR4_I 01000204 6002d1fc - 629190 ns MR4_D 40006004 00000001 - 629190 ns R r1 00000001 - 629190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 629210 ns R r1 80000000 - 629210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 629230 ns R psr 81000200 - 629230 ns MR4_I 01000208 2a001c5b - 629250 ns MR4_I 01000200 07c96841 - 629270 ns IT 01000200 6841 LDR r1,[r0,#4] - 629290 ns MR4_I 01000204 6002d1fc - 629350 ns MR4_D 40006004 00000001 - 629350 ns R r1 00000001 - 629350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 629370 ns R r1 80000000 - 629370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 629390 ns R psr 81000200 - 629390 ns MR4_I 01000208 2a001c5b - 629410 ns MR4_I 01000200 07c96841 - 629430 ns IT 01000200 6841 LDR r1,[r0,#4] - 629450 ns MR4_I 01000204 6002d1fc - 629510 ns MR4_D 40006004 00000001 - 629510 ns R r1 00000001 - 629510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 629530 ns R r1 80000000 - 629530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 629550 ns R psr 81000200 - 629550 ns MR4_I 01000208 2a001c5b - 629570 ns MR4_I 01000200 07c96841 - 629590 ns IT 01000200 6841 LDR r1,[r0,#4] - 629610 ns MR4_I 01000204 6002d1fc - 629670 ns MR4_D 40006004 00000001 - 629670 ns R r1 00000001 - 629670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 629690 ns R r1 80000000 - 629690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 629710 ns R psr 81000200 - 629710 ns MR4_I 01000208 2a001c5b - 629730 ns MR4_I 01000200 07c96841 - 629750 ns IT 01000200 6841 LDR r1,[r0,#4] - 629770 ns MR4_I 01000204 6002d1fc - 629830 ns MR4_D 40006004 00000001 - 629830 ns R r1 00000001 - 629830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 629850 ns R r1 80000000 - 629850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 629870 ns R psr 81000200 - 629870 ns MR4_I 01000208 2a001c5b - 629890 ns MR4_I 01000200 07c96841 - 629910 ns IT 01000200 6841 LDR r1,[r0,#4] - 629930 ns MR4_I 01000204 6002d1fc - 629990 ns MR4_D 40006004 00000001 - 629990 ns R r1 00000001 - 629990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 630010 ns R r1 80000000 - 630010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 630030 ns R psr 81000200 - 630030 ns MR4_I 01000208 2a001c5b - 630050 ns MR4_I 01000200 07c96841 - 630070 ns IT 01000200 6841 LDR r1,[r0,#4] - 630090 ns MR4_I 01000204 6002d1fc - 630150 ns MR4_D 40006004 00000001 - 630150 ns R r1 00000001 - 630150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 630170 ns R r1 80000000 - 630170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 630190 ns R psr 81000200 - 630190 ns MR4_I 01000208 2a001c5b - 630210 ns MR4_I 01000200 07c96841 - 630230 ns IT 01000200 6841 LDR r1,[r0,#4] - 630250 ns MR4_I 01000204 6002d1fc - 630310 ns MR4_D 40006004 00000001 - 630310 ns R r1 00000001 - 630310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 630330 ns R r1 80000000 - 630330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 630350 ns R psr 81000200 - 630350 ns MR4_I 01000208 2a001c5b - 630370 ns MR4_I 01000200 07c96841 - 630390 ns IT 01000200 6841 LDR r1,[r0,#4] - 630410 ns MR4_I 01000204 6002d1fc - 630470 ns MR4_D 40006004 00000001 - 630470 ns R r1 00000001 - 630470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 630490 ns R r1 80000000 - 630490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 630510 ns R psr 81000200 - 630510 ns MR4_I 01000208 2a001c5b - 630530 ns MR4_I 01000200 07c96841 - 630550 ns IT 01000200 6841 LDR r1,[r0,#4] - 630570 ns MR4_I 01000204 6002d1fc - 630630 ns MR4_D 40006004 00000001 - 630630 ns R r1 00000001 - 630630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 630650 ns R r1 80000000 - 630650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 630670 ns R psr 81000200 - 630670 ns MR4_I 01000208 2a001c5b - 630690 ns MR4_I 01000200 07c96841 - 630710 ns IT 01000200 6841 LDR r1,[r0,#4] - 630730 ns MR4_I 01000204 6002d1fc - 630790 ns MR4_D 40006004 00000001 - 630790 ns R r1 00000001 - 630790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 630810 ns R r1 80000000 - 630810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 630830 ns R psr 81000200 - 630830 ns MR4_I 01000208 2a001c5b - 630850 ns MR4_I 01000200 07c96841 - 630870 ns IT 01000200 6841 LDR r1,[r0,#4] - 630890 ns MR4_I 01000204 6002d1fc - 630950 ns MR4_D 40006004 00000001 - 630950 ns R r1 00000001 - 630950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 630970 ns R r1 80000000 - 630970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 630990 ns R psr 81000200 - 630990 ns MR4_I 01000208 2a001c5b - 631010 ns MR4_I 01000200 07c96841 - 631030 ns IT 01000200 6841 LDR r1,[r0,#4] - 631050 ns MR4_I 01000204 6002d1fc - 631110 ns MR4_D 40006004 00000001 - 631110 ns R r1 00000001 - 631110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 631130 ns R r1 80000000 - 631130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 631150 ns R psr 81000200 - 631150 ns MR4_I 01000208 2a001c5b - 631170 ns MR4_I 01000200 07c96841 - 631190 ns IT 01000200 6841 LDR r1,[r0,#4] - 631210 ns MR4_I 01000204 6002d1fc - 631270 ns MR4_D 40006004 00000001 - 631270 ns R r1 00000001 - 631270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 631290 ns R r1 80000000 - 631290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 631310 ns R psr 81000200 - 631310 ns MR4_I 01000208 2a001c5b - 631330 ns MR4_I 01000200 07c96841 - 631350 ns IT 01000200 6841 LDR r1,[r0,#4] - 631370 ns MR4_I 01000204 6002d1fc - 631430 ns MR4_D 40006004 00000001 - 631430 ns R r1 00000001 - 631430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 631450 ns R r1 80000000 - 631450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 631470 ns R psr 81000200 - 631470 ns MR4_I 01000208 2a001c5b - 631490 ns MR4_I 01000200 07c96841 - 631510 ns IT 01000200 6841 LDR r1,[r0,#4] - 631530 ns MR4_I 01000204 6002d1fc - 631590 ns MR4_D 40006004 00000001 - 631590 ns R r1 00000001 - 631590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 631610 ns R r1 80000000 - 631610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 631630 ns R psr 81000200 - 631630 ns MR4_I 01000208 2a001c5b - 631650 ns MR4_I 01000200 07c96841 - 631670 ns IT 01000200 6841 LDR r1,[r0,#4] - 631690 ns MR4_I 01000204 6002d1fc - 631750 ns MR4_D 40006004 00000001 - 631750 ns R r1 00000001 - 631750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 631770 ns R r1 80000000 - 631770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 631790 ns R psr 81000200 - 631790 ns MR4_I 01000208 2a001c5b - 631810 ns MR4_I 01000200 07c96841 - 631830 ns IT 01000200 6841 LDR r1,[r0,#4] - 631850 ns MR4_I 01000204 6002d1fc - 631910 ns MR4_D 40006004 00000001 - 631910 ns R r1 00000001 - 631910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 631930 ns R r1 80000000 - 631930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 631950 ns R psr 81000200 - 631950 ns MR4_I 01000208 2a001c5b - 631970 ns MR4_I 01000200 07c96841 - 631990 ns IT 01000200 6841 LDR r1,[r0,#4] - 632010 ns MR4_I 01000204 6002d1fc - 632070 ns MR4_D 40006004 00000001 - 632070 ns R r1 00000001 - 632070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 632090 ns R r1 80000000 - 632090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 632110 ns R psr 81000200 - 632110 ns MR4_I 01000208 2a001c5b - 632130 ns MR4_I 01000200 07c96841 - 632150 ns IT 01000200 6841 LDR r1,[r0,#4] - 632170 ns MR4_I 01000204 6002d1fc - 632230 ns MR4_D 40006004 00000001 - 632230 ns R r1 00000001 - 632230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 632250 ns R r1 80000000 - 632250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 632270 ns R psr 81000200 - 632270 ns MR4_I 01000208 2a001c5b - 632290 ns MR4_I 01000200 07c96841 - 632310 ns IT 01000200 6841 LDR r1,[r0,#4] - 632330 ns MR4_I 01000204 6002d1fc - 632390 ns MR4_D 40006004 00000001 - 632390 ns R r1 00000001 - 632390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 632410 ns R r1 80000000 - 632410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 632430 ns R psr 81000200 - 632430 ns MR4_I 01000208 2a001c5b - 632450 ns MR4_I 01000200 07c96841 - 632470 ns IT 01000200 6841 LDR r1,[r0,#4] - 632490 ns MR4_I 01000204 6002d1fc - 632550 ns MR4_D 40006004 00000001 - 632550 ns R r1 00000001 - 632550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 632570 ns R r1 80000000 - 632570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 632590 ns R psr 81000200 - 632590 ns MR4_I 01000208 2a001c5b - 632610 ns MR4_I 01000200 07c96841 - 632630 ns IT 01000200 6841 LDR r1,[r0,#4] - 632650 ns MR4_I 01000204 6002d1fc - 632710 ns MR4_D 40006004 00000001 - 632710 ns R r1 00000001 - 632710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 632730 ns R r1 80000000 - 632730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 632750 ns R psr 81000200 - 632750 ns MR4_I 01000208 2a001c5b - 632770 ns MR4_I 01000200 07c96841 - 632790 ns IT 01000200 6841 LDR r1,[r0,#4] - 632810 ns MR4_I 01000204 6002d1fc - 632870 ns MR4_D 40006004 00000001 - 632870 ns R r1 00000001 - 632870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 632890 ns R r1 80000000 - 632890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 632910 ns R psr 81000200 - 632910 ns MR4_I 01000208 2a001c5b - 632930 ns MR4_I 01000200 07c96841 - 632950 ns IT 01000200 6841 LDR r1,[r0,#4] - 632970 ns MR4_I 01000204 6002d1fc - 633030 ns MR4_D 40006004 00000001 - 633030 ns R r1 00000001 - 633030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 633050 ns R r1 80000000 - 633050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 633070 ns R psr 81000200 - 633070 ns MR4_I 01000208 2a001c5b - 633090 ns MR4_I 01000200 07c96841 - 633110 ns IT 01000200 6841 LDR r1,[r0,#4] - 633130 ns MR4_I 01000204 6002d1fc - 633190 ns MR4_D 40006004 00000001 - 633190 ns R r1 00000001 - 633190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 633210 ns R r1 80000000 - 633210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 633230 ns R psr 81000200 - 633230 ns MR4_I 01000208 2a001c5b - 633250 ns MR4_I 01000200 07c96841 - 633270 ns IT 01000200 6841 LDR r1,[r0,#4] - 633290 ns MR4_I 01000204 6002d1fc - 633350 ns MR4_D 40006004 00000001 - 633350 ns R r1 00000001 - 633350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 633370 ns R r1 80000000 - 633370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 633390 ns R psr 81000200 - 633390 ns MR4_I 01000208 2a001c5b - 633410 ns MR4_I 01000200 07c96841 - 633430 ns IT 01000200 6841 LDR r1,[r0,#4] - 633450 ns MR4_I 01000204 6002d1fc - 633510 ns MR4_D 40006004 00000001 - 633510 ns R r1 00000001 - 633510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 633530 ns R r1 80000000 - 633530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 633550 ns R psr 81000200 - 633550 ns MR4_I 01000208 2a001c5b - 633570 ns MR4_I 01000200 07c96841 - 633590 ns IT 01000200 6841 LDR r1,[r0,#4] - 633610 ns MR4_I 01000204 6002d1fc - 633670 ns MR4_D 40006004 00000001 - 633670 ns R r1 00000001 - 633670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 633690 ns R r1 80000000 - 633690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 633710 ns R psr 81000200 - 633710 ns MR4_I 01000208 2a001c5b - 633730 ns MR4_I 01000200 07c96841 - 633750 ns IT 01000200 6841 LDR r1,[r0,#4] - 633770 ns MR4_I 01000204 6002d1fc - 633830 ns MR4_D 40006004 00000001 - 633830 ns R r1 00000001 - 633830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 633850 ns R r1 80000000 - 633850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 633870 ns R psr 81000200 - 633870 ns MR4_I 01000208 2a001c5b - 633890 ns MR4_I 01000200 07c96841 - 633910 ns IT 01000200 6841 LDR r1,[r0,#4] - 633930 ns MR4_I 01000204 6002d1fc - 633990 ns MR4_D 40006004 00000001 - 633990 ns R r1 00000001 - 633990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 634010 ns R r1 80000000 - 634010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 634030 ns R psr 81000200 - 634030 ns MR4_I 01000208 2a001c5b - 634050 ns MR4_I 01000200 07c96841 - 634070 ns IT 01000200 6841 LDR r1,[r0,#4] - 634090 ns MR4_I 01000204 6002d1fc - 634150 ns MR4_D 40006004 00000001 - 634150 ns R r1 00000001 - 634150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 634170 ns R r1 80000000 - 634170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 634190 ns R psr 81000200 - 634190 ns MR4_I 01000208 2a001c5b - 634210 ns MR4_I 01000200 07c96841 - 634230 ns IT 01000200 6841 LDR r1,[r0,#4] - 634250 ns MR4_I 01000204 6002d1fc - 634310 ns MR4_D 40006004 00000001 - 634310 ns R r1 00000001 - 634310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 634330 ns R r1 80000000 - 634330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 634350 ns R psr 81000200 - 634350 ns MR4_I 01000208 2a001c5b - 634370 ns MR4_I 01000200 07c96841 - 634390 ns IT 01000200 6841 LDR r1,[r0,#4] - 634410 ns MR4_I 01000204 6002d1fc - 634470 ns MR4_D 40006004 00000001 - 634470 ns R r1 00000001 - 634470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 634490 ns R r1 80000000 - 634490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 634510 ns R psr 81000200 - 634510 ns MR4_I 01000208 2a001c5b - 634530 ns MR4_I 01000200 07c96841 - 634550 ns IT 01000200 6841 LDR r1,[r0,#4] - 634570 ns MR4_I 01000204 6002d1fc - 634630 ns MR4_D 40006004 00000001 - 634630 ns R r1 00000001 - 634630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 634650 ns R r1 80000000 - 634650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 634670 ns R psr 81000200 - 634670 ns MR4_I 01000208 2a001c5b - 634690 ns MR4_I 01000200 07c96841 - 634710 ns IT 01000200 6841 LDR r1,[r0,#4] - 634730 ns MR4_I 01000204 6002d1fc - 634790 ns MR4_D 40006004 00000001 - 634790 ns R r1 00000001 - 634790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 634810 ns R r1 80000000 - 634810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 634830 ns R psr 81000200 - 634830 ns MR4_I 01000208 2a001c5b - 634850 ns MR4_I 01000200 07c96841 - 634870 ns IT 01000200 6841 LDR r1,[r0,#4] - 634890 ns MR4_I 01000204 6002d1fc - 634950 ns MR4_D 40006004 00000001 - 634950 ns R r1 00000001 - 634950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 634970 ns R r1 80000000 - 634970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 634990 ns R psr 81000200 - 634990 ns MR4_I 01000208 2a001c5b - 635010 ns MR4_I 01000200 07c96841 - 635030 ns IT 01000200 6841 LDR r1,[r0,#4] - 635050 ns MR4_I 01000204 6002d1fc - 635110 ns MR4_D 40006004 00000001 - 635110 ns R r1 00000001 - 635110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 635130 ns R r1 80000000 - 635130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 635150 ns R psr 81000200 - 635150 ns MR4_I 01000208 2a001c5b - 635170 ns MR4_I 01000200 07c96841 - 635190 ns IT 01000200 6841 LDR r1,[r0,#4] - 635210 ns MR4_I 01000204 6002d1fc - 635270 ns MR4_D 40006004 00000001 - 635270 ns R r1 00000001 - 635270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 635290 ns R r1 80000000 - 635290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 635310 ns R psr 81000200 - 635310 ns MR4_I 01000208 2a001c5b - 635330 ns MR4_I 01000200 07c96841 - 635350 ns IT 01000200 6841 LDR r1,[r0,#4] - 635370 ns MR4_I 01000204 6002d1fc - 635430 ns MR4_D 40006004 00000001 - 635430 ns R r1 00000001 - 635430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 635450 ns R r1 80000000 - 635450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 635470 ns R psr 81000200 - 635470 ns MR4_I 01000208 2a001c5b - 635490 ns MR4_I 01000200 07c96841 - 635510 ns IT 01000200 6841 LDR r1,[r0,#4] - 635530 ns MR4_I 01000204 6002d1fc - 635590 ns MR4_D 40006004 00000001 - 635590 ns R r1 00000001 - 635590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 635610 ns R r1 80000000 - 635610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 635630 ns R psr 81000200 - 635630 ns MR4_I 01000208 2a001c5b - 635650 ns MR4_I 01000200 07c96841 - 635670 ns IT 01000200 6841 LDR r1,[r0,#4] - 635690 ns MR4_I 01000204 6002d1fc - 635750 ns MR4_D 40006004 00000001 - 635750 ns R r1 00000001 - 635750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 635770 ns R r1 80000000 - 635770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 635790 ns R psr 81000200 - 635790 ns MR4_I 01000208 2a001c5b - 635810 ns MR4_I 01000200 07c96841 - 635830 ns IT 01000200 6841 LDR r1,[r0,#4] - 635850 ns MR4_I 01000204 6002d1fc - 635910 ns MR4_D 40006004 00000001 - 635910 ns R r1 00000001 - 635910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 635930 ns R r1 80000000 - 635930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 635950 ns R psr 81000200 - 635950 ns MR4_I 01000208 2a001c5b - 635970 ns MR4_I 01000200 07c96841 - 635990 ns IT 01000200 6841 LDR r1,[r0,#4] - 636010 ns MR4_I 01000204 6002d1fc - 636070 ns MR4_D 40006004 00000001 - 636070 ns R r1 00000001 - 636070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 636090 ns R r1 80000000 - 636090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 636110 ns R psr 81000200 - 636110 ns MR4_I 01000208 2a001c5b - 636130 ns MR4_I 01000200 07c96841 - 636150 ns IT 01000200 6841 LDR r1,[r0,#4] - 636170 ns MR4_I 01000204 6002d1fc - 636230 ns MR4_D 40006004 00000001 - 636230 ns R r1 00000001 - 636230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 636250 ns R r1 80000000 - 636250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 636270 ns R psr 81000200 - 636270 ns MR4_I 01000208 2a001c5b - 636290 ns MR4_I 01000200 07c96841 - 636310 ns IT 01000200 6841 LDR r1,[r0,#4] - 636330 ns MR4_I 01000204 6002d1fc - 636390 ns MR4_D 40006004 00000001 - 636390 ns R r1 00000001 - 636390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 636410 ns R r1 80000000 - 636410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 636430 ns R psr 81000200 - 636430 ns MR4_I 01000208 2a001c5b - 636450 ns MR4_I 01000200 07c96841 - 636470 ns IT 01000200 6841 LDR r1,[r0,#4] - 636490 ns MR4_I 01000204 6002d1fc - 636550 ns MR4_D 40006004 00000001 - 636550 ns R r1 00000001 - 636550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 636570 ns R r1 80000000 - 636570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 636590 ns R psr 81000200 - 636590 ns MR4_I 01000208 2a001c5b - 636610 ns MR4_I 01000200 07c96841 - 636630 ns IT 01000200 6841 LDR r1,[r0,#4] - 636650 ns MR4_I 01000204 6002d1fc - 636710 ns MR4_D 40006004 00000001 - 636710 ns R r1 00000001 - 636710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 636730 ns R r1 80000000 - 636730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 636750 ns R psr 81000200 - 636750 ns MR4_I 01000208 2a001c5b - 636770 ns MR4_I 01000200 07c96841 - 636790 ns IT 01000200 6841 LDR r1,[r0,#4] - 636810 ns MR4_I 01000204 6002d1fc - 636870 ns MR4_D 40006004 00000001 - 636870 ns R r1 00000001 - 636870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 636890 ns R r1 80000000 - 636890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 636910 ns R psr 81000200 - 636910 ns MR4_I 01000208 2a001c5b - 636930 ns MR4_I 01000200 07c96841 - 636950 ns IT 01000200 6841 LDR r1,[r0,#4] - 636970 ns MR4_I 01000204 6002d1fc - 637030 ns MR4_D 40006004 00000001 - 637030 ns R r1 00000001 - 637030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 637050 ns R r1 80000000 - 637050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 637070 ns R psr 81000200 - 637070 ns MR4_I 01000208 2a001c5b - 637090 ns MR4_I 01000200 07c96841 - 637110 ns IT 01000200 6841 LDR r1,[r0,#4] - 637130 ns MR4_I 01000204 6002d1fc - 637190 ns MR4_D 40006004 00000001 - 637190 ns R r1 00000001 - 637190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 637210 ns R r1 80000000 - 637210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 637230 ns R psr 81000200 - 637230 ns MR4_I 01000208 2a001c5b - 637250 ns MR4_I 01000200 07c96841 - 637270 ns IT 01000200 6841 LDR r1,[r0,#4] - 637290 ns MR4_I 01000204 6002d1fc - 637350 ns MR4_D 40006004 00000001 - 637350 ns R r1 00000001 - 637350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 637370 ns R r1 80000000 - 637370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 637390 ns R psr 81000200 - 637390 ns MR4_I 01000208 2a001c5b - 637410 ns MR4_I 01000200 07c96841 - 637430 ns IT 01000200 6841 LDR r1,[r0,#4] - 637450 ns MR4_I 01000204 6002d1fc - 637510 ns MR4_D 40006004 00000001 - 637510 ns R r1 00000001 - 637510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 637530 ns R r1 80000000 - 637530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 637550 ns R psr 81000200 - 637550 ns MR4_I 01000208 2a001c5b - 637570 ns MR4_I 01000200 07c96841 - 637590 ns IT 01000200 6841 LDR r1,[r0,#4] - 637610 ns MR4_I 01000204 6002d1fc - 637670 ns MR4_D 40006004 00000001 - 637670 ns R r1 00000001 - 637670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 637690 ns R r1 80000000 - 637690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 637710 ns R psr 81000200 - 637710 ns MR4_I 01000208 2a001c5b - 637730 ns MR4_I 01000200 07c96841 - 637750 ns IT 01000200 6841 LDR r1,[r0,#4] - 637770 ns MR4_I 01000204 6002d1fc - 637830 ns MR4_D 40006004 00000001 - 637830 ns R r1 00000001 - 637830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 637850 ns R r1 80000000 - 637850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 637870 ns R psr 81000200 - 637870 ns MR4_I 01000208 2a001c5b - 637890 ns MR4_I 01000200 07c96841 - 637910 ns IT 01000200 6841 LDR r1,[r0,#4] - 637930 ns MR4_I 01000204 6002d1fc - 637990 ns MR4_D 40006004 00000001 - 637990 ns R r1 00000001 - 637990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 638010 ns R r1 80000000 - 638010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 638030 ns R psr 81000200 - 638030 ns MR4_I 01000208 2a001c5b - 638050 ns MR4_I 01000200 07c96841 - 638070 ns IT 01000200 6841 LDR r1,[r0,#4] - 638090 ns MR4_I 01000204 6002d1fc - 638150 ns MR4_D 40006004 00000001 - 638150 ns R r1 00000001 - 638150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 638170 ns R r1 80000000 - 638170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 638190 ns R psr 81000200 - 638190 ns MR4_I 01000208 2a001c5b - 638210 ns MR4_I 01000200 07c96841 - 638230 ns IT 01000200 6841 LDR r1,[r0,#4] - 638250 ns MR4_I 01000204 6002d1fc - 638310 ns MR4_D 40006004 00000001 - 638310 ns R r1 00000001 - 638310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 638330 ns R r1 80000000 - 638330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 638350 ns R psr 81000200 - 638350 ns MR4_I 01000208 2a001c5b - 638370 ns MR4_I 01000200 07c96841 - 638390 ns IT 01000200 6841 LDR r1,[r0,#4] - 638410 ns MR4_I 01000204 6002d1fc - 638470 ns MR4_D 40006004 00000001 - 638470 ns R r1 00000001 - 638470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 638490 ns R r1 80000000 - 638490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 638510 ns R psr 81000200 - 638510 ns MR4_I 01000208 2a001c5b - 638530 ns MR4_I 01000200 07c96841 - 638550 ns IT 01000200 6841 LDR r1,[r0,#4] - 638570 ns MR4_I 01000204 6002d1fc - 638630 ns MR4_D 40006004 00000001 - 638630 ns R r1 00000001 - 638630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 638650 ns R r1 80000000 - 638650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 638670 ns R psr 81000200 - 638670 ns MR4_I 01000208 2a001c5b - 638690 ns MR4_I 01000200 07c96841 - 638710 ns IT 01000200 6841 LDR r1,[r0,#4] - 638730 ns MR4_I 01000204 6002d1fc - 638790 ns MR4_D 40006004 00000001 - 638790 ns R r1 00000001 - 638790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 638810 ns R r1 80000000 - 638810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 638830 ns R psr 81000200 - 638830 ns MR4_I 01000208 2a001c5b - 638850 ns MR4_I 01000200 07c96841 - 638870 ns IT 01000200 6841 LDR r1,[r0,#4] - 638890 ns MR4_I 01000204 6002d1fc - 638950 ns MR4_D 40006004 00000001 - 638950 ns R r1 00000001 - 638950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 638970 ns R r1 80000000 - 638970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 638990 ns R psr 81000200 - 638990 ns MR4_I 01000208 2a001c5b - 639010 ns MR4_I 01000200 07c96841 - 639030 ns IT 01000200 6841 LDR r1,[r0,#4] - 639050 ns MR4_I 01000204 6002d1fc - 639110 ns MR4_D 40006004 00000001 - 639110 ns R r1 00000001 - 639110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 639130 ns R r1 80000000 - 639130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 639150 ns R psr 81000200 - 639150 ns MR4_I 01000208 2a001c5b - 639170 ns MR4_I 01000200 07c96841 - 639190 ns IT 01000200 6841 LDR r1,[r0,#4] - 639210 ns MR4_I 01000204 6002d1fc - 639270 ns MR4_D 40006004 00000001 - 639270 ns R r1 00000001 - 639270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 639290 ns R r1 80000000 - 639290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 639310 ns R psr 81000200 - 639310 ns MR4_I 01000208 2a001c5b - 639330 ns MR4_I 01000200 07c96841 - 639350 ns IT 01000200 6841 LDR r1,[r0,#4] - 639370 ns MR4_I 01000204 6002d1fc - 639430 ns MR4_D 40006004 00000001 - 639430 ns R r1 00000001 - 639430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 639450 ns R r1 80000000 - 639450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 639470 ns R psr 81000200 - 639470 ns MR4_I 01000208 2a001c5b - 639490 ns MR4_I 01000200 07c96841 - 639510 ns IT 01000200 6841 LDR r1,[r0,#4] - 639530 ns MR4_I 01000204 6002d1fc - 639590 ns MR4_D 40006004 00000001 - 639590 ns R r1 00000001 - 639590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 639610 ns R r1 80000000 - 639610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 639630 ns R psr 81000200 - 639630 ns MR4_I 01000208 2a001c5b - 639650 ns MR4_I 01000200 07c96841 - 639670 ns IT 01000200 6841 LDR r1,[r0,#4] - 639690 ns MR4_I 01000204 6002d1fc - 639750 ns MR4_D 40006004 00000001 - 639750 ns R r1 00000001 - 639750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 639770 ns R r1 80000000 - 639770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 639790 ns R psr 81000200 - 639790 ns MR4_I 01000208 2a001c5b - 639810 ns MR4_I 01000200 07c96841 - 639830 ns IT 01000200 6841 LDR r1,[r0,#4] - 639850 ns MR4_I 01000204 6002d1fc - 639910 ns MR4_D 40006004 00000001 - 639910 ns R r1 00000001 - 639910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 639930 ns R r1 80000000 - 639930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 639950 ns R psr 81000200 - 639950 ns MR4_I 01000208 2a001c5b - 639970 ns MR4_I 01000200 07c96841 - 639990 ns IT 01000200 6841 LDR r1,[r0,#4] - 640010 ns MR4_I 01000204 6002d1fc - 640070 ns MR4_D 40006004 00000001 - 640070 ns R r1 00000001 - 640070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 640090 ns R r1 80000000 - 640090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 640110 ns R psr 81000200 - 640110 ns MR4_I 01000208 2a001c5b - 640130 ns MR4_I 01000200 07c96841 - 640150 ns IT 01000200 6841 LDR r1,[r0,#4] - 640170 ns MR4_I 01000204 6002d1fc - 640230 ns MR4_D 40006004 00000001 - 640230 ns R r1 00000001 - 640230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 640250 ns R r1 80000000 - 640250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 640270 ns R psr 81000200 - 640270 ns MR4_I 01000208 2a001c5b - 640290 ns MR4_I 01000200 07c96841 - 640310 ns IT 01000200 6841 LDR r1,[r0,#4] - 640330 ns MR4_I 01000204 6002d1fc - 640390 ns MR4_D 40006004 00000001 - 640390 ns R r1 00000001 - 640390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 640410 ns R r1 80000000 - 640410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 640430 ns R psr 81000200 - 640430 ns MR4_I 01000208 2a001c5b - 640450 ns MR4_I 01000200 07c96841 - 640470 ns IT 01000200 6841 LDR r1,[r0,#4] - 640490 ns MR4_I 01000204 6002d1fc - 640550 ns MR4_D 40006004 00000001 - 640550 ns R r1 00000001 - 640550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 640570 ns R r1 80000000 - 640570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 640590 ns R psr 81000200 - 640590 ns MR4_I 01000208 2a001c5b - 640610 ns MR4_I 01000200 07c96841 - 640630 ns IT 01000200 6841 LDR r1,[r0,#4] - 640650 ns MR4_I 01000204 6002d1fc - 640710 ns MR4_D 40006004 00000000 - 640710 ns R r1 00000000 - 640710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 640730 ns R r1 00000000 - 640730 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 640750 ns R psr 41000200 - 640750 ns MR4_I 01000208 2a001c5b - 640750 ns IT 01000206 6002 STR r2,[r0,#0] - 640830 ns MW4_D 40006000 00000052 - 640830 ns IT 01000208 1c5b ADDS r3,r3,#1 - 640850 ns MR4_I 0100020c a32ad1f5 - 640850 ns R r3 010002a5 - 640850 ns IT 0100020a 2a00 CMP r2,#0 - 640870 ns R psr 01000200 - 640870 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 640890 ns R psr 21000200 - 640890 ns MR4_I 01000210 2a00781a - 640910 ns MR4_I 010001f8 781aa326 - 640930 ns MR4_I 010001fc d0062a00 - 640930 ns IT 010001fa 781a LDRB r2,[r3,#0] - 640970 ns MR1_D 010002a5 43204d52 - 640970 ns R r2 0000004d - 640970 ns IT 010001fc 2a00 CMP r2,#0 - 640990 ns MR4_I 01000200 07c96841 - 640990 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 641010 ns R psr 21000200 - 641010 ns IT 01000200 6841 LDR r1,[r0,#4] - 641030 ns MR4_I 01000204 6002d1fc - 641090 ns MR4_D 40006004 00000001 - 641090 ns R r1 00000001 - 641090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 641110 ns R r1 80000000 - 641110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 641130 ns R psr 81000200 - 641130 ns MR4_I 01000208 2a001c5b - 641150 ns MR4_I 01000200 07c96841 - 641170 ns IT 01000200 6841 LDR r1,[r0,#4] - 641190 ns MR4_I 01000204 6002d1fc - 641250 ns MR4_D 40006004 00000001 - 641250 ns R r1 00000001 - 641250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 641270 ns R r1 80000000 - 641270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 641290 ns R psr 81000200 - 641290 ns MR4_I 01000208 2a001c5b - 641310 ns MR4_I 01000200 07c96841 - 641330 ns IT 01000200 6841 LDR r1,[r0,#4] - 641350 ns MR4_I 01000204 6002d1fc - 641410 ns MR4_D 40006004 00000001 - 641410 ns R r1 00000001 - 641410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 641430 ns R r1 80000000 - 641430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 641450 ns R psr 81000200 - 641450 ns MR4_I 01000208 2a001c5b - 641470 ns MR4_I 01000200 07c96841 - 641490 ns IT 01000200 6841 LDR r1,[r0,#4] - 641510 ns MR4_I 01000204 6002d1fc - 641570 ns MR4_D 40006004 00000001 - 641570 ns R r1 00000001 - 641570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 641590 ns R r1 80000000 - 641590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 641610 ns R psr 81000200 - 641610 ns MR4_I 01000208 2a001c5b - 641630 ns MR4_I 01000200 07c96841 - 641650 ns IT 01000200 6841 LDR r1,[r0,#4] - 641670 ns MR4_I 01000204 6002d1fc - 641730 ns MR4_D 40006004 00000001 - 641730 ns R r1 00000001 - 641730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 641750 ns R r1 80000000 - 641750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 641770 ns R psr 81000200 - 641770 ns MR4_I 01000208 2a001c5b - 641790 ns MR4_I 01000200 07c96841 - 641810 ns IT 01000200 6841 LDR r1,[r0,#4] - 641830 ns MR4_I 01000204 6002d1fc - 641890 ns MR4_D 40006004 00000001 - 641890 ns R r1 00000001 - 641890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 641910 ns R r1 80000000 - 641910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 641930 ns R psr 81000200 - 641930 ns MR4_I 01000208 2a001c5b - 641950 ns MR4_I 01000200 07c96841 - 641970 ns IT 01000200 6841 LDR r1,[r0,#4] - 641990 ns MR4_I 01000204 6002d1fc - 642050 ns MR4_D 40006004 00000001 - 642050 ns R r1 00000001 - 642050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 642070 ns R r1 80000000 - 642070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 642090 ns R psr 81000200 - 642090 ns MR4_I 01000208 2a001c5b - 642110 ns MR4_I 01000200 07c96841 - 642130 ns IT 01000200 6841 LDR r1,[r0,#4] - 642150 ns MR4_I 01000204 6002d1fc - 642210 ns MR4_D 40006004 00000001 - 642210 ns R r1 00000001 - 642210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 642230 ns R r1 80000000 - 642230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 642250 ns R psr 81000200 - 642250 ns MR4_I 01000208 2a001c5b - 642270 ns MR4_I 01000200 07c96841 - 642290 ns IT 01000200 6841 LDR r1,[r0,#4] - 642310 ns MR4_I 01000204 6002d1fc - 642370 ns MR4_D 40006004 00000001 - 642370 ns R r1 00000001 - 642370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 642390 ns R r1 80000000 - 642390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 642410 ns R psr 81000200 - 642410 ns MR4_I 01000208 2a001c5b - 642430 ns MR4_I 01000200 07c96841 - 642450 ns IT 01000200 6841 LDR r1,[r0,#4] - 642470 ns MR4_I 01000204 6002d1fc - 642530 ns MR4_D 40006004 00000001 - 642530 ns R r1 00000001 - 642530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 642550 ns R r1 80000000 - 642550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 642570 ns R psr 81000200 - 642570 ns MR4_I 01000208 2a001c5b - 642590 ns MR4_I 01000200 07c96841 - 642610 ns IT 01000200 6841 LDR r1,[r0,#4] - 642630 ns MR4_I 01000204 6002d1fc - 642690 ns MR4_D 40006004 00000001 - 642690 ns R r1 00000001 - 642690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 642710 ns R r1 80000000 - 642710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 642730 ns R psr 81000200 - 642730 ns MR4_I 01000208 2a001c5b - 642750 ns MR4_I 01000200 07c96841 - 642770 ns IT 01000200 6841 LDR r1,[r0,#4] - 642790 ns MR4_I 01000204 6002d1fc - 642850 ns MR4_D 40006004 00000001 - 642850 ns R r1 00000001 - 642850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 642870 ns R r1 80000000 - 642870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 642890 ns R psr 81000200 - 642890 ns MR4_I 01000208 2a001c5b - 642910 ns MR4_I 01000200 07c96841 - 642930 ns IT 01000200 6841 LDR r1,[r0,#4] - 642950 ns MR4_I 01000204 6002d1fc - 643010 ns MR4_D 40006004 00000001 - 643010 ns R r1 00000001 - 643010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 643030 ns R r1 80000000 - 643030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 643050 ns R psr 81000200 - 643050 ns MR4_I 01000208 2a001c5b - 643070 ns MR4_I 01000200 07c96841 - 643090 ns IT 01000200 6841 LDR r1,[r0,#4] - 643110 ns MR4_I 01000204 6002d1fc - 643170 ns MR4_D 40006004 00000001 - 643170 ns R r1 00000001 - 643170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 643190 ns R r1 80000000 - 643190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 643210 ns R psr 81000200 - 643210 ns MR4_I 01000208 2a001c5b - 643230 ns MR4_I 01000200 07c96841 - 643250 ns IT 01000200 6841 LDR r1,[r0,#4] - 643270 ns MR4_I 01000204 6002d1fc - 643330 ns MR4_D 40006004 00000001 - 643330 ns R r1 00000001 - 643330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 643350 ns R r1 80000000 - 643350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 643370 ns R psr 81000200 - 643370 ns MR4_I 01000208 2a001c5b - 643390 ns MR4_I 01000200 07c96841 - 643410 ns IT 01000200 6841 LDR r1,[r0,#4] - 643430 ns MR4_I 01000204 6002d1fc - 643490 ns MR4_D 40006004 00000001 - 643490 ns R r1 00000001 - 643490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 643510 ns R r1 80000000 - 643510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 643530 ns R psr 81000200 - 643530 ns MR4_I 01000208 2a001c5b - 643550 ns MR4_I 01000200 07c96841 - 643570 ns IT 01000200 6841 LDR r1,[r0,#4] - 643590 ns MR4_I 01000204 6002d1fc - 643650 ns MR4_D 40006004 00000001 - 643650 ns R r1 00000001 - 643650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 643670 ns R r1 80000000 - 643670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 643690 ns R psr 81000200 - 643690 ns MR4_I 01000208 2a001c5b - 643710 ns MR4_I 01000200 07c96841 - 643730 ns IT 01000200 6841 LDR r1,[r0,#4] - 643750 ns MR4_I 01000204 6002d1fc - 643810 ns MR4_D 40006004 00000001 - 643810 ns R r1 00000001 - 643810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 643830 ns R r1 80000000 - 643830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 643850 ns R psr 81000200 - 643850 ns MR4_I 01000208 2a001c5b - 643870 ns MR4_I 01000200 07c96841 - 643890 ns IT 01000200 6841 LDR r1,[r0,#4] - 643910 ns MR4_I 01000204 6002d1fc - 643970 ns MR4_D 40006004 00000001 - 643970 ns R r1 00000001 - 643970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 643990 ns R r1 80000000 - 643990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 644010 ns R psr 81000200 - 644010 ns MR4_I 01000208 2a001c5b - 644030 ns MR4_I 01000200 07c96841 - 644050 ns IT 01000200 6841 LDR r1,[r0,#4] - 644070 ns MR4_I 01000204 6002d1fc - 644130 ns MR4_D 40006004 00000001 - 644130 ns R r1 00000001 - 644130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 644150 ns R r1 80000000 - 644150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 644170 ns R psr 81000200 - 644170 ns MR4_I 01000208 2a001c5b - 644190 ns MR4_I 01000200 07c96841 - 644210 ns IT 01000200 6841 LDR r1,[r0,#4] - 644230 ns MR4_I 01000204 6002d1fc - 644290 ns MR4_D 40006004 00000001 - 644290 ns R r1 00000001 - 644290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 644310 ns R r1 80000000 - 644310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 644330 ns R psr 81000200 - 644330 ns MR4_I 01000208 2a001c5b - 644350 ns MR4_I 01000200 07c96841 - 644370 ns IT 01000200 6841 LDR r1,[r0,#4] - 644390 ns MR4_I 01000204 6002d1fc - 644450 ns MR4_D 40006004 00000001 - 644450 ns R r1 00000001 - 644450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 644470 ns R r1 80000000 - 644470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 644490 ns R psr 81000200 - 644490 ns MR4_I 01000208 2a001c5b - 644510 ns MR4_I 01000200 07c96841 - 644530 ns IT 01000200 6841 LDR r1,[r0,#4] - 644550 ns MR4_I 01000204 6002d1fc - 644610 ns MR4_D 40006004 00000001 - 644610 ns R r1 00000001 - 644610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 644630 ns R r1 80000000 - 644630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 644650 ns R psr 81000200 - 644650 ns MR4_I 01000208 2a001c5b - 644670 ns MR4_I 01000200 07c96841 - 644690 ns IT 01000200 6841 LDR r1,[r0,#4] - 644710 ns MR4_I 01000204 6002d1fc - 644770 ns MR4_D 40006004 00000001 - 644770 ns R r1 00000001 - 644770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 644790 ns R r1 80000000 - 644790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 644810 ns R psr 81000200 - 644810 ns MR4_I 01000208 2a001c5b - 644830 ns MR4_I 01000200 07c96841 - 644850 ns IT 01000200 6841 LDR r1,[r0,#4] - 644870 ns MR4_I 01000204 6002d1fc - 644930 ns MR4_D 40006004 00000001 - 644930 ns R r1 00000001 - 644930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 644950 ns R r1 80000000 - 644950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 644970 ns R psr 81000200 - 644970 ns MR4_I 01000208 2a001c5b - 644990 ns MR4_I 01000200 07c96841 - 645010 ns IT 01000200 6841 LDR r1,[r0,#4] - 645030 ns MR4_I 01000204 6002d1fc - 645090 ns MR4_D 40006004 00000001 - 645090 ns R r1 00000001 - 645090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 645110 ns R r1 80000000 - 645110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 645130 ns R psr 81000200 - 645130 ns MR4_I 01000208 2a001c5b - 645150 ns MR4_I 01000200 07c96841 - 645170 ns IT 01000200 6841 LDR r1,[r0,#4] - 645190 ns MR4_I 01000204 6002d1fc - 645250 ns MR4_D 40006004 00000001 - 645250 ns R r1 00000001 - 645250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 645270 ns R r1 80000000 - 645270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 645290 ns R psr 81000200 - 645290 ns MR4_I 01000208 2a001c5b - 645310 ns MR4_I 01000200 07c96841 - 645330 ns IT 01000200 6841 LDR r1,[r0,#4] - 645350 ns MR4_I 01000204 6002d1fc - 645410 ns MR4_D 40006004 00000001 - 645410 ns R r1 00000001 - 645410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 645430 ns R r1 80000000 - 645430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 645450 ns R psr 81000200 - 645450 ns MR4_I 01000208 2a001c5b - 645470 ns MR4_I 01000200 07c96841 - 645490 ns IT 01000200 6841 LDR r1,[r0,#4] - 645510 ns MR4_I 01000204 6002d1fc - 645570 ns MR4_D 40006004 00000001 - 645570 ns R r1 00000001 - 645570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 645590 ns R r1 80000000 - 645590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 645610 ns R psr 81000200 - 645610 ns MR4_I 01000208 2a001c5b - 645630 ns MR4_I 01000200 07c96841 - 645650 ns IT 01000200 6841 LDR r1,[r0,#4] - 645670 ns MR4_I 01000204 6002d1fc - 645730 ns MR4_D 40006004 00000001 - 645730 ns R r1 00000001 - 645730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 645750 ns R r1 80000000 - 645750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 645770 ns R psr 81000200 - 645770 ns MR4_I 01000208 2a001c5b - 645790 ns MR4_I 01000200 07c96841 - 645810 ns IT 01000200 6841 LDR r1,[r0,#4] - 645830 ns MR4_I 01000204 6002d1fc - 645890 ns MR4_D 40006004 00000001 - 645890 ns R r1 00000001 - 645890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 645910 ns R r1 80000000 - 645910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 645930 ns R psr 81000200 - 645930 ns MR4_I 01000208 2a001c5b - 645950 ns MR4_I 01000200 07c96841 - 645970 ns IT 01000200 6841 LDR r1,[r0,#4] - 645990 ns MR4_I 01000204 6002d1fc - 646050 ns MR4_D 40006004 00000001 - 646050 ns R r1 00000001 - 646050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 646070 ns R r1 80000000 - 646070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 646090 ns R psr 81000200 - 646090 ns MR4_I 01000208 2a001c5b - 646110 ns MR4_I 01000200 07c96841 - 646130 ns IT 01000200 6841 LDR r1,[r0,#4] - 646150 ns MR4_I 01000204 6002d1fc - 646210 ns MR4_D 40006004 00000001 - 646210 ns R r1 00000001 - 646210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 646230 ns R r1 80000000 - 646230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 646250 ns R psr 81000200 - 646250 ns MR4_I 01000208 2a001c5b - 646270 ns MR4_I 01000200 07c96841 - 646290 ns IT 01000200 6841 LDR r1,[r0,#4] - 646310 ns MR4_I 01000204 6002d1fc - 646370 ns MR4_D 40006004 00000001 - 646370 ns R r1 00000001 - 646370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 646390 ns R r1 80000000 - 646390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 646410 ns R psr 81000200 - 646410 ns MR4_I 01000208 2a001c5b - 646430 ns MR4_I 01000200 07c96841 - 646450 ns IT 01000200 6841 LDR r1,[r0,#4] - 646470 ns MR4_I 01000204 6002d1fc - 646530 ns MR4_D 40006004 00000001 - 646530 ns R r1 00000001 - 646530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 646550 ns R r1 80000000 - 646550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 646570 ns R psr 81000200 - 646570 ns MR4_I 01000208 2a001c5b - 646590 ns MR4_I 01000200 07c96841 - 646610 ns IT 01000200 6841 LDR r1,[r0,#4] - 646630 ns MR4_I 01000204 6002d1fc - 646690 ns MR4_D 40006004 00000001 - 646690 ns R r1 00000001 - 646690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 646710 ns R r1 80000000 - 646710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 646730 ns R psr 81000200 - 646730 ns MR4_I 01000208 2a001c5b - 646750 ns MR4_I 01000200 07c96841 - 646770 ns IT 01000200 6841 LDR r1,[r0,#4] - 646790 ns MR4_I 01000204 6002d1fc - 646850 ns MR4_D 40006004 00000001 - 646850 ns R r1 00000001 - 646850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 646870 ns R r1 80000000 - 646870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 646890 ns R psr 81000200 - 646890 ns MR4_I 01000208 2a001c5b - 646910 ns MR4_I 01000200 07c96841 - 646930 ns IT 01000200 6841 LDR r1,[r0,#4] - 646950 ns MR4_I 01000204 6002d1fc - 647010 ns MR4_D 40006004 00000001 - 647010 ns R r1 00000001 - 647010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 647030 ns R r1 80000000 - 647030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 647050 ns R psr 81000200 - 647050 ns MR4_I 01000208 2a001c5b - 647070 ns MR4_I 01000200 07c96841 - 647090 ns IT 01000200 6841 LDR r1,[r0,#4] - 647110 ns MR4_I 01000204 6002d1fc - 647170 ns MR4_D 40006004 00000001 - 647170 ns R r1 00000001 - 647170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 647190 ns R r1 80000000 - 647190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 647210 ns R psr 81000200 - 647210 ns MR4_I 01000208 2a001c5b - 647230 ns MR4_I 01000200 07c96841 - 647250 ns IT 01000200 6841 LDR r1,[r0,#4] - 647270 ns MR4_I 01000204 6002d1fc - 647330 ns MR4_D 40006004 00000001 - 647330 ns R r1 00000001 - 647330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 647350 ns R r1 80000000 - 647350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 647370 ns R psr 81000200 - 647370 ns MR4_I 01000208 2a001c5b - 647390 ns MR4_I 01000200 07c96841 - 647410 ns IT 01000200 6841 LDR r1,[r0,#4] - 647430 ns MR4_I 01000204 6002d1fc - 647490 ns MR4_D 40006004 00000001 - 647490 ns R r1 00000001 - 647490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 647510 ns R r1 80000000 - 647510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 647530 ns R psr 81000200 - 647530 ns MR4_I 01000208 2a001c5b - 647550 ns MR4_I 01000200 07c96841 - 647570 ns IT 01000200 6841 LDR r1,[r0,#4] - 647590 ns MR4_I 01000204 6002d1fc - 647650 ns MR4_D 40006004 00000001 - 647650 ns R r1 00000001 - 647650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 647670 ns R r1 80000000 - 647670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 647690 ns R psr 81000200 - 647690 ns MR4_I 01000208 2a001c5b - 647710 ns MR4_I 01000200 07c96841 - 647730 ns IT 01000200 6841 LDR r1,[r0,#4] - 647750 ns MR4_I 01000204 6002d1fc - 647810 ns MR4_D 40006004 00000001 - 647810 ns R r1 00000001 - 647810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 647830 ns R r1 80000000 - 647830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 647850 ns R psr 81000200 - 647850 ns MR4_I 01000208 2a001c5b - 647870 ns MR4_I 01000200 07c96841 - 647890 ns IT 01000200 6841 LDR r1,[r0,#4] - 647910 ns MR4_I 01000204 6002d1fc - 647970 ns MR4_D 40006004 00000001 - 647970 ns R r1 00000001 - 647970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 647990 ns R r1 80000000 - 647990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 648010 ns R psr 81000200 - 648010 ns MR4_I 01000208 2a001c5b - 648030 ns MR4_I 01000200 07c96841 - 648050 ns IT 01000200 6841 LDR r1,[r0,#4] - 648070 ns MR4_I 01000204 6002d1fc - 648130 ns MR4_D 40006004 00000001 - 648130 ns R r1 00000001 - 648130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 648150 ns R r1 80000000 - 648150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 648170 ns R psr 81000200 - 648170 ns MR4_I 01000208 2a001c5b - 648190 ns MR4_I 01000200 07c96841 - 648210 ns IT 01000200 6841 LDR r1,[r0,#4] - 648230 ns MR4_I 01000204 6002d1fc - 648290 ns MR4_D 40006004 00000001 - 648290 ns R r1 00000001 - 648290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 648310 ns R r1 80000000 - 648310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 648330 ns R psr 81000200 - 648330 ns MR4_I 01000208 2a001c5b - 648350 ns MR4_I 01000200 07c96841 - 648370 ns IT 01000200 6841 LDR r1,[r0,#4] - 648390 ns MR4_I 01000204 6002d1fc - 648450 ns MR4_D 40006004 00000001 - 648450 ns R r1 00000001 - 648450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 648470 ns R r1 80000000 - 648470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 648490 ns R psr 81000200 - 648490 ns MR4_I 01000208 2a001c5b - 648510 ns MR4_I 01000200 07c96841 - 648530 ns IT 01000200 6841 LDR r1,[r0,#4] - 648550 ns MR4_I 01000204 6002d1fc - 648610 ns MR4_D 40006004 00000001 - 648610 ns R r1 00000001 - 648610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 648630 ns R r1 80000000 - 648630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 648650 ns R psr 81000200 - 648650 ns MR4_I 01000208 2a001c5b - 648670 ns MR4_I 01000200 07c96841 - 648690 ns IT 01000200 6841 LDR r1,[r0,#4] - 648710 ns MR4_I 01000204 6002d1fc - 648770 ns MR4_D 40006004 00000001 - 648770 ns R r1 00000001 - 648770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 648790 ns R r1 80000000 - 648790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 648810 ns R psr 81000200 - 648810 ns MR4_I 01000208 2a001c5b - 648830 ns MR4_I 01000200 07c96841 - 648850 ns IT 01000200 6841 LDR r1,[r0,#4] - 648870 ns MR4_I 01000204 6002d1fc - 648930 ns MR4_D 40006004 00000001 - 648930 ns R r1 00000001 - 648930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 648950 ns R r1 80000000 - 648950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 648970 ns R psr 81000200 - 648970 ns MR4_I 01000208 2a001c5b - 648990 ns MR4_I 01000200 07c96841 - 649010 ns IT 01000200 6841 LDR r1,[r0,#4] - 649030 ns MR4_I 01000204 6002d1fc - 649090 ns MR4_D 40006004 00000001 - 649090 ns R r1 00000001 - 649090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 649110 ns R r1 80000000 - 649110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 649130 ns R psr 81000200 - 649130 ns MR4_I 01000208 2a001c5b - 649150 ns MR4_I 01000200 07c96841 - 649170 ns IT 01000200 6841 LDR r1,[r0,#4] - 649190 ns MR4_I 01000204 6002d1fc - 649250 ns MR4_D 40006004 00000001 - 649250 ns R r1 00000001 - 649250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 649270 ns R r1 80000000 - 649270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 649290 ns R psr 81000200 - 649290 ns MR4_I 01000208 2a001c5b - 649310 ns MR4_I 01000200 07c96841 - 649330 ns IT 01000200 6841 LDR r1,[r0,#4] - 649350 ns MR4_I 01000204 6002d1fc - 649410 ns MR4_D 40006004 00000001 - 649410 ns R r1 00000001 - 649410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 649430 ns R r1 80000000 - 649430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 649450 ns R psr 81000200 - 649450 ns MR4_I 01000208 2a001c5b - 649470 ns MR4_I 01000200 07c96841 - 649490 ns IT 01000200 6841 LDR r1,[r0,#4] - 649510 ns MR4_I 01000204 6002d1fc - 649570 ns MR4_D 40006004 00000001 - 649570 ns R r1 00000001 - 649570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 649590 ns R r1 80000000 - 649590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 649610 ns R psr 81000200 - 649610 ns MR4_I 01000208 2a001c5b - 649630 ns MR4_I 01000200 07c96841 - 649650 ns IT 01000200 6841 LDR r1,[r0,#4] - 649670 ns MR4_I 01000204 6002d1fc - 649730 ns MR4_D 40006004 00000001 - 649730 ns R r1 00000001 - 649730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 649750 ns R r1 80000000 - 649750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 649770 ns R psr 81000200 - 649770 ns MR4_I 01000208 2a001c5b - 649790 ns MR4_I 01000200 07c96841 - 649810 ns IT 01000200 6841 LDR r1,[r0,#4] - 649830 ns MR4_I 01000204 6002d1fc - 649890 ns MR4_D 40006004 00000001 - 649890 ns R r1 00000001 - 649890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 649910 ns R r1 80000000 - 649910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 649930 ns R psr 81000200 - 649930 ns MR4_I 01000208 2a001c5b - 649950 ns MR4_I 01000200 07c96841 - 649970 ns IT 01000200 6841 LDR r1,[r0,#4] - 649990 ns MR4_I 01000204 6002d1fc - 650050 ns MR4_D 40006004 00000001 - 650050 ns R r1 00000001 - 650050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 650070 ns R r1 80000000 - 650070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 650090 ns R psr 81000200 - 650090 ns MR4_I 01000208 2a001c5b - 650110 ns MR4_I 01000200 07c96841 - 650130 ns IT 01000200 6841 LDR r1,[r0,#4] - 650150 ns MR4_I 01000204 6002d1fc - 650210 ns MR4_D 40006004 00000001 - 650210 ns R r1 00000001 - 650210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 650230 ns R r1 80000000 - 650230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 650250 ns R psr 81000200 - 650250 ns MR4_I 01000208 2a001c5b - 650270 ns MR4_I 01000200 07c96841 - 650290 ns IT 01000200 6841 LDR r1,[r0,#4] - 650310 ns MR4_I 01000204 6002d1fc - 650370 ns MR4_D 40006004 00000001 - 650370 ns R r1 00000001 - 650370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 650390 ns R r1 80000000 - 650390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 650410 ns R psr 81000200 - 650410 ns MR4_I 01000208 2a001c5b - 650430 ns MR4_I 01000200 07c96841 - 650450 ns IT 01000200 6841 LDR r1,[r0,#4] - 650470 ns MR4_I 01000204 6002d1fc - 650530 ns MR4_D 40006004 00000001 - 650530 ns R r1 00000001 - 650530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 650550 ns R r1 80000000 - 650550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 650570 ns R psr 81000200 - 650570 ns MR4_I 01000208 2a001c5b - 650590 ns MR4_I 01000200 07c96841 - 650610 ns IT 01000200 6841 LDR r1,[r0,#4] - 650630 ns MR4_I 01000204 6002d1fc - 650690 ns MR4_D 40006004 00000001 - 650690 ns R r1 00000001 - 650690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 650710 ns R r1 80000000 - 650710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 650730 ns R psr 81000200 - 650730 ns MR4_I 01000208 2a001c5b - 650750 ns MR4_I 01000200 07c96841 - 650770 ns IT 01000200 6841 LDR r1,[r0,#4] - 650790 ns MR4_I 01000204 6002d1fc - 650850 ns MR4_D 40006004 00000001 - 650850 ns R r1 00000001 - 650850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 650870 ns R r1 80000000 - 650870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 650890 ns R psr 81000200 - 650890 ns MR4_I 01000208 2a001c5b - 650910 ns MR4_I 01000200 07c96841 - 650930 ns IT 01000200 6841 LDR r1,[r0,#4] - 650950 ns MR4_I 01000204 6002d1fc - 651010 ns MR4_D 40006004 00000001 - 651010 ns R r1 00000001 - 651010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 651030 ns R r1 80000000 - 651030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 651050 ns R psr 81000200 - 651050 ns MR4_I 01000208 2a001c5b - 651070 ns MR4_I 01000200 07c96841 - 651090 ns IT 01000200 6841 LDR r1,[r0,#4] - 651110 ns MR4_I 01000204 6002d1fc - 651170 ns MR4_D 40006004 00000001 - 651170 ns R r1 00000001 - 651170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 651190 ns R r1 80000000 - 651190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 651210 ns R psr 81000200 - 651210 ns MR4_I 01000208 2a001c5b - 651230 ns MR4_I 01000200 07c96841 - 651250 ns IT 01000200 6841 LDR r1,[r0,#4] - 651270 ns MR4_I 01000204 6002d1fc - 651330 ns MR4_D 40006004 00000001 - 651330 ns R r1 00000001 - 651330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 651350 ns R r1 80000000 - 651350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 651370 ns R psr 81000200 - 651370 ns MR4_I 01000208 2a001c5b - 651390 ns MR4_I 01000200 07c96841 - 651410 ns IT 01000200 6841 LDR r1,[r0,#4] - 651430 ns MR4_I 01000204 6002d1fc - 651490 ns MR4_D 40006004 00000001 - 651490 ns R r1 00000001 - 651490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 651510 ns R r1 80000000 - 651510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 651530 ns R psr 81000200 - 651530 ns MR4_I 01000208 2a001c5b - 651550 ns MR4_I 01000200 07c96841 - 651570 ns IT 01000200 6841 LDR r1,[r0,#4] - 651590 ns MR4_I 01000204 6002d1fc - 651650 ns MR4_D 40006004 00000001 - 651650 ns R r1 00000001 - 651650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 651670 ns R r1 80000000 - 651670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 651690 ns R psr 81000200 - 651690 ns MR4_I 01000208 2a001c5b - 651710 ns MR4_I 01000200 07c96841 - 651730 ns IT 01000200 6841 LDR r1,[r0,#4] - 651750 ns MR4_I 01000204 6002d1fc - 651810 ns MR4_D 40006004 00000001 - 651810 ns R r1 00000001 - 651810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 651830 ns R r1 80000000 - 651830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 651850 ns R psr 81000200 - 651850 ns MR4_I 01000208 2a001c5b - 651870 ns MR4_I 01000200 07c96841 - 651890 ns IT 01000200 6841 LDR r1,[r0,#4] - 651910 ns MR4_I 01000204 6002d1fc - 651970 ns MR4_D 40006004 00000001 - 651970 ns R r1 00000001 - 651970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 651990 ns R r1 80000000 - 651990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 652010 ns R psr 81000200 - 652010 ns MR4_I 01000208 2a001c5b - 652030 ns MR4_I 01000200 07c96841 - 652050 ns IT 01000200 6841 LDR r1,[r0,#4] - 652070 ns MR4_I 01000204 6002d1fc - 652130 ns MR4_D 40006004 00000001 - 652130 ns R r1 00000001 - 652130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 652150 ns R r1 80000000 - 652150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 652170 ns R psr 81000200 - 652170 ns MR4_I 01000208 2a001c5b - 652190 ns MR4_I 01000200 07c96841 - 652210 ns IT 01000200 6841 LDR r1,[r0,#4] - 652230 ns MR4_I 01000204 6002d1fc - 652290 ns MR4_D 40006004 00000001 - 652290 ns R r1 00000001 - 652290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 652310 ns R r1 80000000 - 652310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 652330 ns R psr 81000200 - 652330 ns MR4_I 01000208 2a001c5b - 652350 ns MR4_I 01000200 07c96841 - 652370 ns IT 01000200 6841 LDR r1,[r0,#4] - 652390 ns MR4_I 01000204 6002d1fc - 652450 ns MR4_D 40006004 00000001 - 652450 ns R r1 00000001 - 652450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 652470 ns R r1 80000000 - 652470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 652490 ns R psr 81000200 - 652490 ns MR4_I 01000208 2a001c5b - 652510 ns MR4_I 01000200 07c96841 - 652530 ns IT 01000200 6841 LDR r1,[r0,#4] - 652550 ns MR4_I 01000204 6002d1fc - 652610 ns MR4_D 40006004 00000001 - 652610 ns R r1 00000001 - 652610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 652630 ns R r1 80000000 - 652630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 652650 ns R psr 81000200 - 652650 ns MR4_I 01000208 2a001c5b - 652670 ns MR4_I 01000200 07c96841 - 652690 ns IT 01000200 6841 LDR r1,[r0,#4] - 652710 ns MR4_I 01000204 6002d1fc - 652770 ns MR4_D 40006004 00000001 - 652770 ns R r1 00000001 - 652770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 652790 ns R r1 80000000 - 652790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 652810 ns R psr 81000200 - 652810 ns MR4_I 01000208 2a001c5b - 652830 ns MR4_I 01000200 07c96841 - 652850 ns IT 01000200 6841 LDR r1,[r0,#4] - 652870 ns MR4_I 01000204 6002d1fc - 652930 ns MR4_D 40006004 00000001 - 652930 ns R r1 00000001 - 652930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 652950 ns R r1 80000000 - 652950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 652970 ns R psr 81000200 - 652970 ns MR4_I 01000208 2a001c5b - 652990 ns MR4_I 01000200 07c96841 - 653010 ns IT 01000200 6841 LDR r1,[r0,#4] - 653030 ns MR4_I 01000204 6002d1fc - 653090 ns MR4_D 40006004 00000001 - 653090 ns R r1 00000001 - 653090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 653110 ns R r1 80000000 - 653110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 653130 ns R psr 81000200 - 653130 ns MR4_I 01000208 2a001c5b - 653150 ns MR4_I 01000200 07c96841 - 653170 ns IT 01000200 6841 LDR r1,[r0,#4] - 653190 ns MR4_I 01000204 6002d1fc - 653250 ns MR4_D 40006004 00000001 - 653250 ns R r1 00000001 - 653250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 653270 ns R r1 80000000 - 653270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 653290 ns R psr 81000200 - 653290 ns MR4_I 01000208 2a001c5b - 653310 ns MR4_I 01000200 07c96841 - 653330 ns IT 01000200 6841 LDR r1,[r0,#4] - 653350 ns MR4_I 01000204 6002d1fc - 653410 ns MR4_D 40006004 00000001 - 653410 ns R r1 00000001 - 653410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 653430 ns R r1 80000000 - 653430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 653450 ns R psr 81000200 - 653450 ns MR4_I 01000208 2a001c5b - 653470 ns MR4_I 01000200 07c96841 - 653490 ns IT 01000200 6841 LDR r1,[r0,#4] - 653510 ns MR4_I 01000204 6002d1fc - 653570 ns MR4_D 40006004 00000001 - 653570 ns R r1 00000001 - 653570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 653590 ns R r1 80000000 - 653590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 653610 ns R psr 81000200 - 653610 ns MR4_I 01000208 2a001c5b - 653630 ns MR4_I 01000200 07c96841 - 653650 ns IT 01000200 6841 LDR r1,[r0,#4] - 653670 ns MR4_I 01000204 6002d1fc - 653730 ns MR4_D 40006004 00000001 - 653730 ns R r1 00000001 - 653730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 653750 ns R r1 80000000 - 653750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 653770 ns R psr 81000200 - 653770 ns MR4_I 01000208 2a001c5b - 653790 ns MR4_I 01000200 07c96841 - 653810 ns IT 01000200 6841 LDR r1,[r0,#4] - 653830 ns MR4_I 01000204 6002d1fc - 653890 ns MR4_D 40006004 00000001 - 653890 ns R r1 00000001 - 653890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 653910 ns R r1 80000000 - 653910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 653930 ns R psr 81000200 - 653930 ns MR4_I 01000208 2a001c5b - 653950 ns MR4_I 01000200 07c96841 - 653970 ns IT 01000200 6841 LDR r1,[r0,#4] - 653990 ns MR4_I 01000204 6002d1fc - 654050 ns MR4_D 40006004 00000001 - 654050 ns R r1 00000001 - 654050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 654070 ns R r1 80000000 - 654070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 654090 ns R psr 81000200 - 654090 ns MR4_I 01000208 2a001c5b - 654110 ns MR4_I 01000200 07c96841 - 654130 ns IT 01000200 6841 LDR r1,[r0,#4] - 654150 ns MR4_I 01000204 6002d1fc - 654210 ns MR4_D 40006004 00000001 - 654210 ns R r1 00000001 - 654210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 654230 ns R r1 80000000 - 654230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 654250 ns R psr 81000200 - 654250 ns MR4_I 01000208 2a001c5b - 654270 ns MR4_I 01000200 07c96841 - 654290 ns IT 01000200 6841 LDR r1,[r0,#4] - 654310 ns MR4_I 01000204 6002d1fc - 654370 ns MR4_D 40006004 00000001 - 654370 ns R r1 00000001 - 654370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 654390 ns R r1 80000000 - 654390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 654410 ns R psr 81000200 - 654410 ns MR4_I 01000208 2a001c5b - 654430 ns MR4_I 01000200 07c96841 - 654450 ns IT 01000200 6841 LDR r1,[r0,#4] - 654470 ns MR4_I 01000204 6002d1fc - 654530 ns MR4_D 40006004 00000001 - 654530 ns R r1 00000001 - 654530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 654550 ns R r1 80000000 - 654550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 654570 ns R psr 81000200 - 654570 ns MR4_I 01000208 2a001c5b - 654590 ns MR4_I 01000200 07c96841 - 654610 ns IT 01000200 6841 LDR r1,[r0,#4] - 654630 ns MR4_I 01000204 6002d1fc - 654690 ns MR4_D 40006004 00000001 - 654690 ns R r1 00000001 - 654690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 654710 ns R r1 80000000 - 654710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 654730 ns R psr 81000200 - 654730 ns MR4_I 01000208 2a001c5b - 654750 ns MR4_I 01000200 07c96841 - 654770 ns IT 01000200 6841 LDR r1,[r0,#4] - 654790 ns MR4_I 01000204 6002d1fc - 654850 ns MR4_D 40006004 00000001 - 654850 ns R r1 00000001 - 654850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 654870 ns R r1 80000000 - 654870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 654890 ns R psr 81000200 - 654890 ns MR4_I 01000208 2a001c5b - 654910 ns MR4_I 01000200 07c96841 - 654930 ns IT 01000200 6841 LDR r1,[r0,#4] - 654950 ns MR4_I 01000204 6002d1fc - 655010 ns MR4_D 40006004 00000001 - 655010 ns R r1 00000001 - 655010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 655030 ns R r1 80000000 - 655030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 655050 ns R psr 81000200 - 655050 ns MR4_I 01000208 2a001c5b - 655070 ns MR4_I 01000200 07c96841 - 655090 ns IT 01000200 6841 LDR r1,[r0,#4] - 655110 ns MR4_I 01000204 6002d1fc - 655170 ns MR4_D 40006004 00000001 - 655170 ns R r1 00000001 - 655170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 655190 ns R r1 80000000 - 655190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 655210 ns R psr 81000200 - 655210 ns MR4_I 01000208 2a001c5b - 655230 ns MR4_I 01000200 07c96841 - 655250 ns IT 01000200 6841 LDR r1,[r0,#4] - 655270 ns MR4_I 01000204 6002d1fc - 655330 ns MR4_D 40006004 00000001 - 655330 ns R r1 00000001 - 655330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 655350 ns R r1 80000000 - 655350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 655370 ns R psr 81000200 - 655370 ns MR4_I 01000208 2a001c5b - 655390 ns MR4_I 01000200 07c96841 - 655410 ns IT 01000200 6841 LDR r1,[r0,#4] - 655430 ns MR4_I 01000204 6002d1fc - 655490 ns MR4_D 40006004 00000001 - 655490 ns R r1 00000001 - 655490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 655510 ns R r1 80000000 - 655510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 655530 ns R psr 81000200 - 655530 ns MR4_I 01000208 2a001c5b - 655550 ns MR4_I 01000200 07c96841 - 655570 ns IT 01000200 6841 LDR r1,[r0,#4] - 655590 ns MR4_I 01000204 6002d1fc - 655650 ns MR4_D 40006004 00000001 - 655650 ns R r1 00000001 - 655650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 655670 ns R r1 80000000 - 655670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 655690 ns R psr 81000200 - 655690 ns MR4_I 01000208 2a001c5b - 655710 ns MR4_I 01000200 07c96841 - 655730 ns IT 01000200 6841 LDR r1,[r0,#4] - 655750 ns MR4_I 01000204 6002d1fc - 655810 ns MR4_D 40006004 00000001 - 655810 ns R r1 00000001 - 655810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 655830 ns R r1 80000000 - 655830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 655850 ns R psr 81000200 - 655850 ns MR4_I 01000208 2a001c5b - 655870 ns MR4_I 01000200 07c96841 - 655890 ns IT 01000200 6841 LDR r1,[r0,#4] - 655910 ns MR4_I 01000204 6002d1fc - 655970 ns MR4_D 40006004 00000001 - 655970 ns R r1 00000001 - 655970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 655990 ns R r1 80000000 - 655990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 656010 ns R psr 81000200 - 656010 ns MR4_I 01000208 2a001c5b - 656030 ns MR4_I 01000200 07c96841 - 656050 ns IT 01000200 6841 LDR r1,[r0,#4] - 656070 ns MR4_I 01000204 6002d1fc - 656130 ns MR4_D 40006004 00000001 - 656130 ns R r1 00000001 - 656130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 656150 ns R r1 80000000 - 656150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 656170 ns R psr 81000200 - 656170 ns MR4_I 01000208 2a001c5b - 656190 ns MR4_I 01000200 07c96841 - 656210 ns IT 01000200 6841 LDR r1,[r0,#4] - 656230 ns MR4_I 01000204 6002d1fc - 656290 ns MR4_D 40006004 00000001 - 656290 ns R r1 00000001 - 656290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 656310 ns R r1 80000000 - 656310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 656330 ns R psr 81000200 - 656330 ns MR4_I 01000208 2a001c5b - 656350 ns MR4_I 01000200 07c96841 - 656370 ns IT 01000200 6841 LDR r1,[r0,#4] - 656390 ns MR4_I 01000204 6002d1fc - 656450 ns MR4_D 40006004 00000001 - 656450 ns R r1 00000001 - 656450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 656470 ns R r1 80000000 - 656470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 656490 ns R psr 81000200 - 656490 ns MR4_I 01000208 2a001c5b - 656510 ns MR4_I 01000200 07c96841 - 656530 ns IT 01000200 6841 LDR r1,[r0,#4] - 656550 ns MR4_I 01000204 6002d1fc - 656610 ns MR4_D 40006004 00000001 - 656610 ns R r1 00000001 - 656610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 656630 ns R r1 80000000 - 656630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 656650 ns R psr 81000200 - 656650 ns MR4_I 01000208 2a001c5b - 656670 ns MR4_I 01000200 07c96841 - 656690 ns IT 01000200 6841 LDR r1,[r0,#4] - 656710 ns MR4_I 01000204 6002d1fc - 656770 ns MR4_D 40006004 00000001 - 656770 ns R r1 00000001 - 656770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 656790 ns R r1 80000000 - 656790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 656810 ns R psr 81000200 - 656810 ns MR4_I 01000208 2a001c5b - 656830 ns MR4_I 01000200 07c96841 - 656850 ns IT 01000200 6841 LDR r1,[r0,#4] - 656870 ns MR4_I 01000204 6002d1fc - 656930 ns MR4_D 40006004 00000001 - 656930 ns R r1 00000001 - 656930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 656950 ns R r1 80000000 - 656950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 656970 ns R psr 81000200 - 656970 ns MR4_I 01000208 2a001c5b - 656990 ns MR4_I 01000200 07c96841 - 657010 ns IT 01000200 6841 LDR r1,[r0,#4] - 657030 ns MR4_I 01000204 6002d1fc - 657090 ns MR4_D 40006004 00000001 - 657090 ns R r1 00000001 - 657090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 657110 ns R r1 80000000 - 657110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 657130 ns R psr 81000200 - 657130 ns MR4_I 01000208 2a001c5b - 657150 ns MR4_I 01000200 07c96841 - 657170 ns IT 01000200 6841 LDR r1,[r0,#4] - 657190 ns MR4_I 01000204 6002d1fc - 657250 ns MR4_D 40006004 00000001 - 657250 ns R r1 00000001 - 657250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 657270 ns R r1 80000000 - 657270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 657290 ns R psr 81000200 - 657290 ns MR4_I 01000208 2a001c5b - 657310 ns MR4_I 01000200 07c96841 - 657330 ns IT 01000200 6841 LDR r1,[r0,#4] - 657350 ns MR4_I 01000204 6002d1fc - 657410 ns MR4_D 40006004 00000001 - 657410 ns R r1 00000001 - 657410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 657430 ns R r1 80000000 - 657430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 657450 ns R psr 81000200 - 657450 ns MR4_I 01000208 2a001c5b - 657470 ns MR4_I 01000200 07c96841 - 657490 ns IT 01000200 6841 LDR r1,[r0,#4] - 657510 ns MR4_I 01000204 6002d1fc - 657570 ns MR4_D 40006004 00000001 - 657570 ns R r1 00000001 - 657570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 657590 ns R r1 80000000 - 657590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 657610 ns R psr 81000200 - 657610 ns MR4_I 01000208 2a001c5b - 657630 ns MR4_I 01000200 07c96841 - 657650 ns IT 01000200 6841 LDR r1,[r0,#4] - 657670 ns MR4_I 01000204 6002d1fc - 657730 ns MR4_D 40006004 00000001 - 657730 ns R r1 00000001 - 657730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 657750 ns R r1 80000000 - 657750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 657770 ns R psr 81000200 - 657770 ns MR4_I 01000208 2a001c5b - 657790 ns MR4_I 01000200 07c96841 - 657810 ns IT 01000200 6841 LDR r1,[r0,#4] - 657830 ns MR4_I 01000204 6002d1fc - 657890 ns MR4_D 40006004 00000001 - 657890 ns R r1 00000001 - 657890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 657910 ns R r1 80000000 - 657910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 657930 ns R psr 81000200 - 657930 ns MR4_I 01000208 2a001c5b - 657950 ns MR4_I 01000200 07c96841 - 657970 ns IT 01000200 6841 LDR r1,[r0,#4] - 657990 ns MR4_I 01000204 6002d1fc - 658050 ns MR4_D 40006004 00000001 - 658050 ns R r1 00000001 - 658050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 658070 ns R r1 80000000 - 658070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 658090 ns R psr 81000200 - 658090 ns MR4_I 01000208 2a001c5b - 658110 ns MR4_I 01000200 07c96841 - 658130 ns IT 01000200 6841 LDR r1,[r0,#4] - 658150 ns MR4_I 01000204 6002d1fc - 658210 ns MR4_D 40006004 00000001 - 658210 ns R r1 00000001 - 658210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 658230 ns R r1 80000000 - 658230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 658250 ns R psr 81000200 - 658250 ns MR4_I 01000208 2a001c5b - 658270 ns MR4_I 01000200 07c96841 - 658290 ns IT 01000200 6841 LDR r1,[r0,#4] - 658310 ns MR4_I 01000204 6002d1fc - 658370 ns MR4_D 40006004 00000001 - 658370 ns R r1 00000001 - 658370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 658390 ns R r1 80000000 - 658390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 658410 ns R psr 81000200 - 658410 ns MR4_I 01000208 2a001c5b - 658430 ns MR4_I 01000200 07c96841 - 658450 ns IT 01000200 6841 LDR r1,[r0,#4] - 658470 ns MR4_I 01000204 6002d1fc - 658530 ns MR4_D 40006004 00000001 - 658530 ns R r1 00000001 - 658530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 658550 ns R r1 80000000 - 658550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 658570 ns R psr 81000200 - 658570 ns MR4_I 01000208 2a001c5b - 658590 ns MR4_I 01000200 07c96841 - 658610 ns IT 01000200 6841 LDR r1,[r0,#4] - 658630 ns MR4_I 01000204 6002d1fc - 658690 ns MR4_D 40006004 00000001 - 658690 ns R r1 00000001 - 658690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 658710 ns R r1 80000000 - 658710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 658730 ns R psr 81000200 - 658730 ns MR4_I 01000208 2a001c5b - 658750 ns MR4_I 01000200 07c96841 - 658770 ns IT 01000200 6841 LDR r1,[r0,#4] - 658790 ns MR4_I 01000204 6002d1fc - 658850 ns MR4_D 40006004 00000001 - 658850 ns R r1 00000001 - 658850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 658870 ns R r1 80000000 - 658870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 658890 ns R psr 81000200 - 658890 ns MR4_I 01000208 2a001c5b - 658910 ns MR4_I 01000200 07c96841 - 658930 ns IT 01000200 6841 LDR r1,[r0,#4] - 658950 ns MR4_I 01000204 6002d1fc - 659010 ns MR4_D 40006004 00000001 - 659010 ns R r1 00000001 - 659010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 659030 ns R r1 80000000 - 659030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 659050 ns R psr 81000200 - 659050 ns MR4_I 01000208 2a001c5b - 659070 ns MR4_I 01000200 07c96841 - 659090 ns IT 01000200 6841 LDR r1,[r0,#4] - 659110 ns MR4_I 01000204 6002d1fc - 659170 ns MR4_D 40006004 00000001 - 659170 ns R r1 00000001 - 659170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 659190 ns R r1 80000000 - 659190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 659210 ns R psr 81000200 - 659210 ns MR4_I 01000208 2a001c5b - 659230 ns MR4_I 01000200 07c96841 - 659250 ns IT 01000200 6841 LDR r1,[r0,#4] - 659270 ns MR4_I 01000204 6002d1fc - 659330 ns MR4_D 40006004 00000001 - 659330 ns R r1 00000001 - 659330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 659350 ns R r1 80000000 - 659350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 659370 ns R psr 81000200 - 659370 ns MR4_I 01000208 2a001c5b - 659390 ns MR4_I 01000200 07c96841 - 659410 ns IT 01000200 6841 LDR r1,[r0,#4] - 659430 ns MR4_I 01000204 6002d1fc - 659490 ns MR4_D 40006004 00000001 - 659490 ns R r1 00000001 - 659490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 659510 ns R r1 80000000 - 659510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 659530 ns R psr 81000200 - 659530 ns MR4_I 01000208 2a001c5b - 659550 ns MR4_I 01000200 07c96841 - 659570 ns IT 01000200 6841 LDR r1,[r0,#4] - 659590 ns MR4_I 01000204 6002d1fc - 659650 ns MR4_D 40006004 00000001 - 659650 ns R r1 00000001 - 659650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 659670 ns R r1 80000000 - 659670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 659690 ns R psr 81000200 - 659690 ns MR4_I 01000208 2a001c5b - 659710 ns MR4_I 01000200 07c96841 - 659730 ns IT 01000200 6841 LDR r1,[r0,#4] - 659750 ns MR4_I 01000204 6002d1fc - 659810 ns MR4_D 40006004 00000001 - 659810 ns R r1 00000001 - 659810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 659830 ns R r1 80000000 - 659830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 659850 ns R psr 81000200 - 659850 ns MR4_I 01000208 2a001c5b - 659870 ns MR4_I 01000200 07c96841 - 659890 ns IT 01000200 6841 LDR r1,[r0,#4] - 659910 ns MR4_I 01000204 6002d1fc - 659970 ns MR4_D 40006004 00000001 - 659970 ns R r1 00000001 - 659970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 659990 ns R r1 80000000 - 659990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 660010 ns R psr 81000200 - 660010 ns MR4_I 01000208 2a001c5b - 660030 ns MR4_I 01000200 07c96841 - 660050 ns IT 01000200 6841 LDR r1,[r0,#4] - 660070 ns MR4_I 01000204 6002d1fc - 660130 ns MR4_D 40006004 00000001 - 660130 ns R r1 00000001 - 660130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 660150 ns R r1 80000000 - 660150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 660170 ns R psr 81000200 - 660170 ns MR4_I 01000208 2a001c5b - 660190 ns MR4_I 01000200 07c96841 - 660210 ns IT 01000200 6841 LDR r1,[r0,#4] - 660230 ns MR4_I 01000204 6002d1fc - 660290 ns MR4_D 40006004 00000001 - 660290 ns R r1 00000001 - 660290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 660310 ns R r1 80000000 - 660310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 660330 ns R psr 81000200 - 660330 ns MR4_I 01000208 2a001c5b - 660350 ns MR4_I 01000200 07c96841 - 660370 ns IT 01000200 6841 LDR r1,[r0,#4] - 660390 ns MR4_I 01000204 6002d1fc - 660450 ns MR4_D 40006004 00000001 - 660450 ns R r1 00000001 - 660450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 660470 ns R r1 80000000 - 660470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 660490 ns R psr 81000200 - 660490 ns MR4_I 01000208 2a001c5b - 660510 ns MR4_I 01000200 07c96841 - 660530 ns IT 01000200 6841 LDR r1,[r0,#4] - 660550 ns MR4_I 01000204 6002d1fc - 660610 ns MR4_D 40006004 00000001 - 660610 ns R r1 00000001 - 660610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 660630 ns R r1 80000000 - 660630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 660650 ns R psr 81000200 - 660650 ns MR4_I 01000208 2a001c5b - 660670 ns MR4_I 01000200 07c96841 - 660690 ns IT 01000200 6841 LDR r1,[r0,#4] - 660710 ns MR4_I 01000204 6002d1fc - 660770 ns MR4_D 40006004 00000001 - 660770 ns R r1 00000001 - 660770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 660790 ns R r1 80000000 - 660790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 660810 ns R psr 81000200 - 660810 ns MR4_I 01000208 2a001c5b - 660830 ns MR4_I 01000200 07c96841 - 660850 ns IT 01000200 6841 LDR r1,[r0,#4] - 660870 ns MR4_I 01000204 6002d1fc - 660930 ns MR4_D 40006004 00000001 - 660930 ns R r1 00000001 - 660930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 660950 ns R r1 80000000 - 660950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 660970 ns R psr 81000200 - 660970 ns MR4_I 01000208 2a001c5b - 660990 ns MR4_I 01000200 07c96841 - 661010 ns IT 01000200 6841 LDR r1,[r0,#4] - 661030 ns MR4_I 01000204 6002d1fc - 661090 ns MR4_D 40006004 00000001 - 661090 ns R r1 00000001 - 661090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 661110 ns R r1 80000000 - 661110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 661130 ns R psr 81000200 - 661130 ns MR4_I 01000208 2a001c5b - 661150 ns MR4_I 01000200 07c96841 - 661170 ns IT 01000200 6841 LDR r1,[r0,#4] - 661190 ns MR4_I 01000204 6002d1fc - 661250 ns MR4_D 40006004 00000001 - 661250 ns R r1 00000001 - 661250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 661270 ns R r1 80000000 - 661270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 661290 ns R psr 81000200 - 661290 ns MR4_I 01000208 2a001c5b - 661310 ns MR4_I 01000200 07c96841 - 661330 ns IT 01000200 6841 LDR r1,[r0,#4] - 661350 ns MR4_I 01000204 6002d1fc - 661410 ns MR4_D 40006004 00000001 - 661410 ns R r1 00000001 - 661410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 661430 ns R r1 80000000 - 661430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 661450 ns R psr 81000200 - 661450 ns MR4_I 01000208 2a001c5b - 661470 ns MR4_I 01000200 07c96841 - 661490 ns IT 01000200 6841 LDR r1,[r0,#4] - 661510 ns MR4_I 01000204 6002d1fc - 661570 ns MR4_D 40006004 00000001 - 661570 ns R r1 00000001 - 661570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 661590 ns R r1 80000000 - 661590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 661610 ns R psr 81000200 - 661610 ns MR4_I 01000208 2a001c5b - 661630 ns MR4_I 01000200 07c96841 - 661650 ns IT 01000200 6841 LDR r1,[r0,#4] - 661670 ns MR4_I 01000204 6002d1fc - 661730 ns MR4_D 40006004 00000001 - 661730 ns R r1 00000001 - 661730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 661750 ns R r1 80000000 - 661750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 661770 ns R psr 81000200 - 661770 ns MR4_I 01000208 2a001c5b - 661790 ns MR4_I 01000200 07c96841 - 661810 ns IT 01000200 6841 LDR r1,[r0,#4] - 661830 ns MR4_I 01000204 6002d1fc - 661890 ns MR4_D 40006004 00000001 - 661890 ns R r1 00000001 - 661890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 661910 ns R r1 80000000 - 661910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 661930 ns R psr 81000200 - 661930 ns MR4_I 01000208 2a001c5b - 661950 ns MR4_I 01000200 07c96841 - 661970 ns IT 01000200 6841 LDR r1,[r0,#4] - 661990 ns MR4_I 01000204 6002d1fc - 662050 ns MR4_D 40006004 00000001 - 662050 ns R r1 00000001 - 662050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 662070 ns R r1 80000000 - 662070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 662090 ns R psr 81000200 - 662090 ns MR4_I 01000208 2a001c5b - 662110 ns MR4_I 01000200 07c96841 - 662130 ns IT 01000200 6841 LDR r1,[r0,#4] - 662150 ns MR4_I 01000204 6002d1fc - 662210 ns MR4_D 40006004 00000001 - 662210 ns R r1 00000001 - 662210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 662230 ns R r1 80000000 - 662230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 662250 ns R psr 81000200 - 662250 ns MR4_I 01000208 2a001c5b - 662270 ns MR4_I 01000200 07c96841 - 662290 ns IT 01000200 6841 LDR r1,[r0,#4] - 662310 ns MR4_I 01000204 6002d1fc - 662370 ns MR4_D 40006004 00000001 - 662370 ns R r1 00000001 - 662370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 662390 ns R r1 80000000 - 662390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 662410 ns R psr 81000200 - 662410 ns MR4_I 01000208 2a001c5b - 662430 ns MR4_I 01000200 07c96841 - 662450 ns IT 01000200 6841 LDR r1,[r0,#4] - 662470 ns MR4_I 01000204 6002d1fc - 662530 ns MR4_D 40006004 00000001 - 662530 ns R r1 00000001 - 662530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 662550 ns R r1 80000000 - 662550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 662570 ns R psr 81000200 - 662570 ns MR4_I 01000208 2a001c5b - 662590 ns MR4_I 01000200 07c96841 - 662610 ns IT 01000200 6841 LDR r1,[r0,#4] - 662630 ns MR4_I 01000204 6002d1fc - 662690 ns MR4_D 40006004 00000001 - 662690 ns R r1 00000001 - 662690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 662710 ns R r1 80000000 - 662710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 662730 ns R psr 81000200 - 662730 ns MR4_I 01000208 2a001c5b - 662750 ns MR4_I 01000200 07c96841 - 662770 ns IT 01000200 6841 LDR r1,[r0,#4] - 662790 ns MR4_I 01000204 6002d1fc - 662850 ns MR4_D 40006004 00000001 - 662850 ns R r1 00000001 - 662850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 662870 ns R r1 80000000 - 662870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 662890 ns R psr 81000200 - 662890 ns MR4_I 01000208 2a001c5b - 662910 ns MR4_I 01000200 07c96841 - 662930 ns IT 01000200 6841 LDR r1,[r0,#4] - 662950 ns MR4_I 01000204 6002d1fc - 663010 ns MR4_D 40006004 00000001 - 663010 ns R r1 00000001 - 663010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 663030 ns R r1 80000000 - 663030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 663050 ns R psr 81000200 - 663050 ns MR4_I 01000208 2a001c5b - 663070 ns MR4_I 01000200 07c96841 - 663090 ns IT 01000200 6841 LDR r1,[r0,#4] - 663110 ns MR4_I 01000204 6002d1fc - 663170 ns MR4_D 40006004 00000001 - 663170 ns R r1 00000001 - 663170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 663190 ns R r1 80000000 - 663190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 663210 ns R psr 81000200 - 663210 ns MR4_I 01000208 2a001c5b - 663230 ns MR4_I 01000200 07c96841 - 663250 ns IT 01000200 6841 LDR r1,[r0,#4] - 663270 ns MR4_I 01000204 6002d1fc - 663330 ns MR4_D 40006004 00000001 - 663330 ns R r1 00000001 - 663330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 663350 ns R r1 80000000 - 663350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 663370 ns R psr 81000200 - 663370 ns MR4_I 01000208 2a001c5b - 663390 ns MR4_I 01000200 07c96841 - 663410 ns IT 01000200 6841 LDR r1,[r0,#4] - 663430 ns MR4_I 01000204 6002d1fc - 663490 ns MR4_D 40006004 00000001 - 663490 ns R r1 00000001 - 663490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 663510 ns R r1 80000000 - 663510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 663530 ns R psr 81000200 - 663530 ns MR4_I 01000208 2a001c5b - 663550 ns MR4_I 01000200 07c96841 - 663570 ns IT 01000200 6841 LDR r1,[r0,#4] - 663590 ns MR4_I 01000204 6002d1fc - 663650 ns MR4_D 40006004 00000001 - 663650 ns R r1 00000001 - 663650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 663670 ns R r1 80000000 - 663670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 663690 ns R psr 81000200 - 663690 ns MR4_I 01000208 2a001c5b - 663710 ns MR4_I 01000200 07c96841 - 663730 ns IT 01000200 6841 LDR r1,[r0,#4] - 663750 ns MR4_I 01000204 6002d1fc - 663810 ns MR4_D 40006004 00000001 - 663810 ns R r1 00000001 - 663810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 663830 ns R r1 80000000 - 663830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 663850 ns R psr 81000200 - 663850 ns MR4_I 01000208 2a001c5b - 663870 ns MR4_I 01000200 07c96841 - 663890 ns IT 01000200 6841 LDR r1,[r0,#4] - 663910 ns MR4_I 01000204 6002d1fc - 663970 ns MR4_D 40006004 00000001 - 663970 ns R r1 00000001 - 663970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 663990 ns R r1 80000000 - 663990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 664010 ns R psr 81000200 - 664010 ns MR4_I 01000208 2a001c5b - 664030 ns MR4_I 01000200 07c96841 - 664050 ns IT 01000200 6841 LDR r1,[r0,#4] - 664070 ns MR4_I 01000204 6002d1fc - 664130 ns MR4_D 40006004 00000001 - 664130 ns R r1 00000001 - 664130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 664150 ns R r1 80000000 - 664150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 664170 ns R psr 81000200 - 664170 ns MR4_I 01000208 2a001c5b - 664190 ns MR4_I 01000200 07c96841 - 664210 ns IT 01000200 6841 LDR r1,[r0,#4] - 664230 ns MR4_I 01000204 6002d1fc - 664290 ns MR4_D 40006004 00000001 - 664290 ns R r1 00000001 - 664290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 664310 ns R r1 80000000 - 664310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 664330 ns R psr 81000200 - 664330 ns MR4_I 01000208 2a001c5b - 664350 ns MR4_I 01000200 07c96841 - 664370 ns IT 01000200 6841 LDR r1,[r0,#4] - 664390 ns MR4_I 01000204 6002d1fc - 664450 ns MR4_D 40006004 00000001 - 664450 ns R r1 00000001 - 664450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 664470 ns R r1 80000000 - 664470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 664490 ns R psr 81000200 - 664490 ns MR4_I 01000208 2a001c5b - 664510 ns MR4_I 01000200 07c96841 - 664530 ns IT 01000200 6841 LDR r1,[r0,#4] - 664550 ns MR4_I 01000204 6002d1fc - 664610 ns MR4_D 40006004 00000001 - 664610 ns R r1 00000001 - 664610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 664630 ns R r1 80000000 - 664630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 664650 ns R psr 81000200 - 664650 ns MR4_I 01000208 2a001c5b - 664670 ns MR4_I 01000200 07c96841 - 664690 ns IT 01000200 6841 LDR r1,[r0,#4] - 664710 ns MR4_I 01000204 6002d1fc - 664770 ns MR4_D 40006004 00000001 - 664770 ns R r1 00000001 - 664770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 664790 ns R r1 80000000 - 664790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 664810 ns R psr 81000200 - 664810 ns MR4_I 01000208 2a001c5b - 664830 ns MR4_I 01000200 07c96841 - 664850 ns IT 01000200 6841 LDR r1,[r0,#4] - 664870 ns MR4_I 01000204 6002d1fc - 664930 ns MR4_D 40006004 00000001 - 664930 ns R r1 00000001 - 664930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 664950 ns R r1 80000000 - 664950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 664970 ns R psr 81000200 - 664970 ns MR4_I 01000208 2a001c5b - 664990 ns MR4_I 01000200 07c96841 - 665010 ns IT 01000200 6841 LDR r1,[r0,#4] - 665030 ns MR4_I 01000204 6002d1fc - 665090 ns MR4_D 40006004 00000001 - 665090 ns R r1 00000001 - 665090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 665110 ns R r1 80000000 - 665110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 665130 ns R psr 81000200 - 665130 ns MR4_I 01000208 2a001c5b - 665150 ns MR4_I 01000200 07c96841 - 665170 ns IT 01000200 6841 LDR r1,[r0,#4] - 665190 ns MR4_I 01000204 6002d1fc - 665250 ns MR4_D 40006004 00000001 - 665250 ns R r1 00000001 - 665250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 665270 ns R r1 80000000 - 665270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 665290 ns R psr 81000200 - 665290 ns MR4_I 01000208 2a001c5b - 665310 ns MR4_I 01000200 07c96841 - 665330 ns IT 01000200 6841 LDR r1,[r0,#4] - 665350 ns MR4_I 01000204 6002d1fc - 665410 ns MR4_D 40006004 00000001 - 665410 ns R r1 00000001 - 665410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 665430 ns R r1 80000000 - 665430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 665450 ns R psr 81000200 - 665450 ns MR4_I 01000208 2a001c5b - 665470 ns MR4_I 01000200 07c96841 - 665490 ns IT 01000200 6841 LDR r1,[r0,#4] - 665510 ns MR4_I 01000204 6002d1fc - 665570 ns MR4_D 40006004 00000001 - 665570 ns R r1 00000001 - 665570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 665590 ns R r1 80000000 - 665590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 665610 ns R psr 81000200 - 665610 ns MR4_I 01000208 2a001c5b - 665630 ns MR4_I 01000200 07c96841 - 665650 ns IT 01000200 6841 LDR r1,[r0,#4] - 665670 ns MR4_I 01000204 6002d1fc - 665730 ns MR4_D 40006004 00000001 - 665730 ns R r1 00000001 - 665730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 665750 ns R r1 80000000 - 665750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 665770 ns R psr 81000200 - 665770 ns MR4_I 01000208 2a001c5b - 665790 ns MR4_I 01000200 07c96841 - 665810 ns IT 01000200 6841 LDR r1,[r0,#4] - 665830 ns MR4_I 01000204 6002d1fc - 665890 ns MR4_D 40006004 00000001 - 665890 ns R r1 00000001 - 665890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 665910 ns R r1 80000000 - 665910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 665930 ns R psr 81000200 - 665930 ns MR4_I 01000208 2a001c5b - 665950 ns MR4_I 01000200 07c96841 - 665970 ns IT 01000200 6841 LDR r1,[r0,#4] - 665990 ns MR4_I 01000204 6002d1fc - 666050 ns MR4_D 40006004 00000001 - 666050 ns R r1 00000001 - 666050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 666070 ns R r1 80000000 - 666070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 666090 ns R psr 81000200 - 666090 ns MR4_I 01000208 2a001c5b - 666110 ns MR4_I 01000200 07c96841 - 666130 ns IT 01000200 6841 LDR r1,[r0,#4] - 666150 ns MR4_I 01000204 6002d1fc - 666210 ns MR4_D 40006004 00000001 - 666210 ns R r1 00000001 - 666210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 666230 ns R r1 80000000 - 666230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 666250 ns R psr 81000200 - 666250 ns MR4_I 01000208 2a001c5b - 666270 ns MR4_I 01000200 07c96841 - 666290 ns IT 01000200 6841 LDR r1,[r0,#4] - 666310 ns MR4_I 01000204 6002d1fc - 666370 ns MR4_D 40006004 00000001 - 666370 ns R r1 00000001 - 666370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 666390 ns R r1 80000000 - 666390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 666410 ns R psr 81000200 - 666410 ns MR4_I 01000208 2a001c5b - 666430 ns MR4_I 01000200 07c96841 - 666450 ns IT 01000200 6841 LDR r1,[r0,#4] - 666470 ns MR4_I 01000204 6002d1fc - 666530 ns MR4_D 40006004 00000001 - 666530 ns R r1 00000001 - 666530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 666550 ns R r1 80000000 - 666550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 666570 ns R psr 81000200 - 666570 ns MR4_I 01000208 2a001c5b - 666590 ns MR4_I 01000200 07c96841 - 666610 ns IT 01000200 6841 LDR r1,[r0,#4] - 666630 ns MR4_I 01000204 6002d1fc - 666690 ns MR4_D 40006004 00000001 - 666690 ns R r1 00000001 - 666690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 666710 ns R r1 80000000 - 666710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 666730 ns R psr 81000200 - 666730 ns MR4_I 01000208 2a001c5b - 666750 ns MR4_I 01000200 07c96841 - 666770 ns IT 01000200 6841 LDR r1,[r0,#4] - 666790 ns MR4_I 01000204 6002d1fc - 666850 ns MR4_D 40006004 00000001 - 666850 ns R r1 00000001 - 666850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 666870 ns R r1 80000000 - 666870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 666890 ns R psr 81000200 - 666890 ns MR4_I 01000208 2a001c5b - 666910 ns MR4_I 01000200 07c96841 - 666930 ns IT 01000200 6841 LDR r1,[r0,#4] - 666950 ns MR4_I 01000204 6002d1fc - 667010 ns MR4_D 40006004 00000001 - 667010 ns R r1 00000001 - 667010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 667030 ns R r1 80000000 - 667030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 667050 ns R psr 81000200 - 667050 ns MR4_I 01000208 2a001c5b - 667070 ns MR4_I 01000200 07c96841 - 667090 ns IT 01000200 6841 LDR r1,[r0,#4] - 667110 ns MR4_I 01000204 6002d1fc - 667170 ns MR4_D 40006004 00000001 - 667170 ns R r1 00000001 - 667170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 667190 ns R r1 80000000 - 667190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 667210 ns R psr 81000200 - 667210 ns MR4_I 01000208 2a001c5b - 667230 ns MR4_I 01000200 07c96841 - 667250 ns IT 01000200 6841 LDR r1,[r0,#4] - 667270 ns MR4_I 01000204 6002d1fc - 667330 ns MR4_D 40006004 00000001 - 667330 ns R r1 00000001 - 667330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 667350 ns R r1 80000000 - 667350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 667370 ns R psr 81000200 - 667370 ns MR4_I 01000208 2a001c5b - 667390 ns MR4_I 01000200 07c96841 - 667410 ns IT 01000200 6841 LDR r1,[r0,#4] - 667430 ns MR4_I 01000204 6002d1fc - 667490 ns MR4_D 40006004 00000001 - 667490 ns R r1 00000001 - 667490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 667510 ns R r1 80000000 - 667510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 667530 ns R psr 81000200 - 667530 ns MR4_I 01000208 2a001c5b - 667550 ns MR4_I 01000200 07c96841 - 667570 ns IT 01000200 6841 LDR r1,[r0,#4] - 667590 ns MR4_I 01000204 6002d1fc - 667650 ns MR4_D 40006004 00000001 - 667650 ns R r1 00000001 - 667650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 667670 ns R r1 80000000 - 667670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 667690 ns R psr 81000200 - 667690 ns MR4_I 01000208 2a001c5b - 667710 ns MR4_I 01000200 07c96841 - 667730 ns IT 01000200 6841 LDR r1,[r0,#4] - 667750 ns MR4_I 01000204 6002d1fc - 667810 ns MR4_D 40006004 00000001 - 667810 ns R r1 00000001 - 667810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 667830 ns R r1 80000000 - 667830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 667850 ns R psr 81000200 - 667850 ns MR4_I 01000208 2a001c5b - 667870 ns MR4_I 01000200 07c96841 - 667890 ns IT 01000200 6841 LDR r1,[r0,#4] - 667910 ns MR4_I 01000204 6002d1fc - 667970 ns MR4_D 40006004 00000001 - 667970 ns R r1 00000001 - 667970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 667990 ns R r1 80000000 - 667990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 668010 ns R psr 81000200 - 668010 ns MR4_I 01000208 2a001c5b - 668030 ns MR4_I 01000200 07c96841 - 668050 ns IT 01000200 6841 LDR r1,[r0,#4] - 668070 ns MR4_I 01000204 6002d1fc - 668130 ns MR4_D 40006004 00000001 - 668130 ns R r1 00000001 - 668130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 668150 ns R r1 80000000 - 668150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 668170 ns R psr 81000200 - 668170 ns MR4_I 01000208 2a001c5b - 668190 ns MR4_I 01000200 07c96841 - 668210 ns IT 01000200 6841 LDR r1,[r0,#4] - 668230 ns MR4_I 01000204 6002d1fc - 668290 ns MR4_D 40006004 00000001 - 668290 ns R r1 00000001 - 668290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 668310 ns R r1 80000000 - 668310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 668330 ns R psr 81000200 - 668330 ns MR4_I 01000208 2a001c5b - 668350 ns MR4_I 01000200 07c96841 - 668370 ns IT 01000200 6841 LDR r1,[r0,#4] - 668390 ns MR4_I 01000204 6002d1fc - 668450 ns MR4_D 40006004 00000001 - 668450 ns R r1 00000001 - 668450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 668470 ns R r1 80000000 - 668470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 668490 ns R psr 81000200 - 668490 ns MR4_I 01000208 2a001c5b - 668510 ns MR4_I 01000200 07c96841 - 668530 ns IT 01000200 6841 LDR r1,[r0,#4] - 668550 ns MR4_I 01000204 6002d1fc - 668610 ns MR4_D 40006004 00000001 - 668610 ns R r1 00000001 - 668610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 668630 ns R r1 80000000 - 668630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 668650 ns R psr 81000200 - 668650 ns MR4_I 01000208 2a001c5b - 668670 ns MR4_I 01000200 07c96841 - 668690 ns IT 01000200 6841 LDR r1,[r0,#4] - 668710 ns MR4_I 01000204 6002d1fc - 668770 ns MR4_D 40006004 00000001 - 668770 ns R r1 00000001 - 668770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 668790 ns R r1 80000000 - 668790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 668810 ns R psr 81000200 - 668810 ns MR4_I 01000208 2a001c5b - 668830 ns MR4_I 01000200 07c96841 - 668850 ns IT 01000200 6841 LDR r1,[r0,#4] - 668870 ns MR4_I 01000204 6002d1fc - 668930 ns MR4_D 40006004 00000001 - 668930 ns R r1 00000001 - 668930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 668950 ns R r1 80000000 - 668950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 668970 ns R psr 81000200 - 668970 ns MR4_I 01000208 2a001c5b - 668990 ns MR4_I 01000200 07c96841 - 669010 ns IT 01000200 6841 LDR r1,[r0,#4] - 669030 ns MR4_I 01000204 6002d1fc - 669090 ns MR4_D 40006004 00000001 - 669090 ns R r1 00000001 - 669090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 669110 ns R r1 80000000 - 669110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 669130 ns R psr 81000200 - 669130 ns MR4_I 01000208 2a001c5b - 669150 ns MR4_I 01000200 07c96841 - 669170 ns IT 01000200 6841 LDR r1,[r0,#4] - 669190 ns MR4_I 01000204 6002d1fc - 669250 ns MR4_D 40006004 00000001 - 669250 ns R r1 00000001 - 669250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 669270 ns R r1 80000000 - 669270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 669290 ns R psr 81000200 - 669290 ns MR4_I 01000208 2a001c5b - 669310 ns MR4_I 01000200 07c96841 - 669330 ns IT 01000200 6841 LDR r1,[r0,#4] - 669350 ns MR4_I 01000204 6002d1fc - 669410 ns MR4_D 40006004 00000001 - 669410 ns R r1 00000001 - 669410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 669430 ns R r1 80000000 - 669430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 669450 ns R psr 81000200 - 669450 ns MR4_I 01000208 2a001c5b - 669470 ns MR4_I 01000200 07c96841 - 669490 ns IT 01000200 6841 LDR r1,[r0,#4] - 669510 ns MR4_I 01000204 6002d1fc - 669570 ns MR4_D 40006004 00000001 - 669570 ns R r1 00000001 - 669570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 669590 ns R r1 80000000 - 669590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 669610 ns R psr 81000200 - 669610 ns MR4_I 01000208 2a001c5b - 669630 ns MR4_I 01000200 07c96841 - 669650 ns IT 01000200 6841 LDR r1,[r0,#4] - 669670 ns MR4_I 01000204 6002d1fc - 669730 ns MR4_D 40006004 00000001 - 669730 ns R r1 00000001 - 669730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 669750 ns R r1 80000000 - 669750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 669770 ns R psr 81000200 - 669770 ns MR4_I 01000208 2a001c5b - 669790 ns MR4_I 01000200 07c96841 - 669810 ns IT 01000200 6841 LDR r1,[r0,#4] - 669830 ns MR4_I 01000204 6002d1fc - 669890 ns MR4_D 40006004 00000001 - 669890 ns R r1 00000001 - 669890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 669910 ns R r1 80000000 - 669910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 669930 ns R psr 81000200 - 669930 ns MR4_I 01000208 2a001c5b - 669950 ns MR4_I 01000200 07c96841 - 669970 ns IT 01000200 6841 LDR r1,[r0,#4] - 669990 ns MR4_I 01000204 6002d1fc - 670050 ns MR4_D 40006004 00000001 - 670050 ns R r1 00000001 - 670050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 670070 ns R r1 80000000 - 670070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 670090 ns R psr 81000200 - 670090 ns MR4_I 01000208 2a001c5b - 670110 ns MR4_I 01000200 07c96841 - 670130 ns IT 01000200 6841 LDR r1,[r0,#4] - 670150 ns MR4_I 01000204 6002d1fc - 670210 ns MR4_D 40006004 00000001 - 670210 ns R r1 00000001 - 670210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 670230 ns R r1 80000000 - 670230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 670250 ns R psr 81000200 - 670250 ns MR4_I 01000208 2a001c5b - 670270 ns MR4_I 01000200 07c96841 - 670290 ns IT 01000200 6841 LDR r1,[r0,#4] - 670310 ns MR4_I 01000204 6002d1fc - 670370 ns MR4_D 40006004 00000001 - 670370 ns R r1 00000001 - 670370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 670390 ns R r1 80000000 - 670390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 670410 ns R psr 81000200 - 670410 ns MR4_I 01000208 2a001c5b - 670430 ns MR4_I 01000200 07c96841 - 670450 ns IT 01000200 6841 LDR r1,[r0,#4] - 670470 ns MR4_I 01000204 6002d1fc - 670530 ns MR4_D 40006004 00000001 - 670530 ns R r1 00000001 - 670530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 670550 ns R r1 80000000 - 670550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 670570 ns R psr 81000200 - 670570 ns MR4_I 01000208 2a001c5b - 670590 ns MR4_I 01000200 07c96841 - 670610 ns IT 01000200 6841 LDR r1,[r0,#4] - 670630 ns MR4_I 01000204 6002d1fc - 670690 ns MR4_D 40006004 00000001 - 670690 ns R r1 00000001 - 670690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 670710 ns R r1 80000000 - 670710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 670730 ns R psr 81000200 - 670730 ns MR4_I 01000208 2a001c5b - 670750 ns MR4_I 01000200 07c96841 - 670770 ns IT 01000200 6841 LDR r1,[r0,#4] - 670790 ns MR4_I 01000204 6002d1fc - 670850 ns MR4_D 40006004 00000001 - 670850 ns R r1 00000001 - 670850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 670870 ns R r1 80000000 - 670870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 670890 ns R psr 81000200 - 670890 ns MR4_I 01000208 2a001c5b - 670910 ns MR4_I 01000200 07c96841 - 670930 ns IT 01000200 6841 LDR r1,[r0,#4] - 670950 ns MR4_I 01000204 6002d1fc - 671010 ns MR4_D 40006004 00000001 - 671010 ns R r1 00000001 - 671010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 671030 ns R r1 80000000 - 671030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 671050 ns R psr 81000200 - 671050 ns MR4_I 01000208 2a001c5b - 671070 ns MR4_I 01000200 07c96841 - 671090 ns IT 01000200 6841 LDR r1,[r0,#4] - 671110 ns MR4_I 01000204 6002d1fc - 671170 ns MR4_D 40006004 00000001 - 671170 ns R r1 00000001 - 671170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 671190 ns R r1 80000000 - 671190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 671210 ns R psr 81000200 - 671210 ns MR4_I 01000208 2a001c5b - 671230 ns MR4_I 01000200 07c96841 - 671250 ns IT 01000200 6841 LDR r1,[r0,#4] - 671270 ns MR4_I 01000204 6002d1fc - 671330 ns MR4_D 40006004 00000001 - 671330 ns R r1 00000001 - 671330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 671350 ns R r1 80000000 - 671350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 671370 ns R psr 81000200 - 671370 ns MR4_I 01000208 2a001c5b - 671390 ns MR4_I 01000200 07c96841 - 671410 ns IT 01000200 6841 LDR r1,[r0,#4] - 671430 ns MR4_I 01000204 6002d1fc - 671490 ns MR4_D 40006004 00000001 - 671490 ns R r1 00000001 - 671490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 671510 ns R r1 80000000 - 671510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 671530 ns R psr 81000200 - 671530 ns MR4_I 01000208 2a001c5b - 671550 ns MR4_I 01000200 07c96841 - 671570 ns IT 01000200 6841 LDR r1,[r0,#4] - 671590 ns MR4_I 01000204 6002d1fc - 671650 ns MR4_D 40006004 00000001 - 671650 ns R r1 00000001 - 671650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 671670 ns R r1 80000000 - 671670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 671690 ns R psr 81000200 - 671690 ns MR4_I 01000208 2a001c5b - 671710 ns MR4_I 01000200 07c96841 - 671730 ns IT 01000200 6841 LDR r1,[r0,#4] - 671750 ns MR4_I 01000204 6002d1fc - 671810 ns MR4_D 40006004 00000001 - 671810 ns R r1 00000001 - 671810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 671830 ns R r1 80000000 - 671830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 671850 ns R psr 81000200 - 671850 ns MR4_I 01000208 2a001c5b - 671870 ns MR4_I 01000200 07c96841 - 671890 ns IT 01000200 6841 LDR r1,[r0,#4] - 671910 ns MR4_I 01000204 6002d1fc - 671970 ns MR4_D 40006004 00000001 - 671970 ns R r1 00000001 - 671970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 671990 ns R r1 80000000 - 671990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 672010 ns R psr 81000200 - 672010 ns MR4_I 01000208 2a001c5b - 672030 ns MR4_I 01000200 07c96841 - 672050 ns IT 01000200 6841 LDR r1,[r0,#4] - 672070 ns MR4_I 01000204 6002d1fc - 672130 ns MR4_D 40006004 00000001 - 672130 ns R r1 00000001 - 672130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 672150 ns R r1 80000000 - 672150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 672170 ns R psr 81000200 - 672170 ns MR4_I 01000208 2a001c5b - 672190 ns MR4_I 01000200 07c96841 - 672210 ns IT 01000200 6841 LDR r1,[r0,#4] - 672230 ns MR4_I 01000204 6002d1fc - 672290 ns MR4_D 40006004 00000001 - 672290 ns R r1 00000001 - 672290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 672310 ns R r1 80000000 - 672310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 672330 ns R psr 81000200 - 672330 ns MR4_I 01000208 2a001c5b - 672350 ns MR4_I 01000200 07c96841 - 672370 ns IT 01000200 6841 LDR r1,[r0,#4] - 672390 ns MR4_I 01000204 6002d1fc - 672450 ns MR4_D 40006004 00000001 - 672450 ns R r1 00000001 - 672450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 672470 ns R r1 80000000 - 672470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 672490 ns R psr 81000200 - 672490 ns MR4_I 01000208 2a001c5b - 672510 ns MR4_I 01000200 07c96841 - 672530 ns IT 01000200 6841 LDR r1,[r0,#4] - 672550 ns MR4_I 01000204 6002d1fc - 672610 ns MR4_D 40006004 00000001 - 672610 ns R r1 00000001 - 672610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 672630 ns R r1 80000000 - 672630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 672650 ns R psr 81000200 - 672650 ns MR4_I 01000208 2a001c5b - 672670 ns MR4_I 01000200 07c96841 - 672690 ns IT 01000200 6841 LDR r1,[r0,#4] - 672710 ns MR4_I 01000204 6002d1fc - 672770 ns MR4_D 40006004 00000001 - 672770 ns R r1 00000001 - 672770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 672790 ns R r1 80000000 - 672790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 672810 ns R psr 81000200 - 672810 ns MR4_I 01000208 2a001c5b - 672830 ns MR4_I 01000200 07c96841 - 672850 ns IT 01000200 6841 LDR r1,[r0,#4] - 672870 ns MR4_I 01000204 6002d1fc - 672930 ns MR4_D 40006004 00000001 - 672930 ns R r1 00000001 - 672930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 672950 ns R r1 80000000 - 672950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 672970 ns R psr 81000200 - 672970 ns MR4_I 01000208 2a001c5b - 672990 ns MR4_I 01000200 07c96841 - 673010 ns IT 01000200 6841 LDR r1,[r0,#4] - 673030 ns MR4_I 01000204 6002d1fc - 673090 ns MR4_D 40006004 00000001 - 673090 ns R r1 00000001 - 673090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 673110 ns R r1 80000000 - 673110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 673130 ns R psr 81000200 - 673130 ns MR4_I 01000208 2a001c5b - 673150 ns MR4_I 01000200 07c96841 - 673170 ns IT 01000200 6841 LDR r1,[r0,#4] - 673190 ns MR4_I 01000204 6002d1fc - 673250 ns MR4_D 40006004 00000001 - 673250 ns R r1 00000001 - 673250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 673270 ns R r1 80000000 - 673270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 673290 ns R psr 81000200 - 673290 ns MR4_I 01000208 2a001c5b - 673310 ns MR4_I 01000200 07c96841 - 673330 ns IT 01000200 6841 LDR r1,[r0,#4] - 673350 ns MR4_I 01000204 6002d1fc - 673410 ns MR4_D 40006004 00000001 - 673410 ns R r1 00000001 - 673410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 673430 ns R r1 80000000 - 673430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 673450 ns R psr 81000200 - 673450 ns MR4_I 01000208 2a001c5b - 673470 ns MR4_I 01000200 07c96841 - 673490 ns IT 01000200 6841 LDR r1,[r0,#4] - 673510 ns MR4_I 01000204 6002d1fc - 673570 ns MR4_D 40006004 00000001 - 673570 ns R r1 00000001 - 673570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 673590 ns R r1 80000000 - 673590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 673610 ns R psr 81000200 - 673610 ns MR4_I 01000208 2a001c5b - 673630 ns MR4_I 01000200 07c96841 - 673650 ns IT 01000200 6841 LDR r1,[r0,#4] - 673670 ns MR4_I 01000204 6002d1fc - 673730 ns MR4_D 40006004 00000001 - 673730 ns R r1 00000001 - 673730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 673750 ns R r1 80000000 - 673750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 673770 ns R psr 81000200 - 673770 ns MR4_I 01000208 2a001c5b - 673790 ns MR4_I 01000200 07c96841 - 673810 ns IT 01000200 6841 LDR r1,[r0,#4] - 673830 ns MR4_I 01000204 6002d1fc - 673890 ns MR4_D 40006004 00000001 - 673890 ns R r1 00000001 - 673890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 673910 ns R r1 80000000 - 673910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 673930 ns R psr 81000200 - 673930 ns MR4_I 01000208 2a001c5b - 673950 ns MR4_I 01000200 07c96841 - 673970 ns IT 01000200 6841 LDR r1,[r0,#4] - 673990 ns MR4_I 01000204 6002d1fc - 674050 ns MR4_D 40006004 00000001 - 674050 ns R r1 00000001 - 674050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 674070 ns R r1 80000000 - 674070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 674090 ns R psr 81000200 - 674090 ns MR4_I 01000208 2a001c5b - 674110 ns MR4_I 01000200 07c96841 - 674130 ns IT 01000200 6841 LDR r1,[r0,#4] - 674150 ns MR4_I 01000204 6002d1fc - 674210 ns MR4_D 40006004 00000001 - 674210 ns R r1 00000001 - 674210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 674230 ns R r1 80000000 - 674230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 674250 ns R psr 81000200 - 674250 ns MR4_I 01000208 2a001c5b - 674270 ns MR4_I 01000200 07c96841 - 674290 ns IT 01000200 6841 LDR r1,[r0,#4] - 674310 ns MR4_I 01000204 6002d1fc - 674370 ns MR4_D 40006004 00000001 - 674370 ns R r1 00000001 - 674370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 674390 ns R r1 80000000 - 674390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 674410 ns R psr 81000200 - 674410 ns MR4_I 01000208 2a001c5b - 674430 ns MR4_I 01000200 07c96841 - 674450 ns IT 01000200 6841 LDR r1,[r0,#4] - 674470 ns MR4_I 01000204 6002d1fc - 674530 ns MR4_D 40006004 00000001 - 674530 ns R r1 00000001 - 674530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 674550 ns R r1 80000000 - 674550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 674570 ns R psr 81000200 - 674570 ns MR4_I 01000208 2a001c5b - 674590 ns MR4_I 01000200 07c96841 - 674610 ns IT 01000200 6841 LDR r1,[r0,#4] - 674630 ns MR4_I 01000204 6002d1fc - 674690 ns MR4_D 40006004 00000001 - 674690 ns R r1 00000001 - 674690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 674710 ns R r1 80000000 - 674710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 674730 ns R psr 81000200 - 674730 ns MR4_I 01000208 2a001c5b - 674750 ns MR4_I 01000200 07c96841 - 674770 ns IT 01000200 6841 LDR r1,[r0,#4] - 674790 ns MR4_I 01000204 6002d1fc - 674850 ns MR4_D 40006004 00000001 - 674850 ns R r1 00000001 - 674850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 674870 ns R r1 80000000 - 674870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 674890 ns R psr 81000200 - 674890 ns MR4_I 01000208 2a001c5b - 674910 ns MR4_I 01000200 07c96841 - 674930 ns IT 01000200 6841 LDR r1,[r0,#4] - 674950 ns MR4_I 01000204 6002d1fc - 675010 ns MR4_D 40006004 00000001 - 675010 ns R r1 00000001 - 675010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 675030 ns R r1 80000000 - 675030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 675050 ns R psr 81000200 - 675050 ns MR4_I 01000208 2a001c5b - 675070 ns MR4_I 01000200 07c96841 - 675090 ns IT 01000200 6841 LDR r1,[r0,#4] - 675110 ns MR4_I 01000204 6002d1fc - 675170 ns MR4_D 40006004 00000001 - 675170 ns R r1 00000001 - 675170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 675190 ns R r1 80000000 - 675190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 675210 ns R psr 81000200 - 675210 ns MR4_I 01000208 2a001c5b - 675230 ns MR4_I 01000200 07c96841 - 675250 ns IT 01000200 6841 LDR r1,[r0,#4] - 675270 ns MR4_I 01000204 6002d1fc - 675330 ns MR4_D 40006004 00000001 - 675330 ns R r1 00000001 - 675330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 675350 ns R r1 80000000 - 675350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 675370 ns R psr 81000200 - 675370 ns MR4_I 01000208 2a001c5b - 675390 ns MR4_I 01000200 07c96841 - 675410 ns IT 01000200 6841 LDR r1,[r0,#4] - 675430 ns MR4_I 01000204 6002d1fc - 675490 ns MR4_D 40006004 00000001 - 675490 ns R r1 00000001 - 675490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 675510 ns R r1 80000000 - 675510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 675530 ns R psr 81000200 - 675530 ns MR4_I 01000208 2a001c5b - 675550 ns MR4_I 01000200 07c96841 - 675570 ns IT 01000200 6841 LDR r1,[r0,#4] - 675590 ns MR4_I 01000204 6002d1fc - 675650 ns MR4_D 40006004 00000001 - 675650 ns R r1 00000001 - 675650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 675670 ns R r1 80000000 - 675670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 675690 ns R psr 81000200 - 675690 ns MR4_I 01000208 2a001c5b - 675710 ns MR4_I 01000200 07c96841 - 675730 ns IT 01000200 6841 LDR r1,[r0,#4] - 675750 ns MR4_I 01000204 6002d1fc - 675810 ns MR4_D 40006004 00000001 - 675810 ns R r1 00000001 - 675810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 675830 ns R r1 80000000 - 675830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 675850 ns R psr 81000200 - 675850 ns MR4_I 01000208 2a001c5b - 675870 ns MR4_I 01000200 07c96841 - 675890 ns IT 01000200 6841 LDR r1,[r0,#4] - 675910 ns MR4_I 01000204 6002d1fc - 675970 ns MR4_D 40006004 00000001 - 675970 ns R r1 00000001 - 675970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 675990 ns R r1 80000000 - 675990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 676010 ns R psr 81000200 - 676010 ns MR4_I 01000208 2a001c5b - 676030 ns MR4_I 01000200 07c96841 - 676050 ns IT 01000200 6841 LDR r1,[r0,#4] - 676070 ns MR4_I 01000204 6002d1fc - 676130 ns MR4_D 40006004 00000001 - 676130 ns R r1 00000001 - 676130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 676150 ns R r1 80000000 - 676150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 676170 ns R psr 81000200 - 676170 ns MR4_I 01000208 2a001c5b - 676190 ns MR4_I 01000200 07c96841 - 676210 ns IT 01000200 6841 LDR r1,[r0,#4] - 676230 ns MR4_I 01000204 6002d1fc - 676290 ns MR4_D 40006004 00000001 - 676290 ns R r1 00000001 - 676290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 676310 ns R r1 80000000 - 676310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 676330 ns R psr 81000200 - 676330 ns MR4_I 01000208 2a001c5b - 676350 ns MR4_I 01000200 07c96841 - 676370 ns IT 01000200 6841 LDR r1,[r0,#4] - 676390 ns MR4_I 01000204 6002d1fc - 676450 ns MR4_D 40006004 00000001 - 676450 ns R r1 00000001 - 676450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 676470 ns R r1 80000000 - 676470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 676490 ns R psr 81000200 - 676490 ns MR4_I 01000208 2a001c5b - 676510 ns MR4_I 01000200 07c96841 - 676530 ns IT 01000200 6841 LDR r1,[r0,#4] - 676550 ns MR4_I 01000204 6002d1fc - 676610 ns MR4_D 40006004 00000001 - 676610 ns R r1 00000001 - 676610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 676630 ns R r1 80000000 - 676630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 676650 ns R psr 81000200 - 676650 ns MR4_I 01000208 2a001c5b - 676670 ns MR4_I 01000200 07c96841 - 676690 ns IT 01000200 6841 LDR r1,[r0,#4] - 676710 ns MR4_I 01000204 6002d1fc - 676770 ns MR4_D 40006004 00000001 - 676770 ns R r1 00000001 - 676770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 676790 ns R r1 80000000 - 676790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 676810 ns R psr 81000200 - 676810 ns MR4_I 01000208 2a001c5b - 676830 ns MR4_I 01000200 07c96841 - 676850 ns IT 01000200 6841 LDR r1,[r0,#4] - 676870 ns MR4_I 01000204 6002d1fc - 676930 ns MR4_D 40006004 00000001 - 676930 ns R r1 00000001 - 676930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 676950 ns R r1 80000000 - 676950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 676970 ns R psr 81000200 - 676970 ns MR4_I 01000208 2a001c5b - 676990 ns MR4_I 01000200 07c96841 - 677010 ns IT 01000200 6841 LDR r1,[r0,#4] - 677030 ns MR4_I 01000204 6002d1fc - 677090 ns MR4_D 40006004 00000001 - 677090 ns R r1 00000001 - 677090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 677110 ns R r1 80000000 - 677110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 677130 ns R psr 81000200 - 677130 ns MR4_I 01000208 2a001c5b - 677150 ns MR4_I 01000200 07c96841 - 677170 ns IT 01000200 6841 LDR r1,[r0,#4] - 677190 ns MR4_I 01000204 6002d1fc - 677250 ns MR4_D 40006004 00000001 - 677250 ns R r1 00000001 - 677250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 677270 ns R r1 80000000 - 677270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 677290 ns R psr 81000200 - 677290 ns MR4_I 01000208 2a001c5b - 677310 ns MR4_I 01000200 07c96841 - 677330 ns IT 01000200 6841 LDR r1,[r0,#4] - 677350 ns MR4_I 01000204 6002d1fc - 677410 ns MR4_D 40006004 00000001 - 677410 ns R r1 00000001 - 677410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 677430 ns R r1 80000000 - 677430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 677450 ns R psr 81000200 - 677450 ns MR4_I 01000208 2a001c5b - 677470 ns MR4_I 01000200 07c96841 - 677490 ns IT 01000200 6841 LDR r1,[r0,#4] - 677510 ns MR4_I 01000204 6002d1fc - 677570 ns MR4_D 40006004 00000001 - 677570 ns R r1 00000001 - 677570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 677590 ns R r1 80000000 - 677590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 677610 ns R psr 81000200 - 677610 ns MR4_I 01000208 2a001c5b - 677630 ns MR4_I 01000200 07c96841 - 677650 ns IT 01000200 6841 LDR r1,[r0,#4] - 677670 ns MR4_I 01000204 6002d1fc - 677730 ns MR4_D 40006004 00000001 - 677730 ns R r1 00000001 - 677730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 677750 ns R r1 80000000 - 677750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 677770 ns R psr 81000200 - 677770 ns MR4_I 01000208 2a001c5b - 677790 ns MR4_I 01000200 07c96841 - 677810 ns IT 01000200 6841 LDR r1,[r0,#4] - 677830 ns MR4_I 01000204 6002d1fc - 677890 ns MR4_D 40006004 00000001 - 677890 ns R r1 00000001 - 677890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 677910 ns R r1 80000000 - 677910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 677930 ns R psr 81000200 - 677930 ns MR4_I 01000208 2a001c5b - 677950 ns MR4_I 01000200 07c96841 - 677970 ns IT 01000200 6841 LDR r1,[r0,#4] - 677990 ns MR4_I 01000204 6002d1fc - 678050 ns MR4_D 40006004 00000001 - 678050 ns R r1 00000001 - 678050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 678070 ns R r1 80000000 - 678070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 678090 ns R psr 81000200 - 678090 ns MR4_I 01000208 2a001c5b - 678110 ns MR4_I 01000200 07c96841 - 678130 ns IT 01000200 6841 LDR r1,[r0,#4] - 678150 ns MR4_I 01000204 6002d1fc - 678210 ns MR4_D 40006004 00000001 - 678210 ns R r1 00000001 - 678210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 678230 ns R r1 80000000 - 678230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 678250 ns R psr 81000200 - 678250 ns MR4_I 01000208 2a001c5b - 678270 ns MR4_I 01000200 07c96841 - 678290 ns IT 01000200 6841 LDR r1,[r0,#4] - 678310 ns MR4_I 01000204 6002d1fc - 678370 ns MR4_D 40006004 00000001 - 678370 ns R r1 00000001 - 678370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 678390 ns R r1 80000000 - 678390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 678410 ns R psr 81000200 - 678410 ns MR4_I 01000208 2a001c5b - 678430 ns MR4_I 01000200 07c96841 - 678450 ns IT 01000200 6841 LDR r1,[r0,#4] - 678470 ns MR4_I 01000204 6002d1fc - 678530 ns MR4_D 40006004 00000001 - 678530 ns R r1 00000001 - 678530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 678550 ns R r1 80000000 - 678550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 678570 ns R psr 81000200 - 678570 ns MR4_I 01000208 2a001c5b - 678590 ns MR4_I 01000200 07c96841 - 678610 ns IT 01000200 6841 LDR r1,[r0,#4] - 678630 ns MR4_I 01000204 6002d1fc - 678690 ns MR4_D 40006004 00000001 - 678690 ns R r1 00000001 - 678690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 678710 ns R r1 80000000 - 678710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 678730 ns R psr 81000200 - 678730 ns MR4_I 01000208 2a001c5b - 678750 ns MR4_I 01000200 07c96841 - 678770 ns IT 01000200 6841 LDR r1,[r0,#4] - 678790 ns MR4_I 01000204 6002d1fc - 678850 ns MR4_D 40006004 00000001 - 678850 ns R r1 00000001 - 678850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 678870 ns R r1 80000000 - 678870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 678890 ns R psr 81000200 - 678890 ns MR4_I 01000208 2a001c5b - 678910 ns MR4_I 01000200 07c96841 - 678930 ns IT 01000200 6841 LDR r1,[r0,#4] - 678950 ns MR4_I 01000204 6002d1fc - 679010 ns MR4_D 40006004 00000001 - 679010 ns R r1 00000001 - 679010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 679030 ns R r1 80000000 - 679030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 679050 ns R psr 81000200 - 679050 ns MR4_I 01000208 2a001c5b - 679070 ns MR4_I 01000200 07c96841 - 679090 ns IT 01000200 6841 LDR r1,[r0,#4] - 679110 ns MR4_I 01000204 6002d1fc - 679170 ns MR4_D 40006004 00000001 - 679170 ns R r1 00000001 - 679170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 679190 ns R r1 80000000 - 679190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 679210 ns R psr 81000200 - 679210 ns MR4_I 01000208 2a001c5b - 679230 ns MR4_I 01000200 07c96841 - 679250 ns IT 01000200 6841 LDR r1,[r0,#4] - 679270 ns MR4_I 01000204 6002d1fc - 679330 ns MR4_D 40006004 00000001 - 679330 ns R r1 00000001 - 679330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 679350 ns R r1 80000000 - 679350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 679370 ns R psr 81000200 - 679370 ns MR4_I 01000208 2a001c5b - 679390 ns MR4_I 01000200 07c96841 - 679410 ns IT 01000200 6841 LDR r1,[r0,#4] - 679430 ns MR4_I 01000204 6002d1fc - 679490 ns MR4_D 40006004 00000001 - 679490 ns R r1 00000001 - 679490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 679510 ns R r1 80000000 - 679510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 679530 ns R psr 81000200 - 679530 ns MR4_I 01000208 2a001c5b - 679550 ns MR4_I 01000200 07c96841 - 679570 ns IT 01000200 6841 LDR r1,[r0,#4] - 679590 ns MR4_I 01000204 6002d1fc - 679650 ns MR4_D 40006004 00000001 - 679650 ns R r1 00000001 - 679650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 679670 ns R r1 80000000 - 679670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 679690 ns R psr 81000200 - 679690 ns MR4_I 01000208 2a001c5b - 679710 ns MR4_I 01000200 07c96841 - 679730 ns IT 01000200 6841 LDR r1,[r0,#4] - 679750 ns MR4_I 01000204 6002d1fc - 679810 ns MR4_D 40006004 00000001 - 679810 ns R r1 00000001 - 679810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 679830 ns R r1 80000000 - 679830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 679850 ns R psr 81000200 - 679850 ns MR4_I 01000208 2a001c5b - 679870 ns MR4_I 01000200 07c96841 - 679890 ns IT 01000200 6841 LDR r1,[r0,#4] - 679910 ns MR4_I 01000204 6002d1fc - 679970 ns MR4_D 40006004 00000001 - 679970 ns R r1 00000001 - 679970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 679990 ns R r1 80000000 - 679990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 680010 ns R psr 81000200 - 680010 ns MR4_I 01000208 2a001c5b - 680030 ns MR4_I 01000200 07c96841 - 680050 ns IT 01000200 6841 LDR r1,[r0,#4] - 680070 ns MR4_I 01000204 6002d1fc - 680130 ns MR4_D 40006004 00000001 - 680130 ns R r1 00000001 - 680130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 680150 ns R r1 80000000 - 680150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 680170 ns R psr 81000200 - 680170 ns MR4_I 01000208 2a001c5b - 680190 ns MR4_I 01000200 07c96841 - 680210 ns IT 01000200 6841 LDR r1,[r0,#4] - 680230 ns MR4_I 01000204 6002d1fc - 680290 ns MR4_D 40006004 00000001 - 680290 ns R r1 00000001 - 680290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 680310 ns R r1 80000000 - 680310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 680330 ns R psr 81000200 - 680330 ns MR4_I 01000208 2a001c5b - 680350 ns MR4_I 01000200 07c96841 - 680370 ns IT 01000200 6841 LDR r1,[r0,#4] - 680390 ns MR4_I 01000204 6002d1fc - 680450 ns MR4_D 40006004 00000001 - 680450 ns R r1 00000001 - 680450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 680470 ns R r1 80000000 - 680470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 680490 ns R psr 81000200 - 680490 ns MR4_I 01000208 2a001c5b - 680510 ns MR4_I 01000200 07c96841 - 680530 ns IT 01000200 6841 LDR r1,[r0,#4] - 680550 ns MR4_I 01000204 6002d1fc - 680610 ns MR4_D 40006004 00000001 - 680610 ns R r1 00000001 - 680610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 680630 ns R r1 80000000 - 680630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 680650 ns R psr 81000200 - 680650 ns MR4_I 01000208 2a001c5b - 680670 ns MR4_I 01000200 07c96841 - 680690 ns IT 01000200 6841 LDR r1,[r0,#4] - 680710 ns MR4_I 01000204 6002d1fc - 680770 ns MR4_D 40006004 00000001 - 680770 ns R r1 00000001 - 680770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 680790 ns R r1 80000000 - 680790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 680810 ns R psr 81000200 - 680810 ns MR4_I 01000208 2a001c5b - 680830 ns MR4_I 01000200 07c96841 - 680850 ns IT 01000200 6841 LDR r1,[r0,#4] - 680870 ns MR4_I 01000204 6002d1fc - 680930 ns MR4_D 40006004 00000001 - 680930 ns R r1 00000001 - 680930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 680950 ns R r1 80000000 - 680950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 680970 ns R psr 81000200 - 680970 ns MR4_I 01000208 2a001c5b - 680990 ns MR4_I 01000200 07c96841 - 681010 ns IT 01000200 6841 LDR r1,[r0,#4] - 681030 ns MR4_I 01000204 6002d1fc - 681090 ns MR4_D 40006004 00000001 - 681090 ns R r1 00000001 - 681090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 681110 ns R r1 80000000 - 681110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 681130 ns R psr 81000200 - 681130 ns MR4_I 01000208 2a001c5b - 681150 ns MR4_I 01000200 07c96841 - 681170 ns IT 01000200 6841 LDR r1,[r0,#4] - 681190 ns MR4_I 01000204 6002d1fc - 681250 ns MR4_D 40006004 00000001 - 681250 ns R r1 00000001 - 681250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 681270 ns R r1 80000000 - 681270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 681290 ns R psr 81000200 - 681290 ns MR4_I 01000208 2a001c5b - 681310 ns MR4_I 01000200 07c96841 - 681330 ns IT 01000200 6841 LDR r1,[r0,#4] - 681350 ns MR4_I 01000204 6002d1fc - 681410 ns MR4_D 40006004 00000001 - 681410 ns R r1 00000001 - 681410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 681430 ns R r1 80000000 - 681430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 681450 ns R psr 81000200 - 681450 ns MR4_I 01000208 2a001c5b - 681470 ns MR4_I 01000200 07c96841 - 681490 ns IT 01000200 6841 LDR r1,[r0,#4] - 681510 ns MR4_I 01000204 6002d1fc - 681570 ns MR4_D 40006004 00000001 - 681570 ns R r1 00000001 - 681570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 681590 ns R r1 80000000 - 681590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 681610 ns R psr 81000200 - 681610 ns MR4_I 01000208 2a001c5b - 681630 ns MR4_I 01000200 07c96841 - 681650 ns IT 01000200 6841 LDR r1,[r0,#4] - 681670 ns MR4_I 01000204 6002d1fc - 681730 ns MR4_D 40006004 00000001 - 681730 ns R r1 00000001 - 681730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 681750 ns R r1 80000000 - 681750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 681770 ns R psr 81000200 - 681770 ns MR4_I 01000208 2a001c5b - 681790 ns MR4_I 01000200 07c96841 - 681810 ns IT 01000200 6841 LDR r1,[r0,#4] - 681830 ns MR4_I 01000204 6002d1fc - 681890 ns MR4_D 40006004 00000001 - 681890 ns R r1 00000001 - 681890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 681910 ns R r1 80000000 - 681910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 681930 ns R psr 81000200 - 681930 ns MR4_I 01000208 2a001c5b - 681950 ns MR4_I 01000200 07c96841 - 681970 ns IT 01000200 6841 LDR r1,[r0,#4] - 681990 ns MR4_I 01000204 6002d1fc - 682050 ns MR4_D 40006004 00000001 - 682050 ns R r1 00000001 - 682050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 682070 ns R r1 80000000 - 682070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 682090 ns R psr 81000200 - 682090 ns MR4_I 01000208 2a001c5b - 682110 ns MR4_I 01000200 07c96841 - 682130 ns IT 01000200 6841 LDR r1,[r0,#4] - 682150 ns MR4_I 01000204 6002d1fc - 682210 ns MR4_D 40006004 00000001 - 682210 ns R r1 00000001 - 682210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 682230 ns R r1 80000000 - 682230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 682250 ns R psr 81000200 - 682250 ns MR4_I 01000208 2a001c5b - 682270 ns MR4_I 01000200 07c96841 - 682290 ns IT 01000200 6841 LDR r1,[r0,#4] - 682310 ns MR4_I 01000204 6002d1fc - 682370 ns MR4_D 40006004 00000000 - 682370 ns R r1 00000000 - 682370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 682390 ns R r1 00000000 - 682390 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 682410 ns R psr 41000200 - 682410 ns MR4_I 01000208 2a001c5b - 682410 ns IT 01000206 6002 STR r2,[r0,#0] - 682490 ns MW4_D 40006000 0000004d - 682490 ns IT 01000208 1c5b ADDS r3,r3,#1 - 682510 ns MR4_I 0100020c a32ad1f5 - 682510 ns R r3 010002a6 - 682510 ns IT 0100020a 2a00 CMP r2,#0 - 682530 ns R psr 01000200 - 682530 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 682550 ns R psr 21000200 - 682550 ns MR4_I 01000210 2a00781a - 682570 ns MR4_I 010001f8 781aa326 - 682590 ns MR4_I 010001fc d0062a00 - 682590 ns IT 010001fa 781a LDRB r2,[r3,#0] - 682630 ns MR1_D 010002a6 43204d52 - 682630 ns R r2 00000020 - 682630 ns IT 010001fc 2a00 CMP r2,#0 - 682650 ns MR4_I 01000200 07c96841 - 682650 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 682670 ns R psr 21000200 - 682670 ns IT 01000200 6841 LDR r1,[r0,#4] - 682690 ns MR4_I 01000204 6002d1fc - 682750 ns MR4_D 40006004 00000001 - 682750 ns R r1 00000001 - 682750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 682770 ns R r1 80000000 - 682770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 682790 ns R psr 81000200 - 682790 ns MR4_I 01000208 2a001c5b - 682810 ns MR4_I 01000200 07c96841 - 682830 ns IT 01000200 6841 LDR r1,[r0,#4] - 682850 ns MR4_I 01000204 6002d1fc - 682910 ns MR4_D 40006004 00000001 - 682910 ns R r1 00000001 - 682910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 682930 ns R r1 80000000 - 682930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 682950 ns R psr 81000200 - 682950 ns MR4_I 01000208 2a001c5b - 682970 ns MR4_I 01000200 07c96841 - 682990 ns IT 01000200 6841 LDR r1,[r0,#4] - 683010 ns MR4_I 01000204 6002d1fc - 683070 ns MR4_D 40006004 00000001 - 683070 ns R r1 00000001 - 683070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 683090 ns R r1 80000000 - 683090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 683110 ns R psr 81000200 - 683110 ns MR4_I 01000208 2a001c5b - 683130 ns MR4_I 01000200 07c96841 - 683150 ns IT 01000200 6841 LDR r1,[r0,#4] - 683170 ns MR4_I 01000204 6002d1fc - 683230 ns MR4_D 40006004 00000001 - 683230 ns R r1 00000001 - 683230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 683250 ns R r1 80000000 - 683250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 683270 ns R psr 81000200 - 683270 ns MR4_I 01000208 2a001c5b - 683290 ns MR4_I 01000200 07c96841 - 683310 ns IT 01000200 6841 LDR r1,[r0,#4] - 683330 ns MR4_I 01000204 6002d1fc - 683390 ns MR4_D 40006004 00000001 - 683390 ns R r1 00000001 - 683390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 683410 ns R r1 80000000 - 683410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 683430 ns R psr 81000200 - 683430 ns MR4_I 01000208 2a001c5b - 683450 ns MR4_I 01000200 07c96841 - 683470 ns IT 01000200 6841 LDR r1,[r0,#4] - 683490 ns MR4_I 01000204 6002d1fc - 683550 ns MR4_D 40006004 00000001 - 683550 ns R r1 00000001 - 683550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 683570 ns R r1 80000000 - 683570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 683590 ns R psr 81000200 - 683590 ns MR4_I 01000208 2a001c5b - 683610 ns MR4_I 01000200 07c96841 - 683630 ns IT 01000200 6841 LDR r1,[r0,#4] - 683650 ns MR4_I 01000204 6002d1fc - 683710 ns MR4_D 40006004 00000001 - 683710 ns R r1 00000001 - 683710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 683730 ns R r1 80000000 - 683730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 683750 ns R psr 81000200 - 683750 ns MR4_I 01000208 2a001c5b - 683770 ns MR4_I 01000200 07c96841 - 683790 ns IT 01000200 6841 LDR r1,[r0,#4] - 683810 ns MR4_I 01000204 6002d1fc - 683870 ns MR4_D 40006004 00000001 - 683870 ns R r1 00000001 - 683870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 683890 ns R r1 80000000 - 683890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 683910 ns R psr 81000200 - 683910 ns MR4_I 01000208 2a001c5b - 683930 ns MR4_I 01000200 07c96841 - 683950 ns IT 01000200 6841 LDR r1,[r0,#4] - 683970 ns MR4_I 01000204 6002d1fc - 684030 ns MR4_D 40006004 00000001 - 684030 ns R r1 00000001 - 684030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 684050 ns R r1 80000000 - 684050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 684070 ns R psr 81000200 - 684070 ns MR4_I 01000208 2a001c5b - 684090 ns MR4_I 01000200 07c96841 - 684110 ns IT 01000200 6841 LDR r1,[r0,#4] - 684130 ns MR4_I 01000204 6002d1fc - 684190 ns MR4_D 40006004 00000001 - 684190 ns R r1 00000001 - 684190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 684210 ns R r1 80000000 - 684210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 684230 ns R psr 81000200 - 684230 ns MR4_I 01000208 2a001c5b - 684250 ns MR4_I 01000200 07c96841 - 684270 ns IT 01000200 6841 LDR r1,[r0,#4] - 684290 ns MR4_I 01000204 6002d1fc - 684350 ns MR4_D 40006004 00000001 - 684350 ns R r1 00000001 - 684350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 684370 ns R r1 80000000 - 684370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 684390 ns R psr 81000200 - 684390 ns MR4_I 01000208 2a001c5b - 684410 ns MR4_I 01000200 07c96841 - 684430 ns IT 01000200 6841 LDR r1,[r0,#4] - 684450 ns MR4_I 01000204 6002d1fc - 684510 ns MR4_D 40006004 00000001 - 684510 ns R r1 00000001 - 684510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 684530 ns R r1 80000000 - 684530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 684550 ns R psr 81000200 - 684550 ns MR4_I 01000208 2a001c5b - 684570 ns MR4_I 01000200 07c96841 - 684590 ns IT 01000200 6841 LDR r1,[r0,#4] - 684610 ns MR4_I 01000204 6002d1fc - 684670 ns MR4_D 40006004 00000001 - 684670 ns R r1 00000001 - 684670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 684690 ns R r1 80000000 - 684690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 684710 ns R psr 81000200 - 684710 ns MR4_I 01000208 2a001c5b - 684730 ns MR4_I 01000200 07c96841 - 684750 ns IT 01000200 6841 LDR r1,[r0,#4] - 684770 ns MR4_I 01000204 6002d1fc - 684830 ns MR4_D 40006004 00000001 - 684830 ns R r1 00000001 - 684830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 684850 ns R r1 80000000 - 684850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 684870 ns R psr 81000200 - 684870 ns MR4_I 01000208 2a001c5b - 684890 ns MR4_I 01000200 07c96841 - 684910 ns IT 01000200 6841 LDR r1,[r0,#4] - 684930 ns MR4_I 01000204 6002d1fc - 684990 ns MR4_D 40006004 00000001 - 684990 ns R r1 00000001 - 684990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 685010 ns R r1 80000000 - 685010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 685030 ns R psr 81000200 - 685030 ns MR4_I 01000208 2a001c5b - 685050 ns MR4_I 01000200 07c96841 - 685070 ns IT 01000200 6841 LDR r1,[r0,#4] - 685090 ns MR4_I 01000204 6002d1fc - 685150 ns MR4_D 40006004 00000001 - 685150 ns R r1 00000001 - 685150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 685170 ns R r1 80000000 - 685170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 685190 ns R psr 81000200 - 685190 ns MR4_I 01000208 2a001c5b - 685210 ns MR4_I 01000200 07c96841 - 685230 ns IT 01000200 6841 LDR r1,[r0,#4] - 685250 ns MR4_I 01000204 6002d1fc - 685310 ns MR4_D 40006004 00000001 - 685310 ns R r1 00000001 - 685310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 685330 ns R r1 80000000 - 685330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 685350 ns R psr 81000200 - 685350 ns MR4_I 01000208 2a001c5b - 685370 ns MR4_I 01000200 07c96841 - 685390 ns IT 01000200 6841 LDR r1,[r0,#4] - 685410 ns MR4_I 01000204 6002d1fc - 685470 ns MR4_D 40006004 00000001 - 685470 ns R r1 00000001 - 685470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 685490 ns R r1 80000000 - 685490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 685510 ns R psr 81000200 - 685510 ns MR4_I 01000208 2a001c5b - 685530 ns MR4_I 01000200 07c96841 - 685550 ns IT 01000200 6841 LDR r1,[r0,#4] - 685570 ns MR4_I 01000204 6002d1fc - 685630 ns MR4_D 40006004 00000001 - 685630 ns R r1 00000001 - 685630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 685650 ns R r1 80000000 - 685650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 685670 ns R psr 81000200 - 685670 ns MR4_I 01000208 2a001c5b - 685690 ns MR4_I 01000200 07c96841 - 685710 ns IT 01000200 6841 LDR r1,[r0,#4] - 685730 ns MR4_I 01000204 6002d1fc - 685790 ns MR4_D 40006004 00000001 - 685790 ns R r1 00000001 - 685790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 685810 ns R r1 80000000 - 685810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 685830 ns R psr 81000200 - 685830 ns MR4_I 01000208 2a001c5b - 685850 ns MR4_I 01000200 07c96841 - 685870 ns IT 01000200 6841 LDR r1,[r0,#4] - 685890 ns MR4_I 01000204 6002d1fc - 685950 ns MR4_D 40006004 00000001 - 685950 ns R r1 00000001 - 685950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 685970 ns R r1 80000000 - 685970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 685990 ns R psr 81000200 - 685990 ns MR4_I 01000208 2a001c5b - 686010 ns MR4_I 01000200 07c96841 - 686030 ns IT 01000200 6841 LDR r1,[r0,#4] - 686050 ns MR4_I 01000204 6002d1fc - 686110 ns MR4_D 40006004 00000001 - 686110 ns R r1 00000001 - 686110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 686130 ns R r1 80000000 - 686130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 686150 ns R psr 81000200 - 686150 ns MR4_I 01000208 2a001c5b - 686170 ns MR4_I 01000200 07c96841 - 686190 ns IT 01000200 6841 LDR r1,[r0,#4] - 686210 ns MR4_I 01000204 6002d1fc - 686270 ns MR4_D 40006004 00000001 - 686270 ns R r1 00000001 - 686270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 686290 ns R r1 80000000 - 686290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 686310 ns R psr 81000200 - 686310 ns MR4_I 01000208 2a001c5b - 686330 ns MR4_I 01000200 07c96841 - 686350 ns IT 01000200 6841 LDR r1,[r0,#4] - 686370 ns MR4_I 01000204 6002d1fc - 686430 ns MR4_D 40006004 00000001 - 686430 ns R r1 00000001 - 686430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 686450 ns R r1 80000000 - 686450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 686470 ns R psr 81000200 - 686470 ns MR4_I 01000208 2a001c5b - 686490 ns MR4_I 01000200 07c96841 - 686510 ns IT 01000200 6841 LDR r1,[r0,#4] - 686530 ns MR4_I 01000204 6002d1fc - 686590 ns MR4_D 40006004 00000001 - 686590 ns R r1 00000001 - 686590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 686610 ns R r1 80000000 - 686610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 686630 ns R psr 81000200 - 686630 ns MR4_I 01000208 2a001c5b - 686650 ns MR4_I 01000200 07c96841 - 686670 ns IT 01000200 6841 LDR r1,[r0,#4] - 686690 ns MR4_I 01000204 6002d1fc - 686750 ns MR4_D 40006004 00000001 - 686750 ns R r1 00000001 - 686750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 686770 ns R r1 80000000 - 686770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 686790 ns R psr 81000200 - 686790 ns MR4_I 01000208 2a001c5b - 686810 ns MR4_I 01000200 07c96841 - 686830 ns IT 01000200 6841 LDR r1,[r0,#4] - 686850 ns MR4_I 01000204 6002d1fc - 686910 ns MR4_D 40006004 00000001 - 686910 ns R r1 00000001 - 686910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 686930 ns R r1 80000000 - 686930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 686950 ns R psr 81000200 - 686950 ns MR4_I 01000208 2a001c5b - 686970 ns MR4_I 01000200 07c96841 - 686990 ns IT 01000200 6841 LDR r1,[r0,#4] - 687010 ns MR4_I 01000204 6002d1fc - 687070 ns MR4_D 40006004 00000001 - 687070 ns R r1 00000001 - 687070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 687090 ns R r1 80000000 - 687090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 687110 ns R psr 81000200 - 687110 ns MR4_I 01000208 2a001c5b - 687130 ns MR4_I 01000200 07c96841 - 687150 ns IT 01000200 6841 LDR r1,[r0,#4] - 687170 ns MR4_I 01000204 6002d1fc - 687230 ns MR4_D 40006004 00000001 - 687230 ns R r1 00000001 - 687230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 687250 ns R r1 80000000 - 687250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 687270 ns R psr 81000200 - 687270 ns MR4_I 01000208 2a001c5b - 687290 ns MR4_I 01000200 07c96841 - 687310 ns IT 01000200 6841 LDR r1,[r0,#4] - 687330 ns MR4_I 01000204 6002d1fc - 687390 ns MR4_D 40006004 00000001 - 687390 ns R r1 00000001 - 687390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 687410 ns R r1 80000000 - 687410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 687430 ns R psr 81000200 - 687430 ns MR4_I 01000208 2a001c5b - 687450 ns MR4_I 01000200 07c96841 - 687470 ns IT 01000200 6841 LDR r1,[r0,#4] - 687490 ns MR4_I 01000204 6002d1fc - 687550 ns MR4_D 40006004 00000001 - 687550 ns R r1 00000001 - 687550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 687570 ns R r1 80000000 - 687570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 687590 ns R psr 81000200 - 687590 ns MR4_I 01000208 2a001c5b - 687610 ns MR4_I 01000200 07c96841 - 687630 ns IT 01000200 6841 LDR r1,[r0,#4] - 687650 ns MR4_I 01000204 6002d1fc - 687710 ns MR4_D 40006004 00000001 - 687710 ns R r1 00000001 - 687710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 687730 ns R r1 80000000 - 687730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 687750 ns R psr 81000200 - 687750 ns MR4_I 01000208 2a001c5b - 687770 ns MR4_I 01000200 07c96841 - 687790 ns IT 01000200 6841 LDR r1,[r0,#4] - 687810 ns MR4_I 01000204 6002d1fc - 687870 ns MR4_D 40006004 00000001 - 687870 ns R r1 00000001 - 687870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 687890 ns R r1 80000000 - 687890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 687910 ns R psr 81000200 - 687910 ns MR4_I 01000208 2a001c5b - 687930 ns MR4_I 01000200 07c96841 - 687950 ns IT 01000200 6841 LDR r1,[r0,#4] - 687970 ns MR4_I 01000204 6002d1fc - 688030 ns MR4_D 40006004 00000001 - 688030 ns R r1 00000001 - 688030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 688050 ns R r1 80000000 - 688050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 688070 ns R psr 81000200 - 688070 ns MR4_I 01000208 2a001c5b - 688090 ns MR4_I 01000200 07c96841 - 688110 ns IT 01000200 6841 LDR r1,[r0,#4] - 688130 ns MR4_I 01000204 6002d1fc - 688190 ns MR4_D 40006004 00000001 - 688190 ns R r1 00000001 - 688190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 688210 ns R r1 80000000 - 688210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 688230 ns R psr 81000200 - 688230 ns MR4_I 01000208 2a001c5b - 688250 ns MR4_I 01000200 07c96841 - 688270 ns IT 01000200 6841 LDR r1,[r0,#4] - 688290 ns MR4_I 01000204 6002d1fc - 688350 ns MR4_D 40006004 00000001 - 688350 ns R r1 00000001 - 688350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 688370 ns R r1 80000000 - 688370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 688390 ns R psr 81000200 - 688390 ns MR4_I 01000208 2a001c5b - 688410 ns MR4_I 01000200 07c96841 - 688430 ns IT 01000200 6841 LDR r1,[r0,#4] - 688450 ns MR4_I 01000204 6002d1fc - 688510 ns MR4_D 40006004 00000001 - 688510 ns R r1 00000001 - 688510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 688530 ns R r1 80000000 - 688530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 688550 ns R psr 81000200 - 688550 ns MR4_I 01000208 2a001c5b - 688570 ns MR4_I 01000200 07c96841 - 688590 ns IT 01000200 6841 LDR r1,[r0,#4] - 688610 ns MR4_I 01000204 6002d1fc - 688670 ns MR4_D 40006004 00000001 - 688670 ns R r1 00000001 - 688670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 688690 ns R r1 80000000 - 688690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 688710 ns R psr 81000200 - 688710 ns MR4_I 01000208 2a001c5b - 688730 ns MR4_I 01000200 07c96841 - 688750 ns IT 01000200 6841 LDR r1,[r0,#4] - 688770 ns MR4_I 01000204 6002d1fc - 688830 ns MR4_D 40006004 00000001 - 688830 ns R r1 00000001 - 688830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 688850 ns R r1 80000000 - 688850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 688870 ns R psr 81000200 - 688870 ns MR4_I 01000208 2a001c5b - 688890 ns MR4_I 01000200 07c96841 - 688910 ns IT 01000200 6841 LDR r1,[r0,#4] - 688930 ns MR4_I 01000204 6002d1fc - 688990 ns MR4_D 40006004 00000001 - 688990 ns R r1 00000001 - 688990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 689010 ns R r1 80000000 - 689010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 689030 ns R psr 81000200 - 689030 ns MR4_I 01000208 2a001c5b - 689050 ns MR4_I 01000200 07c96841 - 689070 ns IT 01000200 6841 LDR r1,[r0,#4] - 689090 ns MR4_I 01000204 6002d1fc - 689150 ns MR4_D 40006004 00000001 - 689150 ns R r1 00000001 - 689150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 689170 ns R r1 80000000 - 689170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 689190 ns R psr 81000200 - 689190 ns MR4_I 01000208 2a001c5b - 689210 ns MR4_I 01000200 07c96841 - 689230 ns IT 01000200 6841 LDR r1,[r0,#4] - 689250 ns MR4_I 01000204 6002d1fc - 689310 ns MR4_D 40006004 00000001 - 689310 ns R r1 00000001 - 689310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 689330 ns R r1 80000000 - 689330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 689350 ns R psr 81000200 - 689350 ns MR4_I 01000208 2a001c5b - 689370 ns MR4_I 01000200 07c96841 - 689390 ns IT 01000200 6841 LDR r1,[r0,#4] - 689410 ns MR4_I 01000204 6002d1fc - 689470 ns MR4_D 40006004 00000001 - 689470 ns R r1 00000001 - 689470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 689490 ns R r1 80000000 - 689490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 689510 ns R psr 81000200 - 689510 ns MR4_I 01000208 2a001c5b - 689530 ns MR4_I 01000200 07c96841 - 689550 ns IT 01000200 6841 LDR r1,[r0,#4] - 689570 ns MR4_I 01000204 6002d1fc - 689630 ns MR4_D 40006004 00000001 - 689630 ns R r1 00000001 - 689630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 689650 ns R r1 80000000 - 689650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 689670 ns R psr 81000200 - 689670 ns MR4_I 01000208 2a001c5b - 689690 ns MR4_I 01000200 07c96841 - 689710 ns IT 01000200 6841 LDR r1,[r0,#4] - 689730 ns MR4_I 01000204 6002d1fc - 689790 ns MR4_D 40006004 00000001 - 689790 ns R r1 00000001 - 689790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 689810 ns R r1 80000000 - 689810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 689830 ns R psr 81000200 - 689830 ns MR4_I 01000208 2a001c5b - 689850 ns MR4_I 01000200 07c96841 - 689870 ns IT 01000200 6841 LDR r1,[r0,#4] - 689890 ns MR4_I 01000204 6002d1fc - 689950 ns MR4_D 40006004 00000001 - 689950 ns R r1 00000001 - 689950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 689970 ns R r1 80000000 - 689970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 689990 ns R psr 81000200 - 689990 ns MR4_I 01000208 2a001c5b - 690010 ns MR4_I 01000200 07c96841 - 690030 ns IT 01000200 6841 LDR r1,[r0,#4] - 690050 ns MR4_I 01000204 6002d1fc - 690110 ns MR4_D 40006004 00000001 - 690110 ns R r1 00000001 - 690110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 690130 ns R r1 80000000 - 690130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 690150 ns R psr 81000200 - 690150 ns MR4_I 01000208 2a001c5b - 690170 ns MR4_I 01000200 07c96841 - 690190 ns IT 01000200 6841 LDR r1,[r0,#4] - 690210 ns MR4_I 01000204 6002d1fc - 690270 ns MR4_D 40006004 00000001 - 690270 ns R r1 00000001 - 690270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 690290 ns R r1 80000000 - 690290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 690310 ns R psr 81000200 - 690310 ns MR4_I 01000208 2a001c5b - 690330 ns MR4_I 01000200 07c96841 - 690350 ns IT 01000200 6841 LDR r1,[r0,#4] - 690370 ns MR4_I 01000204 6002d1fc - 690430 ns MR4_D 40006004 00000001 - 690430 ns R r1 00000001 - 690430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 690450 ns R r1 80000000 - 690450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 690470 ns R psr 81000200 - 690470 ns MR4_I 01000208 2a001c5b - 690490 ns MR4_I 01000200 07c96841 - 690510 ns IT 01000200 6841 LDR r1,[r0,#4] - 690530 ns MR4_I 01000204 6002d1fc - 690590 ns MR4_D 40006004 00000001 - 690590 ns R r1 00000001 - 690590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 690610 ns R r1 80000000 - 690610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 690630 ns R psr 81000200 - 690630 ns MR4_I 01000208 2a001c5b - 690650 ns MR4_I 01000200 07c96841 - 690670 ns IT 01000200 6841 LDR r1,[r0,#4] - 690690 ns MR4_I 01000204 6002d1fc - 690750 ns MR4_D 40006004 00000001 - 690750 ns R r1 00000001 - 690750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 690770 ns R r1 80000000 - 690770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 690790 ns R psr 81000200 - 690790 ns MR4_I 01000208 2a001c5b - 690810 ns MR4_I 01000200 07c96841 - 690830 ns IT 01000200 6841 LDR r1,[r0,#4] - 690850 ns MR4_I 01000204 6002d1fc - 690910 ns MR4_D 40006004 00000001 - 690910 ns R r1 00000001 - 690910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 690930 ns R r1 80000000 - 690930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 690950 ns R psr 81000200 - 690950 ns MR4_I 01000208 2a001c5b - 690970 ns MR4_I 01000200 07c96841 - 690990 ns IT 01000200 6841 LDR r1,[r0,#4] - 691010 ns MR4_I 01000204 6002d1fc - 691070 ns MR4_D 40006004 00000001 - 691070 ns R r1 00000001 - 691070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 691090 ns R r1 80000000 - 691090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 691110 ns R psr 81000200 - 691110 ns MR4_I 01000208 2a001c5b - 691130 ns MR4_I 01000200 07c96841 - 691150 ns IT 01000200 6841 LDR r1,[r0,#4] - 691170 ns MR4_I 01000204 6002d1fc - 691230 ns MR4_D 40006004 00000001 - 691230 ns R r1 00000001 - 691230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 691250 ns R r1 80000000 - 691250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 691270 ns R psr 81000200 - 691270 ns MR4_I 01000208 2a001c5b - 691290 ns MR4_I 01000200 07c96841 - 691310 ns IT 01000200 6841 LDR r1,[r0,#4] - 691330 ns MR4_I 01000204 6002d1fc - 691390 ns MR4_D 40006004 00000001 - 691390 ns R r1 00000001 - 691390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 691410 ns R r1 80000000 - 691410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 691430 ns R psr 81000200 - 691430 ns MR4_I 01000208 2a001c5b - 691450 ns MR4_I 01000200 07c96841 - 691470 ns IT 01000200 6841 LDR r1,[r0,#4] - 691490 ns MR4_I 01000204 6002d1fc - 691550 ns MR4_D 40006004 00000001 - 691550 ns R r1 00000001 - 691550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 691570 ns R r1 80000000 - 691570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 691590 ns R psr 81000200 - 691590 ns MR4_I 01000208 2a001c5b - 691610 ns MR4_I 01000200 07c96841 - 691630 ns IT 01000200 6841 LDR r1,[r0,#4] - 691650 ns MR4_I 01000204 6002d1fc - 691710 ns MR4_D 40006004 00000001 - 691710 ns R r1 00000001 - 691710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 691730 ns R r1 80000000 - 691730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 691750 ns R psr 81000200 - 691750 ns MR4_I 01000208 2a001c5b - 691770 ns MR4_I 01000200 07c96841 - 691790 ns IT 01000200 6841 LDR r1,[r0,#4] - 691810 ns MR4_I 01000204 6002d1fc - 691870 ns MR4_D 40006004 00000001 - 691870 ns R r1 00000001 - 691870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 691890 ns R r1 80000000 - 691890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 691910 ns R psr 81000200 - 691910 ns MR4_I 01000208 2a001c5b - 691930 ns MR4_I 01000200 07c96841 - 691950 ns IT 01000200 6841 LDR r1,[r0,#4] - 691970 ns MR4_I 01000204 6002d1fc - 692030 ns MR4_D 40006004 00000001 - 692030 ns R r1 00000001 - 692030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 692050 ns R r1 80000000 - 692050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 692070 ns R psr 81000200 - 692070 ns MR4_I 01000208 2a001c5b - 692090 ns MR4_I 01000200 07c96841 - 692110 ns IT 01000200 6841 LDR r1,[r0,#4] - 692130 ns MR4_I 01000204 6002d1fc - 692190 ns MR4_D 40006004 00000001 - 692190 ns R r1 00000001 - 692190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 692210 ns R r1 80000000 - 692210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 692230 ns R psr 81000200 - 692230 ns MR4_I 01000208 2a001c5b - 692250 ns MR4_I 01000200 07c96841 - 692270 ns IT 01000200 6841 LDR r1,[r0,#4] - 692290 ns MR4_I 01000204 6002d1fc - 692350 ns MR4_D 40006004 00000001 - 692350 ns R r1 00000001 - 692350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 692370 ns R r1 80000000 - 692370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 692390 ns R psr 81000200 - 692390 ns MR4_I 01000208 2a001c5b - 692410 ns MR4_I 01000200 07c96841 - 692430 ns IT 01000200 6841 LDR r1,[r0,#4] - 692450 ns MR4_I 01000204 6002d1fc - 692510 ns MR4_D 40006004 00000001 - 692510 ns R r1 00000001 - 692510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 692530 ns R r1 80000000 - 692530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 692550 ns R psr 81000200 - 692550 ns MR4_I 01000208 2a001c5b - 692570 ns MR4_I 01000200 07c96841 - 692590 ns IT 01000200 6841 LDR r1,[r0,#4] - 692610 ns MR4_I 01000204 6002d1fc - 692670 ns MR4_D 40006004 00000001 - 692670 ns R r1 00000001 - 692670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 692690 ns R r1 80000000 - 692690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 692710 ns R psr 81000200 - 692710 ns MR4_I 01000208 2a001c5b - 692730 ns MR4_I 01000200 07c96841 - 692750 ns IT 01000200 6841 LDR r1,[r0,#4] - 692770 ns MR4_I 01000204 6002d1fc - 692830 ns MR4_D 40006004 00000001 - 692830 ns R r1 00000001 - 692830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 692850 ns R r1 80000000 - 692850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 692870 ns R psr 81000200 - 692870 ns MR4_I 01000208 2a001c5b - 692890 ns MR4_I 01000200 07c96841 - 692910 ns IT 01000200 6841 LDR r1,[r0,#4] - 692930 ns MR4_I 01000204 6002d1fc - 692990 ns MR4_D 40006004 00000001 - 692990 ns R r1 00000001 - 692990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 693010 ns R r1 80000000 - 693010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 693030 ns R psr 81000200 - 693030 ns MR4_I 01000208 2a001c5b - 693050 ns MR4_I 01000200 07c96841 - 693070 ns IT 01000200 6841 LDR r1,[r0,#4] - 693090 ns MR4_I 01000204 6002d1fc - 693150 ns MR4_D 40006004 00000001 - 693150 ns R r1 00000001 - 693150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 693170 ns R r1 80000000 - 693170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 693190 ns R psr 81000200 - 693190 ns MR4_I 01000208 2a001c5b - 693210 ns MR4_I 01000200 07c96841 - 693230 ns IT 01000200 6841 LDR r1,[r0,#4] - 693250 ns MR4_I 01000204 6002d1fc - 693310 ns MR4_D 40006004 00000001 - 693310 ns R r1 00000001 - 693310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 693330 ns R r1 80000000 - 693330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 693350 ns R psr 81000200 - 693350 ns MR4_I 01000208 2a001c5b - 693370 ns MR4_I 01000200 07c96841 - 693390 ns IT 01000200 6841 LDR r1,[r0,#4] - 693410 ns MR4_I 01000204 6002d1fc - 693470 ns MR4_D 40006004 00000001 - 693470 ns R r1 00000001 - 693470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 693490 ns R r1 80000000 - 693490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 693510 ns R psr 81000200 - 693510 ns MR4_I 01000208 2a001c5b - 693530 ns MR4_I 01000200 07c96841 - 693550 ns IT 01000200 6841 LDR r1,[r0,#4] - 693570 ns MR4_I 01000204 6002d1fc - 693630 ns MR4_D 40006004 00000001 - 693630 ns R r1 00000001 - 693630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 693650 ns R r1 80000000 - 693650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 693670 ns R psr 81000200 - 693670 ns MR4_I 01000208 2a001c5b - 693690 ns MR4_I 01000200 07c96841 - 693710 ns IT 01000200 6841 LDR r1,[r0,#4] - 693730 ns MR4_I 01000204 6002d1fc - 693790 ns MR4_D 40006004 00000001 - 693790 ns R r1 00000001 - 693790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 693810 ns R r1 80000000 - 693810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 693830 ns R psr 81000200 - 693830 ns MR4_I 01000208 2a001c5b - 693850 ns MR4_I 01000200 07c96841 - 693870 ns IT 01000200 6841 LDR r1,[r0,#4] - 693890 ns MR4_I 01000204 6002d1fc - 693950 ns MR4_D 40006004 00000001 - 693950 ns R r1 00000001 - 693950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 693970 ns R r1 80000000 - 693970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 693990 ns R psr 81000200 - 693990 ns MR4_I 01000208 2a001c5b - 694010 ns MR4_I 01000200 07c96841 - 694030 ns IT 01000200 6841 LDR r1,[r0,#4] - 694050 ns MR4_I 01000204 6002d1fc - 694110 ns MR4_D 40006004 00000001 - 694110 ns R r1 00000001 - 694110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 694130 ns R r1 80000000 - 694130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 694150 ns R psr 81000200 - 694150 ns MR4_I 01000208 2a001c5b - 694170 ns MR4_I 01000200 07c96841 - 694190 ns IT 01000200 6841 LDR r1,[r0,#4] - 694210 ns MR4_I 01000204 6002d1fc - 694270 ns MR4_D 40006004 00000001 - 694270 ns R r1 00000001 - 694270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 694290 ns R r1 80000000 - 694290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 694310 ns R psr 81000200 - 694310 ns MR4_I 01000208 2a001c5b - 694330 ns MR4_I 01000200 07c96841 - 694350 ns IT 01000200 6841 LDR r1,[r0,#4] - 694370 ns MR4_I 01000204 6002d1fc - 694430 ns MR4_D 40006004 00000001 - 694430 ns R r1 00000001 - 694430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 694450 ns R r1 80000000 - 694450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 694470 ns R psr 81000200 - 694470 ns MR4_I 01000208 2a001c5b - 694490 ns MR4_I 01000200 07c96841 - 694510 ns IT 01000200 6841 LDR r1,[r0,#4] - 694530 ns MR4_I 01000204 6002d1fc - 694590 ns MR4_D 40006004 00000001 - 694590 ns R r1 00000001 - 694590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 694610 ns R r1 80000000 - 694610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 694630 ns R psr 81000200 - 694630 ns MR4_I 01000208 2a001c5b - 694650 ns MR4_I 01000200 07c96841 - 694670 ns IT 01000200 6841 LDR r1,[r0,#4] - 694690 ns MR4_I 01000204 6002d1fc - 694750 ns MR4_D 40006004 00000001 - 694750 ns R r1 00000001 - 694750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 694770 ns R r1 80000000 - 694770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 694790 ns R psr 81000200 - 694790 ns MR4_I 01000208 2a001c5b - 694810 ns MR4_I 01000200 07c96841 - 694830 ns IT 01000200 6841 LDR r1,[r0,#4] - 694850 ns MR4_I 01000204 6002d1fc - 694910 ns MR4_D 40006004 00000001 - 694910 ns R r1 00000001 - 694910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 694930 ns R r1 80000000 - 694930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 694950 ns R psr 81000200 - 694950 ns MR4_I 01000208 2a001c5b - 694970 ns MR4_I 01000200 07c96841 - 694990 ns IT 01000200 6841 LDR r1,[r0,#4] - 695010 ns MR4_I 01000204 6002d1fc - 695070 ns MR4_D 40006004 00000001 - 695070 ns R r1 00000001 - 695070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 695090 ns R r1 80000000 - 695090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 695110 ns R psr 81000200 - 695110 ns MR4_I 01000208 2a001c5b - 695130 ns MR4_I 01000200 07c96841 - 695150 ns IT 01000200 6841 LDR r1,[r0,#4] - 695170 ns MR4_I 01000204 6002d1fc - 695230 ns MR4_D 40006004 00000001 - 695230 ns R r1 00000001 - 695230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 695250 ns R r1 80000000 - 695250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 695270 ns R psr 81000200 - 695270 ns MR4_I 01000208 2a001c5b - 695290 ns MR4_I 01000200 07c96841 - 695310 ns IT 01000200 6841 LDR r1,[r0,#4] - 695330 ns MR4_I 01000204 6002d1fc - 695390 ns MR4_D 40006004 00000001 - 695390 ns R r1 00000001 - 695390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 695410 ns R r1 80000000 - 695410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 695430 ns R psr 81000200 - 695430 ns MR4_I 01000208 2a001c5b - 695450 ns MR4_I 01000200 07c96841 - 695470 ns IT 01000200 6841 LDR r1,[r0,#4] - 695490 ns MR4_I 01000204 6002d1fc - 695550 ns MR4_D 40006004 00000001 - 695550 ns R r1 00000001 - 695550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 695570 ns R r1 80000000 - 695570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 695590 ns R psr 81000200 - 695590 ns MR4_I 01000208 2a001c5b - 695610 ns MR4_I 01000200 07c96841 - 695630 ns IT 01000200 6841 LDR r1,[r0,#4] - 695650 ns MR4_I 01000204 6002d1fc - 695710 ns MR4_D 40006004 00000001 - 695710 ns R r1 00000001 - 695710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 695730 ns R r1 80000000 - 695730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 695750 ns R psr 81000200 - 695750 ns MR4_I 01000208 2a001c5b - 695770 ns MR4_I 01000200 07c96841 - 695790 ns IT 01000200 6841 LDR r1,[r0,#4] - 695810 ns MR4_I 01000204 6002d1fc - 695870 ns MR4_D 40006004 00000001 - 695870 ns R r1 00000001 - 695870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 695890 ns R r1 80000000 - 695890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 695910 ns R psr 81000200 - 695910 ns MR4_I 01000208 2a001c5b - 695930 ns MR4_I 01000200 07c96841 - 695950 ns IT 01000200 6841 LDR r1,[r0,#4] - 695970 ns MR4_I 01000204 6002d1fc - 696030 ns MR4_D 40006004 00000001 - 696030 ns R r1 00000001 - 696030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 696050 ns R r1 80000000 - 696050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 696070 ns R psr 81000200 - 696070 ns MR4_I 01000208 2a001c5b - 696090 ns MR4_I 01000200 07c96841 - 696110 ns IT 01000200 6841 LDR r1,[r0,#4] - 696130 ns MR4_I 01000204 6002d1fc - 696190 ns MR4_D 40006004 00000001 - 696190 ns R r1 00000001 - 696190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 696210 ns R r1 80000000 - 696210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 696230 ns R psr 81000200 - 696230 ns MR4_I 01000208 2a001c5b - 696250 ns MR4_I 01000200 07c96841 - 696270 ns IT 01000200 6841 LDR r1,[r0,#4] - 696290 ns MR4_I 01000204 6002d1fc - 696350 ns MR4_D 40006004 00000001 - 696350 ns R r1 00000001 - 696350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 696370 ns R r1 80000000 - 696370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 696390 ns R psr 81000200 - 696390 ns MR4_I 01000208 2a001c5b - 696410 ns MR4_I 01000200 07c96841 - 696430 ns IT 01000200 6841 LDR r1,[r0,#4] - 696450 ns MR4_I 01000204 6002d1fc - 696510 ns MR4_D 40006004 00000001 - 696510 ns R r1 00000001 - 696510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 696530 ns R r1 80000000 - 696530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 696550 ns R psr 81000200 - 696550 ns MR4_I 01000208 2a001c5b - 696570 ns MR4_I 01000200 07c96841 - 696590 ns IT 01000200 6841 LDR r1,[r0,#4] - 696610 ns MR4_I 01000204 6002d1fc - 696670 ns MR4_D 40006004 00000001 - 696670 ns R r1 00000001 - 696670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 696690 ns R r1 80000000 - 696690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 696710 ns R psr 81000200 - 696710 ns MR4_I 01000208 2a001c5b - 696730 ns MR4_I 01000200 07c96841 - 696750 ns IT 01000200 6841 LDR r1,[r0,#4] - 696770 ns MR4_I 01000204 6002d1fc - 696830 ns MR4_D 40006004 00000001 - 696830 ns R r1 00000001 - 696830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 696850 ns R r1 80000000 - 696850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 696870 ns R psr 81000200 - 696870 ns MR4_I 01000208 2a001c5b - 696890 ns MR4_I 01000200 07c96841 - 696910 ns IT 01000200 6841 LDR r1,[r0,#4] - 696930 ns MR4_I 01000204 6002d1fc - 696990 ns MR4_D 40006004 00000001 - 696990 ns R r1 00000001 - 696990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 697010 ns R r1 80000000 - 697010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 697030 ns R psr 81000200 - 697030 ns MR4_I 01000208 2a001c5b - 697050 ns MR4_I 01000200 07c96841 - 697070 ns IT 01000200 6841 LDR r1,[r0,#4] - 697090 ns MR4_I 01000204 6002d1fc - 697150 ns MR4_D 40006004 00000001 - 697150 ns R r1 00000001 - 697150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 697170 ns R r1 80000000 - 697170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 697190 ns R psr 81000200 - 697190 ns MR4_I 01000208 2a001c5b - 697210 ns MR4_I 01000200 07c96841 - 697230 ns IT 01000200 6841 LDR r1,[r0,#4] - 697250 ns MR4_I 01000204 6002d1fc - 697310 ns MR4_D 40006004 00000001 - 697310 ns R r1 00000001 - 697310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 697330 ns R r1 80000000 - 697330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 697350 ns R psr 81000200 - 697350 ns MR4_I 01000208 2a001c5b - 697370 ns MR4_I 01000200 07c96841 - 697390 ns IT 01000200 6841 LDR r1,[r0,#4] - 697410 ns MR4_I 01000204 6002d1fc - 697470 ns MR4_D 40006004 00000001 - 697470 ns R r1 00000001 - 697470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 697490 ns R r1 80000000 - 697490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 697510 ns R psr 81000200 - 697510 ns MR4_I 01000208 2a001c5b - 697530 ns MR4_I 01000200 07c96841 - 697550 ns IT 01000200 6841 LDR r1,[r0,#4] - 697570 ns MR4_I 01000204 6002d1fc - 697630 ns MR4_D 40006004 00000001 - 697630 ns R r1 00000001 - 697630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 697650 ns R r1 80000000 - 697650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 697670 ns R psr 81000200 - 697670 ns MR4_I 01000208 2a001c5b - 697690 ns MR4_I 01000200 07c96841 - 697710 ns IT 01000200 6841 LDR r1,[r0,#4] - 697730 ns MR4_I 01000204 6002d1fc - 697790 ns MR4_D 40006004 00000001 - 697790 ns R r1 00000001 - 697790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 697810 ns R r1 80000000 - 697810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 697830 ns R psr 81000200 - 697830 ns MR4_I 01000208 2a001c5b - 697850 ns MR4_I 01000200 07c96841 - 697870 ns IT 01000200 6841 LDR r1,[r0,#4] - 697890 ns MR4_I 01000204 6002d1fc - 697950 ns MR4_D 40006004 00000001 - 697950 ns R r1 00000001 - 697950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 697970 ns R r1 80000000 - 697970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 697990 ns R psr 81000200 - 697990 ns MR4_I 01000208 2a001c5b - 698010 ns MR4_I 01000200 07c96841 - 698030 ns IT 01000200 6841 LDR r1,[r0,#4] - 698050 ns MR4_I 01000204 6002d1fc - 698110 ns MR4_D 40006004 00000001 - 698110 ns R r1 00000001 - 698110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 698130 ns R r1 80000000 - 698130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 698150 ns R psr 81000200 - 698150 ns MR4_I 01000208 2a001c5b - 698170 ns MR4_I 01000200 07c96841 - 698190 ns IT 01000200 6841 LDR r1,[r0,#4] - 698210 ns MR4_I 01000204 6002d1fc - 698270 ns MR4_D 40006004 00000001 - 698270 ns R r1 00000001 - 698270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 698290 ns R r1 80000000 - 698290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 698310 ns R psr 81000200 - 698310 ns MR4_I 01000208 2a001c5b - 698330 ns MR4_I 01000200 07c96841 - 698350 ns IT 01000200 6841 LDR r1,[r0,#4] - 698370 ns MR4_I 01000204 6002d1fc - 698430 ns MR4_D 40006004 00000001 - 698430 ns R r1 00000001 - 698430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 698450 ns R r1 80000000 - 698450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 698470 ns R psr 81000200 - 698470 ns MR4_I 01000208 2a001c5b - 698490 ns MR4_I 01000200 07c96841 - 698510 ns IT 01000200 6841 LDR r1,[r0,#4] - 698530 ns MR4_I 01000204 6002d1fc - 698590 ns MR4_D 40006004 00000001 - 698590 ns R r1 00000001 - 698590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 698610 ns R r1 80000000 - 698610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 698630 ns R psr 81000200 - 698630 ns MR4_I 01000208 2a001c5b - 698650 ns MR4_I 01000200 07c96841 - 698670 ns IT 01000200 6841 LDR r1,[r0,#4] - 698690 ns MR4_I 01000204 6002d1fc - 698750 ns MR4_D 40006004 00000001 - 698750 ns R r1 00000001 - 698750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 698770 ns R r1 80000000 - 698770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 698790 ns R psr 81000200 - 698790 ns MR4_I 01000208 2a001c5b - 698810 ns MR4_I 01000200 07c96841 - 698830 ns IT 01000200 6841 LDR r1,[r0,#4] - 698850 ns MR4_I 01000204 6002d1fc - 698910 ns MR4_D 40006004 00000001 - 698910 ns R r1 00000001 - 698910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 698930 ns R r1 80000000 - 698930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 698950 ns R psr 81000200 - 698950 ns MR4_I 01000208 2a001c5b - 698970 ns MR4_I 01000200 07c96841 - 698990 ns IT 01000200 6841 LDR r1,[r0,#4] - 699010 ns MR4_I 01000204 6002d1fc - 699070 ns MR4_D 40006004 00000001 - 699070 ns R r1 00000001 - 699070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 699090 ns R r1 80000000 - 699090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 699110 ns R psr 81000200 - 699110 ns MR4_I 01000208 2a001c5b - 699130 ns MR4_I 01000200 07c96841 - 699150 ns IT 01000200 6841 LDR r1,[r0,#4] - 699170 ns MR4_I 01000204 6002d1fc - 699230 ns MR4_D 40006004 00000001 - 699230 ns R r1 00000001 - 699230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 699250 ns R r1 80000000 - 699250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 699270 ns R psr 81000200 - 699270 ns MR4_I 01000208 2a001c5b - 699290 ns MR4_I 01000200 07c96841 - 699310 ns IT 01000200 6841 LDR r1,[r0,#4] - 699330 ns MR4_I 01000204 6002d1fc - 699390 ns MR4_D 40006004 00000001 - 699390 ns R r1 00000001 - 699390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 699410 ns R r1 80000000 - 699410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 699430 ns R psr 81000200 - 699430 ns MR4_I 01000208 2a001c5b - 699450 ns MR4_I 01000200 07c96841 - 699470 ns IT 01000200 6841 LDR r1,[r0,#4] - 699490 ns MR4_I 01000204 6002d1fc - 699550 ns MR4_D 40006004 00000001 - 699550 ns R r1 00000001 - 699550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 699570 ns R r1 80000000 - 699570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 699590 ns R psr 81000200 - 699590 ns MR4_I 01000208 2a001c5b - 699610 ns MR4_I 01000200 07c96841 - 699630 ns IT 01000200 6841 LDR r1,[r0,#4] - 699650 ns MR4_I 01000204 6002d1fc - 699710 ns MR4_D 40006004 00000001 - 699710 ns R r1 00000001 - 699710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 699730 ns R r1 80000000 - 699730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 699750 ns R psr 81000200 - 699750 ns MR4_I 01000208 2a001c5b - 699770 ns MR4_I 01000200 07c96841 - 699790 ns IT 01000200 6841 LDR r1,[r0,#4] - 699810 ns MR4_I 01000204 6002d1fc - 699870 ns MR4_D 40006004 00000001 - 699870 ns R r1 00000001 - 699870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 699890 ns R r1 80000000 - 699890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 699910 ns R psr 81000200 - 699910 ns MR4_I 01000208 2a001c5b - 699930 ns MR4_I 01000200 07c96841 - 699950 ns IT 01000200 6841 LDR r1,[r0,#4] - 699970 ns MR4_I 01000204 6002d1fc - 700030 ns MR4_D 40006004 00000001 - 700030 ns R r1 00000001 - 700030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 700050 ns R r1 80000000 - 700050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 700070 ns R psr 81000200 - 700070 ns MR4_I 01000208 2a001c5b - 700090 ns MR4_I 01000200 07c96841 - 700110 ns IT 01000200 6841 LDR r1,[r0,#4] - 700130 ns MR4_I 01000204 6002d1fc - 700190 ns MR4_D 40006004 00000001 - 700190 ns R r1 00000001 - 700190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 700210 ns R r1 80000000 - 700210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 700230 ns R psr 81000200 - 700230 ns MR4_I 01000208 2a001c5b - 700250 ns MR4_I 01000200 07c96841 - 700270 ns IT 01000200 6841 LDR r1,[r0,#4] - 700290 ns MR4_I 01000204 6002d1fc - 700350 ns MR4_D 40006004 00000001 - 700350 ns R r1 00000001 - 700350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 700370 ns R r1 80000000 - 700370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 700390 ns R psr 81000200 - 700390 ns MR4_I 01000208 2a001c5b - 700410 ns MR4_I 01000200 07c96841 - 700430 ns IT 01000200 6841 LDR r1,[r0,#4] - 700450 ns MR4_I 01000204 6002d1fc - 700510 ns MR4_D 40006004 00000001 - 700510 ns R r1 00000001 - 700510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 700530 ns R r1 80000000 - 700530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 700550 ns R psr 81000200 - 700550 ns MR4_I 01000208 2a001c5b - 700570 ns MR4_I 01000200 07c96841 - 700590 ns IT 01000200 6841 LDR r1,[r0,#4] - 700610 ns MR4_I 01000204 6002d1fc - 700670 ns MR4_D 40006004 00000001 - 700670 ns R r1 00000001 - 700670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 700690 ns R r1 80000000 - 700690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 700710 ns R psr 81000200 - 700710 ns MR4_I 01000208 2a001c5b - 700730 ns MR4_I 01000200 07c96841 - 700750 ns IT 01000200 6841 LDR r1,[r0,#4] - 700770 ns MR4_I 01000204 6002d1fc - 700830 ns MR4_D 40006004 00000001 - 700830 ns R r1 00000001 - 700830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 700850 ns R r1 80000000 - 700850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 700870 ns R psr 81000200 - 700870 ns MR4_I 01000208 2a001c5b - 700890 ns MR4_I 01000200 07c96841 - 700910 ns IT 01000200 6841 LDR r1,[r0,#4] - 700930 ns MR4_I 01000204 6002d1fc - 700990 ns MR4_D 40006004 00000001 - 700990 ns R r1 00000001 - 700990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 701010 ns R r1 80000000 - 701010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 701030 ns R psr 81000200 - 701030 ns MR4_I 01000208 2a001c5b - 701050 ns MR4_I 01000200 07c96841 - 701070 ns IT 01000200 6841 LDR r1,[r0,#4] - 701090 ns MR4_I 01000204 6002d1fc - 701150 ns MR4_D 40006004 00000001 - 701150 ns R r1 00000001 - 701150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 701170 ns R r1 80000000 - 701170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 701190 ns R psr 81000200 - 701190 ns MR4_I 01000208 2a001c5b - 701210 ns MR4_I 01000200 07c96841 - 701230 ns IT 01000200 6841 LDR r1,[r0,#4] - 701250 ns MR4_I 01000204 6002d1fc - 701310 ns MR4_D 40006004 00000001 - 701310 ns R r1 00000001 - 701310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 701330 ns R r1 80000000 - 701330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 701350 ns R psr 81000200 - 701350 ns MR4_I 01000208 2a001c5b - 701370 ns MR4_I 01000200 07c96841 - 701390 ns IT 01000200 6841 LDR r1,[r0,#4] - 701410 ns MR4_I 01000204 6002d1fc - 701470 ns MR4_D 40006004 00000001 - 701470 ns R r1 00000001 - 701470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 701490 ns R r1 80000000 - 701490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 701510 ns R psr 81000200 - 701510 ns MR4_I 01000208 2a001c5b - 701530 ns MR4_I 01000200 07c96841 - 701550 ns IT 01000200 6841 LDR r1,[r0,#4] - 701570 ns MR4_I 01000204 6002d1fc - 701630 ns MR4_D 40006004 00000001 - 701630 ns R r1 00000001 - 701630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 701650 ns R r1 80000000 - 701650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 701670 ns R psr 81000200 - 701670 ns MR4_I 01000208 2a001c5b - 701690 ns MR4_I 01000200 07c96841 - 701710 ns IT 01000200 6841 LDR r1,[r0,#4] - 701730 ns MR4_I 01000204 6002d1fc - 701790 ns MR4_D 40006004 00000001 - 701790 ns R r1 00000001 - 701790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 701810 ns R r1 80000000 - 701810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 701830 ns R psr 81000200 - 701830 ns MR4_I 01000208 2a001c5b - 701850 ns MR4_I 01000200 07c96841 - 701870 ns IT 01000200 6841 LDR r1,[r0,#4] - 701890 ns MR4_I 01000204 6002d1fc - 701950 ns MR4_D 40006004 00000001 - 701950 ns R r1 00000001 - 701950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 701970 ns R r1 80000000 - 701970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 701990 ns R psr 81000200 - 701990 ns MR4_I 01000208 2a001c5b - 702010 ns MR4_I 01000200 07c96841 - 702030 ns IT 01000200 6841 LDR r1,[r0,#4] - 702050 ns MR4_I 01000204 6002d1fc - 702110 ns MR4_D 40006004 00000001 - 702110 ns R r1 00000001 - 702110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 702130 ns R r1 80000000 - 702130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 702150 ns R psr 81000200 - 702150 ns MR4_I 01000208 2a001c5b - 702170 ns MR4_I 01000200 07c96841 - 702190 ns IT 01000200 6841 LDR r1,[r0,#4] - 702210 ns MR4_I 01000204 6002d1fc - 702270 ns MR4_D 40006004 00000001 - 702270 ns R r1 00000001 - 702270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 702290 ns R r1 80000000 - 702290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 702310 ns R psr 81000200 - 702310 ns MR4_I 01000208 2a001c5b - 702330 ns MR4_I 01000200 07c96841 - 702350 ns IT 01000200 6841 LDR r1,[r0,#4] - 702370 ns MR4_I 01000204 6002d1fc - 702430 ns MR4_D 40006004 00000001 - 702430 ns R r1 00000001 - 702430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 702450 ns R r1 80000000 - 702450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 702470 ns R psr 81000200 - 702470 ns MR4_I 01000208 2a001c5b - 702490 ns MR4_I 01000200 07c96841 - 702510 ns IT 01000200 6841 LDR r1,[r0,#4] - 702530 ns MR4_I 01000204 6002d1fc - 702590 ns MR4_D 40006004 00000001 - 702590 ns R r1 00000001 - 702590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 702610 ns R r1 80000000 - 702610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 702630 ns R psr 81000200 - 702630 ns MR4_I 01000208 2a001c5b - 702650 ns MR4_I 01000200 07c96841 - 702670 ns IT 01000200 6841 LDR r1,[r0,#4] - 702690 ns MR4_I 01000204 6002d1fc - 702750 ns MR4_D 40006004 00000001 - 702750 ns R r1 00000001 - 702750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 702770 ns R r1 80000000 - 702770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 702790 ns R psr 81000200 - 702790 ns MR4_I 01000208 2a001c5b - 702810 ns MR4_I 01000200 07c96841 - 702830 ns IT 01000200 6841 LDR r1,[r0,#4] - 702850 ns MR4_I 01000204 6002d1fc - 702910 ns MR4_D 40006004 00000001 - 702910 ns R r1 00000001 - 702910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 702930 ns R r1 80000000 - 702930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 702950 ns R psr 81000200 - 702950 ns MR4_I 01000208 2a001c5b - 702970 ns MR4_I 01000200 07c96841 - 702990 ns IT 01000200 6841 LDR r1,[r0,#4] - 703010 ns MR4_I 01000204 6002d1fc - 703070 ns MR4_D 40006004 00000001 - 703070 ns R r1 00000001 - 703070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 703090 ns R r1 80000000 - 703090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 703110 ns R psr 81000200 - 703110 ns MR4_I 01000208 2a001c5b - 703130 ns MR4_I 01000200 07c96841 - 703150 ns IT 01000200 6841 LDR r1,[r0,#4] - 703170 ns MR4_I 01000204 6002d1fc - 703230 ns MR4_D 40006004 00000001 - 703230 ns R r1 00000001 - 703230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 703250 ns R r1 80000000 - 703250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 703270 ns R psr 81000200 - 703270 ns MR4_I 01000208 2a001c5b - 703290 ns MR4_I 01000200 07c96841 - 703310 ns IT 01000200 6841 LDR r1,[r0,#4] - 703330 ns MR4_I 01000204 6002d1fc - 703390 ns MR4_D 40006004 00000001 - 703390 ns R r1 00000001 - 703390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 703410 ns R r1 80000000 - 703410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 703430 ns R psr 81000200 - 703430 ns MR4_I 01000208 2a001c5b - 703450 ns MR4_I 01000200 07c96841 - 703470 ns IT 01000200 6841 LDR r1,[r0,#4] - 703490 ns MR4_I 01000204 6002d1fc - 703550 ns MR4_D 40006004 00000001 - 703550 ns R r1 00000001 - 703550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 703570 ns R r1 80000000 - 703570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 703590 ns R psr 81000200 - 703590 ns MR4_I 01000208 2a001c5b - 703610 ns MR4_I 01000200 07c96841 - 703630 ns IT 01000200 6841 LDR r1,[r0,#4] - 703650 ns MR4_I 01000204 6002d1fc - 703710 ns MR4_D 40006004 00000001 - 703710 ns R r1 00000001 - 703710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 703730 ns R r1 80000000 - 703730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 703750 ns R psr 81000200 - 703750 ns MR4_I 01000208 2a001c5b - 703770 ns MR4_I 01000200 07c96841 - 703790 ns IT 01000200 6841 LDR r1,[r0,#4] - 703810 ns MR4_I 01000204 6002d1fc - 703870 ns MR4_D 40006004 00000001 - 703870 ns R r1 00000001 - 703870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 703890 ns R r1 80000000 - 703890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 703910 ns R psr 81000200 - 703910 ns MR4_I 01000208 2a001c5b - 703930 ns MR4_I 01000200 07c96841 - 703950 ns IT 01000200 6841 LDR r1,[r0,#4] - 703970 ns MR4_I 01000204 6002d1fc - 704030 ns MR4_D 40006004 00000001 - 704030 ns R r1 00000001 - 704030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 704050 ns R r1 80000000 - 704050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 704070 ns R psr 81000200 - 704070 ns MR4_I 01000208 2a001c5b - 704090 ns MR4_I 01000200 07c96841 - 704110 ns IT 01000200 6841 LDR r1,[r0,#4] - 704130 ns MR4_I 01000204 6002d1fc - 704190 ns MR4_D 40006004 00000001 - 704190 ns R r1 00000001 - 704190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 704210 ns R r1 80000000 - 704210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 704230 ns R psr 81000200 - 704230 ns MR4_I 01000208 2a001c5b - 704250 ns MR4_I 01000200 07c96841 - 704270 ns IT 01000200 6841 LDR r1,[r0,#4] - 704290 ns MR4_I 01000204 6002d1fc - 704350 ns MR4_D 40006004 00000001 - 704350 ns R r1 00000001 - 704350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 704370 ns R r1 80000000 - 704370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 704390 ns R psr 81000200 - 704390 ns MR4_I 01000208 2a001c5b - 704410 ns MR4_I 01000200 07c96841 - 704430 ns IT 01000200 6841 LDR r1,[r0,#4] - 704450 ns MR4_I 01000204 6002d1fc - 704510 ns MR4_D 40006004 00000001 - 704510 ns R r1 00000001 - 704510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 704530 ns R r1 80000000 - 704530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 704550 ns R psr 81000200 - 704550 ns MR4_I 01000208 2a001c5b - 704570 ns MR4_I 01000200 07c96841 - 704590 ns IT 01000200 6841 LDR r1,[r0,#4] - 704610 ns MR4_I 01000204 6002d1fc - 704670 ns MR4_D 40006004 00000001 - 704670 ns R r1 00000001 - 704670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 704690 ns R r1 80000000 - 704690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 704710 ns R psr 81000200 - 704710 ns MR4_I 01000208 2a001c5b - 704730 ns MR4_I 01000200 07c96841 - 704750 ns IT 01000200 6841 LDR r1,[r0,#4] - 704770 ns MR4_I 01000204 6002d1fc - 704830 ns MR4_D 40006004 00000001 - 704830 ns R r1 00000001 - 704830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 704850 ns R r1 80000000 - 704850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 704870 ns R psr 81000200 - 704870 ns MR4_I 01000208 2a001c5b - 704890 ns MR4_I 01000200 07c96841 - 704910 ns IT 01000200 6841 LDR r1,[r0,#4] - 704930 ns MR4_I 01000204 6002d1fc - 704990 ns MR4_D 40006004 00000001 - 704990 ns R r1 00000001 - 704990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 705010 ns R r1 80000000 - 705010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 705030 ns R psr 81000200 - 705030 ns MR4_I 01000208 2a001c5b - 705050 ns MR4_I 01000200 07c96841 - 705070 ns IT 01000200 6841 LDR r1,[r0,#4] - 705090 ns MR4_I 01000204 6002d1fc - 705150 ns MR4_D 40006004 00000001 - 705150 ns R r1 00000001 - 705150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 705170 ns R r1 80000000 - 705170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 705190 ns R psr 81000200 - 705190 ns MR4_I 01000208 2a001c5b - 705210 ns MR4_I 01000200 07c96841 - 705230 ns IT 01000200 6841 LDR r1,[r0,#4] - 705250 ns MR4_I 01000204 6002d1fc - 705310 ns MR4_D 40006004 00000001 - 705310 ns R r1 00000001 - 705310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 705330 ns R r1 80000000 - 705330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 705350 ns R psr 81000200 - 705350 ns MR4_I 01000208 2a001c5b - 705370 ns MR4_I 01000200 07c96841 - 705390 ns IT 01000200 6841 LDR r1,[r0,#4] - 705410 ns MR4_I 01000204 6002d1fc - 705470 ns MR4_D 40006004 00000001 - 705470 ns R r1 00000001 - 705470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 705490 ns R r1 80000000 - 705490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 705510 ns R psr 81000200 - 705510 ns MR4_I 01000208 2a001c5b - 705530 ns MR4_I 01000200 07c96841 - 705550 ns IT 01000200 6841 LDR r1,[r0,#4] - 705570 ns MR4_I 01000204 6002d1fc - 705630 ns MR4_D 40006004 00000001 - 705630 ns R r1 00000001 - 705630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 705650 ns R r1 80000000 - 705650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 705670 ns R psr 81000200 - 705670 ns MR4_I 01000208 2a001c5b - 705690 ns MR4_I 01000200 07c96841 - 705710 ns IT 01000200 6841 LDR r1,[r0,#4] - 705730 ns MR4_I 01000204 6002d1fc - 705790 ns MR4_D 40006004 00000001 - 705790 ns R r1 00000001 - 705790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 705810 ns R r1 80000000 - 705810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 705830 ns R psr 81000200 - 705830 ns MR4_I 01000208 2a001c5b - 705850 ns MR4_I 01000200 07c96841 - 705870 ns IT 01000200 6841 LDR r1,[r0,#4] - 705890 ns MR4_I 01000204 6002d1fc - 705950 ns MR4_D 40006004 00000001 - 705950 ns R r1 00000001 - 705950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 705970 ns R r1 80000000 - 705970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 705990 ns R psr 81000200 - 705990 ns MR4_I 01000208 2a001c5b - 706010 ns MR4_I 01000200 07c96841 - 706030 ns IT 01000200 6841 LDR r1,[r0,#4] - 706050 ns MR4_I 01000204 6002d1fc - 706110 ns MR4_D 40006004 00000001 - 706110 ns R r1 00000001 - 706110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 706130 ns R r1 80000000 - 706130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 706150 ns R psr 81000200 - 706150 ns MR4_I 01000208 2a001c5b - 706170 ns MR4_I 01000200 07c96841 - 706190 ns IT 01000200 6841 LDR r1,[r0,#4] - 706210 ns MR4_I 01000204 6002d1fc - 706270 ns MR4_D 40006004 00000001 - 706270 ns R r1 00000001 - 706270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 706290 ns R r1 80000000 - 706290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 706310 ns R psr 81000200 - 706310 ns MR4_I 01000208 2a001c5b - 706330 ns MR4_I 01000200 07c96841 - 706350 ns IT 01000200 6841 LDR r1,[r0,#4] - 706370 ns MR4_I 01000204 6002d1fc - 706430 ns MR4_D 40006004 00000001 - 706430 ns R r1 00000001 - 706430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 706450 ns R r1 80000000 - 706450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 706470 ns R psr 81000200 - 706470 ns MR4_I 01000208 2a001c5b - 706490 ns MR4_I 01000200 07c96841 - 706510 ns IT 01000200 6841 LDR r1,[r0,#4] - 706530 ns MR4_I 01000204 6002d1fc - 706590 ns MR4_D 40006004 00000001 - 706590 ns R r1 00000001 - 706590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 706610 ns R r1 80000000 - 706610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 706630 ns R psr 81000200 - 706630 ns MR4_I 01000208 2a001c5b - 706650 ns MR4_I 01000200 07c96841 - 706670 ns IT 01000200 6841 LDR r1,[r0,#4] - 706690 ns MR4_I 01000204 6002d1fc - 706750 ns MR4_D 40006004 00000001 - 706750 ns R r1 00000001 - 706750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 706770 ns R r1 80000000 - 706770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 706790 ns R psr 81000200 - 706790 ns MR4_I 01000208 2a001c5b - 706810 ns MR4_I 01000200 07c96841 - 706830 ns IT 01000200 6841 LDR r1,[r0,#4] - 706850 ns MR4_I 01000204 6002d1fc - 706910 ns MR4_D 40006004 00000001 - 706910 ns R r1 00000001 - 706910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 706930 ns R r1 80000000 - 706930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 706950 ns R psr 81000200 - 706950 ns MR4_I 01000208 2a001c5b - 706970 ns MR4_I 01000200 07c96841 - 706990 ns IT 01000200 6841 LDR r1,[r0,#4] - 707010 ns MR4_I 01000204 6002d1fc - 707070 ns MR4_D 40006004 00000001 - 707070 ns R r1 00000001 - 707070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 707090 ns R r1 80000000 - 707090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 707110 ns R psr 81000200 - 707110 ns MR4_I 01000208 2a001c5b - 707130 ns MR4_I 01000200 07c96841 - 707150 ns IT 01000200 6841 LDR r1,[r0,#4] - 707170 ns MR4_I 01000204 6002d1fc - 707230 ns MR4_D 40006004 00000001 - 707230 ns R r1 00000001 - 707230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 707250 ns R r1 80000000 - 707250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 707270 ns R psr 81000200 - 707270 ns MR4_I 01000208 2a001c5b - 707290 ns MR4_I 01000200 07c96841 - 707310 ns IT 01000200 6841 LDR r1,[r0,#4] - 707330 ns MR4_I 01000204 6002d1fc - 707390 ns MR4_D 40006004 00000001 - 707390 ns R r1 00000001 - 707390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 707410 ns R r1 80000000 - 707410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 707430 ns R psr 81000200 - 707430 ns MR4_I 01000208 2a001c5b - 707450 ns MR4_I 01000200 07c96841 - 707470 ns IT 01000200 6841 LDR r1,[r0,#4] - 707490 ns MR4_I 01000204 6002d1fc - 707550 ns MR4_D 40006004 00000001 - 707550 ns R r1 00000001 - 707550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 707570 ns R r1 80000000 - 707570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 707590 ns R psr 81000200 - 707590 ns MR4_I 01000208 2a001c5b - 707610 ns MR4_I 01000200 07c96841 - 707630 ns IT 01000200 6841 LDR r1,[r0,#4] - 707650 ns MR4_I 01000204 6002d1fc - 707710 ns MR4_D 40006004 00000001 - 707710 ns R r1 00000001 - 707710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 707730 ns R r1 80000000 - 707730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 707750 ns R psr 81000200 - 707750 ns MR4_I 01000208 2a001c5b - 707770 ns MR4_I 01000200 07c96841 - 707790 ns IT 01000200 6841 LDR r1,[r0,#4] - 707810 ns MR4_I 01000204 6002d1fc - 707870 ns MR4_D 40006004 00000001 - 707870 ns R r1 00000001 - 707870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 707890 ns R r1 80000000 - 707890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 707910 ns R psr 81000200 - 707910 ns MR4_I 01000208 2a001c5b - 707930 ns MR4_I 01000200 07c96841 - 707950 ns IT 01000200 6841 LDR r1,[r0,#4] - 707970 ns MR4_I 01000204 6002d1fc - 708030 ns MR4_D 40006004 00000001 - 708030 ns R r1 00000001 - 708030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 708050 ns R r1 80000000 - 708050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 708070 ns R psr 81000200 - 708070 ns MR4_I 01000208 2a001c5b - 708090 ns MR4_I 01000200 07c96841 - 708110 ns IT 01000200 6841 LDR r1,[r0,#4] - 708130 ns MR4_I 01000204 6002d1fc - 708190 ns MR4_D 40006004 00000001 - 708190 ns R r1 00000001 - 708190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 708210 ns R r1 80000000 - 708210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 708230 ns R psr 81000200 - 708230 ns MR4_I 01000208 2a001c5b - 708250 ns MR4_I 01000200 07c96841 - 708270 ns IT 01000200 6841 LDR r1,[r0,#4] - 708290 ns MR4_I 01000204 6002d1fc - 708350 ns MR4_D 40006004 00000001 - 708350 ns R r1 00000001 - 708350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 708370 ns R r1 80000000 - 708370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 708390 ns R psr 81000200 - 708390 ns MR4_I 01000208 2a001c5b - 708410 ns MR4_I 01000200 07c96841 - 708430 ns IT 01000200 6841 LDR r1,[r0,#4] - 708450 ns MR4_I 01000204 6002d1fc - 708510 ns MR4_D 40006004 00000001 - 708510 ns R r1 00000001 - 708510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 708530 ns R r1 80000000 - 708530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 708550 ns R psr 81000200 - 708550 ns MR4_I 01000208 2a001c5b - 708570 ns MR4_I 01000200 07c96841 - 708590 ns IT 01000200 6841 LDR r1,[r0,#4] - 708610 ns MR4_I 01000204 6002d1fc - 708670 ns MR4_D 40006004 00000001 - 708670 ns R r1 00000001 - 708670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 708690 ns R r1 80000000 - 708690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 708710 ns R psr 81000200 - 708710 ns MR4_I 01000208 2a001c5b - 708730 ns MR4_I 01000200 07c96841 - 708750 ns IT 01000200 6841 LDR r1,[r0,#4] - 708770 ns MR4_I 01000204 6002d1fc - 708830 ns MR4_D 40006004 00000001 - 708830 ns R r1 00000001 - 708830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 708850 ns R r1 80000000 - 708850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 708870 ns R psr 81000200 - 708870 ns MR4_I 01000208 2a001c5b - 708890 ns MR4_I 01000200 07c96841 - 708910 ns IT 01000200 6841 LDR r1,[r0,#4] - 708930 ns MR4_I 01000204 6002d1fc - 708990 ns MR4_D 40006004 00000001 - 708990 ns R r1 00000001 - 708990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 709010 ns R r1 80000000 - 709010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 709030 ns R psr 81000200 - 709030 ns MR4_I 01000208 2a001c5b - 709050 ns MR4_I 01000200 07c96841 - 709070 ns IT 01000200 6841 LDR r1,[r0,#4] - 709090 ns MR4_I 01000204 6002d1fc - 709150 ns MR4_D 40006004 00000001 - 709150 ns R r1 00000001 - 709150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 709170 ns R r1 80000000 - 709170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 709190 ns R psr 81000200 - 709190 ns MR4_I 01000208 2a001c5b - 709210 ns MR4_I 01000200 07c96841 - 709230 ns IT 01000200 6841 LDR r1,[r0,#4] - 709250 ns MR4_I 01000204 6002d1fc - 709310 ns MR4_D 40006004 00000001 - 709310 ns R r1 00000001 - 709310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 709330 ns R r1 80000000 - 709330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 709350 ns R psr 81000200 - 709350 ns MR4_I 01000208 2a001c5b - 709370 ns MR4_I 01000200 07c96841 - 709390 ns IT 01000200 6841 LDR r1,[r0,#4] - 709410 ns MR4_I 01000204 6002d1fc - 709470 ns MR4_D 40006004 00000001 - 709470 ns R r1 00000001 - 709470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 709490 ns R r1 80000000 - 709490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 709510 ns R psr 81000200 - 709510 ns MR4_I 01000208 2a001c5b - 709530 ns MR4_I 01000200 07c96841 - 709550 ns IT 01000200 6841 LDR r1,[r0,#4] - 709570 ns MR4_I 01000204 6002d1fc - 709630 ns MR4_D 40006004 00000001 - 709630 ns R r1 00000001 - 709630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 709650 ns R r1 80000000 - 709650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 709670 ns R psr 81000200 - 709670 ns MR4_I 01000208 2a001c5b - 709690 ns MR4_I 01000200 07c96841 - 709710 ns IT 01000200 6841 LDR r1,[r0,#4] - 709730 ns MR4_I 01000204 6002d1fc - 709790 ns MR4_D 40006004 00000001 - 709790 ns R r1 00000001 - 709790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 709810 ns R r1 80000000 - 709810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 709830 ns R psr 81000200 - 709830 ns MR4_I 01000208 2a001c5b - 709850 ns MR4_I 01000200 07c96841 - 709870 ns IT 01000200 6841 LDR r1,[r0,#4] - 709890 ns MR4_I 01000204 6002d1fc - 709950 ns MR4_D 40006004 00000001 - 709950 ns R r1 00000001 - 709950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 709970 ns R r1 80000000 - 709970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 709990 ns R psr 81000200 - 709990 ns MR4_I 01000208 2a001c5b - 710010 ns MR4_I 01000200 07c96841 - 710030 ns IT 01000200 6841 LDR r1,[r0,#4] - 710050 ns MR4_I 01000204 6002d1fc - 710110 ns MR4_D 40006004 00000001 - 710110 ns R r1 00000001 - 710110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 710130 ns R r1 80000000 - 710130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 710150 ns R psr 81000200 - 710150 ns MR4_I 01000208 2a001c5b - 710170 ns MR4_I 01000200 07c96841 - 710190 ns IT 01000200 6841 LDR r1,[r0,#4] - 710210 ns MR4_I 01000204 6002d1fc - 710270 ns MR4_D 40006004 00000001 - 710270 ns R r1 00000001 - 710270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 710290 ns R r1 80000000 - 710290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 710310 ns R psr 81000200 - 710310 ns MR4_I 01000208 2a001c5b - 710330 ns MR4_I 01000200 07c96841 - 710350 ns IT 01000200 6841 LDR r1,[r0,#4] - 710370 ns MR4_I 01000204 6002d1fc - 710430 ns MR4_D 40006004 00000001 - 710430 ns R r1 00000001 - 710430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 710450 ns R r1 80000000 - 710450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 710470 ns R psr 81000200 - 710470 ns MR4_I 01000208 2a001c5b - 710490 ns MR4_I 01000200 07c96841 - 710510 ns IT 01000200 6841 LDR r1,[r0,#4] - 710530 ns MR4_I 01000204 6002d1fc - 710590 ns MR4_D 40006004 00000001 - 710590 ns R r1 00000001 - 710590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 710610 ns R r1 80000000 - 710610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 710630 ns R psr 81000200 - 710630 ns MR4_I 01000208 2a001c5b - 710650 ns MR4_I 01000200 07c96841 - 710670 ns IT 01000200 6841 LDR r1,[r0,#4] - 710690 ns MR4_I 01000204 6002d1fc - 710750 ns MR4_D 40006004 00000001 - 710750 ns R r1 00000001 - 710750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 710770 ns R r1 80000000 - 710770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 710790 ns R psr 81000200 - 710790 ns MR4_I 01000208 2a001c5b - 710810 ns MR4_I 01000200 07c96841 - 710830 ns IT 01000200 6841 LDR r1,[r0,#4] - 710850 ns MR4_I 01000204 6002d1fc - 710910 ns MR4_D 40006004 00000001 - 710910 ns R r1 00000001 - 710910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 710930 ns R r1 80000000 - 710930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 710950 ns R psr 81000200 - 710950 ns MR4_I 01000208 2a001c5b - 710970 ns MR4_I 01000200 07c96841 - 710990 ns IT 01000200 6841 LDR r1,[r0,#4] - 711010 ns MR4_I 01000204 6002d1fc - 711070 ns MR4_D 40006004 00000001 - 711070 ns R r1 00000001 - 711070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 711090 ns R r1 80000000 - 711090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 711110 ns R psr 81000200 - 711110 ns MR4_I 01000208 2a001c5b - 711130 ns MR4_I 01000200 07c96841 - 711150 ns IT 01000200 6841 LDR r1,[r0,#4] - 711170 ns MR4_I 01000204 6002d1fc - 711230 ns MR4_D 40006004 00000001 - 711230 ns R r1 00000001 - 711230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 711250 ns R r1 80000000 - 711250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 711270 ns R psr 81000200 - 711270 ns MR4_I 01000208 2a001c5b - 711290 ns MR4_I 01000200 07c96841 - 711310 ns IT 01000200 6841 LDR r1,[r0,#4] - 711330 ns MR4_I 01000204 6002d1fc - 711390 ns MR4_D 40006004 00000001 - 711390 ns R r1 00000001 - 711390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 711410 ns R r1 80000000 - 711410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 711430 ns R psr 81000200 - 711430 ns MR4_I 01000208 2a001c5b - 711450 ns MR4_I 01000200 07c96841 - 711470 ns IT 01000200 6841 LDR r1,[r0,#4] - 711490 ns MR4_I 01000204 6002d1fc - 711550 ns MR4_D 40006004 00000001 - 711550 ns R r1 00000001 - 711550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 711570 ns R r1 80000000 - 711570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 711590 ns R psr 81000200 - 711590 ns MR4_I 01000208 2a001c5b - 711610 ns MR4_I 01000200 07c96841 - 711630 ns IT 01000200 6841 LDR r1,[r0,#4] - 711650 ns MR4_I 01000204 6002d1fc - 711710 ns MR4_D 40006004 00000001 - 711710 ns R r1 00000001 - 711710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 711730 ns R r1 80000000 - 711730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 711750 ns R psr 81000200 - 711750 ns MR4_I 01000208 2a001c5b - 711770 ns MR4_I 01000200 07c96841 - 711790 ns IT 01000200 6841 LDR r1,[r0,#4] - 711810 ns MR4_I 01000204 6002d1fc - 711870 ns MR4_D 40006004 00000001 - 711870 ns R r1 00000001 - 711870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 711890 ns R r1 80000000 - 711890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 711910 ns R psr 81000200 - 711910 ns MR4_I 01000208 2a001c5b - 711930 ns MR4_I 01000200 07c96841 - 711950 ns IT 01000200 6841 LDR r1,[r0,#4] - 711970 ns MR4_I 01000204 6002d1fc - 712030 ns MR4_D 40006004 00000001 - 712030 ns R r1 00000001 - 712030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 712050 ns R r1 80000000 - 712050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 712070 ns R psr 81000200 - 712070 ns MR4_I 01000208 2a001c5b - 712090 ns MR4_I 01000200 07c96841 - 712110 ns IT 01000200 6841 LDR r1,[r0,#4] - 712130 ns MR4_I 01000204 6002d1fc - 712190 ns MR4_D 40006004 00000001 - 712190 ns R r1 00000001 - 712190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 712210 ns R r1 80000000 - 712210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 712230 ns R psr 81000200 - 712230 ns MR4_I 01000208 2a001c5b - 712250 ns MR4_I 01000200 07c96841 - 712270 ns IT 01000200 6841 LDR r1,[r0,#4] - 712290 ns MR4_I 01000204 6002d1fc - 712350 ns MR4_D 40006004 00000001 - 712350 ns R r1 00000001 - 712350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 712370 ns R r1 80000000 - 712370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 712390 ns R psr 81000200 - 712390 ns MR4_I 01000208 2a001c5b - 712410 ns MR4_I 01000200 07c96841 - 712430 ns IT 01000200 6841 LDR r1,[r0,#4] - 712450 ns MR4_I 01000204 6002d1fc - 712510 ns MR4_D 40006004 00000001 - 712510 ns R r1 00000001 - 712510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 712530 ns R r1 80000000 - 712530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 712550 ns R psr 81000200 - 712550 ns MR4_I 01000208 2a001c5b - 712570 ns MR4_I 01000200 07c96841 - 712590 ns IT 01000200 6841 LDR r1,[r0,#4] - 712610 ns MR4_I 01000204 6002d1fc - 712670 ns MR4_D 40006004 00000001 - 712670 ns R r1 00000001 - 712670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 712690 ns R r1 80000000 - 712690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 712710 ns R psr 81000200 - 712710 ns MR4_I 01000208 2a001c5b - 712730 ns MR4_I 01000200 07c96841 - 712750 ns IT 01000200 6841 LDR r1,[r0,#4] - 712770 ns MR4_I 01000204 6002d1fc - 712830 ns MR4_D 40006004 00000001 - 712830 ns R r1 00000001 - 712830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 712850 ns R r1 80000000 - 712850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 712870 ns R psr 81000200 - 712870 ns MR4_I 01000208 2a001c5b - 712890 ns MR4_I 01000200 07c96841 - 712910 ns IT 01000200 6841 LDR r1,[r0,#4] - 712930 ns MR4_I 01000204 6002d1fc - 712990 ns MR4_D 40006004 00000001 - 712990 ns R r1 00000001 - 712990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 713010 ns R r1 80000000 - 713010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 713030 ns R psr 81000200 - 713030 ns MR4_I 01000208 2a001c5b - 713050 ns MR4_I 01000200 07c96841 - 713070 ns IT 01000200 6841 LDR r1,[r0,#4] - 713090 ns MR4_I 01000204 6002d1fc - 713150 ns MR4_D 40006004 00000001 - 713150 ns R r1 00000001 - 713150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 713170 ns R r1 80000000 - 713170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 713190 ns R psr 81000200 - 713190 ns MR4_I 01000208 2a001c5b - 713210 ns MR4_I 01000200 07c96841 - 713230 ns IT 01000200 6841 LDR r1,[r0,#4] - 713250 ns MR4_I 01000204 6002d1fc - 713310 ns MR4_D 40006004 00000001 - 713310 ns R r1 00000001 - 713310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 713330 ns R r1 80000000 - 713330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 713350 ns R psr 81000200 - 713350 ns MR4_I 01000208 2a001c5b - 713370 ns MR4_I 01000200 07c96841 - 713390 ns IT 01000200 6841 LDR r1,[r0,#4] - 713410 ns MR4_I 01000204 6002d1fc - 713470 ns MR4_D 40006004 00000001 - 713470 ns R r1 00000001 - 713470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 713490 ns R r1 80000000 - 713490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 713510 ns R psr 81000200 - 713510 ns MR4_I 01000208 2a001c5b - 713530 ns MR4_I 01000200 07c96841 - 713550 ns IT 01000200 6841 LDR r1,[r0,#4] - 713570 ns MR4_I 01000204 6002d1fc - 713630 ns MR4_D 40006004 00000001 - 713630 ns R r1 00000001 - 713630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 713650 ns R r1 80000000 - 713650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 713670 ns R psr 81000200 - 713670 ns MR4_I 01000208 2a001c5b - 713690 ns MR4_I 01000200 07c96841 - 713710 ns IT 01000200 6841 LDR r1,[r0,#4] - 713730 ns MR4_I 01000204 6002d1fc - 713790 ns MR4_D 40006004 00000001 - 713790 ns R r1 00000001 - 713790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 713810 ns R r1 80000000 - 713810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 713830 ns R psr 81000200 - 713830 ns MR4_I 01000208 2a001c5b - 713850 ns MR4_I 01000200 07c96841 - 713870 ns IT 01000200 6841 LDR r1,[r0,#4] - 713890 ns MR4_I 01000204 6002d1fc - 713950 ns MR4_D 40006004 00000001 - 713950 ns R r1 00000001 - 713950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 713970 ns R r1 80000000 - 713970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 713990 ns R psr 81000200 - 713990 ns MR4_I 01000208 2a001c5b - 714010 ns MR4_I 01000200 07c96841 - 714030 ns IT 01000200 6841 LDR r1,[r0,#4] - 714050 ns MR4_I 01000204 6002d1fc - 714110 ns MR4_D 40006004 00000001 - 714110 ns R r1 00000001 - 714110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 714130 ns R r1 80000000 - 714130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 714150 ns R psr 81000200 - 714150 ns MR4_I 01000208 2a001c5b - 714170 ns MR4_I 01000200 07c96841 - 714190 ns IT 01000200 6841 LDR r1,[r0,#4] - 714210 ns MR4_I 01000204 6002d1fc - 714270 ns MR4_D 40006004 00000001 - 714270 ns R r1 00000001 - 714270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 714290 ns R r1 80000000 - 714290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 714310 ns R psr 81000200 - 714310 ns MR4_I 01000208 2a001c5b - 714330 ns MR4_I 01000200 07c96841 - 714350 ns IT 01000200 6841 LDR r1,[r0,#4] - 714370 ns MR4_I 01000204 6002d1fc - 714430 ns MR4_D 40006004 00000001 - 714430 ns R r1 00000001 - 714430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 714450 ns R r1 80000000 - 714450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 714470 ns R psr 81000200 - 714470 ns MR4_I 01000208 2a001c5b - 714490 ns MR4_I 01000200 07c96841 - 714510 ns IT 01000200 6841 LDR r1,[r0,#4] - 714530 ns MR4_I 01000204 6002d1fc - 714590 ns MR4_D 40006004 00000001 - 714590 ns R r1 00000001 - 714590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 714610 ns R r1 80000000 - 714610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 714630 ns R psr 81000200 - 714630 ns MR4_I 01000208 2a001c5b - 714650 ns MR4_I 01000200 07c96841 - 714670 ns IT 01000200 6841 LDR r1,[r0,#4] - 714690 ns MR4_I 01000204 6002d1fc - 714750 ns MR4_D 40006004 00000001 - 714750 ns R r1 00000001 - 714750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 714770 ns R r1 80000000 - 714770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 714790 ns R psr 81000200 - 714790 ns MR4_I 01000208 2a001c5b - 714810 ns MR4_I 01000200 07c96841 - 714830 ns IT 01000200 6841 LDR r1,[r0,#4] - 714850 ns MR4_I 01000204 6002d1fc - 714910 ns MR4_D 40006004 00000001 - 714910 ns R r1 00000001 - 714910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 714930 ns R r1 80000000 - 714930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 714950 ns R psr 81000200 - 714950 ns MR4_I 01000208 2a001c5b - 714970 ns MR4_I 01000200 07c96841 - 714990 ns IT 01000200 6841 LDR r1,[r0,#4] - 715010 ns MR4_I 01000204 6002d1fc - 715070 ns MR4_D 40006004 00000001 - 715070 ns R r1 00000001 - 715070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 715090 ns R r1 80000000 - 715090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 715110 ns R psr 81000200 - 715110 ns MR4_I 01000208 2a001c5b - 715130 ns MR4_I 01000200 07c96841 - 715150 ns IT 01000200 6841 LDR r1,[r0,#4] - 715170 ns MR4_I 01000204 6002d1fc - 715230 ns MR4_D 40006004 00000001 - 715230 ns R r1 00000001 - 715230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 715250 ns R r1 80000000 - 715250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 715270 ns R psr 81000200 - 715270 ns MR4_I 01000208 2a001c5b - 715290 ns MR4_I 01000200 07c96841 - 715310 ns IT 01000200 6841 LDR r1,[r0,#4] - 715330 ns MR4_I 01000204 6002d1fc - 715390 ns MR4_D 40006004 00000001 - 715390 ns R r1 00000001 - 715390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 715410 ns R r1 80000000 - 715410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 715430 ns R psr 81000200 - 715430 ns MR4_I 01000208 2a001c5b - 715450 ns MR4_I 01000200 07c96841 - 715470 ns IT 01000200 6841 LDR r1,[r0,#4] - 715490 ns MR4_I 01000204 6002d1fc - 715550 ns MR4_D 40006004 00000001 - 715550 ns R r1 00000001 - 715550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 715570 ns R r1 80000000 - 715570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 715590 ns R psr 81000200 - 715590 ns MR4_I 01000208 2a001c5b - 715610 ns MR4_I 01000200 07c96841 - 715630 ns IT 01000200 6841 LDR r1,[r0,#4] - 715650 ns MR4_I 01000204 6002d1fc - 715710 ns MR4_D 40006004 00000001 - 715710 ns R r1 00000001 - 715710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 715730 ns R r1 80000000 - 715730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 715750 ns R psr 81000200 - 715750 ns MR4_I 01000208 2a001c5b - 715770 ns MR4_I 01000200 07c96841 - 715790 ns IT 01000200 6841 LDR r1,[r0,#4] - 715810 ns MR4_I 01000204 6002d1fc - 715870 ns MR4_D 40006004 00000001 - 715870 ns R r1 00000001 - 715870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 715890 ns R r1 80000000 - 715890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 715910 ns R psr 81000200 - 715910 ns MR4_I 01000208 2a001c5b - 715930 ns MR4_I 01000200 07c96841 - 715950 ns IT 01000200 6841 LDR r1,[r0,#4] - 715970 ns MR4_I 01000204 6002d1fc - 716030 ns MR4_D 40006004 00000001 - 716030 ns R r1 00000001 - 716030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 716050 ns R r1 80000000 - 716050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 716070 ns R psr 81000200 - 716070 ns MR4_I 01000208 2a001c5b - 716090 ns MR4_I 01000200 07c96841 - 716110 ns IT 01000200 6841 LDR r1,[r0,#4] - 716130 ns MR4_I 01000204 6002d1fc - 716190 ns MR4_D 40006004 00000001 - 716190 ns R r1 00000001 - 716190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 716210 ns R r1 80000000 - 716210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 716230 ns R psr 81000200 - 716230 ns MR4_I 01000208 2a001c5b - 716250 ns MR4_I 01000200 07c96841 - 716270 ns IT 01000200 6841 LDR r1,[r0,#4] - 716290 ns MR4_I 01000204 6002d1fc - 716350 ns MR4_D 40006004 00000001 - 716350 ns R r1 00000001 - 716350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 716370 ns R r1 80000000 - 716370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 716390 ns R psr 81000200 - 716390 ns MR4_I 01000208 2a001c5b - 716410 ns MR4_I 01000200 07c96841 - 716430 ns IT 01000200 6841 LDR r1,[r0,#4] - 716450 ns MR4_I 01000204 6002d1fc - 716510 ns MR4_D 40006004 00000001 - 716510 ns R r1 00000001 - 716510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 716530 ns R r1 80000000 - 716530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 716550 ns R psr 81000200 - 716550 ns MR4_I 01000208 2a001c5b - 716570 ns MR4_I 01000200 07c96841 - 716590 ns IT 01000200 6841 LDR r1,[r0,#4] - 716610 ns MR4_I 01000204 6002d1fc - 716670 ns MR4_D 40006004 00000001 - 716670 ns R r1 00000001 - 716670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 716690 ns R r1 80000000 - 716690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 716710 ns R psr 81000200 - 716710 ns MR4_I 01000208 2a001c5b - 716730 ns MR4_I 01000200 07c96841 - 716750 ns IT 01000200 6841 LDR r1,[r0,#4] - 716770 ns MR4_I 01000204 6002d1fc - 716830 ns MR4_D 40006004 00000001 - 716830 ns R r1 00000001 - 716830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 716850 ns R r1 80000000 - 716850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 716870 ns R psr 81000200 - 716870 ns MR4_I 01000208 2a001c5b - 716890 ns MR4_I 01000200 07c96841 - 716910 ns IT 01000200 6841 LDR r1,[r0,#4] - 716930 ns MR4_I 01000204 6002d1fc - 716990 ns MR4_D 40006004 00000001 - 716990 ns R r1 00000001 - 716990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 717010 ns R r1 80000000 - 717010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 717030 ns R psr 81000200 - 717030 ns MR4_I 01000208 2a001c5b - 717050 ns MR4_I 01000200 07c96841 - 717070 ns IT 01000200 6841 LDR r1,[r0,#4] - 717090 ns MR4_I 01000204 6002d1fc - 717150 ns MR4_D 40006004 00000001 - 717150 ns R r1 00000001 - 717150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 717170 ns R r1 80000000 - 717170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 717190 ns R psr 81000200 - 717190 ns MR4_I 01000208 2a001c5b - 717210 ns MR4_I 01000200 07c96841 - 717230 ns IT 01000200 6841 LDR r1,[r0,#4] - 717250 ns MR4_I 01000204 6002d1fc - 717310 ns MR4_D 40006004 00000001 - 717310 ns R r1 00000001 - 717310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 717330 ns R r1 80000000 - 717330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 717350 ns R psr 81000200 - 717350 ns MR4_I 01000208 2a001c5b - 717370 ns MR4_I 01000200 07c96841 - 717390 ns IT 01000200 6841 LDR r1,[r0,#4] - 717410 ns MR4_I 01000204 6002d1fc - 717470 ns MR4_D 40006004 00000001 - 717470 ns R r1 00000001 - 717470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 717490 ns R r1 80000000 - 717490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 717510 ns R psr 81000200 - 717510 ns MR4_I 01000208 2a001c5b - 717530 ns MR4_I 01000200 07c96841 - 717550 ns IT 01000200 6841 LDR r1,[r0,#4] - 717570 ns MR4_I 01000204 6002d1fc - 717630 ns MR4_D 40006004 00000001 - 717630 ns R r1 00000001 - 717630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 717650 ns R r1 80000000 - 717650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 717670 ns R psr 81000200 - 717670 ns MR4_I 01000208 2a001c5b - 717690 ns MR4_I 01000200 07c96841 - 717710 ns IT 01000200 6841 LDR r1,[r0,#4] - 717730 ns MR4_I 01000204 6002d1fc - 717790 ns MR4_D 40006004 00000001 - 717790 ns R r1 00000001 - 717790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 717810 ns R r1 80000000 - 717810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 717830 ns R psr 81000200 - 717830 ns MR4_I 01000208 2a001c5b - 717850 ns MR4_I 01000200 07c96841 - 717870 ns IT 01000200 6841 LDR r1,[r0,#4] - 717890 ns MR4_I 01000204 6002d1fc - 717950 ns MR4_D 40006004 00000001 - 717950 ns R r1 00000001 - 717950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 717970 ns R r1 80000000 - 717970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 717990 ns R psr 81000200 - 717990 ns MR4_I 01000208 2a001c5b - 718010 ns MR4_I 01000200 07c96841 - 718030 ns IT 01000200 6841 LDR r1,[r0,#4] - 718050 ns MR4_I 01000204 6002d1fc - 718110 ns MR4_D 40006004 00000001 - 718110 ns R r1 00000001 - 718110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 718130 ns R r1 80000000 - 718130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 718150 ns R psr 81000200 - 718150 ns MR4_I 01000208 2a001c5b - 718170 ns MR4_I 01000200 07c96841 - 718190 ns IT 01000200 6841 LDR r1,[r0,#4] - 718210 ns MR4_I 01000204 6002d1fc - 718270 ns MR4_D 40006004 00000001 - 718270 ns R r1 00000001 - 718270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 718290 ns R r1 80000000 - 718290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 718310 ns R psr 81000200 - 718310 ns MR4_I 01000208 2a001c5b - 718330 ns MR4_I 01000200 07c96841 - 718350 ns IT 01000200 6841 LDR r1,[r0,#4] - 718370 ns MR4_I 01000204 6002d1fc - 718430 ns MR4_D 40006004 00000001 - 718430 ns R r1 00000001 - 718430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 718450 ns R r1 80000000 - 718450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 718470 ns R psr 81000200 - 718470 ns MR4_I 01000208 2a001c5b - 718490 ns MR4_I 01000200 07c96841 - 718510 ns IT 01000200 6841 LDR r1,[r0,#4] - 718530 ns MR4_I 01000204 6002d1fc - 718590 ns MR4_D 40006004 00000001 - 718590 ns R r1 00000001 - 718590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 718610 ns R r1 80000000 - 718610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 718630 ns R psr 81000200 - 718630 ns MR4_I 01000208 2a001c5b - 718650 ns MR4_I 01000200 07c96841 - 718670 ns IT 01000200 6841 LDR r1,[r0,#4] - 718690 ns MR4_I 01000204 6002d1fc - 718750 ns MR4_D 40006004 00000001 - 718750 ns R r1 00000001 - 718750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 718770 ns R r1 80000000 - 718770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 718790 ns R psr 81000200 - 718790 ns MR4_I 01000208 2a001c5b - 718810 ns MR4_I 01000200 07c96841 - 718830 ns IT 01000200 6841 LDR r1,[r0,#4] - 718850 ns MR4_I 01000204 6002d1fc - 718910 ns MR4_D 40006004 00000001 - 718910 ns R r1 00000001 - 718910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 718930 ns R r1 80000000 - 718930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 718950 ns R psr 81000200 - 718950 ns MR4_I 01000208 2a001c5b - 718970 ns MR4_I 01000200 07c96841 - 718990 ns IT 01000200 6841 LDR r1,[r0,#4] - 719010 ns MR4_I 01000204 6002d1fc - 719070 ns MR4_D 40006004 00000001 - 719070 ns R r1 00000001 - 719070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 719090 ns R r1 80000000 - 719090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 719110 ns R psr 81000200 - 719110 ns MR4_I 01000208 2a001c5b - 719130 ns MR4_I 01000200 07c96841 - 719150 ns IT 01000200 6841 LDR r1,[r0,#4] - 719170 ns MR4_I 01000204 6002d1fc - 719230 ns MR4_D 40006004 00000001 - 719230 ns R r1 00000001 - 719230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 719250 ns R r1 80000000 - 719250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 719270 ns R psr 81000200 - 719270 ns MR4_I 01000208 2a001c5b - 719290 ns MR4_I 01000200 07c96841 - 719310 ns IT 01000200 6841 LDR r1,[r0,#4] - 719330 ns MR4_I 01000204 6002d1fc - 719390 ns MR4_D 40006004 00000001 - 719390 ns R r1 00000001 - 719390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 719410 ns R r1 80000000 - 719410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 719430 ns R psr 81000200 - 719430 ns MR4_I 01000208 2a001c5b - 719450 ns MR4_I 01000200 07c96841 - 719470 ns IT 01000200 6841 LDR r1,[r0,#4] - 719490 ns MR4_I 01000204 6002d1fc - 719550 ns MR4_D 40006004 00000001 - 719550 ns R r1 00000001 - 719550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 719570 ns R r1 80000000 - 719570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 719590 ns R psr 81000200 - 719590 ns MR4_I 01000208 2a001c5b - 719610 ns MR4_I 01000200 07c96841 - 719630 ns IT 01000200 6841 LDR r1,[r0,#4] - 719650 ns MR4_I 01000204 6002d1fc - 719710 ns MR4_D 40006004 00000001 - 719710 ns R r1 00000001 - 719710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 719730 ns R r1 80000000 - 719730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 719750 ns R psr 81000200 - 719750 ns MR4_I 01000208 2a001c5b - 719770 ns MR4_I 01000200 07c96841 - 719790 ns IT 01000200 6841 LDR r1,[r0,#4] - 719810 ns MR4_I 01000204 6002d1fc - 719870 ns MR4_D 40006004 00000001 - 719870 ns R r1 00000001 - 719870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 719890 ns R r1 80000000 - 719890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 719910 ns R psr 81000200 - 719910 ns MR4_I 01000208 2a001c5b - 719930 ns MR4_I 01000200 07c96841 - 719950 ns IT 01000200 6841 LDR r1,[r0,#4] - 719970 ns MR4_I 01000204 6002d1fc - 720030 ns MR4_D 40006004 00000001 - 720030 ns R r1 00000001 - 720030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 720050 ns R r1 80000000 - 720050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 720070 ns R psr 81000200 - 720070 ns MR4_I 01000208 2a001c5b - 720090 ns MR4_I 01000200 07c96841 - 720110 ns IT 01000200 6841 LDR r1,[r0,#4] - 720130 ns MR4_I 01000204 6002d1fc - 720190 ns MR4_D 40006004 00000001 - 720190 ns R r1 00000001 - 720190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 720210 ns R r1 80000000 - 720210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 720230 ns R psr 81000200 - 720230 ns MR4_I 01000208 2a001c5b - 720250 ns MR4_I 01000200 07c96841 - 720270 ns IT 01000200 6841 LDR r1,[r0,#4] - 720290 ns MR4_I 01000204 6002d1fc - 720350 ns MR4_D 40006004 00000001 - 720350 ns R r1 00000001 - 720350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 720370 ns R r1 80000000 - 720370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 720390 ns R psr 81000200 - 720390 ns MR4_I 01000208 2a001c5b - 720410 ns MR4_I 01000200 07c96841 - 720430 ns IT 01000200 6841 LDR r1,[r0,#4] - 720450 ns MR4_I 01000204 6002d1fc - 720510 ns MR4_D 40006004 00000001 - 720510 ns R r1 00000001 - 720510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 720530 ns R r1 80000000 - 720530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 720550 ns R psr 81000200 - 720550 ns MR4_I 01000208 2a001c5b - 720570 ns MR4_I 01000200 07c96841 - 720590 ns IT 01000200 6841 LDR r1,[r0,#4] - 720610 ns MR4_I 01000204 6002d1fc - 720670 ns MR4_D 40006004 00000001 - 720670 ns R r1 00000001 - 720670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 720690 ns R r1 80000000 - 720690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 720710 ns R psr 81000200 - 720710 ns MR4_I 01000208 2a001c5b - 720730 ns MR4_I 01000200 07c96841 - 720750 ns IT 01000200 6841 LDR r1,[r0,#4] - 720770 ns MR4_I 01000204 6002d1fc - 720830 ns MR4_D 40006004 00000001 - 720830 ns R r1 00000001 - 720830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 720850 ns R r1 80000000 - 720850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 720870 ns R psr 81000200 - 720870 ns MR4_I 01000208 2a001c5b - 720890 ns MR4_I 01000200 07c96841 - 720910 ns IT 01000200 6841 LDR r1,[r0,#4] - 720930 ns MR4_I 01000204 6002d1fc - 720990 ns MR4_D 40006004 00000001 - 720990 ns R r1 00000001 - 720990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 721010 ns R r1 80000000 - 721010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 721030 ns R psr 81000200 - 721030 ns MR4_I 01000208 2a001c5b - 721050 ns MR4_I 01000200 07c96841 - 721070 ns IT 01000200 6841 LDR r1,[r0,#4] - 721090 ns MR4_I 01000204 6002d1fc - 721150 ns MR4_D 40006004 00000001 - 721150 ns R r1 00000001 - 721150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 721170 ns R r1 80000000 - 721170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 721190 ns R psr 81000200 - 721190 ns MR4_I 01000208 2a001c5b - 721210 ns MR4_I 01000200 07c96841 - 721230 ns IT 01000200 6841 LDR r1,[r0,#4] - 721250 ns MR4_I 01000204 6002d1fc - 721310 ns MR4_D 40006004 00000001 - 721310 ns R r1 00000001 - 721310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 721330 ns R r1 80000000 - 721330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 721350 ns R psr 81000200 - 721350 ns MR4_I 01000208 2a001c5b - 721370 ns MR4_I 01000200 07c96841 - 721390 ns IT 01000200 6841 LDR r1,[r0,#4] - 721410 ns MR4_I 01000204 6002d1fc - 721470 ns MR4_D 40006004 00000001 - 721470 ns R r1 00000001 - 721470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 721490 ns R r1 80000000 - 721490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 721510 ns R psr 81000200 - 721510 ns MR4_I 01000208 2a001c5b - 721530 ns MR4_I 01000200 07c96841 - 721550 ns IT 01000200 6841 LDR r1,[r0,#4] - 721570 ns MR4_I 01000204 6002d1fc - 721630 ns MR4_D 40006004 00000001 - 721630 ns R r1 00000001 - 721630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 721650 ns R r1 80000000 - 721650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 721670 ns R psr 81000200 - 721670 ns MR4_I 01000208 2a001c5b - 721690 ns MR4_I 01000200 07c96841 - 721710 ns IT 01000200 6841 LDR r1,[r0,#4] - 721730 ns MR4_I 01000204 6002d1fc - 721790 ns MR4_D 40006004 00000001 - 721790 ns R r1 00000001 - 721790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 721810 ns R r1 80000000 - 721810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 721830 ns R psr 81000200 - 721830 ns MR4_I 01000208 2a001c5b - 721850 ns MR4_I 01000200 07c96841 - 721870 ns IT 01000200 6841 LDR r1,[r0,#4] - 721890 ns MR4_I 01000204 6002d1fc - 721950 ns MR4_D 40006004 00000001 - 721950 ns R r1 00000001 - 721950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 721970 ns R r1 80000000 - 721970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 721990 ns R psr 81000200 - 721990 ns MR4_I 01000208 2a001c5b - 722010 ns MR4_I 01000200 07c96841 - 722030 ns IT 01000200 6841 LDR r1,[r0,#4] - 722050 ns MR4_I 01000204 6002d1fc - 722110 ns MR4_D 40006004 00000001 - 722110 ns R r1 00000001 - 722110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 722130 ns R r1 80000000 - 722130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 722150 ns R psr 81000200 - 722150 ns MR4_I 01000208 2a001c5b - 722170 ns MR4_I 01000200 07c96841 - 722190 ns IT 01000200 6841 LDR r1,[r0,#4] - 722210 ns MR4_I 01000204 6002d1fc - 722270 ns MR4_D 40006004 00000001 - 722270 ns R r1 00000001 - 722270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 722290 ns R r1 80000000 - 722290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 722310 ns R psr 81000200 - 722310 ns MR4_I 01000208 2a001c5b - 722330 ns MR4_I 01000200 07c96841 - 722350 ns IT 01000200 6841 LDR r1,[r0,#4] - 722370 ns MR4_I 01000204 6002d1fc - 722430 ns MR4_D 40006004 00000001 - 722430 ns R r1 00000001 - 722430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 722450 ns R r1 80000000 - 722450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 722470 ns R psr 81000200 - 722470 ns MR4_I 01000208 2a001c5b - 722490 ns MR4_I 01000200 07c96841 - 722510 ns IT 01000200 6841 LDR r1,[r0,#4] - 722530 ns MR4_I 01000204 6002d1fc - 722590 ns MR4_D 40006004 00000001 - 722590 ns R r1 00000001 - 722590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 722610 ns R r1 80000000 - 722610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 722630 ns R psr 81000200 - 722630 ns MR4_I 01000208 2a001c5b - 722650 ns MR4_I 01000200 07c96841 - 722670 ns IT 01000200 6841 LDR r1,[r0,#4] - 722690 ns MR4_I 01000204 6002d1fc - 722750 ns MR4_D 40006004 00000001 - 722750 ns R r1 00000001 - 722750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 722770 ns R r1 80000000 - 722770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 722790 ns R psr 81000200 - 722790 ns MR4_I 01000208 2a001c5b - 722810 ns MR4_I 01000200 07c96841 - 722830 ns IT 01000200 6841 LDR r1,[r0,#4] - 722850 ns MR4_I 01000204 6002d1fc - 722910 ns MR4_D 40006004 00000001 - 722910 ns R r1 00000001 - 722910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 722930 ns R r1 80000000 - 722930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 722950 ns R psr 81000200 - 722950 ns MR4_I 01000208 2a001c5b - 722970 ns MR4_I 01000200 07c96841 - 722990 ns IT 01000200 6841 LDR r1,[r0,#4] - 723010 ns MR4_I 01000204 6002d1fc - 723070 ns MR4_D 40006004 00000001 - 723070 ns R r1 00000001 - 723070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 723090 ns R r1 80000000 - 723090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 723110 ns R psr 81000200 - 723110 ns MR4_I 01000208 2a001c5b - 723130 ns MR4_I 01000200 07c96841 - 723150 ns IT 01000200 6841 LDR r1,[r0,#4] - 723170 ns MR4_I 01000204 6002d1fc - 723230 ns MR4_D 40006004 00000001 - 723230 ns R r1 00000001 - 723230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 723250 ns R r1 80000000 - 723250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 723270 ns R psr 81000200 - 723270 ns MR4_I 01000208 2a001c5b - 723290 ns MR4_I 01000200 07c96841 - 723310 ns IT 01000200 6841 LDR r1,[r0,#4] - 723330 ns MR4_I 01000204 6002d1fc - 723390 ns MR4_D 40006004 00000001 - 723390 ns R r1 00000001 - 723390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 723410 ns R r1 80000000 - 723410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 723430 ns R psr 81000200 - 723430 ns MR4_I 01000208 2a001c5b - 723450 ns MR4_I 01000200 07c96841 - 723470 ns IT 01000200 6841 LDR r1,[r0,#4] - 723490 ns MR4_I 01000204 6002d1fc - 723550 ns MR4_D 40006004 00000001 - 723550 ns R r1 00000001 - 723550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 723570 ns R r1 80000000 - 723570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 723590 ns R psr 81000200 - 723590 ns MR4_I 01000208 2a001c5b - 723610 ns MR4_I 01000200 07c96841 - 723630 ns IT 01000200 6841 LDR r1,[r0,#4] - 723650 ns MR4_I 01000204 6002d1fc - 723710 ns MR4_D 40006004 00000001 - 723710 ns R r1 00000001 - 723710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 723730 ns R r1 80000000 - 723730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 723750 ns R psr 81000200 - 723750 ns MR4_I 01000208 2a001c5b - 723770 ns MR4_I 01000200 07c96841 - 723790 ns IT 01000200 6841 LDR r1,[r0,#4] - 723810 ns MR4_I 01000204 6002d1fc - 723870 ns MR4_D 40006004 00000000 - 723870 ns R r1 00000000 - 723870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 723890 ns R r1 00000000 - 723890 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 723910 ns R psr 41000200 - 723910 ns MR4_I 01000208 2a001c5b - 723910 ns IT 01000206 6002 STR r2,[r0,#0] - 723990 ns MW4_D 40006000 00000020 - 723990 ns IT 01000208 1c5b ADDS r3,r3,#1 - 724010 ns MR4_I 0100020c a32ad1f5 - 724010 ns R r3 010002a7 - 724010 ns IT 0100020a 2a00 CMP r2,#0 - 724030 ns R psr 01000200 - 724030 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 724050 ns R psr 21000200 - 724050 ns MR4_I 01000210 2a00781a - 724070 ns MR4_I 010001f8 781aa326 - 724090 ns MR4_I 010001fc d0062a00 - 724090 ns IT 010001fa 781a LDRB r2,[r3,#0] - 724130 ns MR1_D 010002a7 43204d52 - 724130 ns R r2 00000043 - 724130 ns IT 010001fc 2a00 CMP r2,#0 - 724150 ns MR4_I 01000200 07c96841 - 724150 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 724170 ns R psr 21000200 - 724170 ns IT 01000200 6841 LDR r1,[r0,#4] - 724190 ns MR4_I 01000204 6002d1fc - 724250 ns MR4_D 40006004 00000001 - 724250 ns R r1 00000001 - 724250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 724270 ns R r1 80000000 - 724270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 724290 ns R psr 81000200 - 724290 ns MR4_I 01000208 2a001c5b - 724310 ns MR4_I 01000200 07c96841 - 724330 ns IT 01000200 6841 LDR r1,[r0,#4] - 724350 ns MR4_I 01000204 6002d1fc - 724410 ns MR4_D 40006004 00000001 - 724410 ns R r1 00000001 - 724410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 724430 ns R r1 80000000 - 724430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 724450 ns R psr 81000200 - 724450 ns MR4_I 01000208 2a001c5b - 724470 ns MR4_I 01000200 07c96841 - 724490 ns IT 01000200 6841 LDR r1,[r0,#4] - 724510 ns MR4_I 01000204 6002d1fc - 724570 ns MR4_D 40006004 00000001 - 724570 ns R r1 00000001 - 724570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 724590 ns R r1 80000000 - 724590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 724610 ns R psr 81000200 - 724610 ns MR4_I 01000208 2a001c5b - 724630 ns MR4_I 01000200 07c96841 - 724650 ns IT 01000200 6841 LDR r1,[r0,#4] - 724670 ns MR4_I 01000204 6002d1fc - 724730 ns MR4_D 40006004 00000001 - 724730 ns R r1 00000001 - 724730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 724750 ns R r1 80000000 - 724750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 724770 ns R psr 81000200 - 724770 ns MR4_I 01000208 2a001c5b - 724790 ns MR4_I 01000200 07c96841 - 724810 ns IT 01000200 6841 LDR r1,[r0,#4] - 724830 ns MR4_I 01000204 6002d1fc - 724890 ns MR4_D 40006004 00000001 - 724890 ns R r1 00000001 - 724890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 724910 ns R r1 80000000 - 724910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 724930 ns R psr 81000200 - 724930 ns MR4_I 01000208 2a001c5b - 724950 ns MR4_I 01000200 07c96841 - 724970 ns IT 01000200 6841 LDR r1,[r0,#4] - 724990 ns MR4_I 01000204 6002d1fc - 725050 ns MR4_D 40006004 00000001 - 725050 ns R r1 00000001 - 725050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 725070 ns R r1 80000000 - 725070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 725090 ns R psr 81000200 - 725090 ns MR4_I 01000208 2a001c5b - 725110 ns MR4_I 01000200 07c96841 - 725130 ns IT 01000200 6841 LDR r1,[r0,#4] - 725150 ns MR4_I 01000204 6002d1fc - 725210 ns MR4_D 40006004 00000001 - 725210 ns R r1 00000001 - 725210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 725230 ns R r1 80000000 - 725230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 725250 ns R psr 81000200 - 725250 ns MR4_I 01000208 2a001c5b - 725270 ns MR4_I 01000200 07c96841 - 725290 ns IT 01000200 6841 LDR r1,[r0,#4] - 725310 ns MR4_I 01000204 6002d1fc - 725370 ns MR4_D 40006004 00000001 - 725370 ns R r1 00000001 - 725370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 725390 ns R r1 80000000 - 725390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 725410 ns R psr 81000200 - 725410 ns MR4_I 01000208 2a001c5b - 725430 ns MR4_I 01000200 07c96841 - 725450 ns IT 01000200 6841 LDR r1,[r0,#4] - 725470 ns MR4_I 01000204 6002d1fc - 725530 ns MR4_D 40006004 00000001 - 725530 ns R r1 00000001 - 725530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 725550 ns R r1 80000000 - 725550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 725570 ns R psr 81000200 - 725570 ns MR4_I 01000208 2a001c5b - 725590 ns MR4_I 01000200 07c96841 - 725610 ns IT 01000200 6841 LDR r1,[r0,#4] - 725630 ns MR4_I 01000204 6002d1fc - 725690 ns MR4_D 40006004 00000001 - 725690 ns R r1 00000001 - 725690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 725710 ns R r1 80000000 - 725710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 725730 ns R psr 81000200 - 725730 ns MR4_I 01000208 2a001c5b - 725750 ns MR4_I 01000200 07c96841 - 725770 ns IT 01000200 6841 LDR r1,[r0,#4] - 725790 ns MR4_I 01000204 6002d1fc - 725850 ns MR4_D 40006004 00000001 - 725850 ns R r1 00000001 - 725850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 725870 ns R r1 80000000 - 725870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 725890 ns R psr 81000200 - 725890 ns MR4_I 01000208 2a001c5b - 725910 ns MR4_I 01000200 07c96841 - 725930 ns IT 01000200 6841 LDR r1,[r0,#4] - 725950 ns MR4_I 01000204 6002d1fc - 726010 ns MR4_D 40006004 00000001 - 726010 ns R r1 00000001 - 726010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 726030 ns R r1 80000000 - 726030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 726050 ns R psr 81000200 - 726050 ns MR4_I 01000208 2a001c5b - 726070 ns MR4_I 01000200 07c96841 - 726090 ns IT 01000200 6841 LDR r1,[r0,#4] - 726110 ns MR4_I 01000204 6002d1fc - 726170 ns MR4_D 40006004 00000001 - 726170 ns R r1 00000001 - 726170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 726190 ns R r1 80000000 - 726190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 726210 ns R psr 81000200 - 726210 ns MR4_I 01000208 2a001c5b - 726230 ns MR4_I 01000200 07c96841 - 726250 ns IT 01000200 6841 LDR r1,[r0,#4] - 726270 ns MR4_I 01000204 6002d1fc - 726330 ns MR4_D 40006004 00000001 - 726330 ns R r1 00000001 - 726330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 726350 ns R r1 80000000 - 726350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 726370 ns R psr 81000200 - 726370 ns MR4_I 01000208 2a001c5b - 726390 ns MR4_I 01000200 07c96841 - 726410 ns IT 01000200 6841 LDR r1,[r0,#4] - 726430 ns MR4_I 01000204 6002d1fc - 726490 ns MR4_D 40006004 00000001 - 726490 ns R r1 00000001 - 726490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 726510 ns R r1 80000000 - 726510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 726530 ns R psr 81000200 - 726530 ns MR4_I 01000208 2a001c5b - 726550 ns MR4_I 01000200 07c96841 - 726570 ns IT 01000200 6841 LDR r1,[r0,#4] - 726590 ns MR4_I 01000204 6002d1fc - 726650 ns MR4_D 40006004 00000001 - 726650 ns R r1 00000001 - 726650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 726670 ns R r1 80000000 - 726670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 726690 ns R psr 81000200 - 726690 ns MR4_I 01000208 2a001c5b - 726710 ns MR4_I 01000200 07c96841 - 726730 ns IT 01000200 6841 LDR r1,[r0,#4] - 726750 ns MR4_I 01000204 6002d1fc - 726810 ns MR4_D 40006004 00000001 - 726810 ns R r1 00000001 - 726810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 726830 ns R r1 80000000 - 726830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 726850 ns R psr 81000200 - 726850 ns MR4_I 01000208 2a001c5b - 726870 ns MR4_I 01000200 07c96841 - 726890 ns IT 01000200 6841 LDR r1,[r0,#4] - 726910 ns MR4_I 01000204 6002d1fc - 726970 ns MR4_D 40006004 00000001 - 726970 ns R r1 00000001 - 726970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 726990 ns R r1 80000000 - 726990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 727010 ns R psr 81000200 - 727010 ns MR4_I 01000208 2a001c5b - 727030 ns MR4_I 01000200 07c96841 - 727050 ns IT 01000200 6841 LDR r1,[r0,#4] - 727070 ns MR4_I 01000204 6002d1fc - 727130 ns MR4_D 40006004 00000001 - 727130 ns R r1 00000001 - 727130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 727150 ns R r1 80000000 - 727150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 727170 ns R psr 81000200 - 727170 ns MR4_I 01000208 2a001c5b - 727190 ns MR4_I 01000200 07c96841 - 727210 ns IT 01000200 6841 LDR r1,[r0,#4] - 727230 ns MR4_I 01000204 6002d1fc - 727290 ns MR4_D 40006004 00000001 - 727290 ns R r1 00000001 - 727290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 727310 ns R r1 80000000 - 727310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 727330 ns R psr 81000200 - 727330 ns MR4_I 01000208 2a001c5b - 727350 ns MR4_I 01000200 07c96841 - 727370 ns IT 01000200 6841 LDR r1,[r0,#4] - 727390 ns MR4_I 01000204 6002d1fc - 727450 ns MR4_D 40006004 00000001 - 727450 ns R r1 00000001 - 727450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 727470 ns R r1 80000000 - 727470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 727490 ns R psr 81000200 - 727490 ns MR4_I 01000208 2a001c5b - 727510 ns MR4_I 01000200 07c96841 - 727530 ns IT 01000200 6841 LDR r1,[r0,#4] - 727550 ns MR4_I 01000204 6002d1fc - 727610 ns MR4_D 40006004 00000001 - 727610 ns R r1 00000001 - 727610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 727630 ns R r1 80000000 - 727630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 727650 ns R psr 81000200 - 727650 ns MR4_I 01000208 2a001c5b - 727670 ns MR4_I 01000200 07c96841 - 727690 ns IT 01000200 6841 LDR r1,[r0,#4] - 727710 ns MR4_I 01000204 6002d1fc - 727770 ns MR4_D 40006004 00000001 - 727770 ns R r1 00000001 - 727770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 727790 ns R r1 80000000 - 727790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 727810 ns R psr 81000200 - 727810 ns MR4_I 01000208 2a001c5b - 727830 ns MR4_I 01000200 07c96841 - 727850 ns IT 01000200 6841 LDR r1,[r0,#4] - 727870 ns MR4_I 01000204 6002d1fc - 727930 ns MR4_D 40006004 00000001 - 727930 ns R r1 00000001 - 727930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 727950 ns R r1 80000000 - 727950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 727970 ns R psr 81000200 - 727970 ns MR4_I 01000208 2a001c5b - 727990 ns MR4_I 01000200 07c96841 - 728010 ns IT 01000200 6841 LDR r1,[r0,#4] - 728030 ns MR4_I 01000204 6002d1fc - 728090 ns MR4_D 40006004 00000001 - 728090 ns R r1 00000001 - 728090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 728110 ns R r1 80000000 - 728110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 728130 ns R psr 81000200 - 728130 ns MR4_I 01000208 2a001c5b - 728150 ns MR4_I 01000200 07c96841 - 728170 ns IT 01000200 6841 LDR r1,[r0,#4] - 728190 ns MR4_I 01000204 6002d1fc - 728250 ns MR4_D 40006004 00000001 - 728250 ns R r1 00000001 - 728250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 728270 ns R r1 80000000 - 728270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 728290 ns R psr 81000200 - 728290 ns MR4_I 01000208 2a001c5b - 728310 ns MR4_I 01000200 07c96841 - 728330 ns IT 01000200 6841 LDR r1,[r0,#4] - 728350 ns MR4_I 01000204 6002d1fc - 728410 ns MR4_D 40006004 00000001 - 728410 ns R r1 00000001 - 728410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 728430 ns R r1 80000000 - 728430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 728450 ns R psr 81000200 - 728450 ns MR4_I 01000208 2a001c5b - 728470 ns MR4_I 01000200 07c96841 - 728490 ns IT 01000200 6841 LDR r1,[r0,#4] - 728510 ns MR4_I 01000204 6002d1fc - 728570 ns MR4_D 40006004 00000001 - 728570 ns R r1 00000001 - 728570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 728590 ns R r1 80000000 - 728590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 728610 ns R psr 81000200 - 728610 ns MR4_I 01000208 2a001c5b - 728630 ns MR4_I 01000200 07c96841 - 728650 ns IT 01000200 6841 LDR r1,[r0,#4] - 728670 ns MR4_I 01000204 6002d1fc - 728730 ns MR4_D 40006004 00000001 - 728730 ns R r1 00000001 - 728730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 728750 ns R r1 80000000 - 728750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 728770 ns R psr 81000200 - 728770 ns MR4_I 01000208 2a001c5b - 728790 ns MR4_I 01000200 07c96841 - 728810 ns IT 01000200 6841 LDR r1,[r0,#4] - 728830 ns MR4_I 01000204 6002d1fc - 728890 ns MR4_D 40006004 00000001 - 728890 ns R r1 00000001 - 728890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 728910 ns R r1 80000000 - 728910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 728930 ns R psr 81000200 - 728930 ns MR4_I 01000208 2a001c5b - 728950 ns MR4_I 01000200 07c96841 - 728970 ns IT 01000200 6841 LDR r1,[r0,#4] - 728990 ns MR4_I 01000204 6002d1fc - 729050 ns MR4_D 40006004 00000001 - 729050 ns R r1 00000001 - 729050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 729070 ns R r1 80000000 - 729070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 729090 ns R psr 81000200 - 729090 ns MR4_I 01000208 2a001c5b - 729110 ns MR4_I 01000200 07c96841 - 729130 ns IT 01000200 6841 LDR r1,[r0,#4] - 729150 ns MR4_I 01000204 6002d1fc - 729210 ns MR4_D 40006004 00000001 - 729210 ns R r1 00000001 - 729210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 729230 ns R r1 80000000 - 729230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 729250 ns R psr 81000200 - 729250 ns MR4_I 01000208 2a001c5b - 729270 ns MR4_I 01000200 07c96841 - 729290 ns IT 01000200 6841 LDR r1,[r0,#4] - 729310 ns MR4_I 01000204 6002d1fc - 729370 ns MR4_D 40006004 00000001 - 729370 ns R r1 00000001 - 729370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 729390 ns R r1 80000000 - 729390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 729410 ns R psr 81000200 - 729410 ns MR4_I 01000208 2a001c5b - 729430 ns MR4_I 01000200 07c96841 - 729450 ns IT 01000200 6841 LDR r1,[r0,#4] - 729470 ns MR4_I 01000204 6002d1fc - 729530 ns MR4_D 40006004 00000001 - 729530 ns R r1 00000001 - 729530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 729550 ns R r1 80000000 - 729550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 729570 ns R psr 81000200 - 729570 ns MR4_I 01000208 2a001c5b - 729590 ns MR4_I 01000200 07c96841 - 729610 ns IT 01000200 6841 LDR r1,[r0,#4] - 729630 ns MR4_I 01000204 6002d1fc - 729690 ns MR4_D 40006004 00000001 - 729690 ns R r1 00000001 - 729690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 729710 ns R r1 80000000 - 729710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 729730 ns R psr 81000200 - 729730 ns MR4_I 01000208 2a001c5b - 729750 ns MR4_I 01000200 07c96841 - 729770 ns IT 01000200 6841 LDR r1,[r0,#4] - 729790 ns MR4_I 01000204 6002d1fc - 729850 ns MR4_D 40006004 00000001 - 729850 ns R r1 00000001 - 729850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 729870 ns R r1 80000000 - 729870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 729890 ns R psr 81000200 - 729890 ns MR4_I 01000208 2a001c5b - 729910 ns MR4_I 01000200 07c96841 - 729930 ns IT 01000200 6841 LDR r1,[r0,#4] - 729950 ns MR4_I 01000204 6002d1fc - 730010 ns MR4_D 40006004 00000001 - 730010 ns R r1 00000001 - 730010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 730030 ns R r1 80000000 - 730030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 730050 ns R psr 81000200 - 730050 ns MR4_I 01000208 2a001c5b - 730070 ns MR4_I 01000200 07c96841 - 730090 ns IT 01000200 6841 LDR r1,[r0,#4] - 730110 ns MR4_I 01000204 6002d1fc - 730170 ns MR4_D 40006004 00000001 - 730170 ns R r1 00000001 - 730170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 730190 ns R r1 80000000 - 730190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 730210 ns R psr 81000200 - 730210 ns MR4_I 01000208 2a001c5b - 730230 ns MR4_I 01000200 07c96841 - 730250 ns IT 01000200 6841 LDR r1,[r0,#4] - 730270 ns MR4_I 01000204 6002d1fc - 730330 ns MR4_D 40006004 00000001 - 730330 ns R r1 00000001 - 730330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 730350 ns R r1 80000000 - 730350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 730370 ns R psr 81000200 - 730370 ns MR4_I 01000208 2a001c5b - 730390 ns MR4_I 01000200 07c96841 - 730410 ns IT 01000200 6841 LDR r1,[r0,#4] - 730430 ns MR4_I 01000204 6002d1fc - 730490 ns MR4_D 40006004 00000001 - 730490 ns R r1 00000001 - 730490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 730510 ns R r1 80000000 - 730510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 730530 ns R psr 81000200 - 730530 ns MR4_I 01000208 2a001c5b - 730550 ns MR4_I 01000200 07c96841 - 730570 ns IT 01000200 6841 LDR r1,[r0,#4] - 730590 ns MR4_I 01000204 6002d1fc - 730650 ns MR4_D 40006004 00000001 - 730650 ns R r1 00000001 - 730650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 730670 ns R r1 80000000 - 730670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 730690 ns R psr 81000200 - 730690 ns MR4_I 01000208 2a001c5b - 730710 ns MR4_I 01000200 07c96841 - 730730 ns IT 01000200 6841 LDR r1,[r0,#4] - 730750 ns MR4_I 01000204 6002d1fc - 730810 ns MR4_D 40006004 00000001 - 730810 ns R r1 00000001 - 730810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 730830 ns R r1 80000000 - 730830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 730850 ns R psr 81000200 - 730850 ns MR4_I 01000208 2a001c5b - 730870 ns MR4_I 01000200 07c96841 - 730890 ns IT 01000200 6841 LDR r1,[r0,#4] - 730910 ns MR4_I 01000204 6002d1fc - 730970 ns MR4_D 40006004 00000001 - 730970 ns R r1 00000001 - 730970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 730990 ns R r1 80000000 - 730990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 731010 ns R psr 81000200 - 731010 ns MR4_I 01000208 2a001c5b - 731030 ns MR4_I 01000200 07c96841 - 731050 ns IT 01000200 6841 LDR r1,[r0,#4] - 731070 ns MR4_I 01000204 6002d1fc - 731130 ns MR4_D 40006004 00000001 - 731130 ns R r1 00000001 - 731130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 731150 ns R r1 80000000 - 731150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 731170 ns R psr 81000200 - 731170 ns MR4_I 01000208 2a001c5b - 731190 ns MR4_I 01000200 07c96841 - 731210 ns IT 01000200 6841 LDR r1,[r0,#4] - 731230 ns MR4_I 01000204 6002d1fc - 731290 ns MR4_D 40006004 00000001 - 731290 ns R r1 00000001 - 731290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 731310 ns R r1 80000000 - 731310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 731330 ns R psr 81000200 - 731330 ns MR4_I 01000208 2a001c5b - 731350 ns MR4_I 01000200 07c96841 - 731370 ns IT 01000200 6841 LDR r1,[r0,#4] - 731390 ns MR4_I 01000204 6002d1fc - 731450 ns MR4_D 40006004 00000001 - 731450 ns R r1 00000001 - 731450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 731470 ns R r1 80000000 - 731470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 731490 ns R psr 81000200 - 731490 ns MR4_I 01000208 2a001c5b - 731510 ns MR4_I 01000200 07c96841 - 731530 ns IT 01000200 6841 LDR r1,[r0,#4] - 731550 ns MR4_I 01000204 6002d1fc - 731610 ns MR4_D 40006004 00000001 - 731610 ns R r1 00000001 - 731610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 731630 ns R r1 80000000 - 731630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 731650 ns R psr 81000200 - 731650 ns MR4_I 01000208 2a001c5b - 731670 ns MR4_I 01000200 07c96841 - 731690 ns IT 01000200 6841 LDR r1,[r0,#4] - 731710 ns MR4_I 01000204 6002d1fc - 731770 ns MR4_D 40006004 00000001 - 731770 ns R r1 00000001 - 731770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 731790 ns R r1 80000000 - 731790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 731810 ns R psr 81000200 - 731810 ns MR4_I 01000208 2a001c5b - 731830 ns MR4_I 01000200 07c96841 - 731850 ns IT 01000200 6841 LDR r1,[r0,#4] - 731870 ns MR4_I 01000204 6002d1fc - 731930 ns MR4_D 40006004 00000001 - 731930 ns R r1 00000001 - 731930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 731950 ns R r1 80000000 - 731950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 731970 ns R psr 81000200 - 731970 ns MR4_I 01000208 2a001c5b - 731990 ns MR4_I 01000200 07c96841 - 732010 ns IT 01000200 6841 LDR r1,[r0,#4] - 732030 ns MR4_I 01000204 6002d1fc - 732090 ns MR4_D 40006004 00000001 - 732090 ns R r1 00000001 - 732090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 732110 ns R r1 80000000 - 732110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 732130 ns R psr 81000200 - 732130 ns MR4_I 01000208 2a001c5b - 732150 ns MR4_I 01000200 07c96841 - 732170 ns IT 01000200 6841 LDR r1,[r0,#4] - 732190 ns MR4_I 01000204 6002d1fc - 732250 ns MR4_D 40006004 00000001 - 732250 ns R r1 00000001 - 732250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 732270 ns R r1 80000000 - 732270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 732290 ns R psr 81000200 - 732290 ns MR4_I 01000208 2a001c5b - 732310 ns MR4_I 01000200 07c96841 - 732330 ns IT 01000200 6841 LDR r1,[r0,#4] - 732350 ns MR4_I 01000204 6002d1fc - 732410 ns MR4_D 40006004 00000001 - 732410 ns R r1 00000001 - 732410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 732430 ns R r1 80000000 - 732430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 732450 ns R psr 81000200 - 732450 ns MR4_I 01000208 2a001c5b - 732470 ns MR4_I 01000200 07c96841 - 732490 ns IT 01000200 6841 LDR r1,[r0,#4] - 732510 ns MR4_I 01000204 6002d1fc - 732570 ns MR4_D 40006004 00000001 - 732570 ns R r1 00000001 - 732570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 732590 ns R r1 80000000 - 732590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 732610 ns R psr 81000200 - 732610 ns MR4_I 01000208 2a001c5b - 732630 ns MR4_I 01000200 07c96841 - 732650 ns IT 01000200 6841 LDR r1,[r0,#4] - 732670 ns MR4_I 01000204 6002d1fc - 732730 ns MR4_D 40006004 00000001 - 732730 ns R r1 00000001 - 732730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 732750 ns R r1 80000000 - 732750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 732770 ns R psr 81000200 - 732770 ns MR4_I 01000208 2a001c5b - 732790 ns MR4_I 01000200 07c96841 - 732810 ns IT 01000200 6841 LDR r1,[r0,#4] - 732830 ns MR4_I 01000204 6002d1fc - 732890 ns MR4_D 40006004 00000001 - 732890 ns R r1 00000001 - 732890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 732910 ns R r1 80000000 - 732910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 732930 ns R psr 81000200 - 732930 ns MR4_I 01000208 2a001c5b - 732950 ns MR4_I 01000200 07c96841 - 732970 ns IT 01000200 6841 LDR r1,[r0,#4] - 732990 ns MR4_I 01000204 6002d1fc - 733050 ns MR4_D 40006004 00000001 - 733050 ns R r1 00000001 - 733050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 733070 ns R r1 80000000 - 733070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 733090 ns R psr 81000200 - 733090 ns MR4_I 01000208 2a001c5b - 733110 ns MR4_I 01000200 07c96841 - 733130 ns IT 01000200 6841 LDR r1,[r0,#4] - 733150 ns MR4_I 01000204 6002d1fc - 733210 ns MR4_D 40006004 00000001 - 733210 ns R r1 00000001 - 733210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 733230 ns R r1 80000000 - 733230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 733250 ns R psr 81000200 - 733250 ns MR4_I 01000208 2a001c5b - 733270 ns MR4_I 01000200 07c96841 - 733290 ns IT 01000200 6841 LDR r1,[r0,#4] - 733310 ns MR4_I 01000204 6002d1fc - 733370 ns MR4_D 40006004 00000001 - 733370 ns R r1 00000001 - 733370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 733390 ns R r1 80000000 - 733390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 733410 ns R psr 81000200 - 733410 ns MR4_I 01000208 2a001c5b - 733430 ns MR4_I 01000200 07c96841 - 733450 ns IT 01000200 6841 LDR r1,[r0,#4] - 733470 ns MR4_I 01000204 6002d1fc - 733530 ns MR4_D 40006004 00000001 - 733530 ns R r1 00000001 - 733530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 733550 ns R r1 80000000 - 733550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 733570 ns R psr 81000200 - 733570 ns MR4_I 01000208 2a001c5b - 733590 ns MR4_I 01000200 07c96841 - 733610 ns IT 01000200 6841 LDR r1,[r0,#4] - 733630 ns MR4_I 01000204 6002d1fc - 733690 ns MR4_D 40006004 00000001 - 733690 ns R r1 00000001 - 733690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 733710 ns R r1 80000000 - 733710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 733730 ns R psr 81000200 - 733730 ns MR4_I 01000208 2a001c5b - 733750 ns MR4_I 01000200 07c96841 - 733770 ns IT 01000200 6841 LDR r1,[r0,#4] - 733790 ns MR4_I 01000204 6002d1fc - 733850 ns MR4_D 40006004 00000001 - 733850 ns R r1 00000001 - 733850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 733870 ns R r1 80000000 - 733870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 733890 ns R psr 81000200 - 733890 ns MR4_I 01000208 2a001c5b - 733910 ns MR4_I 01000200 07c96841 - 733930 ns IT 01000200 6841 LDR r1,[r0,#4] - 733950 ns MR4_I 01000204 6002d1fc - 734010 ns MR4_D 40006004 00000001 - 734010 ns R r1 00000001 - 734010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 734030 ns R r1 80000000 - 734030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 734050 ns R psr 81000200 - 734050 ns MR4_I 01000208 2a001c5b - 734070 ns MR4_I 01000200 07c96841 - 734090 ns IT 01000200 6841 LDR r1,[r0,#4] - 734110 ns MR4_I 01000204 6002d1fc - 734170 ns MR4_D 40006004 00000001 - 734170 ns R r1 00000001 - 734170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 734190 ns R r1 80000000 - 734190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 734210 ns R psr 81000200 - 734210 ns MR4_I 01000208 2a001c5b - 734230 ns MR4_I 01000200 07c96841 - 734250 ns IT 01000200 6841 LDR r1,[r0,#4] - 734270 ns MR4_I 01000204 6002d1fc - 734330 ns MR4_D 40006004 00000001 - 734330 ns R r1 00000001 - 734330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 734350 ns R r1 80000000 - 734350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 734370 ns R psr 81000200 - 734370 ns MR4_I 01000208 2a001c5b - 734390 ns MR4_I 01000200 07c96841 - 734410 ns IT 01000200 6841 LDR r1,[r0,#4] - 734430 ns MR4_I 01000204 6002d1fc - 734490 ns MR4_D 40006004 00000001 - 734490 ns R r1 00000001 - 734490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 734510 ns R r1 80000000 - 734510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 734530 ns R psr 81000200 - 734530 ns MR4_I 01000208 2a001c5b - 734550 ns MR4_I 01000200 07c96841 - 734570 ns IT 01000200 6841 LDR r1,[r0,#4] - 734590 ns MR4_I 01000204 6002d1fc - 734650 ns MR4_D 40006004 00000001 - 734650 ns R r1 00000001 - 734650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 734670 ns R r1 80000000 - 734670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 734690 ns R psr 81000200 - 734690 ns MR4_I 01000208 2a001c5b - 734710 ns MR4_I 01000200 07c96841 - 734730 ns IT 01000200 6841 LDR r1,[r0,#4] - 734750 ns MR4_I 01000204 6002d1fc - 734810 ns MR4_D 40006004 00000001 - 734810 ns R r1 00000001 - 734810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 734830 ns R r1 80000000 - 734830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 734850 ns R psr 81000200 - 734850 ns MR4_I 01000208 2a001c5b - 734870 ns MR4_I 01000200 07c96841 - 734890 ns IT 01000200 6841 LDR r1,[r0,#4] - 734910 ns MR4_I 01000204 6002d1fc - 734970 ns MR4_D 40006004 00000001 - 734970 ns R r1 00000001 - 734970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 734990 ns R r1 80000000 - 734990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 735010 ns R psr 81000200 - 735010 ns MR4_I 01000208 2a001c5b - 735030 ns MR4_I 01000200 07c96841 - 735050 ns IT 01000200 6841 LDR r1,[r0,#4] - 735070 ns MR4_I 01000204 6002d1fc - 735130 ns MR4_D 40006004 00000001 - 735130 ns R r1 00000001 - 735130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 735150 ns R r1 80000000 - 735150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 735170 ns R psr 81000200 - 735170 ns MR4_I 01000208 2a001c5b - 735190 ns MR4_I 01000200 07c96841 - 735210 ns IT 01000200 6841 LDR r1,[r0,#4] - 735230 ns MR4_I 01000204 6002d1fc - 735290 ns MR4_D 40006004 00000001 - 735290 ns R r1 00000001 - 735290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 735310 ns R r1 80000000 - 735310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 735330 ns R psr 81000200 - 735330 ns MR4_I 01000208 2a001c5b - 735350 ns MR4_I 01000200 07c96841 - 735370 ns IT 01000200 6841 LDR r1,[r0,#4] - 735390 ns MR4_I 01000204 6002d1fc - 735450 ns MR4_D 40006004 00000001 - 735450 ns R r1 00000001 - 735450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 735470 ns R r1 80000000 - 735470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 735490 ns R psr 81000200 - 735490 ns MR4_I 01000208 2a001c5b - 735510 ns MR4_I 01000200 07c96841 - 735530 ns IT 01000200 6841 LDR r1,[r0,#4] - 735550 ns MR4_I 01000204 6002d1fc - 735610 ns MR4_D 40006004 00000001 - 735610 ns R r1 00000001 - 735610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 735630 ns R r1 80000000 - 735630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 735650 ns R psr 81000200 - 735650 ns MR4_I 01000208 2a001c5b - 735670 ns MR4_I 01000200 07c96841 - 735690 ns IT 01000200 6841 LDR r1,[r0,#4] - 735710 ns MR4_I 01000204 6002d1fc - 735770 ns MR4_D 40006004 00000001 - 735770 ns R r1 00000001 - 735770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 735790 ns R r1 80000000 - 735790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 735810 ns R psr 81000200 - 735810 ns MR4_I 01000208 2a001c5b - 735830 ns MR4_I 01000200 07c96841 - 735850 ns IT 01000200 6841 LDR r1,[r0,#4] - 735870 ns MR4_I 01000204 6002d1fc - 735930 ns MR4_D 40006004 00000001 - 735930 ns R r1 00000001 - 735930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 735950 ns R r1 80000000 - 735950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 735970 ns R psr 81000200 - 735970 ns MR4_I 01000208 2a001c5b - 735990 ns MR4_I 01000200 07c96841 - 736010 ns IT 01000200 6841 LDR r1,[r0,#4] - 736030 ns MR4_I 01000204 6002d1fc - 736090 ns MR4_D 40006004 00000001 - 736090 ns R r1 00000001 - 736090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 736110 ns R r1 80000000 - 736110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 736130 ns R psr 81000200 - 736130 ns MR4_I 01000208 2a001c5b - 736150 ns MR4_I 01000200 07c96841 - 736170 ns IT 01000200 6841 LDR r1,[r0,#4] - 736190 ns MR4_I 01000204 6002d1fc - 736250 ns MR4_D 40006004 00000001 - 736250 ns R r1 00000001 - 736250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 736270 ns R r1 80000000 - 736270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 736290 ns R psr 81000200 - 736290 ns MR4_I 01000208 2a001c5b - 736310 ns MR4_I 01000200 07c96841 - 736330 ns IT 01000200 6841 LDR r1,[r0,#4] - 736350 ns MR4_I 01000204 6002d1fc - 736410 ns MR4_D 40006004 00000001 - 736410 ns R r1 00000001 - 736410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 736430 ns R r1 80000000 - 736430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 736450 ns R psr 81000200 - 736450 ns MR4_I 01000208 2a001c5b - 736470 ns MR4_I 01000200 07c96841 - 736490 ns IT 01000200 6841 LDR r1,[r0,#4] - 736510 ns MR4_I 01000204 6002d1fc - 736570 ns MR4_D 40006004 00000001 - 736570 ns R r1 00000001 - 736570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 736590 ns R r1 80000000 - 736590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 736610 ns R psr 81000200 - 736610 ns MR4_I 01000208 2a001c5b - 736630 ns MR4_I 01000200 07c96841 - 736650 ns IT 01000200 6841 LDR r1,[r0,#4] - 736670 ns MR4_I 01000204 6002d1fc - 736730 ns MR4_D 40006004 00000001 - 736730 ns R r1 00000001 - 736730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 736750 ns R r1 80000000 - 736750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 736770 ns R psr 81000200 - 736770 ns MR4_I 01000208 2a001c5b - 736790 ns MR4_I 01000200 07c96841 - 736810 ns IT 01000200 6841 LDR r1,[r0,#4] - 736830 ns MR4_I 01000204 6002d1fc - 736890 ns MR4_D 40006004 00000001 - 736890 ns R r1 00000001 - 736890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 736910 ns R r1 80000000 - 736910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 736930 ns R psr 81000200 - 736930 ns MR4_I 01000208 2a001c5b - 736950 ns MR4_I 01000200 07c96841 - 736970 ns IT 01000200 6841 LDR r1,[r0,#4] - 736990 ns MR4_I 01000204 6002d1fc - 737050 ns MR4_D 40006004 00000001 - 737050 ns R r1 00000001 - 737050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 737070 ns R r1 80000000 - 737070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 737090 ns R psr 81000200 - 737090 ns MR4_I 01000208 2a001c5b - 737110 ns MR4_I 01000200 07c96841 - 737130 ns IT 01000200 6841 LDR r1,[r0,#4] - 737150 ns MR4_I 01000204 6002d1fc - 737210 ns MR4_D 40006004 00000001 - 737210 ns R r1 00000001 - 737210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 737230 ns R r1 80000000 - 737230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 737250 ns R psr 81000200 - 737250 ns MR4_I 01000208 2a001c5b - 737270 ns MR4_I 01000200 07c96841 - 737290 ns IT 01000200 6841 LDR r1,[r0,#4] - 737310 ns MR4_I 01000204 6002d1fc - 737370 ns MR4_D 40006004 00000001 - 737370 ns R r1 00000001 - 737370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 737390 ns R r1 80000000 - 737390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 737410 ns R psr 81000200 - 737410 ns MR4_I 01000208 2a001c5b - 737430 ns MR4_I 01000200 07c96841 - 737450 ns IT 01000200 6841 LDR r1,[r0,#4] - 737470 ns MR4_I 01000204 6002d1fc - 737530 ns MR4_D 40006004 00000001 - 737530 ns R r1 00000001 - 737530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 737550 ns R r1 80000000 - 737550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 737570 ns R psr 81000200 - 737570 ns MR4_I 01000208 2a001c5b - 737590 ns MR4_I 01000200 07c96841 - 737610 ns IT 01000200 6841 LDR r1,[r0,#4] - 737630 ns MR4_I 01000204 6002d1fc - 737690 ns MR4_D 40006004 00000001 - 737690 ns R r1 00000001 - 737690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 737710 ns R r1 80000000 - 737710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 737730 ns R psr 81000200 - 737730 ns MR4_I 01000208 2a001c5b - 737750 ns MR4_I 01000200 07c96841 - 737770 ns IT 01000200 6841 LDR r1,[r0,#4] - 737790 ns MR4_I 01000204 6002d1fc - 737850 ns MR4_D 40006004 00000001 - 737850 ns R r1 00000001 - 737850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 737870 ns R r1 80000000 - 737870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 737890 ns R psr 81000200 - 737890 ns MR4_I 01000208 2a001c5b - 737910 ns MR4_I 01000200 07c96841 - 737930 ns IT 01000200 6841 LDR r1,[r0,#4] - 737950 ns MR4_I 01000204 6002d1fc - 738010 ns MR4_D 40006004 00000001 - 738010 ns R r1 00000001 - 738010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 738030 ns R r1 80000000 - 738030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 738050 ns R psr 81000200 - 738050 ns MR4_I 01000208 2a001c5b - 738070 ns MR4_I 01000200 07c96841 - 738090 ns IT 01000200 6841 LDR r1,[r0,#4] - 738110 ns MR4_I 01000204 6002d1fc - 738170 ns MR4_D 40006004 00000001 - 738170 ns R r1 00000001 - 738170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 738190 ns R r1 80000000 - 738190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 738210 ns R psr 81000200 - 738210 ns MR4_I 01000208 2a001c5b - 738230 ns MR4_I 01000200 07c96841 - 738250 ns IT 01000200 6841 LDR r1,[r0,#4] - 738270 ns MR4_I 01000204 6002d1fc - 738330 ns MR4_D 40006004 00000001 - 738330 ns R r1 00000001 - 738330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 738350 ns R r1 80000000 - 738350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 738370 ns R psr 81000200 - 738370 ns MR4_I 01000208 2a001c5b - 738390 ns MR4_I 01000200 07c96841 - 738410 ns IT 01000200 6841 LDR r1,[r0,#4] - 738430 ns MR4_I 01000204 6002d1fc - 738490 ns MR4_D 40006004 00000001 - 738490 ns R r1 00000001 - 738490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 738510 ns R r1 80000000 - 738510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 738530 ns R psr 81000200 - 738530 ns MR4_I 01000208 2a001c5b - 738550 ns MR4_I 01000200 07c96841 - 738570 ns IT 01000200 6841 LDR r1,[r0,#4] - 738590 ns MR4_I 01000204 6002d1fc - 738650 ns MR4_D 40006004 00000001 - 738650 ns R r1 00000001 - 738650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 738670 ns R r1 80000000 - 738670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 738690 ns R psr 81000200 - 738690 ns MR4_I 01000208 2a001c5b - 738710 ns MR4_I 01000200 07c96841 - 738730 ns IT 01000200 6841 LDR r1,[r0,#4] - 738750 ns MR4_I 01000204 6002d1fc - 738810 ns MR4_D 40006004 00000001 - 738810 ns R r1 00000001 - 738810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 738830 ns R r1 80000000 - 738830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 738850 ns R psr 81000200 - 738850 ns MR4_I 01000208 2a001c5b - 738870 ns MR4_I 01000200 07c96841 - 738890 ns IT 01000200 6841 LDR r1,[r0,#4] - 738910 ns MR4_I 01000204 6002d1fc - 738970 ns MR4_D 40006004 00000001 - 738970 ns R r1 00000001 - 738970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 738990 ns R r1 80000000 - 738990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 739010 ns R psr 81000200 - 739010 ns MR4_I 01000208 2a001c5b - 739030 ns MR4_I 01000200 07c96841 - 739050 ns IT 01000200 6841 LDR r1,[r0,#4] - 739070 ns MR4_I 01000204 6002d1fc - 739130 ns MR4_D 40006004 00000001 - 739130 ns R r1 00000001 - 739130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 739150 ns R r1 80000000 - 739150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 739170 ns R psr 81000200 - 739170 ns MR4_I 01000208 2a001c5b - 739190 ns MR4_I 01000200 07c96841 - 739210 ns IT 01000200 6841 LDR r1,[r0,#4] - 739230 ns MR4_I 01000204 6002d1fc - 739290 ns MR4_D 40006004 00000001 - 739290 ns R r1 00000001 - 739290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 739310 ns R r1 80000000 - 739310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 739330 ns R psr 81000200 - 739330 ns MR4_I 01000208 2a001c5b - 739350 ns MR4_I 01000200 07c96841 - 739370 ns IT 01000200 6841 LDR r1,[r0,#4] - 739390 ns MR4_I 01000204 6002d1fc - 739450 ns MR4_D 40006004 00000001 - 739450 ns R r1 00000001 - 739450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 739470 ns R r1 80000000 - 739470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 739490 ns R psr 81000200 - 739490 ns MR4_I 01000208 2a001c5b - 739510 ns MR4_I 01000200 07c96841 - 739530 ns IT 01000200 6841 LDR r1,[r0,#4] - 739550 ns MR4_I 01000204 6002d1fc - 739610 ns MR4_D 40006004 00000001 - 739610 ns R r1 00000001 - 739610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 739630 ns R r1 80000000 - 739630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 739650 ns R psr 81000200 - 739650 ns MR4_I 01000208 2a001c5b - 739670 ns MR4_I 01000200 07c96841 - 739690 ns IT 01000200 6841 LDR r1,[r0,#4] - 739710 ns MR4_I 01000204 6002d1fc - 739770 ns MR4_D 40006004 00000001 - 739770 ns R r1 00000001 - 739770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 739790 ns R r1 80000000 - 739790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 739810 ns R psr 81000200 - 739810 ns MR4_I 01000208 2a001c5b - 739830 ns MR4_I 01000200 07c96841 - 739850 ns IT 01000200 6841 LDR r1,[r0,#4] - 739870 ns MR4_I 01000204 6002d1fc - 739930 ns MR4_D 40006004 00000001 - 739930 ns R r1 00000001 - 739930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 739950 ns R r1 80000000 - 739950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 739970 ns R psr 81000200 - 739970 ns MR4_I 01000208 2a001c5b - 739990 ns MR4_I 01000200 07c96841 - 740010 ns IT 01000200 6841 LDR r1,[r0,#4] - 740030 ns MR4_I 01000204 6002d1fc - 740090 ns MR4_D 40006004 00000001 - 740090 ns R r1 00000001 - 740090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 740110 ns R r1 80000000 - 740110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 740130 ns R psr 81000200 - 740130 ns MR4_I 01000208 2a001c5b - 740150 ns MR4_I 01000200 07c96841 - 740170 ns IT 01000200 6841 LDR r1,[r0,#4] - 740190 ns MR4_I 01000204 6002d1fc - 740250 ns MR4_D 40006004 00000001 - 740250 ns R r1 00000001 - 740250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 740270 ns R r1 80000000 - 740270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 740290 ns R psr 81000200 - 740290 ns MR4_I 01000208 2a001c5b - 740310 ns MR4_I 01000200 07c96841 - 740330 ns IT 01000200 6841 LDR r1,[r0,#4] - 740350 ns MR4_I 01000204 6002d1fc - 740410 ns MR4_D 40006004 00000001 - 740410 ns R r1 00000001 - 740410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 740430 ns R r1 80000000 - 740430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 740450 ns R psr 81000200 - 740450 ns MR4_I 01000208 2a001c5b - 740470 ns MR4_I 01000200 07c96841 - 740490 ns IT 01000200 6841 LDR r1,[r0,#4] - 740510 ns MR4_I 01000204 6002d1fc - 740570 ns MR4_D 40006004 00000001 - 740570 ns R r1 00000001 - 740570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 740590 ns R r1 80000000 - 740590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 740610 ns R psr 81000200 - 740610 ns MR4_I 01000208 2a001c5b - 740630 ns MR4_I 01000200 07c96841 - 740650 ns IT 01000200 6841 LDR r1,[r0,#4] - 740670 ns MR4_I 01000204 6002d1fc - 740730 ns MR4_D 40006004 00000001 - 740730 ns R r1 00000001 - 740730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 740750 ns R r1 80000000 - 740750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 740770 ns R psr 81000200 - 740770 ns MR4_I 01000208 2a001c5b - 740790 ns MR4_I 01000200 07c96841 - 740810 ns IT 01000200 6841 LDR r1,[r0,#4] - 740830 ns MR4_I 01000204 6002d1fc - 740890 ns MR4_D 40006004 00000001 - 740890 ns R r1 00000001 - 740890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 740910 ns R r1 80000000 - 740910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 740930 ns R psr 81000200 - 740930 ns MR4_I 01000208 2a001c5b - 740950 ns MR4_I 01000200 07c96841 - 740970 ns IT 01000200 6841 LDR r1,[r0,#4] - 740990 ns MR4_I 01000204 6002d1fc - 741050 ns MR4_D 40006004 00000001 - 741050 ns R r1 00000001 - 741050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 741070 ns R r1 80000000 - 741070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 741090 ns R psr 81000200 - 741090 ns MR4_I 01000208 2a001c5b - 741110 ns MR4_I 01000200 07c96841 - 741130 ns IT 01000200 6841 LDR r1,[r0,#4] - 741150 ns MR4_I 01000204 6002d1fc - 741210 ns MR4_D 40006004 00000001 - 741210 ns R r1 00000001 - 741210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 741230 ns R r1 80000000 - 741230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 741250 ns R psr 81000200 - 741250 ns MR4_I 01000208 2a001c5b - 741270 ns MR4_I 01000200 07c96841 - 741290 ns IT 01000200 6841 LDR r1,[r0,#4] - 741310 ns MR4_I 01000204 6002d1fc - 741370 ns MR4_D 40006004 00000001 - 741370 ns R r1 00000001 - 741370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 741390 ns R r1 80000000 - 741390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 741410 ns R psr 81000200 - 741410 ns MR4_I 01000208 2a001c5b - 741430 ns MR4_I 01000200 07c96841 - 741450 ns IT 01000200 6841 LDR r1,[r0,#4] - 741470 ns MR4_I 01000204 6002d1fc - 741530 ns MR4_D 40006004 00000001 - 741530 ns R r1 00000001 - 741530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 741550 ns R r1 80000000 - 741550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 741570 ns R psr 81000200 - 741570 ns MR4_I 01000208 2a001c5b - 741590 ns MR4_I 01000200 07c96841 - 741610 ns IT 01000200 6841 LDR r1,[r0,#4] - 741630 ns MR4_I 01000204 6002d1fc - 741690 ns MR4_D 40006004 00000001 - 741690 ns R r1 00000001 - 741690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 741710 ns R r1 80000000 - 741710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 741730 ns R psr 81000200 - 741730 ns MR4_I 01000208 2a001c5b - 741750 ns MR4_I 01000200 07c96841 - 741770 ns IT 01000200 6841 LDR r1,[r0,#4] - 741790 ns MR4_I 01000204 6002d1fc - 741850 ns MR4_D 40006004 00000001 - 741850 ns R r1 00000001 - 741850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 741870 ns R r1 80000000 - 741870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 741890 ns R psr 81000200 - 741890 ns MR4_I 01000208 2a001c5b - 741910 ns MR4_I 01000200 07c96841 - 741930 ns IT 01000200 6841 LDR r1,[r0,#4] - 741950 ns MR4_I 01000204 6002d1fc - 742010 ns MR4_D 40006004 00000001 - 742010 ns R r1 00000001 - 742010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 742030 ns R r1 80000000 - 742030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 742050 ns R psr 81000200 - 742050 ns MR4_I 01000208 2a001c5b - 742070 ns MR4_I 01000200 07c96841 - 742090 ns IT 01000200 6841 LDR r1,[r0,#4] - 742110 ns MR4_I 01000204 6002d1fc - 742170 ns MR4_D 40006004 00000001 - 742170 ns R r1 00000001 - 742170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 742190 ns R r1 80000000 - 742190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 742210 ns R psr 81000200 - 742210 ns MR4_I 01000208 2a001c5b - 742230 ns MR4_I 01000200 07c96841 - 742250 ns IT 01000200 6841 LDR r1,[r0,#4] - 742270 ns MR4_I 01000204 6002d1fc - 742330 ns MR4_D 40006004 00000001 - 742330 ns R r1 00000001 - 742330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 742350 ns R r1 80000000 - 742350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 742370 ns R psr 81000200 - 742370 ns MR4_I 01000208 2a001c5b - 742390 ns MR4_I 01000200 07c96841 - 742410 ns IT 01000200 6841 LDR r1,[r0,#4] - 742430 ns MR4_I 01000204 6002d1fc - 742490 ns MR4_D 40006004 00000001 - 742490 ns R r1 00000001 - 742490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 742510 ns R r1 80000000 - 742510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 742530 ns R psr 81000200 - 742530 ns MR4_I 01000208 2a001c5b - 742550 ns MR4_I 01000200 07c96841 - 742570 ns IT 01000200 6841 LDR r1,[r0,#4] - 742590 ns MR4_I 01000204 6002d1fc - 742650 ns MR4_D 40006004 00000001 - 742650 ns R r1 00000001 - 742650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 742670 ns R r1 80000000 - 742670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 742690 ns R psr 81000200 - 742690 ns MR4_I 01000208 2a001c5b - 742710 ns MR4_I 01000200 07c96841 - 742730 ns IT 01000200 6841 LDR r1,[r0,#4] - 742750 ns MR4_I 01000204 6002d1fc - 742810 ns MR4_D 40006004 00000001 - 742810 ns R r1 00000001 - 742810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 742830 ns R r1 80000000 - 742830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 742850 ns R psr 81000200 - 742850 ns MR4_I 01000208 2a001c5b - 742870 ns MR4_I 01000200 07c96841 - 742890 ns IT 01000200 6841 LDR r1,[r0,#4] - 742910 ns MR4_I 01000204 6002d1fc - 742970 ns MR4_D 40006004 00000001 - 742970 ns R r1 00000001 - 742970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 742990 ns R r1 80000000 - 742990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 743010 ns R psr 81000200 - 743010 ns MR4_I 01000208 2a001c5b - 743030 ns MR4_I 01000200 07c96841 - 743050 ns IT 01000200 6841 LDR r1,[r0,#4] - 743070 ns MR4_I 01000204 6002d1fc - 743130 ns MR4_D 40006004 00000001 - 743130 ns R r1 00000001 - 743130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 743150 ns R r1 80000000 - 743150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 743170 ns R psr 81000200 - 743170 ns MR4_I 01000208 2a001c5b - 743190 ns MR4_I 01000200 07c96841 - 743210 ns IT 01000200 6841 LDR r1,[r0,#4] - 743230 ns MR4_I 01000204 6002d1fc - 743290 ns MR4_D 40006004 00000001 - 743290 ns R r1 00000001 - 743290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 743310 ns R r1 80000000 - 743310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 743330 ns R psr 81000200 - 743330 ns MR4_I 01000208 2a001c5b - 743350 ns MR4_I 01000200 07c96841 - 743370 ns IT 01000200 6841 LDR r1,[r0,#4] - 743390 ns MR4_I 01000204 6002d1fc - 743450 ns MR4_D 40006004 00000001 - 743450 ns R r1 00000001 - 743450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 743470 ns R r1 80000000 - 743470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 743490 ns R psr 81000200 - 743490 ns MR4_I 01000208 2a001c5b - 743510 ns MR4_I 01000200 07c96841 - 743530 ns IT 01000200 6841 LDR r1,[r0,#4] - 743550 ns MR4_I 01000204 6002d1fc - 743610 ns MR4_D 40006004 00000001 - 743610 ns R r1 00000001 - 743610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 743630 ns R r1 80000000 - 743630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 743650 ns R psr 81000200 - 743650 ns MR4_I 01000208 2a001c5b - 743670 ns MR4_I 01000200 07c96841 - 743690 ns IT 01000200 6841 LDR r1,[r0,#4] - 743710 ns MR4_I 01000204 6002d1fc - 743770 ns MR4_D 40006004 00000001 - 743770 ns R r1 00000001 - 743770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 743790 ns R r1 80000000 - 743790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 743810 ns R psr 81000200 - 743810 ns MR4_I 01000208 2a001c5b - 743830 ns MR4_I 01000200 07c96841 - 743850 ns IT 01000200 6841 LDR r1,[r0,#4] - 743870 ns MR4_I 01000204 6002d1fc - 743930 ns MR4_D 40006004 00000001 - 743930 ns R r1 00000001 - 743930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 743950 ns R r1 80000000 - 743950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 743970 ns R psr 81000200 - 743970 ns MR4_I 01000208 2a001c5b - 743990 ns MR4_I 01000200 07c96841 - 744010 ns IT 01000200 6841 LDR r1,[r0,#4] - 744030 ns MR4_I 01000204 6002d1fc - 744090 ns MR4_D 40006004 00000001 - 744090 ns R r1 00000001 - 744090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 744110 ns R r1 80000000 - 744110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 744130 ns R psr 81000200 - 744130 ns MR4_I 01000208 2a001c5b - 744150 ns MR4_I 01000200 07c96841 - 744170 ns IT 01000200 6841 LDR r1,[r0,#4] - 744190 ns MR4_I 01000204 6002d1fc - 744250 ns MR4_D 40006004 00000001 - 744250 ns R r1 00000001 - 744250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 744270 ns R r1 80000000 - 744270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 744290 ns R psr 81000200 - 744290 ns MR4_I 01000208 2a001c5b - 744310 ns MR4_I 01000200 07c96841 - 744330 ns IT 01000200 6841 LDR r1,[r0,#4] - 744350 ns MR4_I 01000204 6002d1fc - 744410 ns MR4_D 40006004 00000001 - 744410 ns R r1 00000001 - 744410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 744430 ns R r1 80000000 - 744430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 744450 ns R psr 81000200 - 744450 ns MR4_I 01000208 2a001c5b - 744470 ns MR4_I 01000200 07c96841 - 744490 ns IT 01000200 6841 LDR r1,[r0,#4] - 744510 ns MR4_I 01000204 6002d1fc - 744570 ns MR4_D 40006004 00000001 - 744570 ns R r1 00000001 - 744570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 744590 ns R r1 80000000 - 744590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 744610 ns R psr 81000200 - 744610 ns MR4_I 01000208 2a001c5b - 744630 ns MR4_I 01000200 07c96841 - 744650 ns IT 01000200 6841 LDR r1,[r0,#4] - 744670 ns MR4_I 01000204 6002d1fc - 744730 ns MR4_D 40006004 00000001 - 744730 ns R r1 00000001 - 744730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 744750 ns R r1 80000000 - 744750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 744770 ns R psr 81000200 - 744770 ns MR4_I 01000208 2a001c5b - 744790 ns MR4_I 01000200 07c96841 - 744810 ns IT 01000200 6841 LDR r1,[r0,#4] - 744830 ns MR4_I 01000204 6002d1fc - 744890 ns MR4_D 40006004 00000001 - 744890 ns R r1 00000001 - 744890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 744910 ns R r1 80000000 - 744910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 744930 ns R psr 81000200 - 744930 ns MR4_I 01000208 2a001c5b - 744950 ns MR4_I 01000200 07c96841 - 744970 ns IT 01000200 6841 LDR r1,[r0,#4] - 744990 ns MR4_I 01000204 6002d1fc - 745050 ns MR4_D 40006004 00000001 - 745050 ns R r1 00000001 - 745050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 745070 ns R r1 80000000 - 745070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 745090 ns R psr 81000200 - 745090 ns MR4_I 01000208 2a001c5b - 745110 ns MR4_I 01000200 07c96841 - 745130 ns IT 01000200 6841 LDR r1,[r0,#4] - 745150 ns MR4_I 01000204 6002d1fc - 745210 ns MR4_D 40006004 00000001 - 745210 ns R r1 00000001 - 745210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 745230 ns R r1 80000000 - 745230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 745250 ns R psr 81000200 - 745250 ns MR4_I 01000208 2a001c5b - 745270 ns MR4_I 01000200 07c96841 - 745290 ns IT 01000200 6841 LDR r1,[r0,#4] - 745310 ns MR4_I 01000204 6002d1fc - 745370 ns MR4_D 40006004 00000001 - 745370 ns R r1 00000001 - 745370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 745390 ns R r1 80000000 - 745390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 745410 ns R psr 81000200 - 745410 ns MR4_I 01000208 2a001c5b - 745430 ns MR4_I 01000200 07c96841 - 745450 ns IT 01000200 6841 LDR r1,[r0,#4] - 745470 ns MR4_I 01000204 6002d1fc - 745530 ns MR4_D 40006004 00000001 - 745530 ns R r1 00000001 - 745530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 745550 ns R r1 80000000 - 745550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 745570 ns R psr 81000200 - 745570 ns MR4_I 01000208 2a001c5b - 745590 ns MR4_I 01000200 07c96841 - 745610 ns IT 01000200 6841 LDR r1,[r0,#4] - 745630 ns MR4_I 01000204 6002d1fc - 745690 ns MR4_D 40006004 00000001 - 745690 ns R r1 00000001 - 745690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 745710 ns R r1 80000000 - 745710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 745730 ns R psr 81000200 - 745730 ns MR4_I 01000208 2a001c5b - 745750 ns MR4_I 01000200 07c96841 - 745770 ns IT 01000200 6841 LDR r1,[r0,#4] - 745790 ns MR4_I 01000204 6002d1fc - 745850 ns MR4_D 40006004 00000001 - 745850 ns R r1 00000001 - 745850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 745870 ns R r1 80000000 - 745870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 745890 ns R psr 81000200 - 745890 ns MR4_I 01000208 2a001c5b - 745910 ns MR4_I 01000200 07c96841 - 745930 ns IT 01000200 6841 LDR r1,[r0,#4] - 745950 ns MR4_I 01000204 6002d1fc - 746010 ns MR4_D 40006004 00000001 - 746010 ns R r1 00000001 - 746010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 746030 ns R r1 80000000 - 746030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 746050 ns R psr 81000200 - 746050 ns MR4_I 01000208 2a001c5b - 746070 ns MR4_I 01000200 07c96841 - 746090 ns IT 01000200 6841 LDR r1,[r0,#4] - 746110 ns MR4_I 01000204 6002d1fc - 746170 ns MR4_D 40006004 00000001 - 746170 ns R r1 00000001 - 746170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 746190 ns R r1 80000000 - 746190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 746210 ns R psr 81000200 - 746210 ns MR4_I 01000208 2a001c5b - 746230 ns MR4_I 01000200 07c96841 - 746250 ns IT 01000200 6841 LDR r1,[r0,#4] - 746270 ns MR4_I 01000204 6002d1fc - 746330 ns MR4_D 40006004 00000001 - 746330 ns R r1 00000001 - 746330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 746350 ns R r1 80000000 - 746350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 746370 ns R psr 81000200 - 746370 ns MR4_I 01000208 2a001c5b - 746390 ns MR4_I 01000200 07c96841 - 746410 ns IT 01000200 6841 LDR r1,[r0,#4] - 746430 ns MR4_I 01000204 6002d1fc - 746490 ns MR4_D 40006004 00000001 - 746490 ns R r1 00000001 - 746490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 746510 ns R r1 80000000 - 746510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 746530 ns R psr 81000200 - 746530 ns MR4_I 01000208 2a001c5b - 746550 ns MR4_I 01000200 07c96841 - 746570 ns IT 01000200 6841 LDR r1,[r0,#4] - 746590 ns MR4_I 01000204 6002d1fc - 746650 ns MR4_D 40006004 00000001 - 746650 ns R r1 00000001 - 746650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 746670 ns R r1 80000000 - 746670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 746690 ns R psr 81000200 - 746690 ns MR4_I 01000208 2a001c5b - 746710 ns MR4_I 01000200 07c96841 - 746730 ns IT 01000200 6841 LDR r1,[r0,#4] - 746750 ns MR4_I 01000204 6002d1fc - 746810 ns MR4_D 40006004 00000001 - 746810 ns R r1 00000001 - 746810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 746830 ns R r1 80000000 - 746830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 746850 ns R psr 81000200 - 746850 ns MR4_I 01000208 2a001c5b - 746870 ns MR4_I 01000200 07c96841 - 746890 ns IT 01000200 6841 LDR r1,[r0,#4] - 746910 ns MR4_I 01000204 6002d1fc - 746970 ns MR4_D 40006004 00000001 - 746970 ns R r1 00000001 - 746970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 746990 ns R r1 80000000 - 746990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 747010 ns R psr 81000200 - 747010 ns MR4_I 01000208 2a001c5b - 747030 ns MR4_I 01000200 07c96841 - 747050 ns IT 01000200 6841 LDR r1,[r0,#4] - 747070 ns MR4_I 01000204 6002d1fc - 747130 ns MR4_D 40006004 00000001 - 747130 ns R r1 00000001 - 747130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 747150 ns R r1 80000000 - 747150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 747170 ns R psr 81000200 - 747170 ns MR4_I 01000208 2a001c5b - 747190 ns MR4_I 01000200 07c96841 - 747210 ns IT 01000200 6841 LDR r1,[r0,#4] - 747230 ns MR4_I 01000204 6002d1fc - 747290 ns MR4_D 40006004 00000001 - 747290 ns R r1 00000001 - 747290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 747310 ns R r1 80000000 - 747310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 747330 ns R psr 81000200 - 747330 ns MR4_I 01000208 2a001c5b - 747350 ns MR4_I 01000200 07c96841 - 747370 ns IT 01000200 6841 LDR r1,[r0,#4] - 747390 ns MR4_I 01000204 6002d1fc - 747450 ns MR4_D 40006004 00000001 - 747450 ns R r1 00000001 - 747450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 747470 ns R r1 80000000 - 747470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 747490 ns R psr 81000200 - 747490 ns MR4_I 01000208 2a001c5b - 747510 ns MR4_I 01000200 07c96841 - 747530 ns IT 01000200 6841 LDR r1,[r0,#4] - 747550 ns MR4_I 01000204 6002d1fc - 747610 ns MR4_D 40006004 00000001 - 747610 ns R r1 00000001 - 747610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 747630 ns R r1 80000000 - 747630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 747650 ns R psr 81000200 - 747650 ns MR4_I 01000208 2a001c5b - 747670 ns MR4_I 01000200 07c96841 - 747690 ns IT 01000200 6841 LDR r1,[r0,#4] - 747710 ns MR4_I 01000204 6002d1fc - 747770 ns MR4_D 40006004 00000001 - 747770 ns R r1 00000001 - 747770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 747790 ns R r1 80000000 - 747790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 747810 ns R psr 81000200 - 747810 ns MR4_I 01000208 2a001c5b - 747830 ns MR4_I 01000200 07c96841 - 747850 ns IT 01000200 6841 LDR r1,[r0,#4] - 747870 ns MR4_I 01000204 6002d1fc - 747930 ns MR4_D 40006004 00000001 - 747930 ns R r1 00000001 - 747930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 747950 ns R r1 80000000 - 747950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 747970 ns R psr 81000200 - 747970 ns MR4_I 01000208 2a001c5b - 747990 ns MR4_I 01000200 07c96841 - 748010 ns IT 01000200 6841 LDR r1,[r0,#4] - 748030 ns MR4_I 01000204 6002d1fc - 748090 ns MR4_D 40006004 00000001 - 748090 ns R r1 00000001 - 748090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 748110 ns R r1 80000000 - 748110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 748130 ns R psr 81000200 - 748130 ns MR4_I 01000208 2a001c5b - 748150 ns MR4_I 01000200 07c96841 - 748170 ns IT 01000200 6841 LDR r1,[r0,#4] - 748190 ns MR4_I 01000204 6002d1fc - 748250 ns MR4_D 40006004 00000001 - 748250 ns R r1 00000001 - 748250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 748270 ns R r1 80000000 - 748270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 748290 ns R psr 81000200 - 748290 ns MR4_I 01000208 2a001c5b - 748310 ns MR4_I 01000200 07c96841 - 748330 ns IT 01000200 6841 LDR r1,[r0,#4] - 748350 ns MR4_I 01000204 6002d1fc - 748410 ns MR4_D 40006004 00000001 - 748410 ns R r1 00000001 - 748410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 748430 ns R r1 80000000 - 748430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 748450 ns R psr 81000200 - 748450 ns MR4_I 01000208 2a001c5b - 748470 ns MR4_I 01000200 07c96841 - 748490 ns IT 01000200 6841 LDR r1,[r0,#4] - 748510 ns MR4_I 01000204 6002d1fc - 748570 ns MR4_D 40006004 00000001 - 748570 ns R r1 00000001 - 748570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 748590 ns R r1 80000000 - 748590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 748610 ns R psr 81000200 - 748610 ns MR4_I 01000208 2a001c5b - 748630 ns MR4_I 01000200 07c96841 - 748650 ns IT 01000200 6841 LDR r1,[r0,#4] - 748670 ns MR4_I 01000204 6002d1fc - 748730 ns MR4_D 40006004 00000001 - 748730 ns R r1 00000001 - 748730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 748750 ns R r1 80000000 - 748750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 748770 ns R psr 81000200 - 748770 ns MR4_I 01000208 2a001c5b - 748790 ns MR4_I 01000200 07c96841 - 748810 ns IT 01000200 6841 LDR r1,[r0,#4] - 748830 ns MR4_I 01000204 6002d1fc - 748890 ns MR4_D 40006004 00000001 - 748890 ns R r1 00000001 - 748890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 748910 ns R r1 80000000 - 748910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 748930 ns R psr 81000200 - 748930 ns MR4_I 01000208 2a001c5b - 748950 ns MR4_I 01000200 07c96841 - 748970 ns IT 01000200 6841 LDR r1,[r0,#4] - 748990 ns MR4_I 01000204 6002d1fc - 749050 ns MR4_D 40006004 00000001 - 749050 ns R r1 00000001 - 749050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 749070 ns R r1 80000000 - 749070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 749090 ns R psr 81000200 - 749090 ns MR4_I 01000208 2a001c5b - 749110 ns MR4_I 01000200 07c96841 - 749130 ns IT 01000200 6841 LDR r1,[r0,#4] - 749150 ns MR4_I 01000204 6002d1fc - 749210 ns MR4_D 40006004 00000001 - 749210 ns R r1 00000001 - 749210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 749230 ns R r1 80000000 - 749230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 749250 ns R psr 81000200 - 749250 ns MR4_I 01000208 2a001c5b - 749270 ns MR4_I 01000200 07c96841 - 749290 ns IT 01000200 6841 LDR r1,[r0,#4] - 749310 ns MR4_I 01000204 6002d1fc - 749370 ns MR4_D 40006004 00000001 - 749370 ns R r1 00000001 - 749370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 749390 ns R r1 80000000 - 749390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 749410 ns R psr 81000200 - 749410 ns MR4_I 01000208 2a001c5b - 749430 ns MR4_I 01000200 07c96841 - 749450 ns IT 01000200 6841 LDR r1,[r0,#4] - 749470 ns MR4_I 01000204 6002d1fc - 749530 ns MR4_D 40006004 00000001 - 749530 ns R r1 00000001 - 749530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 749550 ns R r1 80000000 - 749550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 749570 ns R psr 81000200 - 749570 ns MR4_I 01000208 2a001c5b - 749590 ns MR4_I 01000200 07c96841 - 749610 ns IT 01000200 6841 LDR r1,[r0,#4] - 749630 ns MR4_I 01000204 6002d1fc - 749690 ns MR4_D 40006004 00000001 - 749690 ns R r1 00000001 - 749690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 749710 ns R r1 80000000 - 749710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 749730 ns R psr 81000200 - 749730 ns MR4_I 01000208 2a001c5b - 749750 ns MR4_I 01000200 07c96841 - 749770 ns IT 01000200 6841 LDR r1,[r0,#4] - 749790 ns MR4_I 01000204 6002d1fc - 749850 ns MR4_D 40006004 00000001 - 749850 ns R r1 00000001 - 749850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 749870 ns R r1 80000000 - 749870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 749890 ns R psr 81000200 - 749890 ns MR4_I 01000208 2a001c5b - 749910 ns MR4_I 01000200 07c96841 - 749930 ns IT 01000200 6841 LDR r1,[r0,#4] - 749950 ns MR4_I 01000204 6002d1fc - 750010 ns MR4_D 40006004 00000001 - 750010 ns R r1 00000001 - 750010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 750030 ns R r1 80000000 - 750030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 750050 ns R psr 81000200 - 750050 ns MR4_I 01000208 2a001c5b - 750070 ns MR4_I 01000200 07c96841 - 750090 ns IT 01000200 6841 LDR r1,[r0,#4] - 750110 ns MR4_I 01000204 6002d1fc - 750170 ns MR4_D 40006004 00000001 - 750170 ns R r1 00000001 - 750170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 750190 ns R r1 80000000 - 750190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 750210 ns R psr 81000200 - 750210 ns MR4_I 01000208 2a001c5b - 750230 ns MR4_I 01000200 07c96841 - 750250 ns IT 01000200 6841 LDR r1,[r0,#4] - 750270 ns MR4_I 01000204 6002d1fc - 750330 ns MR4_D 40006004 00000001 - 750330 ns R r1 00000001 - 750330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 750350 ns R r1 80000000 - 750350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 750370 ns R psr 81000200 - 750370 ns MR4_I 01000208 2a001c5b - 750390 ns MR4_I 01000200 07c96841 - 750410 ns IT 01000200 6841 LDR r1,[r0,#4] - 750430 ns MR4_I 01000204 6002d1fc - 750490 ns MR4_D 40006004 00000001 - 750490 ns R r1 00000001 - 750490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 750510 ns R r1 80000000 - 750510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 750530 ns R psr 81000200 - 750530 ns MR4_I 01000208 2a001c5b - 750550 ns MR4_I 01000200 07c96841 - 750570 ns IT 01000200 6841 LDR r1,[r0,#4] - 750590 ns MR4_I 01000204 6002d1fc - 750650 ns MR4_D 40006004 00000001 - 750650 ns R r1 00000001 - 750650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 750670 ns R r1 80000000 - 750670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 750690 ns R psr 81000200 - 750690 ns MR4_I 01000208 2a001c5b - 750710 ns MR4_I 01000200 07c96841 - 750730 ns IT 01000200 6841 LDR r1,[r0,#4] - 750750 ns MR4_I 01000204 6002d1fc - 750810 ns MR4_D 40006004 00000001 - 750810 ns R r1 00000001 - 750810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 750830 ns R r1 80000000 - 750830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 750850 ns R psr 81000200 - 750850 ns MR4_I 01000208 2a001c5b - 750870 ns MR4_I 01000200 07c96841 - 750890 ns IT 01000200 6841 LDR r1,[r0,#4] - 750910 ns MR4_I 01000204 6002d1fc - 750970 ns MR4_D 40006004 00000001 - 750970 ns R r1 00000001 - 750970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 750990 ns R r1 80000000 - 750990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 751010 ns R psr 81000200 - 751010 ns MR4_I 01000208 2a001c5b - 751030 ns MR4_I 01000200 07c96841 - 751050 ns IT 01000200 6841 LDR r1,[r0,#4] - 751070 ns MR4_I 01000204 6002d1fc - 751130 ns MR4_D 40006004 00000001 - 751130 ns R r1 00000001 - 751130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 751150 ns R r1 80000000 - 751150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 751170 ns R psr 81000200 - 751170 ns MR4_I 01000208 2a001c5b - 751190 ns MR4_I 01000200 07c96841 - 751210 ns IT 01000200 6841 LDR r1,[r0,#4] - 751230 ns MR4_I 01000204 6002d1fc - 751290 ns MR4_D 40006004 00000001 - 751290 ns R r1 00000001 - 751290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 751310 ns R r1 80000000 - 751310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 751330 ns R psr 81000200 - 751330 ns MR4_I 01000208 2a001c5b - 751350 ns MR4_I 01000200 07c96841 - 751370 ns IT 01000200 6841 LDR r1,[r0,#4] - 751390 ns MR4_I 01000204 6002d1fc - 751450 ns MR4_D 40006004 00000001 - 751450 ns R r1 00000001 - 751450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 751470 ns R r1 80000000 - 751470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 751490 ns R psr 81000200 - 751490 ns MR4_I 01000208 2a001c5b - 751510 ns MR4_I 01000200 07c96841 - 751530 ns IT 01000200 6841 LDR r1,[r0,#4] - 751550 ns MR4_I 01000204 6002d1fc - 751610 ns MR4_D 40006004 00000001 - 751610 ns R r1 00000001 - 751610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 751630 ns R r1 80000000 - 751630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 751650 ns R psr 81000200 - 751650 ns MR4_I 01000208 2a001c5b - 751670 ns MR4_I 01000200 07c96841 - 751690 ns IT 01000200 6841 LDR r1,[r0,#4] - 751710 ns MR4_I 01000204 6002d1fc - 751770 ns MR4_D 40006004 00000001 - 751770 ns R r1 00000001 - 751770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 751790 ns R r1 80000000 - 751790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 751810 ns R psr 81000200 - 751810 ns MR4_I 01000208 2a001c5b - 751830 ns MR4_I 01000200 07c96841 - 751850 ns IT 01000200 6841 LDR r1,[r0,#4] - 751870 ns MR4_I 01000204 6002d1fc - 751930 ns MR4_D 40006004 00000001 - 751930 ns R r1 00000001 - 751930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 751950 ns R r1 80000000 - 751950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 751970 ns R psr 81000200 - 751970 ns MR4_I 01000208 2a001c5b - 751990 ns MR4_I 01000200 07c96841 - 752010 ns IT 01000200 6841 LDR r1,[r0,#4] - 752030 ns MR4_I 01000204 6002d1fc - 752090 ns MR4_D 40006004 00000001 - 752090 ns R r1 00000001 - 752090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 752110 ns R r1 80000000 - 752110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 752130 ns R psr 81000200 - 752130 ns MR4_I 01000208 2a001c5b - 752150 ns MR4_I 01000200 07c96841 - 752170 ns IT 01000200 6841 LDR r1,[r0,#4] - 752190 ns MR4_I 01000204 6002d1fc - 752250 ns MR4_D 40006004 00000001 - 752250 ns R r1 00000001 - 752250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 752270 ns R r1 80000000 - 752270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 752290 ns R psr 81000200 - 752290 ns MR4_I 01000208 2a001c5b - 752310 ns MR4_I 01000200 07c96841 - 752330 ns IT 01000200 6841 LDR r1,[r0,#4] - 752350 ns MR4_I 01000204 6002d1fc - 752410 ns MR4_D 40006004 00000001 - 752410 ns R r1 00000001 - 752410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 752430 ns R r1 80000000 - 752430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 752450 ns R psr 81000200 - 752450 ns MR4_I 01000208 2a001c5b - 752470 ns MR4_I 01000200 07c96841 - 752490 ns IT 01000200 6841 LDR r1,[r0,#4] - 752510 ns MR4_I 01000204 6002d1fc - 752570 ns MR4_D 40006004 00000001 - 752570 ns R r1 00000001 - 752570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 752590 ns R r1 80000000 - 752590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 752610 ns R psr 81000200 - 752610 ns MR4_I 01000208 2a001c5b - 752630 ns MR4_I 01000200 07c96841 - 752650 ns IT 01000200 6841 LDR r1,[r0,#4] - 752670 ns MR4_I 01000204 6002d1fc - 752730 ns MR4_D 40006004 00000001 - 752730 ns R r1 00000001 - 752730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 752750 ns R r1 80000000 - 752750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 752770 ns R psr 81000200 - 752770 ns MR4_I 01000208 2a001c5b - 752790 ns MR4_I 01000200 07c96841 - 752810 ns IT 01000200 6841 LDR r1,[r0,#4] - 752830 ns MR4_I 01000204 6002d1fc - 752890 ns MR4_D 40006004 00000001 - 752890 ns R r1 00000001 - 752890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 752910 ns R r1 80000000 - 752910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 752930 ns R psr 81000200 - 752930 ns MR4_I 01000208 2a001c5b - 752950 ns MR4_I 01000200 07c96841 - 752970 ns IT 01000200 6841 LDR r1,[r0,#4] - 752990 ns MR4_I 01000204 6002d1fc - 753050 ns MR4_D 40006004 00000001 - 753050 ns R r1 00000001 - 753050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 753070 ns R r1 80000000 - 753070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 753090 ns R psr 81000200 - 753090 ns MR4_I 01000208 2a001c5b - 753110 ns MR4_I 01000200 07c96841 - 753130 ns IT 01000200 6841 LDR r1,[r0,#4] - 753150 ns MR4_I 01000204 6002d1fc - 753210 ns MR4_D 40006004 00000001 - 753210 ns R r1 00000001 - 753210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 753230 ns R r1 80000000 - 753230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 753250 ns R psr 81000200 - 753250 ns MR4_I 01000208 2a001c5b - 753270 ns MR4_I 01000200 07c96841 - 753290 ns IT 01000200 6841 LDR r1,[r0,#4] - 753310 ns MR4_I 01000204 6002d1fc - 753370 ns MR4_D 40006004 00000001 - 753370 ns R r1 00000001 - 753370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 753390 ns R r1 80000000 - 753390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 753410 ns R psr 81000200 - 753410 ns MR4_I 01000208 2a001c5b - 753430 ns MR4_I 01000200 07c96841 - 753450 ns IT 01000200 6841 LDR r1,[r0,#4] - 753470 ns MR4_I 01000204 6002d1fc - 753530 ns MR4_D 40006004 00000001 - 753530 ns R r1 00000001 - 753530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 753550 ns R r1 80000000 - 753550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 753570 ns R psr 81000200 - 753570 ns MR4_I 01000208 2a001c5b - 753590 ns MR4_I 01000200 07c96841 - 753610 ns IT 01000200 6841 LDR r1,[r0,#4] - 753630 ns MR4_I 01000204 6002d1fc - 753690 ns MR4_D 40006004 00000001 - 753690 ns R r1 00000001 - 753690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 753710 ns R r1 80000000 - 753710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 753730 ns R psr 81000200 - 753730 ns MR4_I 01000208 2a001c5b - 753750 ns MR4_I 01000200 07c96841 - 753770 ns IT 01000200 6841 LDR r1,[r0,#4] - 753790 ns MR4_I 01000204 6002d1fc - 753850 ns MR4_D 40006004 00000001 - 753850 ns R r1 00000001 - 753850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 753870 ns R r1 80000000 - 753870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 753890 ns R psr 81000200 - 753890 ns MR4_I 01000208 2a001c5b - 753910 ns MR4_I 01000200 07c96841 - 753930 ns IT 01000200 6841 LDR r1,[r0,#4] - 753950 ns MR4_I 01000204 6002d1fc - 754010 ns MR4_D 40006004 00000001 - 754010 ns R r1 00000001 - 754010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 754030 ns R r1 80000000 - 754030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 754050 ns R psr 81000200 - 754050 ns MR4_I 01000208 2a001c5b - 754070 ns MR4_I 01000200 07c96841 - 754090 ns IT 01000200 6841 LDR r1,[r0,#4] - 754110 ns MR4_I 01000204 6002d1fc - 754170 ns MR4_D 40006004 00000001 - 754170 ns R r1 00000001 - 754170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 754190 ns R r1 80000000 - 754190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 754210 ns R psr 81000200 - 754210 ns MR4_I 01000208 2a001c5b - 754230 ns MR4_I 01000200 07c96841 - 754250 ns IT 01000200 6841 LDR r1,[r0,#4] - 754270 ns MR4_I 01000204 6002d1fc - 754330 ns MR4_D 40006004 00000001 - 754330 ns R r1 00000001 - 754330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 754350 ns R r1 80000000 - 754350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 754370 ns R psr 81000200 - 754370 ns MR4_I 01000208 2a001c5b - 754390 ns MR4_I 01000200 07c96841 - 754410 ns IT 01000200 6841 LDR r1,[r0,#4] - 754430 ns MR4_I 01000204 6002d1fc - 754490 ns MR4_D 40006004 00000001 - 754490 ns R r1 00000001 - 754490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 754510 ns R r1 80000000 - 754510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 754530 ns R psr 81000200 - 754530 ns MR4_I 01000208 2a001c5b - 754550 ns MR4_I 01000200 07c96841 - 754570 ns IT 01000200 6841 LDR r1,[r0,#4] - 754590 ns MR4_I 01000204 6002d1fc - 754650 ns MR4_D 40006004 00000001 - 754650 ns R r1 00000001 - 754650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 754670 ns R r1 80000000 - 754670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 754690 ns R psr 81000200 - 754690 ns MR4_I 01000208 2a001c5b - 754710 ns MR4_I 01000200 07c96841 - 754730 ns IT 01000200 6841 LDR r1,[r0,#4] - 754750 ns MR4_I 01000204 6002d1fc - 754810 ns MR4_D 40006004 00000001 - 754810 ns R r1 00000001 - 754810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 754830 ns R r1 80000000 - 754830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 754850 ns R psr 81000200 - 754850 ns MR4_I 01000208 2a001c5b - 754870 ns MR4_I 01000200 07c96841 - 754890 ns IT 01000200 6841 LDR r1,[r0,#4] - 754910 ns MR4_I 01000204 6002d1fc - 754970 ns MR4_D 40006004 00000001 - 754970 ns R r1 00000001 - 754970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 754990 ns R r1 80000000 - 754990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 755010 ns R psr 81000200 - 755010 ns MR4_I 01000208 2a001c5b - 755030 ns MR4_I 01000200 07c96841 - 755050 ns IT 01000200 6841 LDR r1,[r0,#4] - 755070 ns MR4_I 01000204 6002d1fc - 755130 ns MR4_D 40006004 00000001 - 755130 ns R r1 00000001 - 755130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 755150 ns R r1 80000000 - 755150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 755170 ns R psr 81000200 - 755170 ns MR4_I 01000208 2a001c5b - 755190 ns MR4_I 01000200 07c96841 - 755210 ns IT 01000200 6841 LDR r1,[r0,#4] - 755230 ns MR4_I 01000204 6002d1fc - 755290 ns MR4_D 40006004 00000001 - 755290 ns R r1 00000001 - 755290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 755310 ns R r1 80000000 - 755310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 755330 ns R psr 81000200 - 755330 ns MR4_I 01000208 2a001c5b - 755350 ns MR4_I 01000200 07c96841 - 755370 ns IT 01000200 6841 LDR r1,[r0,#4] - 755390 ns MR4_I 01000204 6002d1fc - 755450 ns MR4_D 40006004 00000001 - 755450 ns R r1 00000001 - 755450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 755470 ns R r1 80000000 - 755470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 755490 ns R psr 81000200 - 755490 ns MR4_I 01000208 2a001c5b - 755510 ns MR4_I 01000200 07c96841 - 755530 ns IT 01000200 6841 LDR r1,[r0,#4] - 755550 ns MR4_I 01000204 6002d1fc - 755610 ns MR4_D 40006004 00000001 - 755610 ns R r1 00000001 - 755610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 755630 ns R r1 80000000 - 755630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 755650 ns R psr 81000200 - 755650 ns MR4_I 01000208 2a001c5b - 755670 ns MR4_I 01000200 07c96841 - 755690 ns IT 01000200 6841 LDR r1,[r0,#4] - 755710 ns MR4_I 01000204 6002d1fc - 755770 ns MR4_D 40006004 00000001 - 755770 ns R r1 00000001 - 755770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 755790 ns R r1 80000000 - 755790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 755810 ns R psr 81000200 - 755810 ns MR4_I 01000208 2a001c5b - 755830 ns MR4_I 01000200 07c96841 - 755850 ns IT 01000200 6841 LDR r1,[r0,#4] - 755870 ns MR4_I 01000204 6002d1fc - 755930 ns MR4_D 40006004 00000001 - 755930 ns R r1 00000001 - 755930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 755950 ns R r1 80000000 - 755950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 755970 ns R psr 81000200 - 755970 ns MR4_I 01000208 2a001c5b - 755990 ns MR4_I 01000200 07c96841 - 756010 ns IT 01000200 6841 LDR r1,[r0,#4] - 756030 ns MR4_I 01000204 6002d1fc - 756090 ns MR4_D 40006004 00000001 - 756090 ns R r1 00000001 - 756090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 756110 ns R r1 80000000 - 756110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 756130 ns R psr 81000200 - 756130 ns MR4_I 01000208 2a001c5b - 756150 ns MR4_I 01000200 07c96841 - 756170 ns IT 01000200 6841 LDR r1,[r0,#4] - 756190 ns MR4_I 01000204 6002d1fc - 756250 ns MR4_D 40006004 00000001 - 756250 ns R r1 00000001 - 756250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 756270 ns R r1 80000000 - 756270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 756290 ns R psr 81000200 - 756290 ns MR4_I 01000208 2a001c5b - 756310 ns MR4_I 01000200 07c96841 - 756330 ns IT 01000200 6841 LDR r1,[r0,#4] - 756350 ns MR4_I 01000204 6002d1fc - 756410 ns MR4_D 40006004 00000001 - 756410 ns R r1 00000001 - 756410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 756430 ns R r1 80000000 - 756430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 756450 ns R psr 81000200 - 756450 ns MR4_I 01000208 2a001c5b - 756470 ns MR4_I 01000200 07c96841 - 756490 ns IT 01000200 6841 LDR r1,[r0,#4] - 756510 ns MR4_I 01000204 6002d1fc - 756570 ns MR4_D 40006004 00000001 - 756570 ns R r1 00000001 - 756570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 756590 ns R r1 80000000 - 756590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 756610 ns R psr 81000200 - 756610 ns MR4_I 01000208 2a001c5b - 756630 ns MR4_I 01000200 07c96841 - 756650 ns IT 01000200 6841 LDR r1,[r0,#4] - 756670 ns MR4_I 01000204 6002d1fc - 756730 ns MR4_D 40006004 00000001 - 756730 ns R r1 00000001 - 756730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 756750 ns R r1 80000000 - 756750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 756770 ns R psr 81000200 - 756770 ns MR4_I 01000208 2a001c5b - 756790 ns MR4_I 01000200 07c96841 - 756810 ns IT 01000200 6841 LDR r1,[r0,#4] - 756830 ns MR4_I 01000204 6002d1fc - 756890 ns MR4_D 40006004 00000001 - 756890 ns R r1 00000001 - 756890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 756910 ns R r1 80000000 - 756910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 756930 ns R psr 81000200 - 756930 ns MR4_I 01000208 2a001c5b - 756950 ns MR4_I 01000200 07c96841 - 756970 ns IT 01000200 6841 LDR r1,[r0,#4] - 756990 ns MR4_I 01000204 6002d1fc - 757050 ns MR4_D 40006004 00000001 - 757050 ns R r1 00000001 - 757050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 757070 ns R r1 80000000 - 757070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 757090 ns R psr 81000200 - 757090 ns MR4_I 01000208 2a001c5b - 757110 ns MR4_I 01000200 07c96841 - 757130 ns IT 01000200 6841 LDR r1,[r0,#4] - 757150 ns MR4_I 01000204 6002d1fc - 757210 ns MR4_D 40006004 00000001 - 757210 ns R r1 00000001 - 757210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 757230 ns R r1 80000000 - 757230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 757250 ns R psr 81000200 - 757250 ns MR4_I 01000208 2a001c5b - 757270 ns MR4_I 01000200 07c96841 - 757290 ns IT 01000200 6841 LDR r1,[r0,#4] - 757310 ns MR4_I 01000204 6002d1fc - 757370 ns MR4_D 40006004 00000001 - 757370 ns R r1 00000001 - 757370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 757390 ns R r1 80000000 - 757390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 757410 ns R psr 81000200 - 757410 ns MR4_I 01000208 2a001c5b - 757430 ns MR4_I 01000200 07c96841 - 757450 ns IT 01000200 6841 LDR r1,[r0,#4] - 757470 ns MR4_I 01000204 6002d1fc - 757530 ns MR4_D 40006004 00000001 - 757530 ns R r1 00000001 - 757530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 757550 ns R r1 80000000 - 757550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 757570 ns R psr 81000200 - 757570 ns MR4_I 01000208 2a001c5b - 757590 ns MR4_I 01000200 07c96841 - 757610 ns IT 01000200 6841 LDR r1,[r0,#4] - 757630 ns MR4_I 01000204 6002d1fc - 757690 ns MR4_D 40006004 00000001 - 757690 ns R r1 00000001 - 757690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 757710 ns R r1 80000000 - 757710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 757730 ns R psr 81000200 - 757730 ns MR4_I 01000208 2a001c5b - 757750 ns MR4_I 01000200 07c96841 - 757770 ns IT 01000200 6841 LDR r1,[r0,#4] - 757790 ns MR4_I 01000204 6002d1fc - 757850 ns MR4_D 40006004 00000001 - 757850 ns R r1 00000001 - 757850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 757870 ns R r1 80000000 - 757870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 757890 ns R psr 81000200 - 757890 ns MR4_I 01000208 2a001c5b - 757910 ns MR4_I 01000200 07c96841 - 757930 ns IT 01000200 6841 LDR r1,[r0,#4] - 757950 ns MR4_I 01000204 6002d1fc - 758010 ns MR4_D 40006004 00000001 - 758010 ns R r1 00000001 - 758010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 758030 ns R r1 80000000 - 758030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 758050 ns R psr 81000200 - 758050 ns MR4_I 01000208 2a001c5b - 758070 ns MR4_I 01000200 07c96841 - 758090 ns IT 01000200 6841 LDR r1,[r0,#4] - 758110 ns MR4_I 01000204 6002d1fc - 758170 ns MR4_D 40006004 00000001 - 758170 ns R r1 00000001 - 758170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 758190 ns R r1 80000000 - 758190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 758210 ns R psr 81000200 - 758210 ns MR4_I 01000208 2a001c5b - 758230 ns MR4_I 01000200 07c96841 - 758250 ns IT 01000200 6841 LDR r1,[r0,#4] - 758270 ns MR4_I 01000204 6002d1fc - 758330 ns MR4_D 40006004 00000001 - 758330 ns R r1 00000001 - 758330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 758350 ns R r1 80000000 - 758350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 758370 ns R psr 81000200 - 758370 ns MR4_I 01000208 2a001c5b - 758390 ns MR4_I 01000200 07c96841 - 758410 ns IT 01000200 6841 LDR r1,[r0,#4] - 758430 ns MR4_I 01000204 6002d1fc - 758490 ns MR4_D 40006004 00000001 - 758490 ns R r1 00000001 - 758490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 758510 ns R r1 80000000 - 758510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 758530 ns R psr 81000200 - 758530 ns MR4_I 01000208 2a001c5b - 758550 ns MR4_I 01000200 07c96841 - 758570 ns IT 01000200 6841 LDR r1,[r0,#4] - 758590 ns MR4_I 01000204 6002d1fc - 758650 ns MR4_D 40006004 00000001 - 758650 ns R r1 00000001 - 758650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 758670 ns R r1 80000000 - 758670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 758690 ns R psr 81000200 - 758690 ns MR4_I 01000208 2a001c5b - 758710 ns MR4_I 01000200 07c96841 - 758730 ns IT 01000200 6841 LDR r1,[r0,#4] - 758750 ns MR4_I 01000204 6002d1fc - 758810 ns MR4_D 40006004 00000001 - 758810 ns R r1 00000001 - 758810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 758830 ns R r1 80000000 - 758830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 758850 ns R psr 81000200 - 758850 ns MR4_I 01000208 2a001c5b - 758870 ns MR4_I 01000200 07c96841 - 758890 ns IT 01000200 6841 LDR r1,[r0,#4] - 758910 ns MR4_I 01000204 6002d1fc - 758970 ns MR4_D 40006004 00000001 - 758970 ns R r1 00000001 - 758970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 758990 ns R r1 80000000 - 758990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 759010 ns R psr 81000200 - 759010 ns MR4_I 01000208 2a001c5b - 759030 ns MR4_I 01000200 07c96841 - 759050 ns IT 01000200 6841 LDR r1,[r0,#4] - 759070 ns MR4_I 01000204 6002d1fc - 759130 ns MR4_D 40006004 00000001 - 759130 ns R r1 00000001 - 759130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 759150 ns R r1 80000000 - 759150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 759170 ns R psr 81000200 - 759170 ns MR4_I 01000208 2a001c5b - 759190 ns MR4_I 01000200 07c96841 - 759210 ns IT 01000200 6841 LDR r1,[r0,#4] - 759230 ns MR4_I 01000204 6002d1fc - 759290 ns MR4_D 40006004 00000001 - 759290 ns R r1 00000001 - 759290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 759310 ns R r1 80000000 - 759310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 759330 ns R psr 81000200 - 759330 ns MR4_I 01000208 2a001c5b - 759350 ns MR4_I 01000200 07c96841 - 759370 ns IT 01000200 6841 LDR r1,[r0,#4] - 759390 ns MR4_I 01000204 6002d1fc - 759450 ns MR4_D 40006004 00000001 - 759450 ns R r1 00000001 - 759450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 759470 ns R r1 80000000 - 759470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 759490 ns R psr 81000200 - 759490 ns MR4_I 01000208 2a001c5b - 759510 ns MR4_I 01000200 07c96841 - 759530 ns IT 01000200 6841 LDR r1,[r0,#4] - 759550 ns MR4_I 01000204 6002d1fc - 759610 ns MR4_D 40006004 00000001 - 759610 ns R r1 00000001 - 759610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 759630 ns R r1 80000000 - 759630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 759650 ns R psr 81000200 - 759650 ns MR4_I 01000208 2a001c5b - 759670 ns MR4_I 01000200 07c96841 - 759690 ns IT 01000200 6841 LDR r1,[r0,#4] - 759710 ns MR4_I 01000204 6002d1fc - 759770 ns MR4_D 40006004 00000001 - 759770 ns R r1 00000001 - 759770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 759790 ns R r1 80000000 - 759790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 759810 ns R psr 81000200 - 759810 ns MR4_I 01000208 2a001c5b - 759830 ns MR4_I 01000200 07c96841 - 759850 ns IT 01000200 6841 LDR r1,[r0,#4] - 759870 ns MR4_I 01000204 6002d1fc - 759930 ns MR4_D 40006004 00000001 - 759930 ns R r1 00000001 - 759930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 759950 ns R r1 80000000 - 759950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 759970 ns R psr 81000200 - 759970 ns MR4_I 01000208 2a001c5b - 759990 ns MR4_I 01000200 07c96841 - 760010 ns IT 01000200 6841 LDR r1,[r0,#4] - 760030 ns MR4_I 01000204 6002d1fc - 760090 ns MR4_D 40006004 00000001 - 760090 ns R r1 00000001 - 760090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 760110 ns R r1 80000000 - 760110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 760130 ns R psr 81000200 - 760130 ns MR4_I 01000208 2a001c5b - 760150 ns MR4_I 01000200 07c96841 - 760170 ns IT 01000200 6841 LDR r1,[r0,#4] - 760190 ns MR4_I 01000204 6002d1fc - 760250 ns MR4_D 40006004 00000001 - 760250 ns R r1 00000001 - 760250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 760270 ns R r1 80000000 - 760270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 760290 ns R psr 81000200 - 760290 ns MR4_I 01000208 2a001c5b - 760310 ns MR4_I 01000200 07c96841 - 760330 ns IT 01000200 6841 LDR r1,[r0,#4] - 760350 ns MR4_I 01000204 6002d1fc - 760410 ns MR4_D 40006004 00000001 - 760410 ns R r1 00000001 - 760410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 760430 ns R r1 80000000 - 760430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 760450 ns R psr 81000200 - 760450 ns MR4_I 01000208 2a001c5b - 760470 ns MR4_I 01000200 07c96841 - 760490 ns IT 01000200 6841 LDR r1,[r0,#4] - 760510 ns MR4_I 01000204 6002d1fc - 760570 ns MR4_D 40006004 00000001 - 760570 ns R r1 00000001 - 760570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 760590 ns R r1 80000000 - 760590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 760610 ns R psr 81000200 - 760610 ns MR4_I 01000208 2a001c5b - 760630 ns MR4_I 01000200 07c96841 - 760650 ns IT 01000200 6841 LDR r1,[r0,#4] - 760670 ns MR4_I 01000204 6002d1fc - 760730 ns MR4_D 40006004 00000001 - 760730 ns R r1 00000001 - 760730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 760750 ns R r1 80000000 - 760750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 760770 ns R psr 81000200 - 760770 ns MR4_I 01000208 2a001c5b - 760790 ns MR4_I 01000200 07c96841 - 760810 ns IT 01000200 6841 LDR r1,[r0,#4] - 760830 ns MR4_I 01000204 6002d1fc - 760890 ns MR4_D 40006004 00000001 - 760890 ns R r1 00000001 - 760890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 760910 ns R r1 80000000 - 760910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 760930 ns R psr 81000200 - 760930 ns MR4_I 01000208 2a001c5b - 760950 ns MR4_I 01000200 07c96841 - 760970 ns IT 01000200 6841 LDR r1,[r0,#4] - 760990 ns MR4_I 01000204 6002d1fc - 761050 ns MR4_D 40006004 00000001 - 761050 ns R r1 00000001 - 761050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 761070 ns R r1 80000000 - 761070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 761090 ns R psr 81000200 - 761090 ns MR4_I 01000208 2a001c5b - 761110 ns MR4_I 01000200 07c96841 - 761130 ns IT 01000200 6841 LDR r1,[r0,#4] - 761150 ns MR4_I 01000204 6002d1fc - 761210 ns MR4_D 40006004 00000001 - 761210 ns R r1 00000001 - 761210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 761230 ns R r1 80000000 - 761230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 761250 ns R psr 81000200 - 761250 ns MR4_I 01000208 2a001c5b - 761270 ns MR4_I 01000200 07c96841 - 761290 ns IT 01000200 6841 LDR r1,[r0,#4] - 761310 ns MR4_I 01000204 6002d1fc - 761370 ns MR4_D 40006004 00000001 - 761370 ns R r1 00000001 - 761370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 761390 ns R r1 80000000 - 761390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 761410 ns R psr 81000200 - 761410 ns MR4_I 01000208 2a001c5b - 761430 ns MR4_I 01000200 07c96841 - 761450 ns IT 01000200 6841 LDR r1,[r0,#4] - 761470 ns MR4_I 01000204 6002d1fc - 761530 ns MR4_D 40006004 00000001 - 761530 ns R r1 00000001 - 761530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 761550 ns R r1 80000000 - 761550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 761570 ns R psr 81000200 - 761570 ns MR4_I 01000208 2a001c5b - 761590 ns MR4_I 01000200 07c96841 - 761610 ns IT 01000200 6841 LDR r1,[r0,#4] - 761630 ns MR4_I 01000204 6002d1fc - 761690 ns MR4_D 40006004 00000001 - 761690 ns R r1 00000001 - 761690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 761710 ns R r1 80000000 - 761710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 761730 ns R psr 81000200 - 761730 ns MR4_I 01000208 2a001c5b - 761750 ns MR4_I 01000200 07c96841 - 761770 ns IT 01000200 6841 LDR r1,[r0,#4] - 761790 ns MR4_I 01000204 6002d1fc - 761850 ns MR4_D 40006004 00000001 - 761850 ns R r1 00000001 - 761850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 761870 ns R r1 80000000 - 761870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 761890 ns R psr 81000200 - 761890 ns MR4_I 01000208 2a001c5b - 761910 ns MR4_I 01000200 07c96841 - 761930 ns IT 01000200 6841 LDR r1,[r0,#4] - 761950 ns MR4_I 01000204 6002d1fc - 762010 ns MR4_D 40006004 00000001 - 762010 ns R r1 00000001 - 762010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 762030 ns R r1 80000000 - 762030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 762050 ns R psr 81000200 - 762050 ns MR4_I 01000208 2a001c5b - 762070 ns MR4_I 01000200 07c96841 - 762090 ns IT 01000200 6841 LDR r1,[r0,#4] - 762110 ns MR4_I 01000204 6002d1fc - 762170 ns MR4_D 40006004 00000001 - 762170 ns R r1 00000001 - 762170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 762190 ns R r1 80000000 - 762190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 762210 ns R psr 81000200 - 762210 ns MR4_I 01000208 2a001c5b - 762230 ns MR4_I 01000200 07c96841 - 762250 ns IT 01000200 6841 LDR r1,[r0,#4] - 762270 ns MR4_I 01000204 6002d1fc - 762330 ns MR4_D 40006004 00000001 - 762330 ns R r1 00000001 - 762330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 762350 ns R r1 80000000 - 762350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 762370 ns R psr 81000200 - 762370 ns MR4_I 01000208 2a001c5b - 762390 ns MR4_I 01000200 07c96841 - 762410 ns IT 01000200 6841 LDR r1,[r0,#4] - 762430 ns MR4_I 01000204 6002d1fc - 762490 ns MR4_D 40006004 00000001 - 762490 ns R r1 00000001 - 762490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 762510 ns R r1 80000000 - 762510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 762530 ns R psr 81000200 - 762530 ns MR4_I 01000208 2a001c5b - 762550 ns MR4_I 01000200 07c96841 - 762570 ns IT 01000200 6841 LDR r1,[r0,#4] - 762590 ns MR4_I 01000204 6002d1fc - 762650 ns MR4_D 40006004 00000001 - 762650 ns R r1 00000001 - 762650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 762670 ns R r1 80000000 - 762670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 762690 ns R psr 81000200 - 762690 ns MR4_I 01000208 2a001c5b - 762710 ns MR4_I 01000200 07c96841 - 762730 ns IT 01000200 6841 LDR r1,[r0,#4] - 762750 ns MR4_I 01000204 6002d1fc - 762810 ns MR4_D 40006004 00000001 - 762810 ns R r1 00000001 - 762810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 762830 ns R r1 80000000 - 762830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 762850 ns R psr 81000200 - 762850 ns MR4_I 01000208 2a001c5b - 762870 ns MR4_I 01000200 07c96841 - 762890 ns IT 01000200 6841 LDR r1,[r0,#4] - 762910 ns MR4_I 01000204 6002d1fc - 762970 ns MR4_D 40006004 00000001 - 762970 ns R r1 00000001 - 762970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 762990 ns R r1 80000000 - 762990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 763010 ns R psr 81000200 - 763010 ns MR4_I 01000208 2a001c5b - 763030 ns MR4_I 01000200 07c96841 - 763050 ns IT 01000200 6841 LDR r1,[r0,#4] - 763070 ns MR4_I 01000204 6002d1fc - 763130 ns MR4_D 40006004 00000001 - 763130 ns R r1 00000001 - 763130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 763150 ns R r1 80000000 - 763150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 763170 ns R psr 81000200 - 763170 ns MR4_I 01000208 2a001c5b - 763190 ns MR4_I 01000200 07c96841 - 763210 ns IT 01000200 6841 LDR r1,[r0,#4] - 763230 ns MR4_I 01000204 6002d1fc - 763290 ns MR4_D 40006004 00000001 - 763290 ns R r1 00000001 - 763290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 763310 ns R r1 80000000 - 763310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 763330 ns R psr 81000200 - 763330 ns MR4_I 01000208 2a001c5b - 763350 ns MR4_I 01000200 07c96841 - 763370 ns IT 01000200 6841 LDR r1,[r0,#4] - 763390 ns MR4_I 01000204 6002d1fc - 763450 ns MR4_D 40006004 00000001 - 763450 ns R r1 00000001 - 763450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 763470 ns R r1 80000000 - 763470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 763490 ns R psr 81000200 - 763490 ns MR4_I 01000208 2a001c5b - 763510 ns MR4_I 01000200 07c96841 - 763530 ns IT 01000200 6841 LDR r1,[r0,#4] - 763550 ns MR4_I 01000204 6002d1fc - 763610 ns MR4_D 40006004 00000001 - 763610 ns R r1 00000001 - 763610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 763630 ns R r1 80000000 - 763630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 763650 ns R psr 81000200 - 763650 ns MR4_I 01000208 2a001c5b - 763670 ns MR4_I 01000200 07c96841 - 763690 ns IT 01000200 6841 LDR r1,[r0,#4] - 763710 ns MR4_I 01000204 6002d1fc - 763770 ns MR4_D 40006004 00000001 - 763770 ns R r1 00000001 - 763770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 763790 ns R r1 80000000 - 763790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 763810 ns R psr 81000200 - 763810 ns MR4_I 01000208 2a001c5b - 763830 ns MR4_I 01000200 07c96841 - 763850 ns IT 01000200 6841 LDR r1,[r0,#4] - 763870 ns MR4_I 01000204 6002d1fc - 763930 ns MR4_D 40006004 00000001 - 763930 ns R r1 00000001 - 763930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 763950 ns R r1 80000000 - 763950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 763970 ns R psr 81000200 - 763970 ns MR4_I 01000208 2a001c5b - 763990 ns MR4_I 01000200 07c96841 - 764010 ns IT 01000200 6841 LDR r1,[r0,#4] - 764030 ns MR4_I 01000204 6002d1fc - 764090 ns MR4_D 40006004 00000001 - 764090 ns R r1 00000001 - 764090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 764110 ns R r1 80000000 - 764110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 764130 ns R psr 81000200 - 764130 ns MR4_I 01000208 2a001c5b - 764150 ns MR4_I 01000200 07c96841 - 764170 ns IT 01000200 6841 LDR r1,[r0,#4] - 764190 ns MR4_I 01000204 6002d1fc - 764250 ns MR4_D 40006004 00000001 - 764250 ns R r1 00000001 - 764250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 764270 ns R r1 80000000 - 764270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 764290 ns R psr 81000200 - 764290 ns MR4_I 01000208 2a001c5b - 764310 ns MR4_I 01000200 07c96841 - 764330 ns IT 01000200 6841 LDR r1,[r0,#4] - 764350 ns MR4_I 01000204 6002d1fc - 764410 ns MR4_D 40006004 00000001 - 764410 ns R r1 00000001 - 764410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 764430 ns R r1 80000000 - 764430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 764450 ns R psr 81000200 - 764450 ns MR4_I 01000208 2a001c5b - 764470 ns MR4_I 01000200 07c96841 - 764490 ns IT 01000200 6841 LDR r1,[r0,#4] - 764510 ns MR4_I 01000204 6002d1fc - 764570 ns MR4_D 40006004 00000001 - 764570 ns R r1 00000001 - 764570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 764590 ns R r1 80000000 - 764590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 764610 ns R psr 81000200 - 764610 ns MR4_I 01000208 2a001c5b - 764630 ns MR4_I 01000200 07c96841 - 764650 ns IT 01000200 6841 LDR r1,[r0,#4] - 764670 ns MR4_I 01000204 6002d1fc - 764730 ns MR4_D 40006004 00000001 - 764730 ns R r1 00000001 - 764730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 764750 ns R r1 80000000 - 764750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 764770 ns R psr 81000200 - 764770 ns MR4_I 01000208 2a001c5b - 764790 ns MR4_I 01000200 07c96841 - 764810 ns IT 01000200 6841 LDR r1,[r0,#4] - 764830 ns MR4_I 01000204 6002d1fc - 764890 ns MR4_D 40006004 00000001 - 764890 ns R r1 00000001 - 764890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 764910 ns R r1 80000000 - 764910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 764930 ns R psr 81000200 - 764930 ns MR4_I 01000208 2a001c5b - 764950 ns MR4_I 01000200 07c96841 - 764970 ns IT 01000200 6841 LDR r1,[r0,#4] - 764990 ns MR4_I 01000204 6002d1fc - 765050 ns MR4_D 40006004 00000001 - 765050 ns R r1 00000001 - 765050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 765070 ns R r1 80000000 - 765070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 765090 ns R psr 81000200 - 765090 ns MR4_I 01000208 2a001c5b - 765110 ns MR4_I 01000200 07c96841 - 765130 ns IT 01000200 6841 LDR r1,[r0,#4] - 765150 ns MR4_I 01000204 6002d1fc - 765210 ns MR4_D 40006004 00000001 - 765210 ns R r1 00000001 - 765210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 765230 ns R r1 80000000 - 765230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 765250 ns R psr 81000200 - 765250 ns MR4_I 01000208 2a001c5b - 765270 ns MR4_I 01000200 07c96841 - 765290 ns IT 01000200 6841 LDR r1,[r0,#4] - 765310 ns MR4_I 01000204 6002d1fc - 765370 ns MR4_D 40006004 00000001 - 765370 ns R r1 00000001 - 765370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 765390 ns R r1 80000000 - 765390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 765410 ns R psr 81000200 - 765410 ns MR4_I 01000208 2a001c5b - 765430 ns MR4_I 01000200 07c96841 - 765450 ns IT 01000200 6841 LDR r1,[r0,#4] - 765470 ns MR4_I 01000204 6002d1fc - 765530 ns MR4_D 40006004 00000000 - 765530 ns R r1 00000000 - 765530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 765550 ns R r1 00000000 - 765550 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 765570 ns R psr 41000200 - 765570 ns MR4_I 01000208 2a001c5b - 765570 ns IT 01000206 6002 STR r2,[r0,#0] - 765650 ns MW4_D 40006000 00000043 - 765650 ns IT 01000208 1c5b ADDS r3,r3,#1 - 765670 ns MR4_I 0100020c a32ad1f5 - 765670 ns R r3 010002a8 - 765670 ns IT 0100020a 2a00 CMP r2,#0 - 765690 ns R psr 01000200 - 765690 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 765710 ns R psr 21000200 - 765710 ns MR4_I 01000210 2a00781a - 765730 ns MR4_I 010001f8 781aa326 - 765750 ns MR4_I 010001fc d0062a00 - 765750 ns IT 010001fa 781a LDRB r2,[r3,#0] - 765790 ns MR1_D 010002a8 6574726f - 765790 ns R r2 0000006f - 765790 ns IT 010001fc 2a00 CMP r2,#0 - 765810 ns MR4_I 01000200 07c96841 - 765810 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 765830 ns R psr 21000200 - 765830 ns IT 01000200 6841 LDR r1,[r0,#4] - 765850 ns MR4_I 01000204 6002d1fc - 765910 ns MR4_D 40006004 00000001 - 765910 ns R r1 00000001 - 765910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 765930 ns R r1 80000000 - 765930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 765950 ns R psr 81000200 - 765950 ns MR4_I 01000208 2a001c5b - 765970 ns MR4_I 01000200 07c96841 - 765990 ns IT 01000200 6841 LDR r1,[r0,#4] - 766010 ns MR4_I 01000204 6002d1fc - 766070 ns MR4_D 40006004 00000001 - 766070 ns R r1 00000001 - 766070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 766090 ns R r1 80000000 - 766090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 766110 ns R psr 81000200 - 766110 ns MR4_I 01000208 2a001c5b - 766130 ns MR4_I 01000200 07c96841 - 766150 ns IT 01000200 6841 LDR r1,[r0,#4] - 766170 ns MR4_I 01000204 6002d1fc - 766230 ns MR4_D 40006004 00000001 - 766230 ns R r1 00000001 - 766230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 766250 ns R r1 80000000 - 766250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 766270 ns R psr 81000200 - 766270 ns MR4_I 01000208 2a001c5b - 766290 ns MR4_I 01000200 07c96841 - 766310 ns IT 01000200 6841 LDR r1,[r0,#4] - 766330 ns MR4_I 01000204 6002d1fc - 766390 ns MR4_D 40006004 00000001 - 766390 ns R r1 00000001 - 766390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 766410 ns R r1 80000000 - 766410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 766430 ns R psr 81000200 - 766430 ns MR4_I 01000208 2a001c5b - 766450 ns MR4_I 01000200 07c96841 - 766470 ns IT 01000200 6841 LDR r1,[r0,#4] - 766490 ns MR4_I 01000204 6002d1fc - 766550 ns MR4_D 40006004 00000001 - 766550 ns R r1 00000001 - 766550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 766570 ns R r1 80000000 - 766570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 766590 ns R psr 81000200 - 766590 ns MR4_I 01000208 2a001c5b - 766610 ns MR4_I 01000200 07c96841 - 766630 ns IT 01000200 6841 LDR r1,[r0,#4] - 766650 ns MR4_I 01000204 6002d1fc - 766710 ns MR4_D 40006004 00000001 - 766710 ns R r1 00000001 - 766710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 766730 ns R r1 80000000 - 766730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 766750 ns R psr 81000200 - 766750 ns MR4_I 01000208 2a001c5b - 766770 ns MR4_I 01000200 07c96841 - 766790 ns IT 01000200 6841 LDR r1,[r0,#4] - 766810 ns MR4_I 01000204 6002d1fc - 766870 ns MR4_D 40006004 00000001 - 766870 ns R r1 00000001 - 766870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 766890 ns R r1 80000000 - 766890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 766910 ns R psr 81000200 - 766910 ns MR4_I 01000208 2a001c5b - 766930 ns MR4_I 01000200 07c96841 - 766950 ns IT 01000200 6841 LDR r1,[r0,#4] - 766970 ns MR4_I 01000204 6002d1fc - 767030 ns MR4_D 40006004 00000001 - 767030 ns R r1 00000001 - 767030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 767050 ns R r1 80000000 - 767050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 767070 ns R psr 81000200 - 767070 ns MR4_I 01000208 2a001c5b - 767090 ns MR4_I 01000200 07c96841 - 767110 ns IT 01000200 6841 LDR r1,[r0,#4] - 767130 ns MR4_I 01000204 6002d1fc - 767190 ns MR4_D 40006004 00000001 - 767190 ns R r1 00000001 - 767190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 767210 ns R r1 80000000 - 767210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 767230 ns R psr 81000200 - 767230 ns MR4_I 01000208 2a001c5b - 767250 ns MR4_I 01000200 07c96841 - 767270 ns IT 01000200 6841 LDR r1,[r0,#4] - 767290 ns MR4_I 01000204 6002d1fc - 767350 ns MR4_D 40006004 00000001 - 767350 ns R r1 00000001 - 767350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 767370 ns R r1 80000000 - 767370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 767390 ns R psr 81000200 - 767390 ns MR4_I 01000208 2a001c5b - 767410 ns MR4_I 01000200 07c96841 - 767430 ns IT 01000200 6841 LDR r1,[r0,#4] - 767450 ns MR4_I 01000204 6002d1fc - 767510 ns MR4_D 40006004 00000001 - 767510 ns R r1 00000001 - 767510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 767530 ns R r1 80000000 - 767530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 767550 ns R psr 81000200 - 767550 ns MR4_I 01000208 2a001c5b - 767570 ns MR4_I 01000200 07c96841 - 767590 ns IT 01000200 6841 LDR r1,[r0,#4] - 767610 ns MR4_I 01000204 6002d1fc - 767670 ns MR4_D 40006004 00000001 - 767670 ns R r1 00000001 - 767670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 767690 ns R r1 80000000 - 767690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 767710 ns R psr 81000200 - 767710 ns MR4_I 01000208 2a001c5b - 767730 ns MR4_I 01000200 07c96841 - 767750 ns IT 01000200 6841 LDR r1,[r0,#4] - 767770 ns MR4_I 01000204 6002d1fc - 767830 ns MR4_D 40006004 00000001 - 767830 ns R r1 00000001 - 767830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 767850 ns R r1 80000000 - 767850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 767870 ns R psr 81000200 - 767870 ns MR4_I 01000208 2a001c5b - 767890 ns MR4_I 01000200 07c96841 - 767910 ns IT 01000200 6841 LDR r1,[r0,#4] - 767930 ns MR4_I 01000204 6002d1fc - 767990 ns MR4_D 40006004 00000001 - 767990 ns R r1 00000001 - 767990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 768010 ns R r1 80000000 - 768010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 768030 ns R psr 81000200 - 768030 ns MR4_I 01000208 2a001c5b - 768050 ns MR4_I 01000200 07c96841 - 768070 ns IT 01000200 6841 LDR r1,[r0,#4] - 768090 ns MR4_I 01000204 6002d1fc - 768150 ns MR4_D 40006004 00000001 - 768150 ns R r1 00000001 - 768150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 768170 ns R r1 80000000 - 768170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 768190 ns R psr 81000200 - 768190 ns MR4_I 01000208 2a001c5b - 768210 ns MR4_I 01000200 07c96841 - 768230 ns IT 01000200 6841 LDR r1,[r0,#4] - 768250 ns MR4_I 01000204 6002d1fc - 768310 ns MR4_D 40006004 00000001 - 768310 ns R r1 00000001 - 768310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 768330 ns R r1 80000000 - 768330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 768350 ns R psr 81000200 - 768350 ns MR4_I 01000208 2a001c5b - 768370 ns MR4_I 01000200 07c96841 - 768390 ns IT 01000200 6841 LDR r1,[r0,#4] - 768410 ns MR4_I 01000204 6002d1fc - 768470 ns MR4_D 40006004 00000001 - 768470 ns R r1 00000001 - 768470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 768490 ns R r1 80000000 - 768490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 768510 ns R psr 81000200 - 768510 ns MR4_I 01000208 2a001c5b - 768530 ns MR4_I 01000200 07c96841 - 768550 ns IT 01000200 6841 LDR r1,[r0,#4] - 768570 ns MR4_I 01000204 6002d1fc - 768630 ns MR4_D 40006004 00000001 - 768630 ns R r1 00000001 - 768630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 768650 ns R r1 80000000 - 768650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 768670 ns R psr 81000200 - 768670 ns MR4_I 01000208 2a001c5b - 768690 ns MR4_I 01000200 07c96841 - 768710 ns IT 01000200 6841 LDR r1,[r0,#4] - 768730 ns MR4_I 01000204 6002d1fc - 768790 ns MR4_D 40006004 00000001 - 768790 ns R r1 00000001 - 768790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 768810 ns R r1 80000000 - 768810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 768830 ns R psr 81000200 - 768830 ns MR4_I 01000208 2a001c5b - 768850 ns MR4_I 01000200 07c96841 - 768870 ns IT 01000200 6841 LDR r1,[r0,#4] - 768890 ns MR4_I 01000204 6002d1fc - 768950 ns MR4_D 40006004 00000001 - 768950 ns R r1 00000001 - 768950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 768970 ns R r1 80000000 - 768970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 768990 ns R psr 81000200 - 768990 ns MR4_I 01000208 2a001c5b - 769010 ns MR4_I 01000200 07c96841 - 769030 ns IT 01000200 6841 LDR r1,[r0,#4] - 769050 ns MR4_I 01000204 6002d1fc - 769110 ns MR4_D 40006004 00000001 - 769110 ns R r1 00000001 - 769110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 769130 ns R r1 80000000 - 769130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 769150 ns R psr 81000200 - 769150 ns MR4_I 01000208 2a001c5b - 769170 ns MR4_I 01000200 07c96841 - 769190 ns IT 01000200 6841 LDR r1,[r0,#4] - 769210 ns MR4_I 01000204 6002d1fc - 769270 ns MR4_D 40006004 00000001 - 769270 ns R r1 00000001 - 769270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 769290 ns R r1 80000000 - 769290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 769310 ns R psr 81000200 - 769310 ns MR4_I 01000208 2a001c5b - 769330 ns MR4_I 01000200 07c96841 - 769350 ns IT 01000200 6841 LDR r1,[r0,#4] - 769370 ns MR4_I 01000204 6002d1fc - 769430 ns MR4_D 40006004 00000001 - 769430 ns R r1 00000001 - 769430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 769450 ns R r1 80000000 - 769450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 769470 ns R psr 81000200 - 769470 ns MR4_I 01000208 2a001c5b - 769490 ns MR4_I 01000200 07c96841 - 769510 ns IT 01000200 6841 LDR r1,[r0,#4] - 769530 ns MR4_I 01000204 6002d1fc - 769590 ns MR4_D 40006004 00000001 - 769590 ns R r1 00000001 - 769590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 769610 ns R r1 80000000 - 769610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 769630 ns R psr 81000200 - 769630 ns MR4_I 01000208 2a001c5b - 769650 ns MR4_I 01000200 07c96841 - 769670 ns IT 01000200 6841 LDR r1,[r0,#4] - 769690 ns MR4_I 01000204 6002d1fc - 769750 ns MR4_D 40006004 00000001 - 769750 ns R r1 00000001 - 769750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 769770 ns R r1 80000000 - 769770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 769790 ns R psr 81000200 - 769790 ns MR4_I 01000208 2a001c5b - 769810 ns MR4_I 01000200 07c96841 - 769830 ns IT 01000200 6841 LDR r1,[r0,#4] - 769850 ns MR4_I 01000204 6002d1fc - 769910 ns MR4_D 40006004 00000001 - 769910 ns R r1 00000001 - 769910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 769930 ns R r1 80000000 - 769930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 769950 ns R psr 81000200 - 769950 ns MR4_I 01000208 2a001c5b - 769970 ns MR4_I 01000200 07c96841 - 769990 ns IT 01000200 6841 LDR r1,[r0,#4] - 770010 ns MR4_I 01000204 6002d1fc - 770070 ns MR4_D 40006004 00000001 - 770070 ns R r1 00000001 - 770070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 770090 ns R r1 80000000 - 770090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 770110 ns R psr 81000200 - 770110 ns MR4_I 01000208 2a001c5b - 770130 ns MR4_I 01000200 07c96841 - 770150 ns IT 01000200 6841 LDR r1,[r0,#4] - 770170 ns MR4_I 01000204 6002d1fc - 770230 ns MR4_D 40006004 00000001 - 770230 ns R r1 00000001 - 770230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 770250 ns R r1 80000000 - 770250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 770270 ns R psr 81000200 - 770270 ns MR4_I 01000208 2a001c5b - 770290 ns MR4_I 01000200 07c96841 - 770310 ns IT 01000200 6841 LDR r1,[r0,#4] - 770330 ns MR4_I 01000204 6002d1fc - 770390 ns MR4_D 40006004 00000001 - 770390 ns R r1 00000001 - 770390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 770410 ns R r1 80000000 - 770410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 770430 ns R psr 81000200 - 770430 ns MR4_I 01000208 2a001c5b - 770450 ns MR4_I 01000200 07c96841 - 770470 ns IT 01000200 6841 LDR r1,[r0,#4] - 770490 ns MR4_I 01000204 6002d1fc - 770550 ns MR4_D 40006004 00000001 - 770550 ns R r1 00000001 - 770550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 770570 ns R r1 80000000 - 770570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 770590 ns R psr 81000200 - 770590 ns MR4_I 01000208 2a001c5b - 770610 ns MR4_I 01000200 07c96841 - 770630 ns IT 01000200 6841 LDR r1,[r0,#4] - 770650 ns MR4_I 01000204 6002d1fc - 770710 ns MR4_D 40006004 00000001 - 770710 ns R r1 00000001 - 770710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 770730 ns R r1 80000000 - 770730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 770750 ns R psr 81000200 - 770750 ns MR4_I 01000208 2a001c5b - 770770 ns MR4_I 01000200 07c96841 - 770790 ns IT 01000200 6841 LDR r1,[r0,#4] - 770810 ns MR4_I 01000204 6002d1fc - 770870 ns MR4_D 40006004 00000001 - 770870 ns R r1 00000001 - 770870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 770890 ns R r1 80000000 - 770890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 770910 ns R psr 81000200 - 770910 ns MR4_I 01000208 2a001c5b - 770930 ns MR4_I 01000200 07c96841 - 770950 ns IT 01000200 6841 LDR r1,[r0,#4] - 770970 ns MR4_I 01000204 6002d1fc - 771030 ns MR4_D 40006004 00000001 - 771030 ns R r1 00000001 - 771030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 771050 ns R r1 80000000 - 771050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 771070 ns R psr 81000200 - 771070 ns MR4_I 01000208 2a001c5b - 771090 ns MR4_I 01000200 07c96841 - 771110 ns IT 01000200 6841 LDR r1,[r0,#4] - 771130 ns MR4_I 01000204 6002d1fc - 771190 ns MR4_D 40006004 00000001 - 771190 ns R r1 00000001 - 771190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 771210 ns R r1 80000000 - 771210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 771230 ns R psr 81000200 - 771230 ns MR4_I 01000208 2a001c5b - 771250 ns MR4_I 01000200 07c96841 - 771270 ns IT 01000200 6841 LDR r1,[r0,#4] - 771290 ns MR4_I 01000204 6002d1fc - 771350 ns MR4_D 40006004 00000001 - 771350 ns R r1 00000001 - 771350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 771370 ns R r1 80000000 - 771370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 771390 ns R psr 81000200 - 771390 ns MR4_I 01000208 2a001c5b - 771410 ns MR4_I 01000200 07c96841 - 771430 ns IT 01000200 6841 LDR r1,[r0,#4] - 771450 ns MR4_I 01000204 6002d1fc - 771510 ns MR4_D 40006004 00000001 - 771510 ns R r1 00000001 - 771510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 771530 ns R r1 80000000 - 771530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 771550 ns R psr 81000200 - 771550 ns MR4_I 01000208 2a001c5b - 771570 ns MR4_I 01000200 07c96841 - 771590 ns IT 01000200 6841 LDR r1,[r0,#4] - 771610 ns MR4_I 01000204 6002d1fc - 771670 ns MR4_D 40006004 00000001 - 771670 ns R r1 00000001 - 771670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 771690 ns R r1 80000000 - 771690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 771710 ns R psr 81000200 - 771710 ns MR4_I 01000208 2a001c5b - 771730 ns MR4_I 01000200 07c96841 - 771750 ns IT 01000200 6841 LDR r1,[r0,#4] - 771770 ns MR4_I 01000204 6002d1fc - 771830 ns MR4_D 40006004 00000001 - 771830 ns R r1 00000001 - 771830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 771850 ns R r1 80000000 - 771850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 771870 ns R psr 81000200 - 771870 ns MR4_I 01000208 2a001c5b - 771890 ns MR4_I 01000200 07c96841 - 771910 ns IT 01000200 6841 LDR r1,[r0,#4] - 771930 ns MR4_I 01000204 6002d1fc - 771990 ns MR4_D 40006004 00000001 - 771990 ns R r1 00000001 - 771990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 772010 ns R r1 80000000 - 772010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 772030 ns R psr 81000200 - 772030 ns MR4_I 01000208 2a001c5b - 772050 ns MR4_I 01000200 07c96841 - 772070 ns IT 01000200 6841 LDR r1,[r0,#4] - 772090 ns MR4_I 01000204 6002d1fc - 772150 ns MR4_D 40006004 00000001 - 772150 ns R r1 00000001 - 772150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 772170 ns R r1 80000000 - 772170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 772190 ns R psr 81000200 - 772190 ns MR4_I 01000208 2a001c5b - 772210 ns MR4_I 01000200 07c96841 - 772230 ns IT 01000200 6841 LDR r1,[r0,#4] - 772250 ns MR4_I 01000204 6002d1fc - 772310 ns MR4_D 40006004 00000001 - 772310 ns R r1 00000001 - 772310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 772330 ns R r1 80000000 - 772330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 772350 ns R psr 81000200 - 772350 ns MR4_I 01000208 2a001c5b - 772370 ns MR4_I 01000200 07c96841 - 772390 ns IT 01000200 6841 LDR r1,[r0,#4] - 772410 ns MR4_I 01000204 6002d1fc - 772470 ns MR4_D 40006004 00000001 - 772470 ns R r1 00000001 - 772470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 772490 ns R r1 80000000 - 772490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 772510 ns R psr 81000200 - 772510 ns MR4_I 01000208 2a001c5b - 772530 ns MR4_I 01000200 07c96841 - 772550 ns IT 01000200 6841 LDR r1,[r0,#4] - 772570 ns MR4_I 01000204 6002d1fc - 772630 ns MR4_D 40006004 00000001 - 772630 ns R r1 00000001 - 772630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 772650 ns R r1 80000000 - 772650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 772670 ns R psr 81000200 - 772670 ns MR4_I 01000208 2a001c5b - 772690 ns MR4_I 01000200 07c96841 - 772710 ns IT 01000200 6841 LDR r1,[r0,#4] - 772730 ns MR4_I 01000204 6002d1fc - 772790 ns MR4_D 40006004 00000001 - 772790 ns R r1 00000001 - 772790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 772810 ns R r1 80000000 - 772810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 772830 ns R psr 81000200 - 772830 ns MR4_I 01000208 2a001c5b - 772850 ns MR4_I 01000200 07c96841 - 772870 ns IT 01000200 6841 LDR r1,[r0,#4] - 772890 ns MR4_I 01000204 6002d1fc - 772950 ns MR4_D 40006004 00000001 - 772950 ns R r1 00000001 - 772950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 772970 ns R r1 80000000 - 772970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 772990 ns R psr 81000200 - 772990 ns MR4_I 01000208 2a001c5b - 773010 ns MR4_I 01000200 07c96841 - 773030 ns IT 01000200 6841 LDR r1,[r0,#4] - 773050 ns MR4_I 01000204 6002d1fc - 773110 ns MR4_D 40006004 00000001 - 773110 ns R r1 00000001 - 773110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 773130 ns R r1 80000000 - 773130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 773150 ns R psr 81000200 - 773150 ns MR4_I 01000208 2a001c5b - 773170 ns MR4_I 01000200 07c96841 - 773190 ns IT 01000200 6841 LDR r1,[r0,#4] - 773210 ns MR4_I 01000204 6002d1fc - 773270 ns MR4_D 40006004 00000001 - 773270 ns R r1 00000001 - 773270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 773290 ns R r1 80000000 - 773290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 773310 ns R psr 81000200 - 773310 ns MR4_I 01000208 2a001c5b - 773330 ns MR4_I 01000200 07c96841 - 773350 ns IT 01000200 6841 LDR r1,[r0,#4] - 773370 ns MR4_I 01000204 6002d1fc - 773430 ns MR4_D 40006004 00000001 - 773430 ns R r1 00000001 - 773430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 773450 ns R r1 80000000 - 773450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 773470 ns R psr 81000200 - 773470 ns MR4_I 01000208 2a001c5b - 773490 ns MR4_I 01000200 07c96841 - 773510 ns IT 01000200 6841 LDR r1,[r0,#4] - 773530 ns MR4_I 01000204 6002d1fc - 773590 ns MR4_D 40006004 00000001 - 773590 ns R r1 00000001 - 773590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 773610 ns R r1 80000000 - 773610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 773630 ns R psr 81000200 - 773630 ns MR4_I 01000208 2a001c5b - 773650 ns MR4_I 01000200 07c96841 - 773670 ns IT 01000200 6841 LDR r1,[r0,#4] - 773690 ns MR4_I 01000204 6002d1fc - 773750 ns MR4_D 40006004 00000001 - 773750 ns R r1 00000001 - 773750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 773770 ns R r1 80000000 - 773770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 773790 ns R psr 81000200 - 773790 ns MR4_I 01000208 2a001c5b - 773810 ns MR4_I 01000200 07c96841 - 773830 ns IT 01000200 6841 LDR r1,[r0,#4] - 773850 ns MR4_I 01000204 6002d1fc - 773910 ns MR4_D 40006004 00000001 - 773910 ns R r1 00000001 - 773910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 773930 ns R r1 80000000 - 773930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 773950 ns R psr 81000200 - 773950 ns MR4_I 01000208 2a001c5b - 773970 ns MR4_I 01000200 07c96841 - 773990 ns IT 01000200 6841 LDR r1,[r0,#4] - 774010 ns MR4_I 01000204 6002d1fc - 774070 ns MR4_D 40006004 00000001 - 774070 ns R r1 00000001 - 774070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 774090 ns R r1 80000000 - 774090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 774110 ns R psr 81000200 - 774110 ns MR4_I 01000208 2a001c5b - 774130 ns MR4_I 01000200 07c96841 - 774150 ns IT 01000200 6841 LDR r1,[r0,#4] - 774170 ns MR4_I 01000204 6002d1fc - 774230 ns MR4_D 40006004 00000001 - 774230 ns R r1 00000001 - 774230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 774250 ns R r1 80000000 - 774250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 774270 ns R psr 81000200 - 774270 ns MR4_I 01000208 2a001c5b - 774290 ns MR4_I 01000200 07c96841 - 774310 ns IT 01000200 6841 LDR r1,[r0,#4] - 774330 ns MR4_I 01000204 6002d1fc - 774390 ns MR4_D 40006004 00000001 - 774390 ns R r1 00000001 - 774390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 774410 ns R r1 80000000 - 774410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 774430 ns R psr 81000200 - 774430 ns MR4_I 01000208 2a001c5b - 774450 ns MR4_I 01000200 07c96841 - 774470 ns IT 01000200 6841 LDR r1,[r0,#4] - 774490 ns MR4_I 01000204 6002d1fc - 774550 ns MR4_D 40006004 00000001 - 774550 ns R r1 00000001 - 774550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 774570 ns R r1 80000000 - 774570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 774590 ns R psr 81000200 - 774590 ns MR4_I 01000208 2a001c5b - 774610 ns MR4_I 01000200 07c96841 - 774630 ns IT 01000200 6841 LDR r1,[r0,#4] - 774650 ns MR4_I 01000204 6002d1fc - 774710 ns MR4_D 40006004 00000001 - 774710 ns R r1 00000001 - 774710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 774730 ns R r1 80000000 - 774730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 774750 ns R psr 81000200 - 774750 ns MR4_I 01000208 2a001c5b - 774770 ns MR4_I 01000200 07c96841 - 774790 ns IT 01000200 6841 LDR r1,[r0,#4] - 774810 ns MR4_I 01000204 6002d1fc - 774870 ns MR4_D 40006004 00000001 - 774870 ns R r1 00000001 - 774870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 774890 ns R r1 80000000 - 774890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 774910 ns R psr 81000200 - 774910 ns MR4_I 01000208 2a001c5b - 774930 ns MR4_I 01000200 07c96841 - 774950 ns IT 01000200 6841 LDR r1,[r0,#4] - 774970 ns MR4_I 01000204 6002d1fc - 775030 ns MR4_D 40006004 00000001 - 775030 ns R r1 00000001 - 775030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 775050 ns R r1 80000000 - 775050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 775070 ns R psr 81000200 - 775070 ns MR4_I 01000208 2a001c5b - 775090 ns MR4_I 01000200 07c96841 - 775110 ns IT 01000200 6841 LDR r1,[r0,#4] - 775130 ns MR4_I 01000204 6002d1fc - 775190 ns MR4_D 40006004 00000001 - 775190 ns R r1 00000001 - 775190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 775210 ns R r1 80000000 - 775210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 775230 ns R psr 81000200 - 775230 ns MR4_I 01000208 2a001c5b - 775250 ns MR4_I 01000200 07c96841 - 775270 ns IT 01000200 6841 LDR r1,[r0,#4] - 775290 ns MR4_I 01000204 6002d1fc - 775350 ns MR4_D 40006004 00000001 - 775350 ns R r1 00000001 - 775350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 775370 ns R r1 80000000 - 775370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 775390 ns R psr 81000200 - 775390 ns MR4_I 01000208 2a001c5b - 775410 ns MR4_I 01000200 07c96841 - 775430 ns IT 01000200 6841 LDR r1,[r0,#4] - 775450 ns MR4_I 01000204 6002d1fc - 775510 ns MR4_D 40006004 00000001 - 775510 ns R r1 00000001 - 775510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 775530 ns R r1 80000000 - 775530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 775550 ns R psr 81000200 - 775550 ns MR4_I 01000208 2a001c5b - 775570 ns MR4_I 01000200 07c96841 - 775590 ns IT 01000200 6841 LDR r1,[r0,#4] - 775610 ns MR4_I 01000204 6002d1fc - 775670 ns MR4_D 40006004 00000001 - 775670 ns R r1 00000001 - 775670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 775690 ns R r1 80000000 - 775690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 775710 ns R psr 81000200 - 775710 ns MR4_I 01000208 2a001c5b - 775730 ns MR4_I 01000200 07c96841 - 775750 ns IT 01000200 6841 LDR r1,[r0,#4] - 775770 ns MR4_I 01000204 6002d1fc - 775830 ns MR4_D 40006004 00000001 - 775830 ns R r1 00000001 - 775830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 775850 ns R r1 80000000 - 775850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 775870 ns R psr 81000200 - 775870 ns MR4_I 01000208 2a001c5b - 775890 ns MR4_I 01000200 07c96841 - 775910 ns IT 01000200 6841 LDR r1,[r0,#4] - 775930 ns MR4_I 01000204 6002d1fc - 775990 ns MR4_D 40006004 00000001 - 775990 ns R r1 00000001 - 775990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 776010 ns R r1 80000000 - 776010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 776030 ns R psr 81000200 - 776030 ns MR4_I 01000208 2a001c5b - 776050 ns MR4_I 01000200 07c96841 - 776070 ns IT 01000200 6841 LDR r1,[r0,#4] - 776090 ns MR4_I 01000204 6002d1fc - 776150 ns MR4_D 40006004 00000001 - 776150 ns R r1 00000001 - 776150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 776170 ns R r1 80000000 - 776170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 776190 ns R psr 81000200 - 776190 ns MR4_I 01000208 2a001c5b - 776210 ns MR4_I 01000200 07c96841 - 776230 ns IT 01000200 6841 LDR r1,[r0,#4] - 776250 ns MR4_I 01000204 6002d1fc - 776310 ns MR4_D 40006004 00000001 - 776310 ns R r1 00000001 - 776310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 776330 ns R r1 80000000 - 776330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 776350 ns R psr 81000200 - 776350 ns MR4_I 01000208 2a001c5b - 776370 ns MR4_I 01000200 07c96841 - 776390 ns IT 01000200 6841 LDR r1,[r0,#4] - 776410 ns MR4_I 01000204 6002d1fc - 776470 ns MR4_D 40006004 00000001 - 776470 ns R r1 00000001 - 776470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 776490 ns R r1 80000000 - 776490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 776510 ns R psr 81000200 - 776510 ns MR4_I 01000208 2a001c5b - 776530 ns MR4_I 01000200 07c96841 - 776550 ns IT 01000200 6841 LDR r1,[r0,#4] - 776570 ns MR4_I 01000204 6002d1fc - 776630 ns MR4_D 40006004 00000001 - 776630 ns R r1 00000001 - 776630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 776650 ns R r1 80000000 - 776650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 776670 ns R psr 81000200 - 776670 ns MR4_I 01000208 2a001c5b - 776690 ns MR4_I 01000200 07c96841 - 776710 ns IT 01000200 6841 LDR r1,[r0,#4] - 776730 ns MR4_I 01000204 6002d1fc - 776790 ns MR4_D 40006004 00000001 - 776790 ns R r1 00000001 - 776790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 776810 ns R r1 80000000 - 776810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 776830 ns R psr 81000200 - 776830 ns MR4_I 01000208 2a001c5b - 776850 ns MR4_I 01000200 07c96841 - 776870 ns IT 01000200 6841 LDR r1,[r0,#4] - 776890 ns MR4_I 01000204 6002d1fc - 776950 ns MR4_D 40006004 00000001 - 776950 ns R r1 00000001 - 776950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 776970 ns R r1 80000000 - 776970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 776990 ns R psr 81000200 - 776990 ns MR4_I 01000208 2a001c5b - 777010 ns MR4_I 01000200 07c96841 - 777030 ns IT 01000200 6841 LDR r1,[r0,#4] - 777050 ns MR4_I 01000204 6002d1fc - 777110 ns MR4_D 40006004 00000001 - 777110 ns R r1 00000001 - 777110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 777130 ns R r1 80000000 - 777130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 777150 ns R psr 81000200 - 777150 ns MR4_I 01000208 2a001c5b - 777170 ns MR4_I 01000200 07c96841 - 777190 ns IT 01000200 6841 LDR r1,[r0,#4] - 777210 ns MR4_I 01000204 6002d1fc - 777270 ns MR4_D 40006004 00000001 - 777270 ns R r1 00000001 - 777270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 777290 ns R r1 80000000 - 777290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 777310 ns R psr 81000200 - 777310 ns MR4_I 01000208 2a001c5b - 777330 ns MR4_I 01000200 07c96841 - 777350 ns IT 01000200 6841 LDR r1,[r0,#4] - 777370 ns MR4_I 01000204 6002d1fc - 777430 ns MR4_D 40006004 00000001 - 777430 ns R r1 00000001 - 777430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 777450 ns R r1 80000000 - 777450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 777470 ns R psr 81000200 - 777470 ns MR4_I 01000208 2a001c5b - 777490 ns MR4_I 01000200 07c96841 - 777510 ns IT 01000200 6841 LDR r1,[r0,#4] - 777530 ns MR4_I 01000204 6002d1fc - 777590 ns MR4_D 40006004 00000001 - 777590 ns R r1 00000001 - 777590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 777610 ns R r1 80000000 - 777610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 777630 ns R psr 81000200 - 777630 ns MR4_I 01000208 2a001c5b - 777650 ns MR4_I 01000200 07c96841 - 777670 ns IT 01000200 6841 LDR r1,[r0,#4] - 777690 ns MR4_I 01000204 6002d1fc - 777750 ns MR4_D 40006004 00000001 - 777750 ns R r1 00000001 - 777750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 777770 ns R r1 80000000 - 777770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 777790 ns R psr 81000200 - 777790 ns MR4_I 01000208 2a001c5b - 777810 ns MR4_I 01000200 07c96841 - 777830 ns IT 01000200 6841 LDR r1,[r0,#4] - 777850 ns MR4_I 01000204 6002d1fc - 777910 ns MR4_D 40006004 00000001 - 777910 ns R r1 00000001 - 777910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 777930 ns R r1 80000000 - 777930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 777950 ns R psr 81000200 - 777950 ns MR4_I 01000208 2a001c5b - 777970 ns MR4_I 01000200 07c96841 - 777990 ns IT 01000200 6841 LDR r1,[r0,#4] - 778010 ns MR4_I 01000204 6002d1fc - 778070 ns MR4_D 40006004 00000001 - 778070 ns R r1 00000001 - 778070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 778090 ns R r1 80000000 - 778090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 778110 ns R psr 81000200 - 778110 ns MR4_I 01000208 2a001c5b - 778130 ns MR4_I 01000200 07c96841 - 778150 ns IT 01000200 6841 LDR r1,[r0,#4] - 778170 ns MR4_I 01000204 6002d1fc - 778230 ns MR4_D 40006004 00000001 - 778230 ns R r1 00000001 - 778230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 778250 ns R r1 80000000 - 778250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 778270 ns R psr 81000200 - 778270 ns MR4_I 01000208 2a001c5b - 778290 ns MR4_I 01000200 07c96841 - 778310 ns IT 01000200 6841 LDR r1,[r0,#4] - 778330 ns MR4_I 01000204 6002d1fc - 778390 ns MR4_D 40006004 00000001 - 778390 ns R r1 00000001 - 778390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 778410 ns R r1 80000000 - 778410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 778430 ns R psr 81000200 - 778430 ns MR4_I 01000208 2a001c5b - 778450 ns MR4_I 01000200 07c96841 - 778470 ns IT 01000200 6841 LDR r1,[r0,#4] - 778490 ns MR4_I 01000204 6002d1fc - 778550 ns MR4_D 40006004 00000001 - 778550 ns R r1 00000001 - 778550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 778570 ns R r1 80000000 - 778570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 778590 ns R psr 81000200 - 778590 ns MR4_I 01000208 2a001c5b - 778610 ns MR4_I 01000200 07c96841 - 778630 ns IT 01000200 6841 LDR r1,[r0,#4] - 778650 ns MR4_I 01000204 6002d1fc - 778710 ns MR4_D 40006004 00000001 - 778710 ns R r1 00000001 - 778710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 778730 ns R r1 80000000 - 778730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 778750 ns R psr 81000200 - 778750 ns MR4_I 01000208 2a001c5b - 778770 ns MR4_I 01000200 07c96841 - 778790 ns IT 01000200 6841 LDR r1,[r0,#4] - 778810 ns MR4_I 01000204 6002d1fc - 778870 ns MR4_D 40006004 00000001 - 778870 ns R r1 00000001 - 778870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 778890 ns R r1 80000000 - 778890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 778910 ns R psr 81000200 - 778910 ns MR4_I 01000208 2a001c5b - 778930 ns MR4_I 01000200 07c96841 - 778950 ns IT 01000200 6841 LDR r1,[r0,#4] - 778970 ns MR4_I 01000204 6002d1fc - 779030 ns MR4_D 40006004 00000001 - 779030 ns R r1 00000001 - 779030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 779050 ns R r1 80000000 - 779050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 779070 ns R psr 81000200 - 779070 ns MR4_I 01000208 2a001c5b - 779090 ns MR4_I 01000200 07c96841 - 779110 ns IT 01000200 6841 LDR r1,[r0,#4] - 779130 ns MR4_I 01000204 6002d1fc - 779190 ns MR4_D 40006004 00000001 - 779190 ns R r1 00000001 - 779190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 779210 ns R r1 80000000 - 779210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 779230 ns R psr 81000200 - 779230 ns MR4_I 01000208 2a001c5b - 779250 ns MR4_I 01000200 07c96841 - 779270 ns IT 01000200 6841 LDR r1,[r0,#4] - 779290 ns MR4_I 01000204 6002d1fc - 779350 ns MR4_D 40006004 00000001 - 779350 ns R r1 00000001 - 779350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 779370 ns R r1 80000000 - 779370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 779390 ns R psr 81000200 - 779390 ns MR4_I 01000208 2a001c5b - 779410 ns MR4_I 01000200 07c96841 - 779430 ns IT 01000200 6841 LDR r1,[r0,#4] - 779450 ns MR4_I 01000204 6002d1fc - 779510 ns MR4_D 40006004 00000001 - 779510 ns R r1 00000001 - 779510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 779530 ns R r1 80000000 - 779530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 779550 ns R psr 81000200 - 779550 ns MR4_I 01000208 2a001c5b - 779570 ns MR4_I 01000200 07c96841 - 779590 ns IT 01000200 6841 LDR r1,[r0,#4] - 779610 ns MR4_I 01000204 6002d1fc - 779670 ns MR4_D 40006004 00000001 - 779670 ns R r1 00000001 - 779670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 779690 ns R r1 80000000 - 779690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 779710 ns R psr 81000200 - 779710 ns MR4_I 01000208 2a001c5b - 779730 ns MR4_I 01000200 07c96841 - 779750 ns IT 01000200 6841 LDR r1,[r0,#4] - 779770 ns MR4_I 01000204 6002d1fc - 779830 ns MR4_D 40006004 00000001 - 779830 ns R r1 00000001 - 779830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 779850 ns R r1 80000000 - 779850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 779870 ns R psr 81000200 - 779870 ns MR4_I 01000208 2a001c5b - 779890 ns MR4_I 01000200 07c96841 - 779910 ns IT 01000200 6841 LDR r1,[r0,#4] - 779930 ns MR4_I 01000204 6002d1fc - 779990 ns MR4_D 40006004 00000001 - 779990 ns R r1 00000001 - 779990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 780010 ns R r1 80000000 - 780010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 780030 ns R psr 81000200 - 780030 ns MR4_I 01000208 2a001c5b - 780050 ns MR4_I 01000200 07c96841 - 780070 ns IT 01000200 6841 LDR r1,[r0,#4] - 780090 ns MR4_I 01000204 6002d1fc - 780150 ns MR4_D 40006004 00000001 - 780150 ns R r1 00000001 - 780150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 780170 ns R r1 80000000 - 780170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 780190 ns R psr 81000200 - 780190 ns MR4_I 01000208 2a001c5b - 780210 ns MR4_I 01000200 07c96841 - 780230 ns IT 01000200 6841 LDR r1,[r0,#4] - 780250 ns MR4_I 01000204 6002d1fc - 780310 ns MR4_D 40006004 00000001 - 780310 ns R r1 00000001 - 780310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 780330 ns R r1 80000000 - 780330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 780350 ns R psr 81000200 - 780350 ns MR4_I 01000208 2a001c5b - 780370 ns MR4_I 01000200 07c96841 - 780390 ns IT 01000200 6841 LDR r1,[r0,#4] - 780410 ns MR4_I 01000204 6002d1fc - 780470 ns MR4_D 40006004 00000001 - 780470 ns R r1 00000001 - 780470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 780490 ns R r1 80000000 - 780490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 780510 ns R psr 81000200 - 780510 ns MR4_I 01000208 2a001c5b - 780530 ns MR4_I 01000200 07c96841 - 780550 ns IT 01000200 6841 LDR r1,[r0,#4] - 780570 ns MR4_I 01000204 6002d1fc - 780630 ns MR4_D 40006004 00000001 - 780630 ns R r1 00000001 - 780630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 780650 ns R r1 80000000 - 780650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 780670 ns R psr 81000200 - 780670 ns MR4_I 01000208 2a001c5b - 780690 ns MR4_I 01000200 07c96841 - 780710 ns IT 01000200 6841 LDR r1,[r0,#4] - 780730 ns MR4_I 01000204 6002d1fc - 780790 ns MR4_D 40006004 00000001 - 780790 ns R r1 00000001 - 780790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 780810 ns R r1 80000000 - 780810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 780830 ns R psr 81000200 - 780830 ns MR4_I 01000208 2a001c5b - 780850 ns MR4_I 01000200 07c96841 - 780870 ns IT 01000200 6841 LDR r1,[r0,#4] - 780890 ns MR4_I 01000204 6002d1fc - 780950 ns MR4_D 40006004 00000001 - 780950 ns R r1 00000001 - 780950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 780970 ns R r1 80000000 - 780970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 780990 ns R psr 81000200 - 780990 ns MR4_I 01000208 2a001c5b - 781010 ns MR4_I 01000200 07c96841 - 781030 ns IT 01000200 6841 LDR r1,[r0,#4] - 781050 ns MR4_I 01000204 6002d1fc - 781110 ns MR4_D 40006004 00000001 - 781110 ns R r1 00000001 - 781110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 781130 ns R r1 80000000 - 781130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 781150 ns R psr 81000200 - 781150 ns MR4_I 01000208 2a001c5b - 781170 ns MR4_I 01000200 07c96841 - 781190 ns IT 01000200 6841 LDR r1,[r0,#4] - 781210 ns MR4_I 01000204 6002d1fc - 781270 ns MR4_D 40006004 00000001 - 781270 ns R r1 00000001 - 781270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 781290 ns R r1 80000000 - 781290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 781310 ns R psr 81000200 - 781310 ns MR4_I 01000208 2a001c5b - 781330 ns MR4_I 01000200 07c96841 - 781350 ns IT 01000200 6841 LDR r1,[r0,#4] - 781370 ns MR4_I 01000204 6002d1fc - 781430 ns MR4_D 40006004 00000001 - 781430 ns R r1 00000001 - 781430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 781450 ns R r1 80000000 - 781450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 781470 ns R psr 81000200 - 781470 ns MR4_I 01000208 2a001c5b - 781490 ns MR4_I 01000200 07c96841 - 781510 ns IT 01000200 6841 LDR r1,[r0,#4] - 781530 ns MR4_I 01000204 6002d1fc - 781590 ns MR4_D 40006004 00000001 - 781590 ns R r1 00000001 - 781590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 781610 ns R r1 80000000 - 781610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 781630 ns R psr 81000200 - 781630 ns MR4_I 01000208 2a001c5b - 781650 ns MR4_I 01000200 07c96841 - 781670 ns IT 01000200 6841 LDR r1,[r0,#4] - 781690 ns MR4_I 01000204 6002d1fc - 781750 ns MR4_D 40006004 00000001 - 781750 ns R r1 00000001 - 781750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 781770 ns R r1 80000000 - 781770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 781790 ns R psr 81000200 - 781790 ns MR4_I 01000208 2a001c5b - 781810 ns MR4_I 01000200 07c96841 - 781830 ns IT 01000200 6841 LDR r1,[r0,#4] - 781850 ns MR4_I 01000204 6002d1fc - 781910 ns MR4_D 40006004 00000001 - 781910 ns R r1 00000001 - 781910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 781930 ns R r1 80000000 - 781930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 781950 ns R psr 81000200 - 781950 ns MR4_I 01000208 2a001c5b - 781970 ns MR4_I 01000200 07c96841 - 781990 ns IT 01000200 6841 LDR r1,[r0,#4] - 782010 ns MR4_I 01000204 6002d1fc - 782070 ns MR4_D 40006004 00000001 - 782070 ns R r1 00000001 - 782070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 782090 ns R r1 80000000 - 782090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 782110 ns R psr 81000200 - 782110 ns MR4_I 01000208 2a001c5b - 782130 ns MR4_I 01000200 07c96841 - 782150 ns IT 01000200 6841 LDR r1,[r0,#4] - 782170 ns MR4_I 01000204 6002d1fc - 782230 ns MR4_D 40006004 00000001 - 782230 ns R r1 00000001 - 782230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 782250 ns R r1 80000000 - 782250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 782270 ns R psr 81000200 - 782270 ns MR4_I 01000208 2a001c5b - 782290 ns MR4_I 01000200 07c96841 - 782310 ns IT 01000200 6841 LDR r1,[r0,#4] - 782330 ns MR4_I 01000204 6002d1fc - 782390 ns MR4_D 40006004 00000001 - 782390 ns R r1 00000001 - 782390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 782410 ns R r1 80000000 - 782410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 782430 ns R psr 81000200 - 782430 ns MR4_I 01000208 2a001c5b - 782450 ns MR4_I 01000200 07c96841 - 782470 ns IT 01000200 6841 LDR r1,[r0,#4] - 782490 ns MR4_I 01000204 6002d1fc - 782550 ns MR4_D 40006004 00000001 - 782550 ns R r1 00000001 - 782550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 782570 ns R r1 80000000 - 782570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 782590 ns R psr 81000200 - 782590 ns MR4_I 01000208 2a001c5b - 782610 ns MR4_I 01000200 07c96841 - 782630 ns IT 01000200 6841 LDR r1,[r0,#4] - 782650 ns MR4_I 01000204 6002d1fc - 782710 ns MR4_D 40006004 00000001 - 782710 ns R r1 00000001 - 782710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 782730 ns R r1 80000000 - 782730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 782750 ns R psr 81000200 - 782750 ns MR4_I 01000208 2a001c5b - 782770 ns MR4_I 01000200 07c96841 - 782790 ns IT 01000200 6841 LDR r1,[r0,#4] - 782810 ns MR4_I 01000204 6002d1fc - 782870 ns MR4_D 40006004 00000001 - 782870 ns R r1 00000001 - 782870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 782890 ns R r1 80000000 - 782890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 782910 ns R psr 81000200 - 782910 ns MR4_I 01000208 2a001c5b - 782930 ns MR4_I 01000200 07c96841 - 782950 ns IT 01000200 6841 LDR r1,[r0,#4] - 782970 ns MR4_I 01000204 6002d1fc - 783030 ns MR4_D 40006004 00000001 - 783030 ns R r1 00000001 - 783030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 783050 ns R r1 80000000 - 783050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 783070 ns R psr 81000200 - 783070 ns MR4_I 01000208 2a001c5b - 783090 ns MR4_I 01000200 07c96841 - 783110 ns IT 01000200 6841 LDR r1,[r0,#4] - 783130 ns MR4_I 01000204 6002d1fc - 783190 ns MR4_D 40006004 00000001 - 783190 ns R r1 00000001 - 783190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 783210 ns R r1 80000000 - 783210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 783230 ns R psr 81000200 - 783230 ns MR4_I 01000208 2a001c5b - 783250 ns MR4_I 01000200 07c96841 - 783270 ns IT 01000200 6841 LDR r1,[r0,#4] - 783290 ns MR4_I 01000204 6002d1fc - 783350 ns MR4_D 40006004 00000001 - 783350 ns R r1 00000001 - 783350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 783370 ns R r1 80000000 - 783370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 783390 ns R psr 81000200 - 783390 ns MR4_I 01000208 2a001c5b - 783410 ns MR4_I 01000200 07c96841 - 783430 ns IT 01000200 6841 LDR r1,[r0,#4] - 783450 ns MR4_I 01000204 6002d1fc - 783510 ns MR4_D 40006004 00000001 - 783510 ns R r1 00000001 - 783510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 783530 ns R r1 80000000 - 783530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 783550 ns R psr 81000200 - 783550 ns MR4_I 01000208 2a001c5b - 783570 ns MR4_I 01000200 07c96841 - 783590 ns IT 01000200 6841 LDR r1,[r0,#4] - 783610 ns MR4_I 01000204 6002d1fc - 783670 ns MR4_D 40006004 00000001 - 783670 ns R r1 00000001 - 783670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 783690 ns R r1 80000000 - 783690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 783710 ns R psr 81000200 - 783710 ns MR4_I 01000208 2a001c5b - 783730 ns MR4_I 01000200 07c96841 - 783750 ns IT 01000200 6841 LDR r1,[r0,#4] - 783770 ns MR4_I 01000204 6002d1fc - 783830 ns MR4_D 40006004 00000001 - 783830 ns R r1 00000001 - 783830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 783850 ns R r1 80000000 - 783850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 783870 ns R psr 81000200 - 783870 ns MR4_I 01000208 2a001c5b - 783890 ns MR4_I 01000200 07c96841 - 783910 ns IT 01000200 6841 LDR r1,[r0,#4] - 783930 ns MR4_I 01000204 6002d1fc - 783990 ns MR4_D 40006004 00000001 - 783990 ns R r1 00000001 - 783990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 784010 ns R r1 80000000 - 784010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 784030 ns R psr 81000200 - 784030 ns MR4_I 01000208 2a001c5b - 784050 ns MR4_I 01000200 07c96841 - 784070 ns IT 01000200 6841 LDR r1,[r0,#4] - 784090 ns MR4_I 01000204 6002d1fc - 784150 ns MR4_D 40006004 00000001 - 784150 ns R r1 00000001 - 784150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 784170 ns R r1 80000000 - 784170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 784190 ns R psr 81000200 - 784190 ns MR4_I 01000208 2a001c5b - 784210 ns MR4_I 01000200 07c96841 - 784230 ns IT 01000200 6841 LDR r1,[r0,#4] - 784250 ns MR4_I 01000204 6002d1fc - 784310 ns MR4_D 40006004 00000001 - 784310 ns R r1 00000001 - 784310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 784330 ns R r1 80000000 - 784330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 784350 ns R psr 81000200 - 784350 ns MR4_I 01000208 2a001c5b - 784370 ns MR4_I 01000200 07c96841 - 784390 ns IT 01000200 6841 LDR r1,[r0,#4] - 784410 ns MR4_I 01000204 6002d1fc - 784470 ns MR4_D 40006004 00000001 - 784470 ns R r1 00000001 - 784470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 784490 ns R r1 80000000 - 784490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 784510 ns R psr 81000200 - 784510 ns MR4_I 01000208 2a001c5b - 784530 ns MR4_I 01000200 07c96841 - 784550 ns IT 01000200 6841 LDR r1,[r0,#4] - 784570 ns MR4_I 01000204 6002d1fc - 784630 ns MR4_D 40006004 00000001 - 784630 ns R r1 00000001 - 784630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 784650 ns R r1 80000000 - 784650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 784670 ns R psr 81000200 - 784670 ns MR4_I 01000208 2a001c5b - 784690 ns MR4_I 01000200 07c96841 - 784710 ns IT 01000200 6841 LDR r1,[r0,#4] - 784730 ns MR4_I 01000204 6002d1fc - 784790 ns MR4_D 40006004 00000001 - 784790 ns R r1 00000001 - 784790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 784810 ns R r1 80000000 - 784810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 784830 ns R psr 81000200 - 784830 ns MR4_I 01000208 2a001c5b - 784850 ns MR4_I 01000200 07c96841 - 784870 ns IT 01000200 6841 LDR r1,[r0,#4] - 784890 ns MR4_I 01000204 6002d1fc - 784950 ns MR4_D 40006004 00000001 - 784950 ns R r1 00000001 - 784950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 784970 ns R r1 80000000 - 784970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 784990 ns R psr 81000200 - 784990 ns MR4_I 01000208 2a001c5b - 785010 ns MR4_I 01000200 07c96841 - 785030 ns IT 01000200 6841 LDR r1,[r0,#4] - 785050 ns MR4_I 01000204 6002d1fc - 785110 ns MR4_D 40006004 00000001 - 785110 ns R r1 00000001 - 785110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 785130 ns R r1 80000000 - 785130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 785150 ns R psr 81000200 - 785150 ns MR4_I 01000208 2a001c5b - 785170 ns MR4_I 01000200 07c96841 - 785190 ns IT 01000200 6841 LDR r1,[r0,#4] - 785210 ns MR4_I 01000204 6002d1fc - 785270 ns MR4_D 40006004 00000001 - 785270 ns R r1 00000001 - 785270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 785290 ns R r1 80000000 - 785290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 785310 ns R psr 81000200 - 785310 ns MR4_I 01000208 2a001c5b - 785330 ns MR4_I 01000200 07c96841 - 785350 ns IT 01000200 6841 LDR r1,[r0,#4] - 785370 ns MR4_I 01000204 6002d1fc - 785430 ns MR4_D 40006004 00000001 - 785430 ns R r1 00000001 - 785430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 785450 ns R r1 80000000 - 785450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 785470 ns R psr 81000200 - 785470 ns MR4_I 01000208 2a001c5b - 785490 ns MR4_I 01000200 07c96841 - 785510 ns IT 01000200 6841 LDR r1,[r0,#4] - 785530 ns MR4_I 01000204 6002d1fc - 785590 ns MR4_D 40006004 00000001 - 785590 ns R r1 00000001 - 785590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 785610 ns R r1 80000000 - 785610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 785630 ns R psr 81000200 - 785630 ns MR4_I 01000208 2a001c5b - 785650 ns MR4_I 01000200 07c96841 - 785670 ns IT 01000200 6841 LDR r1,[r0,#4] - 785690 ns MR4_I 01000204 6002d1fc - 785750 ns MR4_D 40006004 00000001 - 785750 ns R r1 00000001 - 785750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 785770 ns R r1 80000000 - 785770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 785790 ns R psr 81000200 - 785790 ns MR4_I 01000208 2a001c5b - 785810 ns MR4_I 01000200 07c96841 - 785830 ns IT 01000200 6841 LDR r1,[r0,#4] - 785850 ns MR4_I 01000204 6002d1fc - 785910 ns MR4_D 40006004 00000001 - 785910 ns R r1 00000001 - 785910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 785930 ns R r1 80000000 - 785930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 785950 ns R psr 81000200 - 785950 ns MR4_I 01000208 2a001c5b - 785970 ns MR4_I 01000200 07c96841 - 785990 ns IT 01000200 6841 LDR r1,[r0,#4] - 786010 ns MR4_I 01000204 6002d1fc - 786070 ns MR4_D 40006004 00000001 - 786070 ns R r1 00000001 - 786070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 786090 ns R r1 80000000 - 786090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 786110 ns R psr 81000200 - 786110 ns MR4_I 01000208 2a001c5b - 786130 ns MR4_I 01000200 07c96841 - 786150 ns IT 01000200 6841 LDR r1,[r0,#4] - 786170 ns MR4_I 01000204 6002d1fc - 786230 ns MR4_D 40006004 00000001 - 786230 ns R r1 00000001 - 786230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 786250 ns R r1 80000000 - 786250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 786270 ns R psr 81000200 - 786270 ns MR4_I 01000208 2a001c5b - 786290 ns MR4_I 01000200 07c96841 - 786310 ns IT 01000200 6841 LDR r1,[r0,#4] - 786330 ns MR4_I 01000204 6002d1fc - 786390 ns MR4_D 40006004 00000001 - 786390 ns R r1 00000001 - 786390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 786410 ns R r1 80000000 - 786410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 786430 ns R psr 81000200 - 786430 ns MR4_I 01000208 2a001c5b - 786450 ns MR4_I 01000200 07c96841 - 786470 ns IT 01000200 6841 LDR r1,[r0,#4] - 786490 ns MR4_I 01000204 6002d1fc - 786550 ns MR4_D 40006004 00000001 - 786550 ns R r1 00000001 - 786550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 786570 ns R r1 80000000 - 786570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 786590 ns R psr 81000200 - 786590 ns MR4_I 01000208 2a001c5b - 786610 ns MR4_I 01000200 07c96841 - 786630 ns IT 01000200 6841 LDR r1,[r0,#4] - 786650 ns MR4_I 01000204 6002d1fc - 786710 ns MR4_D 40006004 00000001 - 786710 ns R r1 00000001 - 786710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 786730 ns R r1 80000000 - 786730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 786750 ns R psr 81000200 - 786750 ns MR4_I 01000208 2a001c5b - 786770 ns MR4_I 01000200 07c96841 - 786790 ns IT 01000200 6841 LDR r1,[r0,#4] - 786810 ns MR4_I 01000204 6002d1fc - 786870 ns MR4_D 40006004 00000001 - 786870 ns R r1 00000001 - 786870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 786890 ns R r1 80000000 - 786890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 786910 ns R psr 81000200 - 786910 ns MR4_I 01000208 2a001c5b - 786930 ns MR4_I 01000200 07c96841 - 786950 ns IT 01000200 6841 LDR r1,[r0,#4] - 786970 ns MR4_I 01000204 6002d1fc - 787030 ns MR4_D 40006004 00000001 - 787030 ns R r1 00000001 - 787030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 787050 ns R r1 80000000 - 787050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 787070 ns R psr 81000200 - 787070 ns MR4_I 01000208 2a001c5b - 787090 ns MR4_I 01000200 07c96841 - 787110 ns IT 01000200 6841 LDR r1,[r0,#4] - 787130 ns MR4_I 01000204 6002d1fc - 787190 ns MR4_D 40006004 00000001 - 787190 ns R r1 00000001 - 787190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 787210 ns R r1 80000000 - 787210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 787230 ns R psr 81000200 - 787230 ns MR4_I 01000208 2a001c5b - 787250 ns MR4_I 01000200 07c96841 - 787270 ns IT 01000200 6841 LDR r1,[r0,#4] - 787290 ns MR4_I 01000204 6002d1fc - 787350 ns MR4_D 40006004 00000001 - 787350 ns R r1 00000001 - 787350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 787370 ns R r1 80000000 - 787370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 787390 ns R psr 81000200 - 787390 ns MR4_I 01000208 2a001c5b - 787410 ns MR4_I 01000200 07c96841 - 787430 ns IT 01000200 6841 LDR r1,[r0,#4] - 787450 ns MR4_I 01000204 6002d1fc - 787510 ns MR4_D 40006004 00000001 - 787510 ns R r1 00000001 - 787510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 787530 ns R r1 80000000 - 787530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 787550 ns R psr 81000200 - 787550 ns MR4_I 01000208 2a001c5b - 787570 ns MR4_I 01000200 07c96841 - 787590 ns IT 01000200 6841 LDR r1,[r0,#4] - 787610 ns MR4_I 01000204 6002d1fc - 787670 ns MR4_D 40006004 00000001 - 787670 ns R r1 00000001 - 787670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 787690 ns R r1 80000000 - 787690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 787710 ns R psr 81000200 - 787710 ns MR4_I 01000208 2a001c5b - 787730 ns MR4_I 01000200 07c96841 - 787750 ns IT 01000200 6841 LDR r1,[r0,#4] - 787770 ns MR4_I 01000204 6002d1fc - 787830 ns MR4_D 40006004 00000001 - 787830 ns R r1 00000001 - 787830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 787850 ns R r1 80000000 - 787850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 787870 ns R psr 81000200 - 787870 ns MR4_I 01000208 2a001c5b - 787890 ns MR4_I 01000200 07c96841 - 787910 ns IT 01000200 6841 LDR r1,[r0,#4] - 787930 ns MR4_I 01000204 6002d1fc - 787990 ns MR4_D 40006004 00000001 - 787990 ns R r1 00000001 - 787990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 788010 ns R r1 80000000 - 788010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 788030 ns R psr 81000200 - 788030 ns MR4_I 01000208 2a001c5b - 788050 ns MR4_I 01000200 07c96841 - 788070 ns IT 01000200 6841 LDR r1,[r0,#4] - 788090 ns MR4_I 01000204 6002d1fc - 788150 ns MR4_D 40006004 00000001 - 788150 ns R r1 00000001 - 788150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 788170 ns R r1 80000000 - 788170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 788190 ns R psr 81000200 - 788190 ns MR4_I 01000208 2a001c5b - 788210 ns MR4_I 01000200 07c96841 - 788230 ns IT 01000200 6841 LDR r1,[r0,#4] - 788250 ns MR4_I 01000204 6002d1fc - 788310 ns MR4_D 40006004 00000001 - 788310 ns R r1 00000001 - 788310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 788330 ns R r1 80000000 - 788330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 788350 ns R psr 81000200 - 788350 ns MR4_I 01000208 2a001c5b - 788370 ns MR4_I 01000200 07c96841 - 788390 ns IT 01000200 6841 LDR r1,[r0,#4] - 788410 ns MR4_I 01000204 6002d1fc - 788470 ns MR4_D 40006004 00000001 - 788470 ns R r1 00000001 - 788470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 788490 ns R r1 80000000 - 788490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 788510 ns R psr 81000200 - 788510 ns MR4_I 01000208 2a001c5b - 788530 ns MR4_I 01000200 07c96841 - 788550 ns IT 01000200 6841 LDR r1,[r0,#4] - 788570 ns MR4_I 01000204 6002d1fc - 788630 ns MR4_D 40006004 00000001 - 788630 ns R r1 00000001 - 788630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 788650 ns R r1 80000000 - 788650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 788670 ns R psr 81000200 - 788670 ns MR4_I 01000208 2a001c5b - 788690 ns MR4_I 01000200 07c96841 - 788710 ns IT 01000200 6841 LDR r1,[r0,#4] - 788730 ns MR4_I 01000204 6002d1fc - 788790 ns MR4_D 40006004 00000001 - 788790 ns R r1 00000001 - 788790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 788810 ns R r1 80000000 - 788810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 788830 ns R psr 81000200 - 788830 ns MR4_I 01000208 2a001c5b - 788850 ns MR4_I 01000200 07c96841 - 788870 ns IT 01000200 6841 LDR r1,[r0,#4] - 788890 ns MR4_I 01000204 6002d1fc - 788950 ns MR4_D 40006004 00000001 - 788950 ns R r1 00000001 - 788950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 788970 ns R r1 80000000 - 788970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 788990 ns R psr 81000200 - 788990 ns MR4_I 01000208 2a001c5b - 789010 ns MR4_I 01000200 07c96841 - 789030 ns IT 01000200 6841 LDR r1,[r0,#4] - 789050 ns MR4_I 01000204 6002d1fc - 789110 ns MR4_D 40006004 00000001 - 789110 ns R r1 00000001 - 789110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 789130 ns R r1 80000000 - 789130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 789150 ns R psr 81000200 - 789150 ns MR4_I 01000208 2a001c5b - 789170 ns MR4_I 01000200 07c96841 - 789190 ns IT 01000200 6841 LDR r1,[r0,#4] - 789210 ns MR4_I 01000204 6002d1fc - 789270 ns MR4_D 40006004 00000001 - 789270 ns R r1 00000001 - 789270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 789290 ns R r1 80000000 - 789290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 789310 ns R psr 81000200 - 789310 ns MR4_I 01000208 2a001c5b - 789330 ns MR4_I 01000200 07c96841 - 789350 ns IT 01000200 6841 LDR r1,[r0,#4] - 789370 ns MR4_I 01000204 6002d1fc - 789430 ns MR4_D 40006004 00000001 - 789430 ns R r1 00000001 - 789430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 789450 ns R r1 80000000 - 789450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 789470 ns R psr 81000200 - 789470 ns MR4_I 01000208 2a001c5b - 789490 ns MR4_I 01000200 07c96841 - 789510 ns IT 01000200 6841 LDR r1,[r0,#4] - 789530 ns MR4_I 01000204 6002d1fc - 789590 ns MR4_D 40006004 00000001 - 789590 ns R r1 00000001 - 789590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 789610 ns R r1 80000000 - 789610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 789630 ns R psr 81000200 - 789630 ns MR4_I 01000208 2a001c5b - 789650 ns MR4_I 01000200 07c96841 - 789670 ns IT 01000200 6841 LDR r1,[r0,#4] - 789690 ns MR4_I 01000204 6002d1fc - 789750 ns MR4_D 40006004 00000001 - 789750 ns R r1 00000001 - 789750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 789770 ns R r1 80000000 - 789770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 789790 ns R psr 81000200 - 789790 ns MR4_I 01000208 2a001c5b - 789810 ns MR4_I 01000200 07c96841 - 789830 ns IT 01000200 6841 LDR r1,[r0,#4] - 789850 ns MR4_I 01000204 6002d1fc - 789910 ns MR4_D 40006004 00000001 - 789910 ns R r1 00000001 - 789910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 789930 ns R r1 80000000 - 789930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 789950 ns R psr 81000200 - 789950 ns MR4_I 01000208 2a001c5b - 789970 ns MR4_I 01000200 07c96841 - 789990 ns IT 01000200 6841 LDR r1,[r0,#4] - 790010 ns MR4_I 01000204 6002d1fc - 790070 ns MR4_D 40006004 00000001 - 790070 ns R r1 00000001 - 790070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 790090 ns R r1 80000000 - 790090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 790110 ns R psr 81000200 - 790110 ns MR4_I 01000208 2a001c5b - 790130 ns MR4_I 01000200 07c96841 - 790150 ns IT 01000200 6841 LDR r1,[r0,#4] - 790170 ns MR4_I 01000204 6002d1fc - 790230 ns MR4_D 40006004 00000001 - 790230 ns R r1 00000001 - 790230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 790250 ns R r1 80000000 - 790250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 790270 ns R psr 81000200 - 790270 ns MR4_I 01000208 2a001c5b - 790290 ns MR4_I 01000200 07c96841 - 790310 ns IT 01000200 6841 LDR r1,[r0,#4] - 790330 ns MR4_I 01000204 6002d1fc - 790390 ns MR4_D 40006004 00000001 - 790390 ns R r1 00000001 - 790390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 790410 ns R r1 80000000 - 790410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 790430 ns R psr 81000200 - 790430 ns MR4_I 01000208 2a001c5b - 790450 ns MR4_I 01000200 07c96841 - 790470 ns IT 01000200 6841 LDR r1,[r0,#4] - 790490 ns MR4_I 01000204 6002d1fc - 790550 ns MR4_D 40006004 00000001 - 790550 ns R r1 00000001 - 790550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 790570 ns R r1 80000000 - 790570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 790590 ns R psr 81000200 - 790590 ns MR4_I 01000208 2a001c5b - 790610 ns MR4_I 01000200 07c96841 - 790630 ns IT 01000200 6841 LDR r1,[r0,#4] - 790650 ns MR4_I 01000204 6002d1fc - 790710 ns MR4_D 40006004 00000001 - 790710 ns R r1 00000001 - 790710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 790730 ns R r1 80000000 - 790730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 790750 ns R psr 81000200 - 790750 ns MR4_I 01000208 2a001c5b - 790770 ns MR4_I 01000200 07c96841 - 790790 ns IT 01000200 6841 LDR r1,[r0,#4] - 790810 ns MR4_I 01000204 6002d1fc - 790870 ns MR4_D 40006004 00000001 - 790870 ns R r1 00000001 - 790870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 790890 ns R r1 80000000 - 790890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 790910 ns R psr 81000200 - 790910 ns MR4_I 01000208 2a001c5b - 790930 ns MR4_I 01000200 07c96841 - 790950 ns IT 01000200 6841 LDR r1,[r0,#4] - 790970 ns MR4_I 01000204 6002d1fc - 791030 ns MR4_D 40006004 00000001 - 791030 ns R r1 00000001 - 791030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 791050 ns R r1 80000000 - 791050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 791070 ns R psr 81000200 - 791070 ns MR4_I 01000208 2a001c5b - 791090 ns MR4_I 01000200 07c96841 - 791110 ns IT 01000200 6841 LDR r1,[r0,#4] - 791130 ns MR4_I 01000204 6002d1fc - 791190 ns MR4_D 40006004 00000001 - 791190 ns R r1 00000001 - 791190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 791210 ns R r1 80000000 - 791210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 791230 ns R psr 81000200 - 791230 ns MR4_I 01000208 2a001c5b - 791250 ns MR4_I 01000200 07c96841 - 791270 ns IT 01000200 6841 LDR r1,[r0,#4] - 791290 ns MR4_I 01000204 6002d1fc - 791350 ns MR4_D 40006004 00000001 - 791350 ns R r1 00000001 - 791350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 791370 ns R r1 80000000 - 791370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 791390 ns R psr 81000200 - 791390 ns MR4_I 01000208 2a001c5b - 791410 ns MR4_I 01000200 07c96841 - 791430 ns IT 01000200 6841 LDR r1,[r0,#4] - 791450 ns MR4_I 01000204 6002d1fc - 791510 ns MR4_D 40006004 00000001 - 791510 ns R r1 00000001 - 791510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 791530 ns R r1 80000000 - 791530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 791550 ns R psr 81000200 - 791550 ns MR4_I 01000208 2a001c5b - 791570 ns MR4_I 01000200 07c96841 - 791590 ns IT 01000200 6841 LDR r1,[r0,#4] - 791610 ns MR4_I 01000204 6002d1fc - 791670 ns MR4_D 40006004 00000001 - 791670 ns R r1 00000001 - 791670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 791690 ns R r1 80000000 - 791690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 791710 ns R psr 81000200 - 791710 ns MR4_I 01000208 2a001c5b - 791730 ns MR4_I 01000200 07c96841 - 791750 ns IT 01000200 6841 LDR r1,[r0,#4] - 791770 ns MR4_I 01000204 6002d1fc - 791830 ns MR4_D 40006004 00000001 - 791830 ns R r1 00000001 - 791830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 791850 ns R r1 80000000 - 791850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 791870 ns R psr 81000200 - 791870 ns MR4_I 01000208 2a001c5b - 791890 ns MR4_I 01000200 07c96841 - 791910 ns IT 01000200 6841 LDR r1,[r0,#4] - 791930 ns MR4_I 01000204 6002d1fc - 791990 ns MR4_D 40006004 00000001 - 791990 ns R r1 00000001 - 791990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 792010 ns R r1 80000000 - 792010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 792030 ns R psr 81000200 - 792030 ns MR4_I 01000208 2a001c5b - 792050 ns MR4_I 01000200 07c96841 - 792070 ns IT 01000200 6841 LDR r1,[r0,#4] - 792090 ns MR4_I 01000204 6002d1fc - 792150 ns MR4_D 40006004 00000001 - 792150 ns R r1 00000001 - 792150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 792170 ns R r1 80000000 - 792170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 792190 ns R psr 81000200 - 792190 ns MR4_I 01000208 2a001c5b - 792210 ns MR4_I 01000200 07c96841 - 792230 ns IT 01000200 6841 LDR r1,[r0,#4] - 792250 ns MR4_I 01000204 6002d1fc - 792310 ns MR4_D 40006004 00000001 - 792310 ns R r1 00000001 - 792310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 792330 ns R r1 80000000 - 792330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 792350 ns R psr 81000200 - 792350 ns MR4_I 01000208 2a001c5b - 792370 ns MR4_I 01000200 07c96841 - 792390 ns IT 01000200 6841 LDR r1,[r0,#4] - 792410 ns MR4_I 01000204 6002d1fc - 792470 ns MR4_D 40006004 00000001 - 792470 ns R r1 00000001 - 792470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 792490 ns R r1 80000000 - 792490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 792510 ns R psr 81000200 - 792510 ns MR4_I 01000208 2a001c5b - 792530 ns MR4_I 01000200 07c96841 - 792550 ns IT 01000200 6841 LDR r1,[r0,#4] - 792570 ns MR4_I 01000204 6002d1fc - 792630 ns MR4_D 40006004 00000001 - 792630 ns R r1 00000001 - 792630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 792650 ns R r1 80000000 - 792650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 792670 ns R psr 81000200 - 792670 ns MR4_I 01000208 2a001c5b - 792690 ns MR4_I 01000200 07c96841 - 792710 ns IT 01000200 6841 LDR r1,[r0,#4] - 792730 ns MR4_I 01000204 6002d1fc - 792790 ns MR4_D 40006004 00000001 - 792790 ns R r1 00000001 - 792790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 792810 ns R r1 80000000 - 792810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 792830 ns R psr 81000200 - 792830 ns MR4_I 01000208 2a001c5b - 792850 ns MR4_I 01000200 07c96841 - 792870 ns IT 01000200 6841 LDR r1,[r0,#4] - 792890 ns MR4_I 01000204 6002d1fc - 792950 ns MR4_D 40006004 00000001 - 792950 ns R r1 00000001 - 792950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 792970 ns R r1 80000000 - 792970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 792990 ns R psr 81000200 - 792990 ns MR4_I 01000208 2a001c5b - 793010 ns MR4_I 01000200 07c96841 - 793030 ns IT 01000200 6841 LDR r1,[r0,#4] - 793050 ns MR4_I 01000204 6002d1fc - 793110 ns MR4_D 40006004 00000001 - 793110 ns R r1 00000001 - 793110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 793130 ns R r1 80000000 - 793130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 793150 ns R psr 81000200 - 793150 ns MR4_I 01000208 2a001c5b - 793170 ns MR4_I 01000200 07c96841 - 793190 ns IT 01000200 6841 LDR r1,[r0,#4] - 793210 ns MR4_I 01000204 6002d1fc - 793270 ns MR4_D 40006004 00000001 - 793270 ns R r1 00000001 - 793270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 793290 ns R r1 80000000 - 793290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 793310 ns R psr 81000200 - 793310 ns MR4_I 01000208 2a001c5b - 793330 ns MR4_I 01000200 07c96841 - 793350 ns IT 01000200 6841 LDR r1,[r0,#4] - 793370 ns MR4_I 01000204 6002d1fc - 793430 ns MR4_D 40006004 00000001 - 793430 ns R r1 00000001 - 793430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 793450 ns R r1 80000000 - 793450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 793470 ns R psr 81000200 - 793470 ns MR4_I 01000208 2a001c5b - 793490 ns MR4_I 01000200 07c96841 - 793510 ns IT 01000200 6841 LDR r1,[r0,#4] - 793530 ns MR4_I 01000204 6002d1fc - 793590 ns MR4_D 40006004 00000001 - 793590 ns R r1 00000001 - 793590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 793610 ns R r1 80000000 - 793610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 793630 ns R psr 81000200 - 793630 ns MR4_I 01000208 2a001c5b - 793650 ns MR4_I 01000200 07c96841 - 793670 ns IT 01000200 6841 LDR r1,[r0,#4] - 793690 ns MR4_I 01000204 6002d1fc - 793750 ns MR4_D 40006004 00000001 - 793750 ns R r1 00000001 - 793750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 793770 ns R r1 80000000 - 793770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 793790 ns R psr 81000200 - 793790 ns MR4_I 01000208 2a001c5b - 793810 ns MR4_I 01000200 07c96841 - 793830 ns IT 01000200 6841 LDR r1,[r0,#4] - 793850 ns MR4_I 01000204 6002d1fc - 793910 ns MR4_D 40006004 00000001 - 793910 ns R r1 00000001 - 793910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 793930 ns R r1 80000000 - 793930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 793950 ns R psr 81000200 - 793950 ns MR4_I 01000208 2a001c5b - 793970 ns MR4_I 01000200 07c96841 - 793990 ns IT 01000200 6841 LDR r1,[r0,#4] - 794010 ns MR4_I 01000204 6002d1fc - 794070 ns MR4_D 40006004 00000001 - 794070 ns R r1 00000001 - 794070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 794090 ns R r1 80000000 - 794090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 794110 ns R psr 81000200 - 794110 ns MR4_I 01000208 2a001c5b - 794130 ns MR4_I 01000200 07c96841 - 794150 ns IT 01000200 6841 LDR r1,[r0,#4] - 794170 ns MR4_I 01000204 6002d1fc - 794230 ns MR4_D 40006004 00000001 - 794230 ns R r1 00000001 - 794230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 794250 ns R r1 80000000 - 794250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 794270 ns R psr 81000200 - 794270 ns MR4_I 01000208 2a001c5b - 794290 ns MR4_I 01000200 07c96841 - 794310 ns IT 01000200 6841 LDR r1,[r0,#4] - 794330 ns MR4_I 01000204 6002d1fc - 794390 ns MR4_D 40006004 00000001 - 794390 ns R r1 00000001 - 794390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 794410 ns R r1 80000000 - 794410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 794430 ns R psr 81000200 - 794430 ns MR4_I 01000208 2a001c5b - 794450 ns MR4_I 01000200 07c96841 - 794470 ns IT 01000200 6841 LDR r1,[r0,#4] - 794490 ns MR4_I 01000204 6002d1fc - 794550 ns MR4_D 40006004 00000001 - 794550 ns R r1 00000001 - 794550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 794570 ns R r1 80000000 - 794570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 794590 ns R psr 81000200 - 794590 ns MR4_I 01000208 2a001c5b - 794610 ns MR4_I 01000200 07c96841 - 794630 ns IT 01000200 6841 LDR r1,[r0,#4] - 794650 ns MR4_I 01000204 6002d1fc - 794710 ns MR4_D 40006004 00000001 - 794710 ns R r1 00000001 - 794710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 794730 ns R r1 80000000 - 794730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 794750 ns R psr 81000200 - 794750 ns MR4_I 01000208 2a001c5b - 794770 ns MR4_I 01000200 07c96841 - 794790 ns IT 01000200 6841 LDR r1,[r0,#4] - 794810 ns MR4_I 01000204 6002d1fc - 794870 ns MR4_D 40006004 00000001 - 794870 ns R r1 00000001 - 794870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 794890 ns R r1 80000000 - 794890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 794910 ns R psr 81000200 - 794910 ns MR4_I 01000208 2a001c5b - 794930 ns MR4_I 01000200 07c96841 - 794950 ns IT 01000200 6841 LDR r1,[r0,#4] - 794970 ns MR4_I 01000204 6002d1fc - 795030 ns MR4_D 40006004 00000001 - 795030 ns R r1 00000001 - 795030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 795050 ns R r1 80000000 - 795050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 795070 ns R psr 81000200 - 795070 ns MR4_I 01000208 2a001c5b - 795090 ns MR4_I 01000200 07c96841 - 795110 ns IT 01000200 6841 LDR r1,[r0,#4] - 795130 ns MR4_I 01000204 6002d1fc - 795190 ns MR4_D 40006004 00000001 - 795190 ns R r1 00000001 - 795190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 795210 ns R r1 80000000 - 795210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 795230 ns R psr 81000200 - 795230 ns MR4_I 01000208 2a001c5b - 795250 ns MR4_I 01000200 07c96841 - 795270 ns IT 01000200 6841 LDR r1,[r0,#4] - 795290 ns MR4_I 01000204 6002d1fc - 795350 ns MR4_D 40006004 00000001 - 795350 ns R r1 00000001 - 795350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 795370 ns R r1 80000000 - 795370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 795390 ns R psr 81000200 - 795390 ns MR4_I 01000208 2a001c5b - 795410 ns MR4_I 01000200 07c96841 - 795430 ns IT 01000200 6841 LDR r1,[r0,#4] - 795450 ns MR4_I 01000204 6002d1fc - 795510 ns MR4_D 40006004 00000001 - 795510 ns R r1 00000001 - 795510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 795530 ns R r1 80000000 - 795530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 795550 ns R psr 81000200 - 795550 ns MR4_I 01000208 2a001c5b - 795570 ns MR4_I 01000200 07c96841 - 795590 ns IT 01000200 6841 LDR r1,[r0,#4] - 795610 ns MR4_I 01000204 6002d1fc - 795670 ns MR4_D 40006004 00000001 - 795670 ns R r1 00000001 - 795670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 795690 ns R r1 80000000 - 795690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 795710 ns R psr 81000200 - 795710 ns MR4_I 01000208 2a001c5b - 795730 ns MR4_I 01000200 07c96841 - 795750 ns IT 01000200 6841 LDR r1,[r0,#4] - 795770 ns MR4_I 01000204 6002d1fc - 795830 ns MR4_D 40006004 00000001 - 795830 ns R r1 00000001 - 795830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 795850 ns R r1 80000000 - 795850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 795870 ns R psr 81000200 - 795870 ns MR4_I 01000208 2a001c5b - 795890 ns MR4_I 01000200 07c96841 - 795910 ns IT 01000200 6841 LDR r1,[r0,#4] - 795930 ns MR4_I 01000204 6002d1fc - 795990 ns MR4_D 40006004 00000001 - 795990 ns R r1 00000001 - 795990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 796010 ns R r1 80000000 - 796010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 796030 ns R psr 81000200 - 796030 ns MR4_I 01000208 2a001c5b - 796050 ns MR4_I 01000200 07c96841 - 796070 ns IT 01000200 6841 LDR r1,[r0,#4] - 796090 ns MR4_I 01000204 6002d1fc - 796150 ns MR4_D 40006004 00000001 - 796150 ns R r1 00000001 - 796150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 796170 ns R r1 80000000 - 796170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 796190 ns R psr 81000200 - 796190 ns MR4_I 01000208 2a001c5b - 796210 ns MR4_I 01000200 07c96841 - 796230 ns IT 01000200 6841 LDR r1,[r0,#4] - 796250 ns MR4_I 01000204 6002d1fc - 796310 ns MR4_D 40006004 00000001 - 796310 ns R r1 00000001 - 796310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 796330 ns R r1 80000000 - 796330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 796350 ns R psr 81000200 - 796350 ns MR4_I 01000208 2a001c5b - 796370 ns MR4_I 01000200 07c96841 - 796390 ns IT 01000200 6841 LDR r1,[r0,#4] - 796410 ns MR4_I 01000204 6002d1fc - 796470 ns MR4_D 40006004 00000001 - 796470 ns R r1 00000001 - 796470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 796490 ns R r1 80000000 - 796490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 796510 ns R psr 81000200 - 796510 ns MR4_I 01000208 2a001c5b - 796530 ns MR4_I 01000200 07c96841 - 796550 ns IT 01000200 6841 LDR r1,[r0,#4] - 796570 ns MR4_I 01000204 6002d1fc - 796630 ns MR4_D 40006004 00000001 - 796630 ns R r1 00000001 - 796630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 796650 ns R r1 80000000 - 796650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 796670 ns R psr 81000200 - 796670 ns MR4_I 01000208 2a001c5b - 796690 ns MR4_I 01000200 07c96841 - 796710 ns IT 01000200 6841 LDR r1,[r0,#4] - 796730 ns MR4_I 01000204 6002d1fc - 796790 ns MR4_D 40006004 00000001 - 796790 ns R r1 00000001 - 796790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 796810 ns R r1 80000000 - 796810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 796830 ns R psr 81000200 - 796830 ns MR4_I 01000208 2a001c5b - 796850 ns MR4_I 01000200 07c96841 - 796870 ns IT 01000200 6841 LDR r1,[r0,#4] - 796890 ns MR4_I 01000204 6002d1fc - 796950 ns MR4_D 40006004 00000001 - 796950 ns R r1 00000001 - 796950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 796970 ns R r1 80000000 - 796970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 796990 ns R psr 81000200 - 796990 ns MR4_I 01000208 2a001c5b - 797010 ns MR4_I 01000200 07c96841 - 797030 ns IT 01000200 6841 LDR r1,[r0,#4] - 797050 ns MR4_I 01000204 6002d1fc - 797110 ns MR4_D 40006004 00000001 - 797110 ns R r1 00000001 - 797110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 797130 ns R r1 80000000 - 797130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 797150 ns R psr 81000200 - 797150 ns MR4_I 01000208 2a001c5b - 797170 ns MR4_I 01000200 07c96841 - 797190 ns IT 01000200 6841 LDR r1,[r0,#4] - 797210 ns MR4_I 01000204 6002d1fc - 797270 ns MR4_D 40006004 00000001 - 797270 ns R r1 00000001 - 797270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 797290 ns R r1 80000000 - 797290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 797310 ns R psr 81000200 - 797310 ns MR4_I 01000208 2a001c5b - 797330 ns MR4_I 01000200 07c96841 - 797350 ns IT 01000200 6841 LDR r1,[r0,#4] - 797370 ns MR4_I 01000204 6002d1fc - 797430 ns MR4_D 40006004 00000001 - 797430 ns R r1 00000001 - 797430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 797450 ns R r1 80000000 - 797450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 797470 ns R psr 81000200 - 797470 ns MR4_I 01000208 2a001c5b - 797490 ns MR4_I 01000200 07c96841 - 797510 ns IT 01000200 6841 LDR r1,[r0,#4] - 797530 ns MR4_I 01000204 6002d1fc - 797590 ns MR4_D 40006004 00000001 - 797590 ns R r1 00000001 - 797590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 797610 ns R r1 80000000 - 797610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 797630 ns R psr 81000200 - 797630 ns MR4_I 01000208 2a001c5b - 797650 ns MR4_I 01000200 07c96841 - 797670 ns IT 01000200 6841 LDR r1,[r0,#4] - 797690 ns MR4_I 01000204 6002d1fc - 797750 ns MR4_D 40006004 00000001 - 797750 ns R r1 00000001 - 797750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 797770 ns R r1 80000000 - 797770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 797790 ns R psr 81000200 - 797790 ns MR4_I 01000208 2a001c5b - 797810 ns MR4_I 01000200 07c96841 - 797830 ns IT 01000200 6841 LDR r1,[r0,#4] - 797850 ns MR4_I 01000204 6002d1fc - 797910 ns MR4_D 40006004 00000001 - 797910 ns R r1 00000001 - 797910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 797930 ns R r1 80000000 - 797930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 797950 ns R psr 81000200 - 797950 ns MR4_I 01000208 2a001c5b - 797970 ns MR4_I 01000200 07c96841 - 797990 ns IT 01000200 6841 LDR r1,[r0,#4] - 798010 ns MR4_I 01000204 6002d1fc - 798070 ns MR4_D 40006004 00000001 - 798070 ns R r1 00000001 - 798070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 798090 ns R r1 80000000 - 798090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 798110 ns R psr 81000200 - 798110 ns MR4_I 01000208 2a001c5b - 798130 ns MR4_I 01000200 07c96841 - 798150 ns IT 01000200 6841 LDR r1,[r0,#4] - 798170 ns MR4_I 01000204 6002d1fc - 798230 ns MR4_D 40006004 00000001 - 798230 ns R r1 00000001 - 798230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 798250 ns R r1 80000000 - 798250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 798270 ns R psr 81000200 - 798270 ns MR4_I 01000208 2a001c5b - 798290 ns MR4_I 01000200 07c96841 - 798310 ns IT 01000200 6841 LDR r1,[r0,#4] - 798330 ns MR4_I 01000204 6002d1fc - 798390 ns MR4_D 40006004 00000001 - 798390 ns R r1 00000001 - 798390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 798410 ns R r1 80000000 - 798410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 798430 ns R psr 81000200 - 798430 ns MR4_I 01000208 2a001c5b - 798450 ns MR4_I 01000200 07c96841 - 798470 ns IT 01000200 6841 LDR r1,[r0,#4] - 798490 ns MR4_I 01000204 6002d1fc - 798550 ns MR4_D 40006004 00000001 - 798550 ns R r1 00000001 - 798550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 798570 ns R r1 80000000 - 798570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 798590 ns R psr 81000200 - 798590 ns MR4_I 01000208 2a001c5b - 798610 ns MR4_I 01000200 07c96841 - 798630 ns IT 01000200 6841 LDR r1,[r0,#4] - 798650 ns MR4_I 01000204 6002d1fc - 798710 ns MR4_D 40006004 00000001 - 798710 ns R r1 00000001 - 798710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 798730 ns R r1 80000000 - 798730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 798750 ns R psr 81000200 - 798750 ns MR4_I 01000208 2a001c5b - 798770 ns MR4_I 01000200 07c96841 - 798790 ns IT 01000200 6841 LDR r1,[r0,#4] - 798810 ns MR4_I 01000204 6002d1fc - 798870 ns MR4_D 40006004 00000001 - 798870 ns R r1 00000001 - 798870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 798890 ns R r1 80000000 - 798890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 798910 ns R psr 81000200 - 798910 ns MR4_I 01000208 2a001c5b - 798930 ns MR4_I 01000200 07c96841 - 798950 ns IT 01000200 6841 LDR r1,[r0,#4] - 798970 ns MR4_I 01000204 6002d1fc - 799030 ns MR4_D 40006004 00000001 - 799030 ns R r1 00000001 - 799030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 799050 ns R r1 80000000 - 799050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 799070 ns R psr 81000200 - 799070 ns MR4_I 01000208 2a001c5b - 799090 ns MR4_I 01000200 07c96841 - 799110 ns IT 01000200 6841 LDR r1,[r0,#4] - 799130 ns MR4_I 01000204 6002d1fc - 799190 ns MR4_D 40006004 00000001 - 799190 ns R r1 00000001 - 799190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 799210 ns R r1 80000000 - 799210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 799230 ns R psr 81000200 - 799230 ns MR4_I 01000208 2a001c5b - 799250 ns MR4_I 01000200 07c96841 - 799270 ns IT 01000200 6841 LDR r1,[r0,#4] - 799290 ns MR4_I 01000204 6002d1fc - 799350 ns MR4_D 40006004 00000001 - 799350 ns R r1 00000001 - 799350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 799370 ns R r1 80000000 - 799370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 799390 ns R psr 81000200 - 799390 ns MR4_I 01000208 2a001c5b - 799410 ns MR4_I 01000200 07c96841 - 799430 ns IT 01000200 6841 LDR r1,[r0,#4] - 799450 ns MR4_I 01000204 6002d1fc - 799510 ns MR4_D 40006004 00000001 - 799510 ns R r1 00000001 - 799510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 799530 ns R r1 80000000 - 799530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 799550 ns R psr 81000200 - 799550 ns MR4_I 01000208 2a001c5b - 799570 ns MR4_I 01000200 07c96841 - 799590 ns IT 01000200 6841 LDR r1,[r0,#4] - 799610 ns MR4_I 01000204 6002d1fc - 799670 ns MR4_D 40006004 00000001 - 799670 ns R r1 00000001 - 799670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 799690 ns R r1 80000000 - 799690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 799710 ns R psr 81000200 - 799710 ns MR4_I 01000208 2a001c5b - 799730 ns MR4_I 01000200 07c96841 - 799750 ns IT 01000200 6841 LDR r1,[r0,#4] - 799770 ns MR4_I 01000204 6002d1fc - 799830 ns MR4_D 40006004 00000001 - 799830 ns R r1 00000001 - 799830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 799850 ns R r1 80000000 - 799850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 799870 ns R psr 81000200 - 799870 ns MR4_I 01000208 2a001c5b - 799890 ns MR4_I 01000200 07c96841 - 799910 ns IT 01000200 6841 LDR r1,[r0,#4] - 799930 ns MR4_I 01000204 6002d1fc - 799990 ns MR4_D 40006004 00000001 - 799990 ns R r1 00000001 - 799990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 800010 ns R r1 80000000 - 800010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 800030 ns R psr 81000200 - 800030 ns MR4_I 01000208 2a001c5b - 800050 ns MR4_I 01000200 07c96841 - 800070 ns IT 01000200 6841 LDR r1,[r0,#4] - 800090 ns MR4_I 01000204 6002d1fc - 800150 ns MR4_D 40006004 00000001 - 800150 ns R r1 00000001 - 800150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 800170 ns R r1 80000000 - 800170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 800190 ns R psr 81000200 - 800190 ns MR4_I 01000208 2a001c5b - 800210 ns MR4_I 01000200 07c96841 - 800230 ns IT 01000200 6841 LDR r1,[r0,#4] - 800250 ns MR4_I 01000204 6002d1fc - 800310 ns MR4_D 40006004 00000001 - 800310 ns R r1 00000001 - 800310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 800330 ns R r1 80000000 - 800330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 800350 ns R psr 81000200 - 800350 ns MR4_I 01000208 2a001c5b - 800370 ns MR4_I 01000200 07c96841 - 800390 ns IT 01000200 6841 LDR r1,[r0,#4] - 800410 ns MR4_I 01000204 6002d1fc - 800470 ns MR4_D 40006004 00000001 - 800470 ns R r1 00000001 - 800470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 800490 ns R r1 80000000 - 800490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 800510 ns R psr 81000200 - 800510 ns MR4_I 01000208 2a001c5b - 800530 ns MR4_I 01000200 07c96841 - 800550 ns IT 01000200 6841 LDR r1,[r0,#4] - 800570 ns MR4_I 01000204 6002d1fc - 800630 ns MR4_D 40006004 00000001 - 800630 ns R r1 00000001 - 800630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 800650 ns R r1 80000000 - 800650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 800670 ns R psr 81000200 - 800670 ns MR4_I 01000208 2a001c5b - 800690 ns MR4_I 01000200 07c96841 - 800710 ns IT 01000200 6841 LDR r1,[r0,#4] - 800730 ns MR4_I 01000204 6002d1fc - 800790 ns MR4_D 40006004 00000001 - 800790 ns R r1 00000001 - 800790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 800810 ns R r1 80000000 - 800810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 800830 ns R psr 81000200 - 800830 ns MR4_I 01000208 2a001c5b - 800850 ns MR4_I 01000200 07c96841 - 800870 ns IT 01000200 6841 LDR r1,[r0,#4] - 800890 ns MR4_I 01000204 6002d1fc - 800950 ns MR4_D 40006004 00000001 - 800950 ns R r1 00000001 - 800950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 800970 ns R r1 80000000 - 800970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 800990 ns R psr 81000200 - 800990 ns MR4_I 01000208 2a001c5b - 801010 ns MR4_I 01000200 07c96841 - 801030 ns IT 01000200 6841 LDR r1,[r0,#4] - 801050 ns MR4_I 01000204 6002d1fc - 801110 ns MR4_D 40006004 00000001 - 801110 ns R r1 00000001 - 801110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 801130 ns R r1 80000000 - 801130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 801150 ns R psr 81000200 - 801150 ns MR4_I 01000208 2a001c5b - 801170 ns MR4_I 01000200 07c96841 - 801190 ns IT 01000200 6841 LDR r1,[r0,#4] - 801210 ns MR4_I 01000204 6002d1fc - 801270 ns MR4_D 40006004 00000001 - 801270 ns R r1 00000001 - 801270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 801290 ns R r1 80000000 - 801290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 801310 ns R psr 81000200 - 801310 ns MR4_I 01000208 2a001c5b - 801330 ns MR4_I 01000200 07c96841 - 801350 ns IT 01000200 6841 LDR r1,[r0,#4] - 801370 ns MR4_I 01000204 6002d1fc - 801430 ns MR4_D 40006004 00000001 - 801430 ns R r1 00000001 - 801430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 801450 ns R r1 80000000 - 801450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 801470 ns R psr 81000200 - 801470 ns MR4_I 01000208 2a001c5b - 801490 ns MR4_I 01000200 07c96841 - 801510 ns IT 01000200 6841 LDR r1,[r0,#4] - 801530 ns MR4_I 01000204 6002d1fc - 801590 ns MR4_D 40006004 00000001 - 801590 ns R r1 00000001 - 801590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 801610 ns R r1 80000000 - 801610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 801630 ns R psr 81000200 - 801630 ns MR4_I 01000208 2a001c5b - 801650 ns MR4_I 01000200 07c96841 - 801670 ns IT 01000200 6841 LDR r1,[r0,#4] - 801690 ns MR4_I 01000204 6002d1fc - 801750 ns MR4_D 40006004 00000001 - 801750 ns R r1 00000001 - 801750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 801770 ns R r1 80000000 - 801770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 801790 ns R psr 81000200 - 801790 ns MR4_I 01000208 2a001c5b - 801810 ns MR4_I 01000200 07c96841 - 801830 ns IT 01000200 6841 LDR r1,[r0,#4] - 801850 ns MR4_I 01000204 6002d1fc - 801910 ns MR4_D 40006004 00000001 - 801910 ns R r1 00000001 - 801910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 801930 ns R r1 80000000 - 801930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 801950 ns R psr 81000200 - 801950 ns MR4_I 01000208 2a001c5b - 801970 ns MR4_I 01000200 07c96841 - 801990 ns IT 01000200 6841 LDR r1,[r0,#4] - 802010 ns MR4_I 01000204 6002d1fc - 802070 ns MR4_D 40006004 00000001 - 802070 ns R r1 00000001 - 802070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 802090 ns R r1 80000000 - 802090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 802110 ns R psr 81000200 - 802110 ns MR4_I 01000208 2a001c5b - 802130 ns MR4_I 01000200 07c96841 - 802150 ns IT 01000200 6841 LDR r1,[r0,#4] - 802170 ns MR4_I 01000204 6002d1fc - 802230 ns MR4_D 40006004 00000001 - 802230 ns R r1 00000001 - 802230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 802250 ns R r1 80000000 - 802250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 802270 ns R psr 81000200 - 802270 ns MR4_I 01000208 2a001c5b - 802290 ns MR4_I 01000200 07c96841 - 802310 ns IT 01000200 6841 LDR r1,[r0,#4] - 802330 ns MR4_I 01000204 6002d1fc - 802390 ns MR4_D 40006004 00000001 - 802390 ns R r1 00000001 - 802390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 802410 ns R r1 80000000 - 802410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 802430 ns R psr 81000200 - 802430 ns MR4_I 01000208 2a001c5b - 802450 ns MR4_I 01000200 07c96841 - 802470 ns IT 01000200 6841 LDR r1,[r0,#4] - 802490 ns MR4_I 01000204 6002d1fc - 802550 ns MR4_D 40006004 00000001 - 802550 ns R r1 00000001 - 802550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 802570 ns R r1 80000000 - 802570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 802590 ns R psr 81000200 - 802590 ns MR4_I 01000208 2a001c5b - 802610 ns MR4_I 01000200 07c96841 - 802630 ns IT 01000200 6841 LDR r1,[r0,#4] - 802650 ns MR4_I 01000204 6002d1fc - 802710 ns MR4_D 40006004 00000001 - 802710 ns R r1 00000001 - 802710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 802730 ns R r1 80000000 - 802730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 802750 ns R psr 81000200 - 802750 ns MR4_I 01000208 2a001c5b - 802770 ns MR4_I 01000200 07c96841 - 802790 ns IT 01000200 6841 LDR r1,[r0,#4] - 802810 ns MR4_I 01000204 6002d1fc - 802870 ns MR4_D 40006004 00000001 - 802870 ns R r1 00000001 - 802870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 802890 ns R r1 80000000 - 802890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 802910 ns R psr 81000200 - 802910 ns MR4_I 01000208 2a001c5b - 802930 ns MR4_I 01000200 07c96841 - 802950 ns IT 01000200 6841 LDR r1,[r0,#4] - 802970 ns MR4_I 01000204 6002d1fc - 803030 ns MR4_D 40006004 00000001 - 803030 ns R r1 00000001 - 803030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 803050 ns R r1 80000000 - 803050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 803070 ns R psr 81000200 - 803070 ns MR4_I 01000208 2a001c5b - 803090 ns MR4_I 01000200 07c96841 - 803110 ns IT 01000200 6841 LDR r1,[r0,#4] - 803130 ns MR4_I 01000204 6002d1fc - 803190 ns MR4_D 40006004 00000001 - 803190 ns R r1 00000001 - 803190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 803210 ns R r1 80000000 - 803210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 803230 ns R psr 81000200 - 803230 ns MR4_I 01000208 2a001c5b - 803250 ns MR4_I 01000200 07c96841 - 803270 ns IT 01000200 6841 LDR r1,[r0,#4] - 803290 ns MR4_I 01000204 6002d1fc - 803350 ns MR4_D 40006004 00000001 - 803350 ns R r1 00000001 - 803350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 803370 ns R r1 80000000 - 803370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 803390 ns R psr 81000200 - 803390 ns MR4_I 01000208 2a001c5b - 803410 ns MR4_I 01000200 07c96841 - 803430 ns IT 01000200 6841 LDR r1,[r0,#4] - 803450 ns MR4_I 01000204 6002d1fc - 803510 ns MR4_D 40006004 00000001 - 803510 ns R r1 00000001 - 803510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 803530 ns R r1 80000000 - 803530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 803550 ns R psr 81000200 - 803550 ns MR4_I 01000208 2a001c5b - 803570 ns MR4_I 01000200 07c96841 - 803590 ns IT 01000200 6841 LDR r1,[r0,#4] - 803610 ns MR4_I 01000204 6002d1fc - 803670 ns MR4_D 40006004 00000001 - 803670 ns R r1 00000001 - 803670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 803690 ns R r1 80000000 - 803690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 803710 ns R psr 81000200 - 803710 ns MR4_I 01000208 2a001c5b - 803730 ns MR4_I 01000200 07c96841 - 803750 ns IT 01000200 6841 LDR r1,[r0,#4] - 803770 ns MR4_I 01000204 6002d1fc - 803830 ns MR4_D 40006004 00000001 - 803830 ns R r1 00000001 - 803830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 803850 ns R r1 80000000 - 803850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 803870 ns R psr 81000200 - 803870 ns MR4_I 01000208 2a001c5b - 803890 ns MR4_I 01000200 07c96841 - 803910 ns IT 01000200 6841 LDR r1,[r0,#4] - 803930 ns MR4_I 01000204 6002d1fc - 803990 ns MR4_D 40006004 00000001 - 803990 ns R r1 00000001 - 803990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 804010 ns R r1 80000000 - 804010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 804030 ns R psr 81000200 - 804030 ns MR4_I 01000208 2a001c5b - 804050 ns MR4_I 01000200 07c96841 - 804070 ns IT 01000200 6841 LDR r1,[r0,#4] - 804090 ns MR4_I 01000204 6002d1fc - 804150 ns MR4_D 40006004 00000001 - 804150 ns R r1 00000001 - 804150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 804170 ns R r1 80000000 - 804170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 804190 ns R psr 81000200 - 804190 ns MR4_I 01000208 2a001c5b - 804210 ns MR4_I 01000200 07c96841 - 804230 ns IT 01000200 6841 LDR r1,[r0,#4] - 804250 ns MR4_I 01000204 6002d1fc - 804310 ns MR4_D 40006004 00000001 - 804310 ns R r1 00000001 - 804310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 804330 ns R r1 80000000 - 804330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 804350 ns R psr 81000200 - 804350 ns MR4_I 01000208 2a001c5b - 804370 ns MR4_I 01000200 07c96841 - 804390 ns IT 01000200 6841 LDR r1,[r0,#4] - 804410 ns MR4_I 01000204 6002d1fc - 804470 ns MR4_D 40006004 00000001 - 804470 ns R r1 00000001 - 804470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 804490 ns R r1 80000000 - 804490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 804510 ns R psr 81000200 - 804510 ns MR4_I 01000208 2a001c5b - 804530 ns MR4_I 01000200 07c96841 - 804550 ns IT 01000200 6841 LDR r1,[r0,#4] - 804570 ns MR4_I 01000204 6002d1fc - 804630 ns MR4_D 40006004 00000001 - 804630 ns R r1 00000001 - 804630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 804650 ns R r1 80000000 - 804650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 804670 ns R psr 81000200 - 804670 ns MR4_I 01000208 2a001c5b - 804690 ns MR4_I 01000200 07c96841 - 804710 ns IT 01000200 6841 LDR r1,[r0,#4] - 804730 ns MR4_I 01000204 6002d1fc - 804790 ns MR4_D 40006004 00000001 - 804790 ns R r1 00000001 - 804790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 804810 ns R r1 80000000 - 804810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 804830 ns R psr 81000200 - 804830 ns MR4_I 01000208 2a001c5b - 804850 ns MR4_I 01000200 07c96841 - 804870 ns IT 01000200 6841 LDR r1,[r0,#4] - 804890 ns MR4_I 01000204 6002d1fc - 804950 ns MR4_D 40006004 00000001 - 804950 ns R r1 00000001 - 804950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 804970 ns R r1 80000000 - 804970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 804990 ns R psr 81000200 - 804990 ns MR4_I 01000208 2a001c5b - 805010 ns MR4_I 01000200 07c96841 - 805030 ns IT 01000200 6841 LDR r1,[r0,#4] - 805050 ns MR4_I 01000204 6002d1fc - 805110 ns MR4_D 40006004 00000001 - 805110 ns R r1 00000001 - 805110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 805130 ns R r1 80000000 - 805130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 805150 ns R psr 81000200 - 805150 ns MR4_I 01000208 2a001c5b - 805170 ns MR4_I 01000200 07c96841 - 805190 ns IT 01000200 6841 LDR r1,[r0,#4] - 805210 ns MR4_I 01000204 6002d1fc - 805270 ns MR4_D 40006004 00000001 - 805270 ns R r1 00000001 - 805270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 805290 ns R r1 80000000 - 805290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 805310 ns R psr 81000200 - 805310 ns MR4_I 01000208 2a001c5b - 805330 ns MR4_I 01000200 07c96841 - 805350 ns IT 01000200 6841 LDR r1,[r0,#4] - 805370 ns MR4_I 01000204 6002d1fc - 805430 ns MR4_D 40006004 00000001 - 805430 ns R r1 00000001 - 805430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 805450 ns R r1 80000000 - 805450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 805470 ns R psr 81000200 - 805470 ns MR4_I 01000208 2a001c5b - 805490 ns MR4_I 01000200 07c96841 - 805510 ns IT 01000200 6841 LDR r1,[r0,#4] - 805530 ns MR4_I 01000204 6002d1fc - 805590 ns MR4_D 40006004 00000001 - 805590 ns R r1 00000001 - 805590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 805610 ns R r1 80000000 - 805610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 805630 ns R psr 81000200 - 805630 ns MR4_I 01000208 2a001c5b - 805650 ns MR4_I 01000200 07c96841 - 805670 ns IT 01000200 6841 LDR r1,[r0,#4] - 805690 ns MR4_I 01000204 6002d1fc - 805750 ns MR4_D 40006004 00000001 - 805750 ns R r1 00000001 - 805750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 805770 ns R r1 80000000 - 805770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 805790 ns R psr 81000200 - 805790 ns MR4_I 01000208 2a001c5b - 805810 ns MR4_I 01000200 07c96841 - 805830 ns IT 01000200 6841 LDR r1,[r0,#4] - 805850 ns MR4_I 01000204 6002d1fc - 805910 ns MR4_D 40006004 00000001 - 805910 ns R r1 00000001 - 805910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 805930 ns R r1 80000000 - 805930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 805950 ns R psr 81000200 - 805950 ns MR4_I 01000208 2a001c5b - 805970 ns MR4_I 01000200 07c96841 - 805990 ns IT 01000200 6841 LDR r1,[r0,#4] - 806010 ns MR4_I 01000204 6002d1fc - 806070 ns MR4_D 40006004 00000001 - 806070 ns R r1 00000001 - 806070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 806090 ns R r1 80000000 - 806090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 806110 ns R psr 81000200 - 806110 ns MR4_I 01000208 2a001c5b - 806130 ns MR4_I 01000200 07c96841 - 806150 ns IT 01000200 6841 LDR r1,[r0,#4] - 806170 ns MR4_I 01000204 6002d1fc - 806230 ns MR4_D 40006004 00000001 - 806230 ns R r1 00000001 - 806230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 806250 ns R r1 80000000 - 806250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 806270 ns R psr 81000200 - 806270 ns MR4_I 01000208 2a001c5b - 806290 ns MR4_I 01000200 07c96841 - 806310 ns IT 01000200 6841 LDR r1,[r0,#4] - 806330 ns MR4_I 01000204 6002d1fc - 806390 ns MR4_D 40006004 00000001 - 806390 ns R r1 00000001 - 806390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 806410 ns R r1 80000000 - 806410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 806430 ns R psr 81000200 - 806430 ns MR4_I 01000208 2a001c5b - 806450 ns MR4_I 01000200 07c96841 - 806470 ns IT 01000200 6841 LDR r1,[r0,#4] - 806490 ns MR4_I 01000204 6002d1fc - 806550 ns MR4_D 40006004 00000001 - 806550 ns R r1 00000001 - 806550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 806570 ns R r1 80000000 - 806570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 806590 ns R psr 81000200 - 806590 ns MR4_I 01000208 2a001c5b - 806610 ns MR4_I 01000200 07c96841 - 806630 ns IT 01000200 6841 LDR r1,[r0,#4] - 806650 ns MR4_I 01000204 6002d1fc - 806710 ns MR4_D 40006004 00000001 - 806710 ns R r1 00000001 - 806710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 806730 ns R r1 80000000 - 806730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 806750 ns R psr 81000200 - 806750 ns MR4_I 01000208 2a001c5b - 806770 ns MR4_I 01000200 07c96841 - 806790 ns IT 01000200 6841 LDR r1,[r0,#4] - 806810 ns MR4_I 01000204 6002d1fc - 806870 ns MR4_D 40006004 00000001 - 806870 ns R r1 00000001 - 806870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 806890 ns R r1 80000000 - 806890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 806910 ns R psr 81000200 - 806910 ns MR4_I 01000208 2a001c5b - 806930 ns MR4_I 01000200 07c96841 - 806950 ns IT 01000200 6841 LDR r1,[r0,#4] - 806970 ns MR4_I 01000204 6002d1fc - 807030 ns MR4_D 40006004 00000001 - 807030 ns R r1 00000001 - 807030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 807050 ns R r1 80000000 - 807050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 807070 ns R psr 81000200 - 807070 ns MR4_I 01000208 2a001c5b - 807090 ns MR4_I 01000200 07c96841 - 807110 ns IT 01000200 6841 LDR r1,[r0,#4] - 807130 ns MR4_I 01000204 6002d1fc - 807190 ns MR4_D 40006004 00000000 - 807190 ns R r1 00000000 - 807190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 807210 ns R r1 00000000 - 807210 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 807230 ns R psr 41000200 - 807230 ns MR4_I 01000208 2a001c5b - 807230 ns IT 01000206 6002 STR r2,[r0,#0] - 807310 ns MW4_D 40006000 0000006f - 807310 ns IT 01000208 1c5b ADDS r3,r3,#1 - 807330 ns MR4_I 0100020c a32ad1f5 - 807330 ns R r3 010002a9 - 807330 ns IT 0100020a 2a00 CMP r2,#0 - 807350 ns R psr 01000200 - 807350 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 807370 ns R psr 21000200 - 807370 ns MR4_I 01000210 2a00781a - 807390 ns MR4_I 010001f8 781aa326 - 807410 ns MR4_I 010001fc d0062a00 - 807410 ns IT 010001fa 781a LDRB r2,[r3,#0] - 807450 ns MR1_D 010002a9 6574726f - 807450 ns R r2 00000072 - 807450 ns IT 010001fc 2a00 CMP r2,#0 - 807470 ns MR4_I 01000200 07c96841 - 807470 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 807490 ns R psr 21000200 - 807490 ns IT 01000200 6841 LDR r1,[r0,#4] - 807510 ns MR4_I 01000204 6002d1fc - 807570 ns MR4_D 40006004 00000001 - 807570 ns R r1 00000001 - 807570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 807590 ns R r1 80000000 - 807590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 807610 ns R psr 81000200 - 807610 ns MR4_I 01000208 2a001c5b - 807630 ns MR4_I 01000200 07c96841 - 807650 ns IT 01000200 6841 LDR r1,[r0,#4] - 807670 ns MR4_I 01000204 6002d1fc - 807730 ns MR4_D 40006004 00000001 - 807730 ns R r1 00000001 - 807730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 807750 ns R r1 80000000 - 807750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 807770 ns R psr 81000200 - 807770 ns MR4_I 01000208 2a001c5b - 807790 ns MR4_I 01000200 07c96841 - 807810 ns IT 01000200 6841 LDR r1,[r0,#4] - 807830 ns MR4_I 01000204 6002d1fc - 807890 ns MR4_D 40006004 00000001 - 807890 ns R r1 00000001 - 807890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 807910 ns R r1 80000000 - 807910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 807930 ns R psr 81000200 - 807930 ns MR4_I 01000208 2a001c5b - 807950 ns MR4_I 01000200 07c96841 - 807970 ns IT 01000200 6841 LDR r1,[r0,#4] - 807990 ns MR4_I 01000204 6002d1fc - 808050 ns MR4_D 40006004 00000001 - 808050 ns R r1 00000001 - 808050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 808070 ns R r1 80000000 - 808070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 808090 ns R psr 81000200 - 808090 ns MR4_I 01000208 2a001c5b - 808110 ns MR4_I 01000200 07c96841 - 808130 ns IT 01000200 6841 LDR r1,[r0,#4] - 808150 ns MR4_I 01000204 6002d1fc - 808210 ns MR4_D 40006004 00000001 - 808210 ns R r1 00000001 - 808210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 808230 ns R r1 80000000 - 808230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 808250 ns R psr 81000200 - 808250 ns MR4_I 01000208 2a001c5b - 808270 ns MR4_I 01000200 07c96841 - 808290 ns IT 01000200 6841 LDR r1,[r0,#4] - 808310 ns MR4_I 01000204 6002d1fc - 808370 ns MR4_D 40006004 00000001 - 808370 ns R r1 00000001 - 808370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 808390 ns R r1 80000000 - 808390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 808410 ns R psr 81000200 - 808410 ns MR4_I 01000208 2a001c5b - 808430 ns MR4_I 01000200 07c96841 - 808450 ns IT 01000200 6841 LDR r1,[r0,#4] - 808470 ns MR4_I 01000204 6002d1fc - 808530 ns MR4_D 40006004 00000001 - 808530 ns R r1 00000001 - 808530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 808550 ns R r1 80000000 - 808550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 808570 ns R psr 81000200 - 808570 ns MR4_I 01000208 2a001c5b - 808590 ns MR4_I 01000200 07c96841 - 808610 ns IT 01000200 6841 LDR r1,[r0,#4] - 808630 ns MR4_I 01000204 6002d1fc - 808690 ns MR4_D 40006004 00000001 - 808690 ns R r1 00000001 - 808690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 808710 ns R r1 80000000 - 808710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 808730 ns R psr 81000200 - 808730 ns MR4_I 01000208 2a001c5b - 808750 ns MR4_I 01000200 07c96841 - 808770 ns IT 01000200 6841 LDR r1,[r0,#4] - 808790 ns MR4_I 01000204 6002d1fc - 808850 ns MR4_D 40006004 00000001 - 808850 ns R r1 00000001 - 808850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 808870 ns R r1 80000000 - 808870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 808890 ns R psr 81000200 - 808890 ns MR4_I 01000208 2a001c5b - 808910 ns MR4_I 01000200 07c96841 - 808930 ns IT 01000200 6841 LDR r1,[r0,#4] - 808950 ns MR4_I 01000204 6002d1fc - 809010 ns MR4_D 40006004 00000001 - 809010 ns R r1 00000001 - 809010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 809030 ns R r1 80000000 - 809030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 809050 ns R psr 81000200 - 809050 ns MR4_I 01000208 2a001c5b - 809070 ns MR4_I 01000200 07c96841 - 809090 ns IT 01000200 6841 LDR r1,[r0,#4] - 809110 ns MR4_I 01000204 6002d1fc - 809170 ns MR4_D 40006004 00000001 - 809170 ns R r1 00000001 - 809170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 809190 ns R r1 80000000 - 809190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 809210 ns R psr 81000200 - 809210 ns MR4_I 01000208 2a001c5b - 809230 ns MR4_I 01000200 07c96841 - 809250 ns IT 01000200 6841 LDR r1,[r0,#4] - 809270 ns MR4_I 01000204 6002d1fc - 809330 ns MR4_D 40006004 00000001 - 809330 ns R r1 00000001 - 809330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 809350 ns R r1 80000000 - 809350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 809370 ns R psr 81000200 - 809370 ns MR4_I 01000208 2a001c5b - 809390 ns MR4_I 01000200 07c96841 - 809410 ns IT 01000200 6841 LDR r1,[r0,#4] - 809430 ns MR4_I 01000204 6002d1fc - 809490 ns MR4_D 40006004 00000001 - 809490 ns R r1 00000001 - 809490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 809510 ns R r1 80000000 - 809510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 809530 ns R psr 81000200 - 809530 ns MR4_I 01000208 2a001c5b - 809550 ns MR4_I 01000200 07c96841 - 809570 ns IT 01000200 6841 LDR r1,[r0,#4] - 809590 ns MR4_I 01000204 6002d1fc - 809650 ns MR4_D 40006004 00000001 - 809650 ns R r1 00000001 - 809650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 809670 ns R r1 80000000 - 809670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 809690 ns R psr 81000200 - 809690 ns MR4_I 01000208 2a001c5b - 809710 ns MR4_I 01000200 07c96841 - 809730 ns IT 01000200 6841 LDR r1,[r0,#4] - 809750 ns MR4_I 01000204 6002d1fc - 809810 ns MR4_D 40006004 00000001 - 809810 ns R r1 00000001 - 809810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 809830 ns R r1 80000000 - 809830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 809850 ns R psr 81000200 - 809850 ns MR4_I 01000208 2a001c5b - 809870 ns MR4_I 01000200 07c96841 - 809890 ns IT 01000200 6841 LDR r1,[r0,#4] - 809910 ns MR4_I 01000204 6002d1fc - 809970 ns MR4_D 40006004 00000001 - 809970 ns R r1 00000001 - 809970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 809990 ns R r1 80000000 - 809990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 810010 ns R psr 81000200 - 810010 ns MR4_I 01000208 2a001c5b - 810030 ns MR4_I 01000200 07c96841 - 810050 ns IT 01000200 6841 LDR r1,[r0,#4] - 810070 ns MR4_I 01000204 6002d1fc - 810130 ns MR4_D 40006004 00000001 - 810130 ns R r1 00000001 - 810130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 810150 ns R r1 80000000 - 810150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 810170 ns R psr 81000200 - 810170 ns MR4_I 01000208 2a001c5b - 810190 ns MR4_I 01000200 07c96841 - 810210 ns IT 01000200 6841 LDR r1,[r0,#4] - 810230 ns MR4_I 01000204 6002d1fc - 810290 ns MR4_D 40006004 00000001 - 810290 ns R r1 00000001 - 810290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 810310 ns R r1 80000000 - 810310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 810330 ns R psr 81000200 - 810330 ns MR4_I 01000208 2a001c5b - 810350 ns MR4_I 01000200 07c96841 - 810370 ns IT 01000200 6841 LDR r1,[r0,#4] - 810390 ns MR4_I 01000204 6002d1fc - 810450 ns MR4_D 40006004 00000001 - 810450 ns R r1 00000001 - 810450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 810470 ns R r1 80000000 - 810470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 810490 ns R psr 81000200 - 810490 ns MR4_I 01000208 2a001c5b - 810510 ns MR4_I 01000200 07c96841 - 810530 ns IT 01000200 6841 LDR r1,[r0,#4] - 810550 ns MR4_I 01000204 6002d1fc - 810610 ns MR4_D 40006004 00000001 - 810610 ns R r1 00000001 - 810610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 810630 ns R r1 80000000 - 810630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 810650 ns R psr 81000200 - 810650 ns MR4_I 01000208 2a001c5b - 810670 ns MR4_I 01000200 07c96841 - 810690 ns IT 01000200 6841 LDR r1,[r0,#4] - 810710 ns MR4_I 01000204 6002d1fc - 810770 ns MR4_D 40006004 00000001 - 810770 ns R r1 00000001 - 810770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 810790 ns R r1 80000000 - 810790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 810810 ns R psr 81000200 - 810810 ns MR4_I 01000208 2a001c5b - 810830 ns MR4_I 01000200 07c96841 - 810850 ns IT 01000200 6841 LDR r1,[r0,#4] - 810870 ns MR4_I 01000204 6002d1fc - 810930 ns MR4_D 40006004 00000001 - 810930 ns R r1 00000001 - 810930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 810950 ns R r1 80000000 - 810950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 810970 ns R psr 81000200 - 810970 ns MR4_I 01000208 2a001c5b - 810990 ns MR4_I 01000200 07c96841 - 811010 ns IT 01000200 6841 LDR r1,[r0,#4] - 811030 ns MR4_I 01000204 6002d1fc - 811090 ns MR4_D 40006004 00000001 - 811090 ns R r1 00000001 - 811090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 811110 ns R r1 80000000 - 811110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 811130 ns R psr 81000200 - 811130 ns MR4_I 01000208 2a001c5b - 811150 ns MR4_I 01000200 07c96841 - 811170 ns IT 01000200 6841 LDR r1,[r0,#4] - 811190 ns MR4_I 01000204 6002d1fc - 811250 ns MR4_D 40006004 00000001 - 811250 ns R r1 00000001 - 811250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 811270 ns R r1 80000000 - 811270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 811290 ns R psr 81000200 - 811290 ns MR4_I 01000208 2a001c5b - 811310 ns MR4_I 01000200 07c96841 - 811330 ns IT 01000200 6841 LDR r1,[r0,#4] - 811350 ns MR4_I 01000204 6002d1fc - 811410 ns MR4_D 40006004 00000001 - 811410 ns R r1 00000001 - 811410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 811430 ns R r1 80000000 - 811430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 811450 ns R psr 81000200 - 811450 ns MR4_I 01000208 2a001c5b - 811470 ns MR4_I 01000200 07c96841 - 811490 ns IT 01000200 6841 LDR r1,[r0,#4] - 811510 ns MR4_I 01000204 6002d1fc - 811570 ns MR4_D 40006004 00000001 - 811570 ns R r1 00000001 - 811570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 811590 ns R r1 80000000 - 811590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 811610 ns R psr 81000200 - 811610 ns MR4_I 01000208 2a001c5b - 811630 ns MR4_I 01000200 07c96841 - 811650 ns IT 01000200 6841 LDR r1,[r0,#4] - 811670 ns MR4_I 01000204 6002d1fc - 811730 ns MR4_D 40006004 00000001 - 811730 ns R r1 00000001 - 811730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 811750 ns R r1 80000000 - 811750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 811770 ns R psr 81000200 - 811770 ns MR4_I 01000208 2a001c5b - 811790 ns MR4_I 01000200 07c96841 - 811810 ns IT 01000200 6841 LDR r1,[r0,#4] - 811830 ns MR4_I 01000204 6002d1fc - 811890 ns MR4_D 40006004 00000001 - 811890 ns R r1 00000001 - 811890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 811910 ns R r1 80000000 - 811910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 811930 ns R psr 81000200 - 811930 ns MR4_I 01000208 2a001c5b - 811950 ns MR4_I 01000200 07c96841 - 811970 ns IT 01000200 6841 LDR r1,[r0,#4] - 811990 ns MR4_I 01000204 6002d1fc - 812050 ns MR4_D 40006004 00000001 - 812050 ns R r1 00000001 - 812050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 812070 ns R r1 80000000 - 812070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 812090 ns R psr 81000200 - 812090 ns MR4_I 01000208 2a001c5b - 812110 ns MR4_I 01000200 07c96841 - 812130 ns IT 01000200 6841 LDR r1,[r0,#4] - 812150 ns MR4_I 01000204 6002d1fc - 812210 ns MR4_D 40006004 00000001 - 812210 ns R r1 00000001 - 812210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 812230 ns R r1 80000000 - 812230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 812250 ns R psr 81000200 - 812250 ns MR4_I 01000208 2a001c5b - 812270 ns MR4_I 01000200 07c96841 - 812290 ns IT 01000200 6841 LDR r1,[r0,#4] - 812310 ns MR4_I 01000204 6002d1fc - 812370 ns MR4_D 40006004 00000001 - 812370 ns R r1 00000001 - 812370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 812390 ns R r1 80000000 - 812390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 812410 ns R psr 81000200 - 812410 ns MR4_I 01000208 2a001c5b - 812430 ns MR4_I 01000200 07c96841 - 812450 ns IT 01000200 6841 LDR r1,[r0,#4] - 812470 ns MR4_I 01000204 6002d1fc - 812530 ns MR4_D 40006004 00000001 - 812530 ns R r1 00000001 - 812530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 812550 ns R r1 80000000 - 812550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 812570 ns R psr 81000200 - 812570 ns MR4_I 01000208 2a001c5b - 812590 ns MR4_I 01000200 07c96841 - 812610 ns IT 01000200 6841 LDR r1,[r0,#4] - 812630 ns MR4_I 01000204 6002d1fc - 812690 ns MR4_D 40006004 00000001 - 812690 ns R r1 00000001 - 812690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 812710 ns R r1 80000000 - 812710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 812730 ns R psr 81000200 - 812730 ns MR4_I 01000208 2a001c5b - 812750 ns MR4_I 01000200 07c96841 - 812770 ns IT 01000200 6841 LDR r1,[r0,#4] - 812790 ns MR4_I 01000204 6002d1fc - 812850 ns MR4_D 40006004 00000001 - 812850 ns R r1 00000001 - 812850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 812870 ns R r1 80000000 - 812870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 812890 ns R psr 81000200 - 812890 ns MR4_I 01000208 2a001c5b - 812910 ns MR4_I 01000200 07c96841 - 812930 ns IT 01000200 6841 LDR r1,[r0,#4] - 812950 ns MR4_I 01000204 6002d1fc - 813010 ns MR4_D 40006004 00000001 - 813010 ns R r1 00000001 - 813010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 813030 ns R r1 80000000 - 813030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 813050 ns R psr 81000200 - 813050 ns MR4_I 01000208 2a001c5b - 813070 ns MR4_I 01000200 07c96841 - 813090 ns IT 01000200 6841 LDR r1,[r0,#4] - 813110 ns MR4_I 01000204 6002d1fc - 813170 ns MR4_D 40006004 00000001 - 813170 ns R r1 00000001 - 813170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 813190 ns R r1 80000000 - 813190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 813210 ns R psr 81000200 - 813210 ns MR4_I 01000208 2a001c5b - 813230 ns MR4_I 01000200 07c96841 - 813250 ns IT 01000200 6841 LDR r1,[r0,#4] - 813270 ns MR4_I 01000204 6002d1fc - 813330 ns MR4_D 40006004 00000001 - 813330 ns R r1 00000001 - 813330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 813350 ns R r1 80000000 - 813350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 813370 ns R psr 81000200 - 813370 ns MR4_I 01000208 2a001c5b - 813390 ns MR4_I 01000200 07c96841 - 813410 ns IT 01000200 6841 LDR r1,[r0,#4] - 813430 ns MR4_I 01000204 6002d1fc - 813490 ns MR4_D 40006004 00000001 - 813490 ns R r1 00000001 - 813490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 813510 ns R r1 80000000 - 813510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 813530 ns R psr 81000200 - 813530 ns MR4_I 01000208 2a001c5b - 813550 ns MR4_I 01000200 07c96841 - 813570 ns IT 01000200 6841 LDR r1,[r0,#4] - 813590 ns MR4_I 01000204 6002d1fc - 813650 ns MR4_D 40006004 00000001 - 813650 ns R r1 00000001 - 813650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 813670 ns R r1 80000000 - 813670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 813690 ns R psr 81000200 - 813690 ns MR4_I 01000208 2a001c5b - 813710 ns MR4_I 01000200 07c96841 - 813730 ns IT 01000200 6841 LDR r1,[r0,#4] - 813750 ns MR4_I 01000204 6002d1fc - 813810 ns MR4_D 40006004 00000001 - 813810 ns R r1 00000001 - 813810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 813830 ns R r1 80000000 - 813830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 813850 ns R psr 81000200 - 813850 ns MR4_I 01000208 2a001c5b - 813870 ns MR4_I 01000200 07c96841 - 813890 ns IT 01000200 6841 LDR r1,[r0,#4] - 813910 ns MR4_I 01000204 6002d1fc - 813970 ns MR4_D 40006004 00000001 - 813970 ns R r1 00000001 - 813970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 813990 ns R r1 80000000 - 813990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 814010 ns R psr 81000200 - 814010 ns MR4_I 01000208 2a001c5b - 814030 ns MR4_I 01000200 07c96841 - 814050 ns IT 01000200 6841 LDR r1,[r0,#4] - 814070 ns MR4_I 01000204 6002d1fc - 814130 ns MR4_D 40006004 00000001 - 814130 ns R r1 00000001 - 814130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 814150 ns R r1 80000000 - 814150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 814170 ns R psr 81000200 - 814170 ns MR4_I 01000208 2a001c5b - 814190 ns MR4_I 01000200 07c96841 - 814210 ns IT 01000200 6841 LDR r1,[r0,#4] - 814230 ns MR4_I 01000204 6002d1fc - 814290 ns MR4_D 40006004 00000001 - 814290 ns R r1 00000001 - 814290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 814310 ns R r1 80000000 - 814310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 814330 ns R psr 81000200 - 814330 ns MR4_I 01000208 2a001c5b - 814350 ns MR4_I 01000200 07c96841 - 814370 ns IT 01000200 6841 LDR r1,[r0,#4] - 814390 ns MR4_I 01000204 6002d1fc - 814450 ns MR4_D 40006004 00000001 - 814450 ns R r1 00000001 - 814450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 814470 ns R r1 80000000 - 814470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 814490 ns R psr 81000200 - 814490 ns MR4_I 01000208 2a001c5b - 814510 ns MR4_I 01000200 07c96841 - 814530 ns IT 01000200 6841 LDR r1,[r0,#4] - 814550 ns MR4_I 01000204 6002d1fc - 814610 ns MR4_D 40006004 00000001 - 814610 ns R r1 00000001 - 814610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 814630 ns R r1 80000000 - 814630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 814650 ns R psr 81000200 - 814650 ns MR4_I 01000208 2a001c5b - 814670 ns MR4_I 01000200 07c96841 - 814690 ns IT 01000200 6841 LDR r1,[r0,#4] - 814710 ns MR4_I 01000204 6002d1fc - 814770 ns MR4_D 40006004 00000001 - 814770 ns R r1 00000001 - 814770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 814790 ns R r1 80000000 - 814790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 814810 ns R psr 81000200 - 814810 ns MR4_I 01000208 2a001c5b - 814830 ns MR4_I 01000200 07c96841 - 814850 ns IT 01000200 6841 LDR r1,[r0,#4] - 814870 ns MR4_I 01000204 6002d1fc - 814930 ns MR4_D 40006004 00000001 - 814930 ns R r1 00000001 - 814930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 814950 ns R r1 80000000 - 814950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 814970 ns R psr 81000200 - 814970 ns MR4_I 01000208 2a001c5b - 814990 ns MR4_I 01000200 07c96841 - 815010 ns IT 01000200 6841 LDR r1,[r0,#4] - 815030 ns MR4_I 01000204 6002d1fc - 815090 ns MR4_D 40006004 00000001 - 815090 ns R r1 00000001 - 815090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 815110 ns R r1 80000000 - 815110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 815130 ns R psr 81000200 - 815130 ns MR4_I 01000208 2a001c5b - 815150 ns MR4_I 01000200 07c96841 - 815170 ns IT 01000200 6841 LDR r1,[r0,#4] - 815190 ns MR4_I 01000204 6002d1fc - 815250 ns MR4_D 40006004 00000001 - 815250 ns R r1 00000001 - 815250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 815270 ns R r1 80000000 - 815270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 815290 ns R psr 81000200 - 815290 ns MR4_I 01000208 2a001c5b - 815310 ns MR4_I 01000200 07c96841 - 815330 ns IT 01000200 6841 LDR r1,[r0,#4] - 815350 ns MR4_I 01000204 6002d1fc - 815410 ns MR4_D 40006004 00000001 - 815410 ns R r1 00000001 - 815410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 815430 ns R r1 80000000 - 815430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 815450 ns R psr 81000200 - 815450 ns MR4_I 01000208 2a001c5b - 815470 ns MR4_I 01000200 07c96841 - 815490 ns IT 01000200 6841 LDR r1,[r0,#4] - 815510 ns MR4_I 01000204 6002d1fc - 815570 ns MR4_D 40006004 00000001 - 815570 ns R r1 00000001 - 815570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 815590 ns R r1 80000000 - 815590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 815610 ns R psr 81000200 - 815610 ns MR4_I 01000208 2a001c5b - 815630 ns MR4_I 01000200 07c96841 - 815650 ns IT 01000200 6841 LDR r1,[r0,#4] - 815670 ns MR4_I 01000204 6002d1fc - 815730 ns MR4_D 40006004 00000001 - 815730 ns R r1 00000001 - 815730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 815750 ns R r1 80000000 - 815750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 815770 ns R psr 81000200 - 815770 ns MR4_I 01000208 2a001c5b - 815790 ns MR4_I 01000200 07c96841 - 815810 ns IT 01000200 6841 LDR r1,[r0,#4] - 815830 ns MR4_I 01000204 6002d1fc - 815890 ns MR4_D 40006004 00000001 - 815890 ns R r1 00000001 - 815890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 815910 ns R r1 80000000 - 815910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 815930 ns R psr 81000200 - 815930 ns MR4_I 01000208 2a001c5b - 815950 ns MR4_I 01000200 07c96841 - 815970 ns IT 01000200 6841 LDR r1,[r0,#4] - 815990 ns MR4_I 01000204 6002d1fc - 816050 ns MR4_D 40006004 00000001 - 816050 ns R r1 00000001 - 816050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 816070 ns R r1 80000000 - 816070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 816090 ns R psr 81000200 - 816090 ns MR4_I 01000208 2a001c5b - 816110 ns MR4_I 01000200 07c96841 - 816130 ns IT 01000200 6841 LDR r1,[r0,#4] - 816150 ns MR4_I 01000204 6002d1fc - 816210 ns MR4_D 40006004 00000001 - 816210 ns R r1 00000001 - 816210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 816230 ns R r1 80000000 - 816230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 816250 ns R psr 81000200 - 816250 ns MR4_I 01000208 2a001c5b - 816270 ns MR4_I 01000200 07c96841 - 816290 ns IT 01000200 6841 LDR r1,[r0,#4] - 816310 ns MR4_I 01000204 6002d1fc - 816370 ns MR4_D 40006004 00000001 - 816370 ns R r1 00000001 - 816370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 816390 ns R r1 80000000 - 816390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 816410 ns R psr 81000200 - 816410 ns MR4_I 01000208 2a001c5b - 816430 ns MR4_I 01000200 07c96841 - 816450 ns IT 01000200 6841 LDR r1,[r0,#4] - 816470 ns MR4_I 01000204 6002d1fc - 816530 ns MR4_D 40006004 00000001 - 816530 ns R r1 00000001 - 816530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 816550 ns R r1 80000000 - 816550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 816570 ns R psr 81000200 - 816570 ns MR4_I 01000208 2a001c5b - 816590 ns MR4_I 01000200 07c96841 - 816610 ns IT 01000200 6841 LDR r1,[r0,#4] - 816630 ns MR4_I 01000204 6002d1fc - 816690 ns MR4_D 40006004 00000001 - 816690 ns R r1 00000001 - 816690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 816710 ns R r1 80000000 - 816710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 816730 ns R psr 81000200 - 816730 ns MR4_I 01000208 2a001c5b - 816750 ns MR4_I 01000200 07c96841 - 816770 ns IT 01000200 6841 LDR r1,[r0,#4] - 816790 ns MR4_I 01000204 6002d1fc - 816850 ns MR4_D 40006004 00000001 - 816850 ns R r1 00000001 - 816850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 816870 ns R r1 80000000 - 816870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 816890 ns R psr 81000200 - 816890 ns MR4_I 01000208 2a001c5b - 816910 ns MR4_I 01000200 07c96841 - 816930 ns IT 01000200 6841 LDR r1,[r0,#4] - 816950 ns MR4_I 01000204 6002d1fc - 817010 ns MR4_D 40006004 00000001 - 817010 ns R r1 00000001 - 817010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 817030 ns R r1 80000000 - 817030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 817050 ns R psr 81000200 - 817050 ns MR4_I 01000208 2a001c5b - 817070 ns MR4_I 01000200 07c96841 - 817090 ns IT 01000200 6841 LDR r1,[r0,#4] - 817110 ns MR4_I 01000204 6002d1fc - 817170 ns MR4_D 40006004 00000001 - 817170 ns R r1 00000001 - 817170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 817190 ns R r1 80000000 - 817190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 817210 ns R psr 81000200 - 817210 ns MR4_I 01000208 2a001c5b - 817230 ns MR4_I 01000200 07c96841 - 817250 ns IT 01000200 6841 LDR r1,[r0,#4] - 817270 ns MR4_I 01000204 6002d1fc - 817330 ns MR4_D 40006004 00000001 - 817330 ns R r1 00000001 - 817330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 817350 ns R r1 80000000 - 817350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 817370 ns R psr 81000200 - 817370 ns MR4_I 01000208 2a001c5b - 817390 ns MR4_I 01000200 07c96841 - 817410 ns IT 01000200 6841 LDR r1,[r0,#4] - 817430 ns MR4_I 01000204 6002d1fc - 817490 ns MR4_D 40006004 00000001 - 817490 ns R r1 00000001 - 817490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 817510 ns R r1 80000000 - 817510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 817530 ns R psr 81000200 - 817530 ns MR4_I 01000208 2a001c5b - 817550 ns MR4_I 01000200 07c96841 - 817570 ns IT 01000200 6841 LDR r1,[r0,#4] - 817590 ns MR4_I 01000204 6002d1fc - 817650 ns MR4_D 40006004 00000001 - 817650 ns R r1 00000001 - 817650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 817670 ns R r1 80000000 - 817670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 817690 ns R psr 81000200 - 817690 ns MR4_I 01000208 2a001c5b - 817710 ns MR4_I 01000200 07c96841 - 817730 ns IT 01000200 6841 LDR r1,[r0,#4] - 817750 ns MR4_I 01000204 6002d1fc - 817810 ns MR4_D 40006004 00000001 - 817810 ns R r1 00000001 - 817810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 817830 ns R r1 80000000 - 817830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 817850 ns R psr 81000200 - 817850 ns MR4_I 01000208 2a001c5b - 817870 ns MR4_I 01000200 07c96841 - 817890 ns IT 01000200 6841 LDR r1,[r0,#4] - 817910 ns MR4_I 01000204 6002d1fc - 817970 ns MR4_D 40006004 00000001 - 817970 ns R r1 00000001 - 817970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 817990 ns R r1 80000000 - 817990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 818010 ns R psr 81000200 - 818010 ns MR4_I 01000208 2a001c5b - 818030 ns MR4_I 01000200 07c96841 - 818050 ns IT 01000200 6841 LDR r1,[r0,#4] - 818070 ns MR4_I 01000204 6002d1fc - 818130 ns MR4_D 40006004 00000001 - 818130 ns R r1 00000001 - 818130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 818150 ns R r1 80000000 - 818150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 818170 ns R psr 81000200 - 818170 ns MR4_I 01000208 2a001c5b - 818190 ns MR4_I 01000200 07c96841 - 818210 ns IT 01000200 6841 LDR r1,[r0,#4] - 818230 ns MR4_I 01000204 6002d1fc - 818290 ns MR4_D 40006004 00000001 - 818290 ns R r1 00000001 - 818290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 818310 ns R r1 80000000 - 818310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 818330 ns R psr 81000200 - 818330 ns MR4_I 01000208 2a001c5b - 818350 ns MR4_I 01000200 07c96841 - 818370 ns IT 01000200 6841 LDR r1,[r0,#4] - 818390 ns MR4_I 01000204 6002d1fc - 818450 ns MR4_D 40006004 00000001 - 818450 ns R r1 00000001 - 818450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 818470 ns R r1 80000000 - 818470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 818490 ns R psr 81000200 - 818490 ns MR4_I 01000208 2a001c5b - 818510 ns MR4_I 01000200 07c96841 - 818530 ns IT 01000200 6841 LDR r1,[r0,#4] - 818550 ns MR4_I 01000204 6002d1fc - 818610 ns MR4_D 40006004 00000001 - 818610 ns R r1 00000001 - 818610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 818630 ns R r1 80000000 - 818630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 818650 ns R psr 81000200 - 818650 ns MR4_I 01000208 2a001c5b - 818670 ns MR4_I 01000200 07c96841 - 818690 ns IT 01000200 6841 LDR r1,[r0,#4] - 818710 ns MR4_I 01000204 6002d1fc - 818770 ns MR4_D 40006004 00000001 - 818770 ns R r1 00000001 - 818770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 818790 ns R r1 80000000 - 818790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 818810 ns R psr 81000200 - 818810 ns MR4_I 01000208 2a001c5b - 818830 ns MR4_I 01000200 07c96841 - 818850 ns IT 01000200 6841 LDR r1,[r0,#4] - 818870 ns MR4_I 01000204 6002d1fc - 818930 ns MR4_D 40006004 00000001 - 818930 ns R r1 00000001 - 818930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 818950 ns R r1 80000000 - 818950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 818970 ns R psr 81000200 - 818970 ns MR4_I 01000208 2a001c5b - 818990 ns MR4_I 01000200 07c96841 - 819010 ns IT 01000200 6841 LDR r1,[r0,#4] - 819030 ns MR4_I 01000204 6002d1fc - 819090 ns MR4_D 40006004 00000001 - 819090 ns R r1 00000001 - 819090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 819110 ns R r1 80000000 - 819110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 819130 ns R psr 81000200 - 819130 ns MR4_I 01000208 2a001c5b - 819150 ns MR4_I 01000200 07c96841 - 819170 ns IT 01000200 6841 LDR r1,[r0,#4] - 819190 ns MR4_I 01000204 6002d1fc - 819250 ns MR4_D 40006004 00000001 - 819250 ns R r1 00000001 - 819250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 819270 ns R r1 80000000 - 819270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 819290 ns R psr 81000200 - 819290 ns MR4_I 01000208 2a001c5b - 819310 ns MR4_I 01000200 07c96841 - 819330 ns IT 01000200 6841 LDR r1,[r0,#4] - 819350 ns MR4_I 01000204 6002d1fc - 819410 ns MR4_D 40006004 00000001 - 819410 ns R r1 00000001 - 819410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 819430 ns R r1 80000000 - 819430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 819450 ns R psr 81000200 - 819450 ns MR4_I 01000208 2a001c5b - 819470 ns MR4_I 01000200 07c96841 - 819490 ns IT 01000200 6841 LDR r1,[r0,#4] - 819510 ns MR4_I 01000204 6002d1fc - 819570 ns MR4_D 40006004 00000001 - 819570 ns R r1 00000001 - 819570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 819590 ns R r1 80000000 - 819590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 819610 ns R psr 81000200 - 819610 ns MR4_I 01000208 2a001c5b - 819630 ns MR4_I 01000200 07c96841 - 819650 ns IT 01000200 6841 LDR r1,[r0,#4] - 819670 ns MR4_I 01000204 6002d1fc - 819730 ns MR4_D 40006004 00000001 - 819730 ns R r1 00000001 - 819730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 819750 ns R r1 80000000 - 819750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 819770 ns R psr 81000200 - 819770 ns MR4_I 01000208 2a001c5b - 819790 ns MR4_I 01000200 07c96841 - 819810 ns IT 01000200 6841 LDR r1,[r0,#4] - 819830 ns MR4_I 01000204 6002d1fc - 819890 ns MR4_D 40006004 00000001 - 819890 ns R r1 00000001 - 819890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 819910 ns R r1 80000000 - 819910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 819930 ns R psr 81000200 - 819930 ns MR4_I 01000208 2a001c5b - 819950 ns MR4_I 01000200 07c96841 - 819970 ns IT 01000200 6841 LDR r1,[r0,#4] - 819990 ns MR4_I 01000204 6002d1fc - 820050 ns MR4_D 40006004 00000001 - 820050 ns R r1 00000001 - 820050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 820070 ns R r1 80000000 - 820070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 820090 ns R psr 81000200 - 820090 ns MR4_I 01000208 2a001c5b - 820110 ns MR4_I 01000200 07c96841 - 820130 ns IT 01000200 6841 LDR r1,[r0,#4] - 820150 ns MR4_I 01000204 6002d1fc - 820210 ns MR4_D 40006004 00000001 - 820210 ns R r1 00000001 - 820210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 820230 ns R r1 80000000 - 820230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 820250 ns R psr 81000200 - 820250 ns MR4_I 01000208 2a001c5b - 820270 ns MR4_I 01000200 07c96841 - 820290 ns IT 01000200 6841 LDR r1,[r0,#4] - 820310 ns MR4_I 01000204 6002d1fc - 820370 ns MR4_D 40006004 00000001 - 820370 ns R r1 00000001 - 820370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 820390 ns R r1 80000000 - 820390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 820410 ns R psr 81000200 - 820410 ns MR4_I 01000208 2a001c5b - 820430 ns MR4_I 01000200 07c96841 - 820450 ns IT 01000200 6841 LDR r1,[r0,#4] - 820470 ns MR4_I 01000204 6002d1fc - 820530 ns MR4_D 40006004 00000001 - 820530 ns R r1 00000001 - 820530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 820550 ns R r1 80000000 - 820550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 820570 ns R psr 81000200 - 820570 ns MR4_I 01000208 2a001c5b - 820590 ns MR4_I 01000200 07c96841 - 820610 ns IT 01000200 6841 LDR r1,[r0,#4] - 820630 ns MR4_I 01000204 6002d1fc - 820690 ns MR4_D 40006004 00000001 - 820690 ns R r1 00000001 - 820690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 820710 ns R r1 80000000 - 820710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 820730 ns R psr 81000200 - 820730 ns MR4_I 01000208 2a001c5b - 820750 ns MR4_I 01000200 07c96841 - 820770 ns IT 01000200 6841 LDR r1,[r0,#4] - 820790 ns MR4_I 01000204 6002d1fc - 820850 ns MR4_D 40006004 00000001 - 820850 ns R r1 00000001 - 820850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 820870 ns R r1 80000000 - 820870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 820890 ns R psr 81000200 - 820890 ns MR4_I 01000208 2a001c5b - 820910 ns MR4_I 01000200 07c96841 - 820930 ns IT 01000200 6841 LDR r1,[r0,#4] - 820950 ns MR4_I 01000204 6002d1fc - 821010 ns MR4_D 40006004 00000001 - 821010 ns R r1 00000001 - 821010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 821030 ns R r1 80000000 - 821030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 821050 ns R psr 81000200 - 821050 ns MR4_I 01000208 2a001c5b - 821070 ns MR4_I 01000200 07c96841 - 821090 ns IT 01000200 6841 LDR r1,[r0,#4] - 821110 ns MR4_I 01000204 6002d1fc - 821170 ns MR4_D 40006004 00000001 - 821170 ns R r1 00000001 - 821170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 821190 ns R r1 80000000 - 821190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 821210 ns R psr 81000200 - 821210 ns MR4_I 01000208 2a001c5b - 821230 ns MR4_I 01000200 07c96841 - 821250 ns IT 01000200 6841 LDR r1,[r0,#4] - 821270 ns MR4_I 01000204 6002d1fc - 821330 ns MR4_D 40006004 00000001 - 821330 ns R r1 00000001 - 821330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 821350 ns R r1 80000000 - 821350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 821370 ns R psr 81000200 - 821370 ns MR4_I 01000208 2a001c5b - 821390 ns MR4_I 01000200 07c96841 - 821410 ns IT 01000200 6841 LDR r1,[r0,#4] - 821430 ns MR4_I 01000204 6002d1fc - 821490 ns MR4_D 40006004 00000001 - 821490 ns R r1 00000001 - 821490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 821510 ns R r1 80000000 - 821510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 821530 ns R psr 81000200 - 821530 ns MR4_I 01000208 2a001c5b - 821550 ns MR4_I 01000200 07c96841 - 821570 ns IT 01000200 6841 LDR r1,[r0,#4] - 821590 ns MR4_I 01000204 6002d1fc - 821650 ns MR4_D 40006004 00000001 - 821650 ns R r1 00000001 - 821650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 821670 ns R r1 80000000 - 821670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 821690 ns R psr 81000200 - 821690 ns MR4_I 01000208 2a001c5b - 821710 ns MR4_I 01000200 07c96841 - 821730 ns IT 01000200 6841 LDR r1,[r0,#4] - 821750 ns MR4_I 01000204 6002d1fc - 821810 ns MR4_D 40006004 00000001 - 821810 ns R r1 00000001 - 821810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 821830 ns R r1 80000000 - 821830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 821850 ns R psr 81000200 - 821850 ns MR4_I 01000208 2a001c5b - 821870 ns MR4_I 01000200 07c96841 - 821890 ns IT 01000200 6841 LDR r1,[r0,#4] - 821910 ns MR4_I 01000204 6002d1fc - 821970 ns MR4_D 40006004 00000001 - 821970 ns R r1 00000001 - 821970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 821990 ns R r1 80000000 - 821990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 822010 ns R psr 81000200 - 822010 ns MR4_I 01000208 2a001c5b - 822030 ns MR4_I 01000200 07c96841 - 822050 ns IT 01000200 6841 LDR r1,[r0,#4] - 822070 ns MR4_I 01000204 6002d1fc - 822130 ns MR4_D 40006004 00000001 - 822130 ns R r1 00000001 - 822130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 822150 ns R r1 80000000 - 822150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 822170 ns R psr 81000200 - 822170 ns MR4_I 01000208 2a001c5b - 822190 ns MR4_I 01000200 07c96841 - 822210 ns IT 01000200 6841 LDR r1,[r0,#4] - 822230 ns MR4_I 01000204 6002d1fc - 822290 ns MR4_D 40006004 00000001 - 822290 ns R r1 00000001 - 822290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 822310 ns R r1 80000000 - 822310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 822330 ns R psr 81000200 - 822330 ns MR4_I 01000208 2a001c5b - 822350 ns MR4_I 01000200 07c96841 - 822370 ns IT 01000200 6841 LDR r1,[r0,#4] - 822390 ns MR4_I 01000204 6002d1fc - 822450 ns MR4_D 40006004 00000001 - 822450 ns R r1 00000001 - 822450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 822470 ns R r1 80000000 - 822470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 822490 ns R psr 81000200 - 822490 ns MR4_I 01000208 2a001c5b - 822510 ns MR4_I 01000200 07c96841 - 822530 ns IT 01000200 6841 LDR r1,[r0,#4] - 822550 ns MR4_I 01000204 6002d1fc - 822610 ns MR4_D 40006004 00000001 - 822610 ns R r1 00000001 - 822610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 822630 ns R r1 80000000 - 822630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 822650 ns R psr 81000200 - 822650 ns MR4_I 01000208 2a001c5b - 822670 ns MR4_I 01000200 07c96841 - 822690 ns IT 01000200 6841 LDR r1,[r0,#4] - 822710 ns MR4_I 01000204 6002d1fc - 822770 ns MR4_D 40006004 00000001 - 822770 ns R r1 00000001 - 822770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 822790 ns R r1 80000000 - 822790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 822810 ns R psr 81000200 - 822810 ns MR4_I 01000208 2a001c5b - 822830 ns MR4_I 01000200 07c96841 - 822850 ns IT 01000200 6841 LDR r1,[r0,#4] - 822870 ns MR4_I 01000204 6002d1fc - 822930 ns MR4_D 40006004 00000001 - 822930 ns R r1 00000001 - 822930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 822950 ns R r1 80000000 - 822950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 822970 ns R psr 81000200 - 822970 ns MR4_I 01000208 2a001c5b - 822990 ns MR4_I 01000200 07c96841 - 823010 ns IT 01000200 6841 LDR r1,[r0,#4] - 823030 ns MR4_I 01000204 6002d1fc - 823090 ns MR4_D 40006004 00000001 - 823090 ns R r1 00000001 - 823090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 823110 ns R r1 80000000 - 823110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 823130 ns R psr 81000200 - 823130 ns MR4_I 01000208 2a001c5b - 823150 ns MR4_I 01000200 07c96841 - 823170 ns IT 01000200 6841 LDR r1,[r0,#4] - 823190 ns MR4_I 01000204 6002d1fc - 823250 ns MR4_D 40006004 00000001 - 823250 ns R r1 00000001 - 823250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 823270 ns R r1 80000000 - 823270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 823290 ns R psr 81000200 - 823290 ns MR4_I 01000208 2a001c5b - 823310 ns MR4_I 01000200 07c96841 - 823330 ns IT 01000200 6841 LDR r1,[r0,#4] - 823350 ns MR4_I 01000204 6002d1fc - 823410 ns MR4_D 40006004 00000001 - 823410 ns R r1 00000001 - 823410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 823430 ns R r1 80000000 - 823430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 823450 ns R psr 81000200 - 823450 ns MR4_I 01000208 2a001c5b - 823470 ns MR4_I 01000200 07c96841 - 823490 ns IT 01000200 6841 LDR r1,[r0,#4] - 823510 ns MR4_I 01000204 6002d1fc - 823570 ns MR4_D 40006004 00000001 - 823570 ns R r1 00000001 - 823570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 823590 ns R r1 80000000 - 823590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 823610 ns R psr 81000200 - 823610 ns MR4_I 01000208 2a001c5b - 823630 ns MR4_I 01000200 07c96841 - 823650 ns IT 01000200 6841 LDR r1,[r0,#4] - 823670 ns MR4_I 01000204 6002d1fc - 823730 ns MR4_D 40006004 00000001 - 823730 ns R r1 00000001 - 823730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 823750 ns R r1 80000000 - 823750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 823770 ns R psr 81000200 - 823770 ns MR4_I 01000208 2a001c5b - 823790 ns MR4_I 01000200 07c96841 - 823810 ns IT 01000200 6841 LDR r1,[r0,#4] - 823830 ns MR4_I 01000204 6002d1fc - 823890 ns MR4_D 40006004 00000001 - 823890 ns R r1 00000001 - 823890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 823910 ns R r1 80000000 - 823910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 823930 ns R psr 81000200 - 823930 ns MR4_I 01000208 2a001c5b - 823950 ns MR4_I 01000200 07c96841 - 823970 ns IT 01000200 6841 LDR r1,[r0,#4] - 823990 ns MR4_I 01000204 6002d1fc - 824050 ns MR4_D 40006004 00000001 - 824050 ns R r1 00000001 - 824050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 824070 ns R r1 80000000 - 824070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 824090 ns R psr 81000200 - 824090 ns MR4_I 01000208 2a001c5b - 824110 ns MR4_I 01000200 07c96841 - 824130 ns IT 01000200 6841 LDR r1,[r0,#4] - 824150 ns MR4_I 01000204 6002d1fc - 824210 ns MR4_D 40006004 00000001 - 824210 ns R r1 00000001 - 824210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 824230 ns R r1 80000000 - 824230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 824250 ns R psr 81000200 - 824250 ns MR4_I 01000208 2a001c5b - 824270 ns MR4_I 01000200 07c96841 - 824290 ns IT 01000200 6841 LDR r1,[r0,#4] - 824310 ns MR4_I 01000204 6002d1fc - 824370 ns MR4_D 40006004 00000001 - 824370 ns R r1 00000001 - 824370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 824390 ns R r1 80000000 - 824390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 824410 ns R psr 81000200 - 824410 ns MR4_I 01000208 2a001c5b - 824430 ns MR4_I 01000200 07c96841 - 824450 ns IT 01000200 6841 LDR r1,[r0,#4] - 824470 ns MR4_I 01000204 6002d1fc - 824530 ns MR4_D 40006004 00000001 - 824530 ns R r1 00000001 - 824530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 824550 ns R r1 80000000 - 824550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 824570 ns R psr 81000200 - 824570 ns MR4_I 01000208 2a001c5b - 824590 ns MR4_I 01000200 07c96841 - 824610 ns IT 01000200 6841 LDR r1,[r0,#4] - 824630 ns MR4_I 01000204 6002d1fc - 824690 ns MR4_D 40006004 00000001 - 824690 ns R r1 00000001 - 824690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 824710 ns R r1 80000000 - 824710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 824730 ns R psr 81000200 - 824730 ns MR4_I 01000208 2a001c5b - 824750 ns MR4_I 01000200 07c96841 - 824770 ns IT 01000200 6841 LDR r1,[r0,#4] - 824790 ns MR4_I 01000204 6002d1fc - 824850 ns MR4_D 40006004 00000001 - 824850 ns R r1 00000001 - 824850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 824870 ns R r1 80000000 - 824870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 824890 ns R psr 81000200 - 824890 ns MR4_I 01000208 2a001c5b - 824910 ns MR4_I 01000200 07c96841 - 824930 ns IT 01000200 6841 LDR r1,[r0,#4] - 824950 ns MR4_I 01000204 6002d1fc - 825010 ns MR4_D 40006004 00000001 - 825010 ns R r1 00000001 - 825010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 825030 ns R r1 80000000 - 825030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 825050 ns R psr 81000200 - 825050 ns MR4_I 01000208 2a001c5b - 825070 ns MR4_I 01000200 07c96841 - 825090 ns IT 01000200 6841 LDR r1,[r0,#4] - 825110 ns MR4_I 01000204 6002d1fc - 825170 ns MR4_D 40006004 00000001 - 825170 ns R r1 00000001 - 825170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 825190 ns R r1 80000000 - 825190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 825210 ns R psr 81000200 - 825210 ns MR4_I 01000208 2a001c5b - 825230 ns MR4_I 01000200 07c96841 - 825250 ns IT 01000200 6841 LDR r1,[r0,#4] - 825270 ns MR4_I 01000204 6002d1fc - 825330 ns MR4_D 40006004 00000001 - 825330 ns R r1 00000001 - 825330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 825350 ns R r1 80000000 - 825350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 825370 ns R psr 81000200 - 825370 ns MR4_I 01000208 2a001c5b - 825390 ns MR4_I 01000200 07c96841 - 825410 ns IT 01000200 6841 LDR r1,[r0,#4] - 825430 ns MR4_I 01000204 6002d1fc - 825490 ns MR4_D 40006004 00000001 - 825490 ns R r1 00000001 - 825490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 825510 ns R r1 80000000 - 825510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 825530 ns R psr 81000200 - 825530 ns MR4_I 01000208 2a001c5b - 825550 ns MR4_I 01000200 07c96841 - 825570 ns IT 01000200 6841 LDR r1,[r0,#4] - 825590 ns MR4_I 01000204 6002d1fc - 825650 ns MR4_D 40006004 00000001 - 825650 ns R r1 00000001 - 825650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 825670 ns R r1 80000000 - 825670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 825690 ns R psr 81000200 - 825690 ns MR4_I 01000208 2a001c5b - 825710 ns MR4_I 01000200 07c96841 - 825730 ns IT 01000200 6841 LDR r1,[r0,#4] - 825750 ns MR4_I 01000204 6002d1fc - 825810 ns MR4_D 40006004 00000001 - 825810 ns R r1 00000001 - 825810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 825830 ns R r1 80000000 - 825830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 825850 ns R psr 81000200 - 825850 ns MR4_I 01000208 2a001c5b - 825870 ns MR4_I 01000200 07c96841 - 825890 ns IT 01000200 6841 LDR r1,[r0,#4] - 825910 ns MR4_I 01000204 6002d1fc - 825970 ns MR4_D 40006004 00000001 - 825970 ns R r1 00000001 - 825970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 825990 ns R r1 80000000 - 825990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 826010 ns R psr 81000200 - 826010 ns MR4_I 01000208 2a001c5b - 826030 ns MR4_I 01000200 07c96841 - 826050 ns IT 01000200 6841 LDR r1,[r0,#4] - 826070 ns MR4_I 01000204 6002d1fc - 826130 ns MR4_D 40006004 00000001 - 826130 ns R r1 00000001 - 826130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 826150 ns R r1 80000000 - 826150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 826170 ns R psr 81000200 - 826170 ns MR4_I 01000208 2a001c5b - 826190 ns MR4_I 01000200 07c96841 - 826210 ns IT 01000200 6841 LDR r1,[r0,#4] - 826230 ns MR4_I 01000204 6002d1fc - 826290 ns MR4_D 40006004 00000001 - 826290 ns R r1 00000001 - 826290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 826310 ns R r1 80000000 - 826310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 826330 ns R psr 81000200 - 826330 ns MR4_I 01000208 2a001c5b - 826350 ns MR4_I 01000200 07c96841 - 826370 ns IT 01000200 6841 LDR r1,[r0,#4] - 826390 ns MR4_I 01000204 6002d1fc - 826450 ns MR4_D 40006004 00000001 - 826450 ns R r1 00000001 - 826450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 826470 ns R r1 80000000 - 826470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 826490 ns R psr 81000200 - 826490 ns MR4_I 01000208 2a001c5b - 826510 ns MR4_I 01000200 07c96841 - 826530 ns IT 01000200 6841 LDR r1,[r0,#4] - 826550 ns MR4_I 01000204 6002d1fc - 826610 ns MR4_D 40006004 00000001 - 826610 ns R r1 00000001 - 826610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 826630 ns R r1 80000000 - 826630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 826650 ns R psr 81000200 - 826650 ns MR4_I 01000208 2a001c5b - 826670 ns MR4_I 01000200 07c96841 - 826690 ns IT 01000200 6841 LDR r1,[r0,#4] - 826710 ns MR4_I 01000204 6002d1fc - 826770 ns MR4_D 40006004 00000001 - 826770 ns R r1 00000001 - 826770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 826790 ns R r1 80000000 - 826790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 826810 ns R psr 81000200 - 826810 ns MR4_I 01000208 2a001c5b - 826830 ns MR4_I 01000200 07c96841 - 826850 ns IT 01000200 6841 LDR r1,[r0,#4] - 826870 ns MR4_I 01000204 6002d1fc - 826930 ns MR4_D 40006004 00000001 - 826930 ns R r1 00000001 - 826930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 826950 ns R r1 80000000 - 826950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 826970 ns R psr 81000200 - 826970 ns MR4_I 01000208 2a001c5b - 826990 ns MR4_I 01000200 07c96841 - 827010 ns IT 01000200 6841 LDR r1,[r0,#4] - 827030 ns MR4_I 01000204 6002d1fc - 827090 ns MR4_D 40006004 00000001 - 827090 ns R r1 00000001 - 827090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 827110 ns R r1 80000000 - 827110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 827130 ns R psr 81000200 - 827130 ns MR4_I 01000208 2a001c5b - 827150 ns MR4_I 01000200 07c96841 - 827170 ns IT 01000200 6841 LDR r1,[r0,#4] - 827190 ns MR4_I 01000204 6002d1fc - 827250 ns MR4_D 40006004 00000001 - 827250 ns R r1 00000001 - 827250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 827270 ns R r1 80000000 - 827270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 827290 ns R psr 81000200 - 827290 ns MR4_I 01000208 2a001c5b - 827310 ns MR4_I 01000200 07c96841 - 827330 ns IT 01000200 6841 LDR r1,[r0,#4] - 827350 ns MR4_I 01000204 6002d1fc - 827410 ns MR4_D 40006004 00000001 - 827410 ns R r1 00000001 - 827410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 827430 ns R r1 80000000 - 827430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 827450 ns R psr 81000200 - 827450 ns MR4_I 01000208 2a001c5b - 827470 ns MR4_I 01000200 07c96841 - 827490 ns IT 01000200 6841 LDR r1,[r0,#4] - 827510 ns MR4_I 01000204 6002d1fc - 827570 ns MR4_D 40006004 00000001 - 827570 ns R r1 00000001 - 827570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 827590 ns R r1 80000000 - 827590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 827610 ns R psr 81000200 - 827610 ns MR4_I 01000208 2a001c5b - 827630 ns MR4_I 01000200 07c96841 - 827650 ns IT 01000200 6841 LDR r1,[r0,#4] - 827670 ns MR4_I 01000204 6002d1fc - 827730 ns MR4_D 40006004 00000001 - 827730 ns R r1 00000001 - 827730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 827750 ns R r1 80000000 - 827750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 827770 ns R psr 81000200 - 827770 ns MR4_I 01000208 2a001c5b - 827790 ns MR4_I 01000200 07c96841 - 827810 ns IT 01000200 6841 LDR r1,[r0,#4] - 827830 ns MR4_I 01000204 6002d1fc - 827890 ns MR4_D 40006004 00000001 - 827890 ns R r1 00000001 - 827890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 827910 ns R r1 80000000 - 827910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 827930 ns R psr 81000200 - 827930 ns MR4_I 01000208 2a001c5b - 827950 ns MR4_I 01000200 07c96841 - 827970 ns IT 01000200 6841 LDR r1,[r0,#4] - 827990 ns MR4_I 01000204 6002d1fc - 828050 ns MR4_D 40006004 00000001 - 828050 ns R r1 00000001 - 828050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 828070 ns R r1 80000000 - 828070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 828090 ns R psr 81000200 - 828090 ns MR4_I 01000208 2a001c5b - 828110 ns MR4_I 01000200 07c96841 - 828130 ns IT 01000200 6841 LDR r1,[r0,#4] - 828150 ns MR4_I 01000204 6002d1fc - 828210 ns MR4_D 40006004 00000001 - 828210 ns R r1 00000001 - 828210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 828230 ns R r1 80000000 - 828230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 828250 ns R psr 81000200 - 828250 ns MR4_I 01000208 2a001c5b - 828270 ns MR4_I 01000200 07c96841 - 828290 ns IT 01000200 6841 LDR r1,[r0,#4] - 828310 ns MR4_I 01000204 6002d1fc - 828370 ns MR4_D 40006004 00000001 - 828370 ns R r1 00000001 - 828370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 828390 ns R r1 80000000 - 828390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 828410 ns R psr 81000200 - 828410 ns MR4_I 01000208 2a001c5b - 828430 ns MR4_I 01000200 07c96841 - 828450 ns IT 01000200 6841 LDR r1,[r0,#4] - 828470 ns MR4_I 01000204 6002d1fc - 828530 ns MR4_D 40006004 00000001 - 828530 ns R r1 00000001 - 828530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 828550 ns R r1 80000000 - 828550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 828570 ns R psr 81000200 - 828570 ns MR4_I 01000208 2a001c5b - 828590 ns MR4_I 01000200 07c96841 - 828610 ns IT 01000200 6841 LDR r1,[r0,#4] - 828630 ns MR4_I 01000204 6002d1fc - 828690 ns MR4_D 40006004 00000001 - 828690 ns R r1 00000001 - 828690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 828710 ns R r1 80000000 - 828710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 828730 ns R psr 81000200 - 828730 ns MR4_I 01000208 2a001c5b - 828750 ns MR4_I 01000200 07c96841 - 828770 ns IT 01000200 6841 LDR r1,[r0,#4] - 828790 ns MR4_I 01000204 6002d1fc - 828850 ns MR4_D 40006004 00000001 - 828850 ns R r1 00000001 - 828850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 828870 ns R r1 80000000 - 828870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 828890 ns R psr 81000200 - 828890 ns MR4_I 01000208 2a001c5b - 828910 ns MR4_I 01000200 07c96841 - 828930 ns IT 01000200 6841 LDR r1,[r0,#4] - 828950 ns MR4_I 01000204 6002d1fc - 829010 ns MR4_D 40006004 00000001 - 829010 ns R r1 00000001 - 829010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 829030 ns R r1 80000000 - 829030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 829050 ns R psr 81000200 - 829050 ns MR4_I 01000208 2a001c5b - 829070 ns MR4_I 01000200 07c96841 - 829090 ns IT 01000200 6841 LDR r1,[r0,#4] - 829110 ns MR4_I 01000204 6002d1fc - 829170 ns MR4_D 40006004 00000001 - 829170 ns R r1 00000001 - 829170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 829190 ns R r1 80000000 - 829190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 829210 ns R psr 81000200 - 829210 ns MR4_I 01000208 2a001c5b - 829230 ns MR4_I 01000200 07c96841 - 829250 ns IT 01000200 6841 LDR r1,[r0,#4] - 829270 ns MR4_I 01000204 6002d1fc - 829330 ns MR4_D 40006004 00000001 - 829330 ns R r1 00000001 - 829330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 829350 ns R r1 80000000 - 829350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 829370 ns R psr 81000200 - 829370 ns MR4_I 01000208 2a001c5b - 829390 ns MR4_I 01000200 07c96841 - 829410 ns IT 01000200 6841 LDR r1,[r0,#4] - 829430 ns MR4_I 01000204 6002d1fc - 829490 ns MR4_D 40006004 00000001 - 829490 ns R r1 00000001 - 829490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 829510 ns R r1 80000000 - 829510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 829530 ns R psr 81000200 - 829530 ns MR4_I 01000208 2a001c5b - 829550 ns MR4_I 01000200 07c96841 - 829570 ns IT 01000200 6841 LDR r1,[r0,#4] - 829590 ns MR4_I 01000204 6002d1fc - 829650 ns MR4_D 40006004 00000001 - 829650 ns R r1 00000001 - 829650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 829670 ns R r1 80000000 - 829670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 829690 ns R psr 81000200 - 829690 ns MR4_I 01000208 2a001c5b - 829710 ns MR4_I 01000200 07c96841 - 829730 ns IT 01000200 6841 LDR r1,[r0,#4] - 829750 ns MR4_I 01000204 6002d1fc - 829810 ns MR4_D 40006004 00000001 - 829810 ns R r1 00000001 - 829810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 829830 ns R r1 80000000 - 829830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 829850 ns R psr 81000200 - 829850 ns MR4_I 01000208 2a001c5b - 829870 ns MR4_I 01000200 07c96841 - 829890 ns IT 01000200 6841 LDR r1,[r0,#4] - 829910 ns MR4_I 01000204 6002d1fc - 829970 ns MR4_D 40006004 00000001 - 829970 ns R r1 00000001 - 829970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 829990 ns R r1 80000000 - 829990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 830010 ns R psr 81000200 - 830010 ns MR4_I 01000208 2a001c5b - 830030 ns MR4_I 01000200 07c96841 - 830050 ns IT 01000200 6841 LDR r1,[r0,#4] - 830070 ns MR4_I 01000204 6002d1fc - 830130 ns MR4_D 40006004 00000001 - 830130 ns R r1 00000001 - 830130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 830150 ns R r1 80000000 - 830150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 830170 ns R psr 81000200 - 830170 ns MR4_I 01000208 2a001c5b - 830190 ns MR4_I 01000200 07c96841 - 830210 ns IT 01000200 6841 LDR r1,[r0,#4] - 830230 ns MR4_I 01000204 6002d1fc - 830290 ns MR4_D 40006004 00000001 - 830290 ns R r1 00000001 - 830290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 830310 ns R r1 80000000 - 830310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 830330 ns R psr 81000200 - 830330 ns MR4_I 01000208 2a001c5b - 830350 ns MR4_I 01000200 07c96841 - 830370 ns IT 01000200 6841 LDR r1,[r0,#4] - 830390 ns MR4_I 01000204 6002d1fc - 830450 ns MR4_D 40006004 00000001 - 830450 ns R r1 00000001 - 830450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 830470 ns R r1 80000000 - 830470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 830490 ns R psr 81000200 - 830490 ns MR4_I 01000208 2a001c5b - 830510 ns MR4_I 01000200 07c96841 - 830530 ns IT 01000200 6841 LDR r1,[r0,#4] - 830550 ns MR4_I 01000204 6002d1fc - 830610 ns MR4_D 40006004 00000001 - 830610 ns R r1 00000001 - 830610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 830630 ns R r1 80000000 - 830630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 830650 ns R psr 81000200 - 830650 ns MR4_I 01000208 2a001c5b - 830670 ns MR4_I 01000200 07c96841 - 830690 ns IT 01000200 6841 LDR r1,[r0,#4] - 830710 ns MR4_I 01000204 6002d1fc - 830770 ns MR4_D 40006004 00000001 - 830770 ns R r1 00000001 - 830770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 830790 ns R r1 80000000 - 830790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 830810 ns R psr 81000200 - 830810 ns MR4_I 01000208 2a001c5b - 830830 ns MR4_I 01000200 07c96841 - 830850 ns IT 01000200 6841 LDR r1,[r0,#4] - 830870 ns MR4_I 01000204 6002d1fc - 830930 ns MR4_D 40006004 00000001 - 830930 ns R r1 00000001 - 830930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 830950 ns R r1 80000000 - 830950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 830970 ns R psr 81000200 - 830970 ns MR4_I 01000208 2a001c5b - 830990 ns MR4_I 01000200 07c96841 - 831010 ns IT 01000200 6841 LDR r1,[r0,#4] - 831030 ns MR4_I 01000204 6002d1fc - 831090 ns MR4_D 40006004 00000001 - 831090 ns R r1 00000001 - 831090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 831110 ns R r1 80000000 - 831110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 831130 ns R psr 81000200 - 831130 ns MR4_I 01000208 2a001c5b - 831150 ns MR4_I 01000200 07c96841 - 831170 ns IT 01000200 6841 LDR r1,[r0,#4] - 831190 ns MR4_I 01000204 6002d1fc - 831250 ns MR4_D 40006004 00000001 - 831250 ns R r1 00000001 - 831250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 831270 ns R r1 80000000 - 831270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 831290 ns R psr 81000200 - 831290 ns MR4_I 01000208 2a001c5b - 831310 ns MR4_I 01000200 07c96841 - 831330 ns IT 01000200 6841 LDR r1,[r0,#4] - 831350 ns MR4_I 01000204 6002d1fc - 831410 ns MR4_D 40006004 00000001 - 831410 ns R r1 00000001 - 831410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 831430 ns R r1 80000000 - 831430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 831450 ns R psr 81000200 - 831450 ns MR4_I 01000208 2a001c5b - 831470 ns MR4_I 01000200 07c96841 - 831490 ns IT 01000200 6841 LDR r1,[r0,#4] - 831510 ns MR4_I 01000204 6002d1fc - 831570 ns MR4_D 40006004 00000001 - 831570 ns R r1 00000001 - 831570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 831590 ns R r1 80000000 - 831590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 831610 ns R psr 81000200 - 831610 ns MR4_I 01000208 2a001c5b - 831630 ns MR4_I 01000200 07c96841 - 831650 ns IT 01000200 6841 LDR r1,[r0,#4] - 831670 ns MR4_I 01000204 6002d1fc - 831730 ns MR4_D 40006004 00000001 - 831730 ns R r1 00000001 - 831730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 831750 ns R r1 80000000 - 831750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 831770 ns R psr 81000200 - 831770 ns MR4_I 01000208 2a001c5b - 831790 ns MR4_I 01000200 07c96841 - 831810 ns IT 01000200 6841 LDR r1,[r0,#4] - 831830 ns MR4_I 01000204 6002d1fc - 831890 ns MR4_D 40006004 00000001 - 831890 ns R r1 00000001 - 831890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 831910 ns R r1 80000000 - 831910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 831930 ns R psr 81000200 - 831930 ns MR4_I 01000208 2a001c5b - 831950 ns MR4_I 01000200 07c96841 - 831970 ns IT 01000200 6841 LDR r1,[r0,#4] - 831990 ns MR4_I 01000204 6002d1fc - 832050 ns MR4_D 40006004 00000001 - 832050 ns R r1 00000001 - 832050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 832070 ns R r1 80000000 - 832070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 832090 ns R psr 81000200 - 832090 ns MR4_I 01000208 2a001c5b - 832110 ns MR4_I 01000200 07c96841 - 832130 ns IT 01000200 6841 LDR r1,[r0,#4] - 832150 ns MR4_I 01000204 6002d1fc - 832210 ns MR4_D 40006004 00000001 - 832210 ns R r1 00000001 - 832210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 832230 ns R r1 80000000 - 832230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 832250 ns R psr 81000200 - 832250 ns MR4_I 01000208 2a001c5b - 832270 ns MR4_I 01000200 07c96841 - 832290 ns IT 01000200 6841 LDR r1,[r0,#4] - 832310 ns MR4_I 01000204 6002d1fc - 832370 ns MR4_D 40006004 00000001 - 832370 ns R r1 00000001 - 832370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 832390 ns R r1 80000000 - 832390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 832410 ns R psr 81000200 - 832410 ns MR4_I 01000208 2a001c5b - 832430 ns MR4_I 01000200 07c96841 - 832450 ns IT 01000200 6841 LDR r1,[r0,#4] - 832470 ns MR4_I 01000204 6002d1fc - 832530 ns MR4_D 40006004 00000001 - 832530 ns R r1 00000001 - 832530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 832550 ns R r1 80000000 - 832550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 832570 ns R psr 81000200 - 832570 ns MR4_I 01000208 2a001c5b - 832590 ns MR4_I 01000200 07c96841 - 832610 ns IT 01000200 6841 LDR r1,[r0,#4] - 832630 ns MR4_I 01000204 6002d1fc - 832690 ns MR4_D 40006004 00000001 - 832690 ns R r1 00000001 - 832690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 832710 ns R r1 80000000 - 832710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 832730 ns R psr 81000200 - 832730 ns MR4_I 01000208 2a001c5b - 832750 ns MR4_I 01000200 07c96841 - 832770 ns IT 01000200 6841 LDR r1,[r0,#4] - 832790 ns MR4_I 01000204 6002d1fc - 832850 ns MR4_D 40006004 00000001 - 832850 ns R r1 00000001 - 832850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 832870 ns R r1 80000000 - 832870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 832890 ns R psr 81000200 - 832890 ns MR4_I 01000208 2a001c5b - 832910 ns MR4_I 01000200 07c96841 - 832930 ns IT 01000200 6841 LDR r1,[r0,#4] - 832950 ns MR4_I 01000204 6002d1fc - 833010 ns MR4_D 40006004 00000001 - 833010 ns R r1 00000001 - 833010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 833030 ns R r1 80000000 - 833030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 833050 ns R psr 81000200 - 833050 ns MR4_I 01000208 2a001c5b - 833070 ns MR4_I 01000200 07c96841 - 833090 ns IT 01000200 6841 LDR r1,[r0,#4] - 833110 ns MR4_I 01000204 6002d1fc - 833170 ns MR4_D 40006004 00000001 - 833170 ns R r1 00000001 - 833170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 833190 ns R r1 80000000 - 833190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 833210 ns R psr 81000200 - 833210 ns MR4_I 01000208 2a001c5b - 833230 ns MR4_I 01000200 07c96841 - 833250 ns IT 01000200 6841 LDR r1,[r0,#4] - 833270 ns MR4_I 01000204 6002d1fc - 833330 ns MR4_D 40006004 00000001 - 833330 ns R r1 00000001 - 833330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 833350 ns R r1 80000000 - 833350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 833370 ns R psr 81000200 - 833370 ns MR4_I 01000208 2a001c5b - 833390 ns MR4_I 01000200 07c96841 - 833410 ns IT 01000200 6841 LDR r1,[r0,#4] - 833430 ns MR4_I 01000204 6002d1fc - 833490 ns MR4_D 40006004 00000001 - 833490 ns R r1 00000001 - 833490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 833510 ns R r1 80000000 - 833510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 833530 ns R psr 81000200 - 833530 ns MR4_I 01000208 2a001c5b - 833550 ns MR4_I 01000200 07c96841 - 833570 ns IT 01000200 6841 LDR r1,[r0,#4] - 833590 ns MR4_I 01000204 6002d1fc - 833650 ns MR4_D 40006004 00000001 - 833650 ns R r1 00000001 - 833650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 833670 ns R r1 80000000 - 833670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 833690 ns R psr 81000200 - 833690 ns MR4_I 01000208 2a001c5b - 833710 ns MR4_I 01000200 07c96841 - 833730 ns IT 01000200 6841 LDR r1,[r0,#4] - 833750 ns MR4_I 01000204 6002d1fc - 833810 ns MR4_D 40006004 00000001 - 833810 ns R r1 00000001 - 833810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 833830 ns R r1 80000000 - 833830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 833850 ns R psr 81000200 - 833850 ns MR4_I 01000208 2a001c5b - 833870 ns MR4_I 01000200 07c96841 - 833890 ns IT 01000200 6841 LDR r1,[r0,#4] - 833910 ns MR4_I 01000204 6002d1fc - 833970 ns MR4_D 40006004 00000001 - 833970 ns R r1 00000001 - 833970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 833990 ns R r1 80000000 - 833990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 834010 ns R psr 81000200 - 834010 ns MR4_I 01000208 2a001c5b - 834030 ns MR4_I 01000200 07c96841 - 834050 ns IT 01000200 6841 LDR r1,[r0,#4] - 834070 ns MR4_I 01000204 6002d1fc - 834130 ns MR4_D 40006004 00000001 - 834130 ns R r1 00000001 - 834130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 834150 ns R r1 80000000 - 834150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 834170 ns R psr 81000200 - 834170 ns MR4_I 01000208 2a001c5b - 834190 ns MR4_I 01000200 07c96841 - 834210 ns IT 01000200 6841 LDR r1,[r0,#4] - 834230 ns MR4_I 01000204 6002d1fc - 834290 ns MR4_D 40006004 00000001 - 834290 ns R r1 00000001 - 834290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 834310 ns R r1 80000000 - 834310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 834330 ns R psr 81000200 - 834330 ns MR4_I 01000208 2a001c5b - 834350 ns MR4_I 01000200 07c96841 - 834370 ns IT 01000200 6841 LDR r1,[r0,#4] - 834390 ns MR4_I 01000204 6002d1fc - 834450 ns MR4_D 40006004 00000001 - 834450 ns R r1 00000001 - 834450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 834470 ns R r1 80000000 - 834470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 834490 ns R psr 81000200 - 834490 ns MR4_I 01000208 2a001c5b - 834510 ns MR4_I 01000200 07c96841 - 834530 ns IT 01000200 6841 LDR r1,[r0,#4] - 834550 ns MR4_I 01000204 6002d1fc - 834610 ns MR4_D 40006004 00000001 - 834610 ns R r1 00000001 - 834610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 834630 ns R r1 80000000 - 834630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 834650 ns R psr 81000200 - 834650 ns MR4_I 01000208 2a001c5b - 834670 ns MR4_I 01000200 07c96841 - 834690 ns IT 01000200 6841 LDR r1,[r0,#4] - 834710 ns MR4_I 01000204 6002d1fc - 834770 ns MR4_D 40006004 00000001 - 834770 ns R r1 00000001 - 834770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 834790 ns R r1 80000000 - 834790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 834810 ns R psr 81000200 - 834810 ns MR4_I 01000208 2a001c5b - 834830 ns MR4_I 01000200 07c96841 - 834850 ns IT 01000200 6841 LDR r1,[r0,#4] - 834870 ns MR4_I 01000204 6002d1fc - 834930 ns MR4_D 40006004 00000001 - 834930 ns R r1 00000001 - 834930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 834950 ns R r1 80000000 - 834950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 834970 ns R psr 81000200 - 834970 ns MR4_I 01000208 2a001c5b - 834990 ns MR4_I 01000200 07c96841 - 835010 ns IT 01000200 6841 LDR r1,[r0,#4] - 835030 ns MR4_I 01000204 6002d1fc - 835090 ns MR4_D 40006004 00000001 - 835090 ns R r1 00000001 - 835090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 835110 ns R r1 80000000 - 835110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 835130 ns R psr 81000200 - 835130 ns MR4_I 01000208 2a001c5b - 835150 ns MR4_I 01000200 07c96841 - 835170 ns IT 01000200 6841 LDR r1,[r0,#4] - 835190 ns MR4_I 01000204 6002d1fc - 835250 ns MR4_D 40006004 00000001 - 835250 ns R r1 00000001 - 835250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 835270 ns R r1 80000000 - 835270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 835290 ns R psr 81000200 - 835290 ns MR4_I 01000208 2a001c5b - 835310 ns MR4_I 01000200 07c96841 - 835330 ns IT 01000200 6841 LDR r1,[r0,#4] - 835350 ns MR4_I 01000204 6002d1fc - 835410 ns MR4_D 40006004 00000001 - 835410 ns R r1 00000001 - 835410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 835430 ns R r1 80000000 - 835430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 835450 ns R psr 81000200 - 835450 ns MR4_I 01000208 2a001c5b - 835470 ns MR4_I 01000200 07c96841 - 835490 ns IT 01000200 6841 LDR r1,[r0,#4] - 835510 ns MR4_I 01000204 6002d1fc - 835570 ns MR4_D 40006004 00000001 - 835570 ns R r1 00000001 - 835570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 835590 ns R r1 80000000 - 835590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 835610 ns R psr 81000200 - 835610 ns MR4_I 01000208 2a001c5b - 835630 ns MR4_I 01000200 07c96841 - 835650 ns IT 01000200 6841 LDR r1,[r0,#4] - 835670 ns MR4_I 01000204 6002d1fc - 835730 ns MR4_D 40006004 00000001 - 835730 ns R r1 00000001 - 835730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 835750 ns R r1 80000000 - 835750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 835770 ns R psr 81000200 - 835770 ns MR4_I 01000208 2a001c5b - 835790 ns MR4_I 01000200 07c96841 - 835810 ns IT 01000200 6841 LDR r1,[r0,#4] - 835830 ns MR4_I 01000204 6002d1fc - 835890 ns MR4_D 40006004 00000001 - 835890 ns R r1 00000001 - 835890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 835910 ns R r1 80000000 - 835910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 835930 ns R psr 81000200 - 835930 ns MR4_I 01000208 2a001c5b - 835950 ns MR4_I 01000200 07c96841 - 835970 ns IT 01000200 6841 LDR r1,[r0,#4] - 835990 ns MR4_I 01000204 6002d1fc - 836050 ns MR4_D 40006004 00000001 - 836050 ns R r1 00000001 - 836050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 836070 ns R r1 80000000 - 836070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 836090 ns R psr 81000200 - 836090 ns MR4_I 01000208 2a001c5b - 836110 ns MR4_I 01000200 07c96841 - 836130 ns IT 01000200 6841 LDR r1,[r0,#4] - 836150 ns MR4_I 01000204 6002d1fc - 836210 ns MR4_D 40006004 00000001 - 836210 ns R r1 00000001 - 836210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 836230 ns R r1 80000000 - 836230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 836250 ns R psr 81000200 - 836250 ns MR4_I 01000208 2a001c5b - 836270 ns MR4_I 01000200 07c96841 - 836290 ns IT 01000200 6841 LDR r1,[r0,#4] - 836310 ns MR4_I 01000204 6002d1fc - 836370 ns MR4_D 40006004 00000001 - 836370 ns R r1 00000001 - 836370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 836390 ns R r1 80000000 - 836390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 836410 ns R psr 81000200 - 836410 ns MR4_I 01000208 2a001c5b - 836430 ns MR4_I 01000200 07c96841 - 836450 ns IT 01000200 6841 LDR r1,[r0,#4] - 836470 ns MR4_I 01000204 6002d1fc - 836530 ns MR4_D 40006004 00000001 - 836530 ns R r1 00000001 - 836530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 836550 ns R r1 80000000 - 836550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 836570 ns R psr 81000200 - 836570 ns MR4_I 01000208 2a001c5b - 836590 ns MR4_I 01000200 07c96841 - 836610 ns IT 01000200 6841 LDR r1,[r0,#4] - 836630 ns MR4_I 01000204 6002d1fc - 836690 ns MR4_D 40006004 00000001 - 836690 ns R r1 00000001 - 836690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 836710 ns R r1 80000000 - 836710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 836730 ns R psr 81000200 - 836730 ns MR4_I 01000208 2a001c5b - 836750 ns MR4_I 01000200 07c96841 - 836770 ns IT 01000200 6841 LDR r1,[r0,#4] - 836790 ns MR4_I 01000204 6002d1fc - 836850 ns MR4_D 40006004 00000001 - 836850 ns R r1 00000001 - 836850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 836870 ns R r1 80000000 - 836870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 836890 ns R psr 81000200 - 836890 ns MR4_I 01000208 2a001c5b - 836910 ns MR4_I 01000200 07c96841 - 836930 ns IT 01000200 6841 LDR r1,[r0,#4] - 836950 ns MR4_I 01000204 6002d1fc - 837010 ns MR4_D 40006004 00000001 - 837010 ns R r1 00000001 - 837010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 837030 ns R r1 80000000 - 837030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 837050 ns R psr 81000200 - 837050 ns MR4_I 01000208 2a001c5b - 837070 ns MR4_I 01000200 07c96841 - 837090 ns IT 01000200 6841 LDR r1,[r0,#4] - 837110 ns MR4_I 01000204 6002d1fc - 837170 ns MR4_D 40006004 00000001 - 837170 ns R r1 00000001 - 837170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 837190 ns R r1 80000000 - 837190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 837210 ns R psr 81000200 - 837210 ns MR4_I 01000208 2a001c5b - 837230 ns MR4_I 01000200 07c96841 - 837250 ns IT 01000200 6841 LDR r1,[r0,#4] - 837270 ns MR4_I 01000204 6002d1fc - 837330 ns MR4_D 40006004 00000001 - 837330 ns R r1 00000001 - 837330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 837350 ns R r1 80000000 - 837350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 837370 ns R psr 81000200 - 837370 ns MR4_I 01000208 2a001c5b - 837390 ns MR4_I 01000200 07c96841 - 837410 ns IT 01000200 6841 LDR r1,[r0,#4] - 837430 ns MR4_I 01000204 6002d1fc - 837490 ns MR4_D 40006004 00000001 - 837490 ns R r1 00000001 - 837490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 837510 ns R r1 80000000 - 837510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 837530 ns R psr 81000200 - 837530 ns MR4_I 01000208 2a001c5b - 837550 ns MR4_I 01000200 07c96841 - 837570 ns IT 01000200 6841 LDR r1,[r0,#4] - 837590 ns MR4_I 01000204 6002d1fc - 837650 ns MR4_D 40006004 00000001 - 837650 ns R r1 00000001 - 837650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 837670 ns R r1 80000000 - 837670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 837690 ns R psr 81000200 - 837690 ns MR4_I 01000208 2a001c5b - 837710 ns MR4_I 01000200 07c96841 - 837730 ns IT 01000200 6841 LDR r1,[r0,#4] - 837750 ns MR4_I 01000204 6002d1fc - 837810 ns MR4_D 40006004 00000001 - 837810 ns R r1 00000001 - 837810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 837830 ns R r1 80000000 - 837830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 837850 ns R psr 81000200 - 837850 ns MR4_I 01000208 2a001c5b - 837870 ns MR4_I 01000200 07c96841 - 837890 ns IT 01000200 6841 LDR r1,[r0,#4] - 837910 ns MR4_I 01000204 6002d1fc - 837970 ns MR4_D 40006004 00000001 - 837970 ns R r1 00000001 - 837970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 837990 ns R r1 80000000 - 837990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 838010 ns R psr 81000200 - 838010 ns MR4_I 01000208 2a001c5b - 838030 ns MR4_I 01000200 07c96841 - 838050 ns IT 01000200 6841 LDR r1,[r0,#4] - 838070 ns MR4_I 01000204 6002d1fc - 838130 ns MR4_D 40006004 00000001 - 838130 ns R r1 00000001 - 838130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 838150 ns R r1 80000000 - 838150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 838170 ns R psr 81000200 - 838170 ns MR4_I 01000208 2a001c5b - 838190 ns MR4_I 01000200 07c96841 - 838210 ns IT 01000200 6841 LDR r1,[r0,#4] - 838230 ns MR4_I 01000204 6002d1fc - 838290 ns MR4_D 40006004 00000001 - 838290 ns R r1 00000001 - 838290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 838310 ns R r1 80000000 - 838310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 838330 ns R psr 81000200 - 838330 ns MR4_I 01000208 2a001c5b - 838350 ns MR4_I 01000200 07c96841 - 838370 ns IT 01000200 6841 LDR r1,[r0,#4] - 838390 ns MR4_I 01000204 6002d1fc - 838450 ns MR4_D 40006004 00000001 - 838450 ns R r1 00000001 - 838450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 838470 ns R r1 80000000 - 838470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 838490 ns R psr 81000200 - 838490 ns MR4_I 01000208 2a001c5b - 838510 ns MR4_I 01000200 07c96841 - 838530 ns IT 01000200 6841 LDR r1,[r0,#4] - 838550 ns MR4_I 01000204 6002d1fc - 838610 ns MR4_D 40006004 00000001 - 838610 ns R r1 00000001 - 838610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 838630 ns R r1 80000000 - 838630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 838650 ns R psr 81000200 - 838650 ns MR4_I 01000208 2a001c5b - 838670 ns MR4_I 01000200 07c96841 - 838690 ns IT 01000200 6841 LDR r1,[r0,#4] - 838710 ns MR4_I 01000204 6002d1fc - 838770 ns MR4_D 40006004 00000001 - 838770 ns R r1 00000001 - 838770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 838790 ns R r1 80000000 - 838790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 838810 ns R psr 81000200 - 838810 ns MR4_I 01000208 2a001c5b - 838830 ns MR4_I 01000200 07c96841 - 838850 ns IT 01000200 6841 LDR r1,[r0,#4] - 838870 ns MR4_I 01000204 6002d1fc - 838930 ns MR4_D 40006004 00000001 - 838930 ns R r1 00000001 - 838930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 838950 ns R r1 80000000 - 838950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 838970 ns R psr 81000200 - 838970 ns MR4_I 01000208 2a001c5b - 838990 ns MR4_I 01000200 07c96841 - 839010 ns IT 01000200 6841 LDR r1,[r0,#4] - 839030 ns MR4_I 01000204 6002d1fc - 839090 ns MR4_D 40006004 00000001 - 839090 ns R r1 00000001 - 839090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 839110 ns R r1 80000000 - 839110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 839130 ns R psr 81000200 - 839130 ns MR4_I 01000208 2a001c5b - 839150 ns MR4_I 01000200 07c96841 - 839170 ns IT 01000200 6841 LDR r1,[r0,#4] - 839190 ns MR4_I 01000204 6002d1fc - 839250 ns MR4_D 40006004 00000001 - 839250 ns R r1 00000001 - 839250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 839270 ns R r1 80000000 - 839270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 839290 ns R psr 81000200 - 839290 ns MR4_I 01000208 2a001c5b - 839310 ns MR4_I 01000200 07c96841 - 839330 ns IT 01000200 6841 LDR r1,[r0,#4] - 839350 ns MR4_I 01000204 6002d1fc - 839410 ns MR4_D 40006004 00000001 - 839410 ns R r1 00000001 - 839410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 839430 ns R r1 80000000 - 839430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 839450 ns R psr 81000200 - 839450 ns MR4_I 01000208 2a001c5b - 839470 ns MR4_I 01000200 07c96841 - 839490 ns IT 01000200 6841 LDR r1,[r0,#4] - 839510 ns MR4_I 01000204 6002d1fc - 839570 ns MR4_D 40006004 00000001 - 839570 ns R r1 00000001 - 839570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 839590 ns R r1 80000000 - 839590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 839610 ns R psr 81000200 - 839610 ns MR4_I 01000208 2a001c5b - 839630 ns MR4_I 01000200 07c96841 - 839650 ns IT 01000200 6841 LDR r1,[r0,#4] - 839670 ns MR4_I 01000204 6002d1fc - 839730 ns MR4_D 40006004 00000001 - 839730 ns R r1 00000001 - 839730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 839750 ns R r1 80000000 - 839750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 839770 ns R psr 81000200 - 839770 ns MR4_I 01000208 2a001c5b - 839790 ns MR4_I 01000200 07c96841 - 839810 ns IT 01000200 6841 LDR r1,[r0,#4] - 839830 ns MR4_I 01000204 6002d1fc - 839890 ns MR4_D 40006004 00000001 - 839890 ns R r1 00000001 - 839890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 839910 ns R r1 80000000 - 839910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 839930 ns R psr 81000200 - 839930 ns MR4_I 01000208 2a001c5b - 839950 ns MR4_I 01000200 07c96841 - 839970 ns IT 01000200 6841 LDR r1,[r0,#4] - 839990 ns MR4_I 01000204 6002d1fc - 840050 ns MR4_D 40006004 00000001 - 840050 ns R r1 00000001 - 840050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 840070 ns R r1 80000000 - 840070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 840090 ns R psr 81000200 - 840090 ns MR4_I 01000208 2a001c5b - 840110 ns MR4_I 01000200 07c96841 - 840130 ns IT 01000200 6841 LDR r1,[r0,#4] - 840150 ns MR4_I 01000204 6002d1fc - 840210 ns MR4_D 40006004 00000001 - 840210 ns R r1 00000001 - 840210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 840230 ns R r1 80000000 - 840230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 840250 ns R psr 81000200 - 840250 ns MR4_I 01000208 2a001c5b - 840270 ns MR4_I 01000200 07c96841 - 840290 ns IT 01000200 6841 LDR r1,[r0,#4] - 840310 ns MR4_I 01000204 6002d1fc - 840370 ns MR4_D 40006004 00000001 - 840370 ns R r1 00000001 - 840370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 840390 ns R r1 80000000 - 840390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 840410 ns R psr 81000200 - 840410 ns MR4_I 01000208 2a001c5b - 840430 ns MR4_I 01000200 07c96841 - 840450 ns IT 01000200 6841 LDR r1,[r0,#4] - 840470 ns MR4_I 01000204 6002d1fc - 840530 ns MR4_D 40006004 00000001 - 840530 ns R r1 00000001 - 840530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 840550 ns R r1 80000000 - 840550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 840570 ns R psr 81000200 - 840570 ns MR4_I 01000208 2a001c5b - 840590 ns MR4_I 01000200 07c96841 - 840610 ns IT 01000200 6841 LDR r1,[r0,#4] - 840630 ns MR4_I 01000204 6002d1fc - 840690 ns MR4_D 40006004 00000001 - 840690 ns R r1 00000001 - 840690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 840710 ns R r1 80000000 - 840710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 840730 ns R psr 81000200 - 840730 ns MR4_I 01000208 2a001c5b - 840750 ns MR4_I 01000200 07c96841 - 840770 ns IT 01000200 6841 LDR r1,[r0,#4] - 840790 ns MR4_I 01000204 6002d1fc - 840850 ns MR4_D 40006004 00000001 - 840850 ns R r1 00000001 - 840850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 840870 ns R r1 80000000 - 840870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 840890 ns R psr 81000200 - 840890 ns MR4_I 01000208 2a001c5b - 840910 ns MR4_I 01000200 07c96841 - 840930 ns IT 01000200 6841 LDR r1,[r0,#4] - 840950 ns MR4_I 01000204 6002d1fc - 841010 ns MR4_D 40006004 00000001 - 841010 ns R r1 00000001 - 841010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 841030 ns R r1 80000000 - 841030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 841050 ns R psr 81000200 - 841050 ns MR4_I 01000208 2a001c5b - 841070 ns MR4_I 01000200 07c96841 - 841090 ns IT 01000200 6841 LDR r1,[r0,#4] - 841110 ns MR4_I 01000204 6002d1fc - 841170 ns MR4_D 40006004 00000001 - 841170 ns R r1 00000001 - 841170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 841190 ns R r1 80000000 - 841190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 841210 ns R psr 81000200 - 841210 ns MR4_I 01000208 2a001c5b - 841230 ns MR4_I 01000200 07c96841 - 841250 ns IT 01000200 6841 LDR r1,[r0,#4] - 841270 ns MR4_I 01000204 6002d1fc - 841330 ns MR4_D 40006004 00000001 - 841330 ns R r1 00000001 - 841330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 841350 ns R r1 80000000 - 841350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 841370 ns R psr 81000200 - 841370 ns MR4_I 01000208 2a001c5b - 841390 ns MR4_I 01000200 07c96841 - 841410 ns IT 01000200 6841 LDR r1,[r0,#4] - 841430 ns MR4_I 01000204 6002d1fc - 841490 ns MR4_D 40006004 00000001 - 841490 ns R r1 00000001 - 841490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 841510 ns R r1 80000000 - 841510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 841530 ns R psr 81000200 - 841530 ns MR4_I 01000208 2a001c5b - 841550 ns MR4_I 01000200 07c96841 - 841570 ns IT 01000200 6841 LDR r1,[r0,#4] - 841590 ns MR4_I 01000204 6002d1fc - 841650 ns MR4_D 40006004 00000001 - 841650 ns R r1 00000001 - 841650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 841670 ns R r1 80000000 - 841670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 841690 ns R psr 81000200 - 841690 ns MR4_I 01000208 2a001c5b - 841710 ns MR4_I 01000200 07c96841 - 841730 ns IT 01000200 6841 LDR r1,[r0,#4] - 841750 ns MR4_I 01000204 6002d1fc - 841810 ns MR4_D 40006004 00000001 - 841810 ns R r1 00000001 - 841810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 841830 ns R r1 80000000 - 841830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 841850 ns R psr 81000200 - 841850 ns MR4_I 01000208 2a001c5b - 841870 ns MR4_I 01000200 07c96841 - 841890 ns IT 01000200 6841 LDR r1,[r0,#4] - 841910 ns MR4_I 01000204 6002d1fc - 841970 ns MR4_D 40006004 00000001 - 841970 ns R r1 00000001 - 841970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 841990 ns R r1 80000000 - 841990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 842010 ns R psr 81000200 - 842010 ns MR4_I 01000208 2a001c5b - 842030 ns MR4_I 01000200 07c96841 - 842050 ns IT 01000200 6841 LDR r1,[r0,#4] - 842070 ns MR4_I 01000204 6002d1fc - 842130 ns MR4_D 40006004 00000001 - 842130 ns R r1 00000001 - 842130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 842150 ns R r1 80000000 - 842150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 842170 ns R psr 81000200 - 842170 ns MR4_I 01000208 2a001c5b - 842190 ns MR4_I 01000200 07c96841 - 842210 ns IT 01000200 6841 LDR r1,[r0,#4] - 842230 ns MR4_I 01000204 6002d1fc - 842290 ns MR4_D 40006004 00000001 - 842290 ns R r1 00000001 - 842290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 842310 ns R r1 80000000 - 842310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 842330 ns R psr 81000200 - 842330 ns MR4_I 01000208 2a001c5b - 842350 ns MR4_I 01000200 07c96841 - 842370 ns IT 01000200 6841 LDR r1,[r0,#4] - 842390 ns MR4_I 01000204 6002d1fc - 842450 ns MR4_D 40006004 00000001 - 842450 ns R r1 00000001 - 842450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 842470 ns R r1 80000000 - 842470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 842490 ns R psr 81000200 - 842490 ns MR4_I 01000208 2a001c5b - 842510 ns MR4_I 01000200 07c96841 - 842530 ns IT 01000200 6841 LDR r1,[r0,#4] - 842550 ns MR4_I 01000204 6002d1fc - 842610 ns MR4_D 40006004 00000001 - 842610 ns R r1 00000001 - 842610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 842630 ns R r1 80000000 - 842630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 842650 ns R psr 81000200 - 842650 ns MR4_I 01000208 2a001c5b - 842670 ns MR4_I 01000200 07c96841 - 842690 ns IT 01000200 6841 LDR r1,[r0,#4] - 842710 ns MR4_I 01000204 6002d1fc - 842770 ns MR4_D 40006004 00000001 - 842770 ns R r1 00000001 - 842770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 842790 ns R r1 80000000 - 842790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 842810 ns R psr 81000200 - 842810 ns MR4_I 01000208 2a001c5b - 842830 ns MR4_I 01000200 07c96841 - 842850 ns IT 01000200 6841 LDR r1,[r0,#4] - 842870 ns MR4_I 01000204 6002d1fc - 842930 ns MR4_D 40006004 00000001 - 842930 ns R r1 00000001 - 842930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 842950 ns R r1 80000000 - 842950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 842970 ns R psr 81000200 - 842970 ns MR4_I 01000208 2a001c5b - 842990 ns MR4_I 01000200 07c96841 - 843010 ns IT 01000200 6841 LDR r1,[r0,#4] - 843030 ns MR4_I 01000204 6002d1fc - 843090 ns MR4_D 40006004 00000001 - 843090 ns R r1 00000001 - 843090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 843110 ns R r1 80000000 - 843110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 843130 ns R psr 81000200 - 843130 ns MR4_I 01000208 2a001c5b - 843150 ns MR4_I 01000200 07c96841 - 843170 ns IT 01000200 6841 LDR r1,[r0,#4] - 843190 ns MR4_I 01000204 6002d1fc - 843250 ns MR4_D 40006004 00000001 - 843250 ns R r1 00000001 - 843250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 843270 ns R r1 80000000 - 843270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 843290 ns R psr 81000200 - 843290 ns MR4_I 01000208 2a001c5b - 843310 ns MR4_I 01000200 07c96841 - 843330 ns IT 01000200 6841 LDR r1,[r0,#4] - 843350 ns MR4_I 01000204 6002d1fc - 843410 ns MR4_D 40006004 00000001 - 843410 ns R r1 00000001 - 843410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 843430 ns R r1 80000000 - 843430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 843450 ns R psr 81000200 - 843450 ns MR4_I 01000208 2a001c5b - 843470 ns MR4_I 01000200 07c96841 - 843490 ns IT 01000200 6841 LDR r1,[r0,#4] - 843510 ns MR4_I 01000204 6002d1fc - 843570 ns MR4_D 40006004 00000001 - 843570 ns R r1 00000001 - 843570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 843590 ns R r1 80000000 - 843590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 843610 ns R psr 81000200 - 843610 ns MR4_I 01000208 2a001c5b - 843630 ns MR4_I 01000200 07c96841 - 843650 ns IT 01000200 6841 LDR r1,[r0,#4] - 843670 ns MR4_I 01000204 6002d1fc - 843730 ns MR4_D 40006004 00000001 - 843730 ns R r1 00000001 - 843730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 843750 ns R r1 80000000 - 843750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 843770 ns R psr 81000200 - 843770 ns MR4_I 01000208 2a001c5b - 843790 ns MR4_I 01000200 07c96841 - 843810 ns IT 01000200 6841 LDR r1,[r0,#4] - 843830 ns MR4_I 01000204 6002d1fc - 843890 ns MR4_D 40006004 00000001 - 843890 ns R r1 00000001 - 843890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 843910 ns R r1 80000000 - 843910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 843930 ns R psr 81000200 - 843930 ns MR4_I 01000208 2a001c5b - 843950 ns MR4_I 01000200 07c96841 - 843970 ns IT 01000200 6841 LDR r1,[r0,#4] - 843990 ns MR4_I 01000204 6002d1fc - 844050 ns MR4_D 40006004 00000001 - 844050 ns R r1 00000001 - 844050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 844070 ns R r1 80000000 - 844070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 844090 ns R psr 81000200 - 844090 ns MR4_I 01000208 2a001c5b - 844110 ns MR4_I 01000200 07c96841 - 844130 ns IT 01000200 6841 LDR r1,[r0,#4] - 844150 ns MR4_I 01000204 6002d1fc - 844210 ns MR4_D 40006004 00000001 - 844210 ns R r1 00000001 - 844210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 844230 ns R r1 80000000 - 844230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 844250 ns R psr 81000200 - 844250 ns MR4_I 01000208 2a001c5b - 844270 ns MR4_I 01000200 07c96841 - 844290 ns IT 01000200 6841 LDR r1,[r0,#4] - 844310 ns MR4_I 01000204 6002d1fc - 844370 ns MR4_D 40006004 00000001 - 844370 ns R r1 00000001 - 844370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 844390 ns R r1 80000000 - 844390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 844410 ns R psr 81000200 - 844410 ns MR4_I 01000208 2a001c5b - 844430 ns MR4_I 01000200 07c96841 - 844450 ns IT 01000200 6841 LDR r1,[r0,#4] - 844470 ns MR4_I 01000204 6002d1fc - 844530 ns MR4_D 40006004 00000001 - 844530 ns R r1 00000001 - 844530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 844550 ns R r1 80000000 - 844550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 844570 ns R psr 81000200 - 844570 ns MR4_I 01000208 2a001c5b - 844590 ns MR4_I 01000200 07c96841 - 844610 ns IT 01000200 6841 LDR r1,[r0,#4] - 844630 ns MR4_I 01000204 6002d1fc - 844690 ns MR4_D 40006004 00000001 - 844690 ns R r1 00000001 - 844690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 844710 ns R r1 80000000 - 844710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 844730 ns R psr 81000200 - 844730 ns MR4_I 01000208 2a001c5b - 844750 ns MR4_I 01000200 07c96841 - 844770 ns IT 01000200 6841 LDR r1,[r0,#4] - 844790 ns MR4_I 01000204 6002d1fc - 844850 ns MR4_D 40006004 00000001 - 844850 ns R r1 00000001 - 844850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 844870 ns R r1 80000000 - 844870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 844890 ns R psr 81000200 - 844890 ns MR4_I 01000208 2a001c5b - 844910 ns MR4_I 01000200 07c96841 - 844930 ns IT 01000200 6841 LDR r1,[r0,#4] - 844950 ns MR4_I 01000204 6002d1fc - 845010 ns MR4_D 40006004 00000001 - 845010 ns R r1 00000001 - 845010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 845030 ns R r1 80000000 - 845030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 845050 ns R psr 81000200 - 845050 ns MR4_I 01000208 2a001c5b - 845070 ns MR4_I 01000200 07c96841 - 845090 ns IT 01000200 6841 LDR r1,[r0,#4] - 845110 ns MR4_I 01000204 6002d1fc - 845170 ns MR4_D 40006004 00000001 - 845170 ns R r1 00000001 - 845170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 845190 ns R r1 80000000 - 845190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 845210 ns R psr 81000200 - 845210 ns MR4_I 01000208 2a001c5b - 845230 ns MR4_I 01000200 07c96841 - 845250 ns IT 01000200 6841 LDR r1,[r0,#4] - 845270 ns MR4_I 01000204 6002d1fc - 845330 ns MR4_D 40006004 00000001 - 845330 ns R r1 00000001 - 845330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 845350 ns R r1 80000000 - 845350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 845370 ns R psr 81000200 - 845370 ns MR4_I 01000208 2a001c5b - 845390 ns MR4_I 01000200 07c96841 - 845410 ns IT 01000200 6841 LDR r1,[r0,#4] - 845430 ns MR4_I 01000204 6002d1fc - 845490 ns MR4_D 40006004 00000001 - 845490 ns R r1 00000001 - 845490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 845510 ns R r1 80000000 - 845510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 845530 ns R psr 81000200 - 845530 ns MR4_I 01000208 2a001c5b - 845550 ns MR4_I 01000200 07c96841 - 845570 ns IT 01000200 6841 LDR r1,[r0,#4] - 845590 ns MR4_I 01000204 6002d1fc - 845650 ns MR4_D 40006004 00000001 - 845650 ns R r1 00000001 - 845650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 845670 ns R r1 80000000 - 845670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 845690 ns R psr 81000200 - 845690 ns MR4_I 01000208 2a001c5b - 845710 ns MR4_I 01000200 07c96841 - 845730 ns IT 01000200 6841 LDR r1,[r0,#4] - 845750 ns MR4_I 01000204 6002d1fc - 845810 ns MR4_D 40006004 00000001 - 845810 ns R r1 00000001 - 845810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 845830 ns R r1 80000000 - 845830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 845850 ns R psr 81000200 - 845850 ns MR4_I 01000208 2a001c5b - 845870 ns MR4_I 01000200 07c96841 - 845890 ns IT 01000200 6841 LDR r1,[r0,#4] - 845910 ns MR4_I 01000204 6002d1fc - 845970 ns MR4_D 40006004 00000001 - 845970 ns R r1 00000001 - 845970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 845990 ns R r1 80000000 - 845990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 846010 ns R psr 81000200 - 846010 ns MR4_I 01000208 2a001c5b - 846030 ns MR4_I 01000200 07c96841 - 846050 ns IT 01000200 6841 LDR r1,[r0,#4] - 846070 ns MR4_I 01000204 6002d1fc - 846130 ns MR4_D 40006004 00000001 - 846130 ns R r1 00000001 - 846130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 846150 ns R r1 80000000 - 846150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 846170 ns R psr 81000200 - 846170 ns MR4_I 01000208 2a001c5b - 846190 ns MR4_I 01000200 07c96841 - 846210 ns IT 01000200 6841 LDR r1,[r0,#4] - 846230 ns MR4_I 01000204 6002d1fc - 846290 ns MR4_D 40006004 00000001 - 846290 ns R r1 00000001 - 846290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 846310 ns R r1 80000000 - 846310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 846330 ns R psr 81000200 - 846330 ns MR4_I 01000208 2a001c5b - 846350 ns MR4_I 01000200 07c96841 - 846370 ns IT 01000200 6841 LDR r1,[r0,#4] - 846390 ns MR4_I 01000204 6002d1fc - 846450 ns MR4_D 40006004 00000001 - 846450 ns R r1 00000001 - 846450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 846470 ns R r1 80000000 - 846470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 846490 ns R psr 81000200 - 846490 ns MR4_I 01000208 2a001c5b - 846510 ns MR4_I 01000200 07c96841 - 846530 ns IT 01000200 6841 LDR r1,[r0,#4] - 846550 ns MR4_I 01000204 6002d1fc - 846610 ns MR4_D 40006004 00000001 - 846610 ns R r1 00000001 - 846610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 846630 ns R r1 80000000 - 846630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 846650 ns R psr 81000200 - 846650 ns MR4_I 01000208 2a001c5b - 846670 ns MR4_I 01000200 07c96841 - 846690 ns IT 01000200 6841 LDR r1,[r0,#4] - 846710 ns MR4_I 01000204 6002d1fc - 846770 ns MR4_D 40006004 00000001 - 846770 ns R r1 00000001 - 846770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 846790 ns R r1 80000000 - 846790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 846810 ns R psr 81000200 - 846810 ns MR4_I 01000208 2a001c5b - 846830 ns MR4_I 01000200 07c96841 - 846850 ns IT 01000200 6841 LDR r1,[r0,#4] - 846870 ns MR4_I 01000204 6002d1fc - 846930 ns MR4_D 40006004 00000001 - 846930 ns R r1 00000001 - 846930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 846950 ns R r1 80000000 - 846950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 846970 ns R psr 81000200 - 846970 ns MR4_I 01000208 2a001c5b - 846990 ns MR4_I 01000200 07c96841 - 847010 ns IT 01000200 6841 LDR r1,[r0,#4] - 847030 ns MR4_I 01000204 6002d1fc - 847090 ns MR4_D 40006004 00000001 - 847090 ns R r1 00000001 - 847090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 847110 ns R r1 80000000 - 847110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 847130 ns R psr 81000200 - 847130 ns MR4_I 01000208 2a001c5b - 847150 ns MR4_I 01000200 07c96841 - 847170 ns IT 01000200 6841 LDR r1,[r0,#4] - 847190 ns MR4_I 01000204 6002d1fc - 847250 ns MR4_D 40006004 00000001 - 847250 ns R r1 00000001 - 847250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 847270 ns R r1 80000000 - 847270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 847290 ns R psr 81000200 - 847290 ns MR4_I 01000208 2a001c5b - 847310 ns MR4_I 01000200 07c96841 - 847330 ns IT 01000200 6841 LDR r1,[r0,#4] - 847350 ns MR4_I 01000204 6002d1fc - 847410 ns MR4_D 40006004 00000001 - 847410 ns R r1 00000001 - 847410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 847430 ns R r1 80000000 - 847430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 847450 ns R psr 81000200 - 847450 ns MR4_I 01000208 2a001c5b - 847470 ns MR4_I 01000200 07c96841 - 847490 ns IT 01000200 6841 LDR r1,[r0,#4] - 847510 ns MR4_I 01000204 6002d1fc - 847570 ns MR4_D 40006004 00000001 - 847570 ns R r1 00000001 - 847570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 847590 ns R r1 80000000 - 847590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 847610 ns R psr 81000200 - 847610 ns MR4_I 01000208 2a001c5b - 847630 ns MR4_I 01000200 07c96841 - 847650 ns IT 01000200 6841 LDR r1,[r0,#4] - 847670 ns MR4_I 01000204 6002d1fc - 847730 ns MR4_D 40006004 00000001 - 847730 ns R r1 00000001 - 847730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 847750 ns R r1 80000000 - 847750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 847770 ns R psr 81000200 - 847770 ns MR4_I 01000208 2a001c5b - 847790 ns MR4_I 01000200 07c96841 - 847810 ns IT 01000200 6841 LDR r1,[r0,#4] - 847830 ns MR4_I 01000204 6002d1fc - 847890 ns MR4_D 40006004 00000001 - 847890 ns R r1 00000001 - 847890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 847910 ns R r1 80000000 - 847910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 847930 ns R psr 81000200 - 847930 ns MR4_I 01000208 2a001c5b - 847950 ns MR4_I 01000200 07c96841 - 847970 ns IT 01000200 6841 LDR r1,[r0,#4] - 847990 ns MR4_I 01000204 6002d1fc - 848050 ns MR4_D 40006004 00000001 - 848050 ns R r1 00000001 - 848050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 848070 ns R r1 80000000 - 848070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 848090 ns R psr 81000200 - 848090 ns MR4_I 01000208 2a001c5b - 848110 ns MR4_I 01000200 07c96841 - 848130 ns IT 01000200 6841 LDR r1,[r0,#4] - 848150 ns MR4_I 01000204 6002d1fc - 848210 ns MR4_D 40006004 00000001 - 848210 ns R r1 00000001 - 848210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 848230 ns R r1 80000000 - 848230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 848250 ns R psr 81000200 - 848250 ns MR4_I 01000208 2a001c5b - 848270 ns MR4_I 01000200 07c96841 - 848290 ns IT 01000200 6841 LDR r1,[r0,#4] - 848310 ns MR4_I 01000204 6002d1fc - 848370 ns MR4_D 40006004 00000001 - 848370 ns R r1 00000001 - 848370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 848390 ns R r1 80000000 - 848390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 848410 ns R psr 81000200 - 848410 ns MR4_I 01000208 2a001c5b - 848430 ns MR4_I 01000200 07c96841 - 848450 ns IT 01000200 6841 LDR r1,[r0,#4] - 848470 ns MR4_I 01000204 6002d1fc - 848530 ns MR4_D 40006004 00000001 - 848530 ns R r1 00000001 - 848530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 848550 ns R r1 80000000 - 848550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 848570 ns R psr 81000200 - 848570 ns MR4_I 01000208 2a001c5b - 848590 ns MR4_I 01000200 07c96841 - 848610 ns IT 01000200 6841 LDR r1,[r0,#4] - 848630 ns MR4_I 01000204 6002d1fc - 848690 ns MR4_D 40006004 00000000 - 848690 ns R r1 00000000 - 848690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 848710 ns R r1 00000000 - 848710 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 848730 ns R psr 41000200 - 848730 ns MR4_I 01000208 2a001c5b - 848730 ns IT 01000206 6002 STR r2,[r0,#0] - 848810 ns MW4_D 40006000 00000072 - 848810 ns IT 01000208 1c5b ADDS r3,r3,#1 - 848830 ns MR4_I 0100020c a32ad1f5 - 848830 ns R r3 010002aa - 848830 ns IT 0100020a 2a00 CMP r2,#0 - 848850 ns R psr 01000200 - 848850 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 848870 ns R psr 21000200 - 848870 ns MR4_I 01000210 2a00781a - 848890 ns MR4_I 010001f8 781aa326 - 848910 ns MR4_I 010001fc d0062a00 - 848910 ns IT 010001fa 781a LDRB r2,[r3,#0] - 848950 ns MR1_D 010002aa 6574726f - 848950 ns R r2 00000074 - 848950 ns IT 010001fc 2a00 CMP r2,#0 - 848970 ns MR4_I 01000200 07c96841 - 848970 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 848990 ns R psr 21000200 - 848990 ns IT 01000200 6841 LDR r1,[r0,#4] - 849010 ns MR4_I 01000204 6002d1fc - 849070 ns MR4_D 40006004 00000001 - 849070 ns R r1 00000001 - 849070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 849090 ns R r1 80000000 - 849090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 849110 ns R psr 81000200 - 849110 ns MR4_I 01000208 2a001c5b - 849130 ns MR4_I 01000200 07c96841 - 849150 ns IT 01000200 6841 LDR r1,[r0,#4] - 849170 ns MR4_I 01000204 6002d1fc - 849230 ns MR4_D 40006004 00000001 - 849230 ns R r1 00000001 - 849230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 849250 ns R r1 80000000 - 849250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 849270 ns R psr 81000200 - 849270 ns MR4_I 01000208 2a001c5b - 849290 ns MR4_I 01000200 07c96841 - 849310 ns IT 01000200 6841 LDR r1,[r0,#4] - 849330 ns MR4_I 01000204 6002d1fc - 849390 ns MR4_D 40006004 00000001 - 849390 ns R r1 00000001 - 849390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 849410 ns R r1 80000000 - 849410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 849430 ns R psr 81000200 - 849430 ns MR4_I 01000208 2a001c5b - 849450 ns MR4_I 01000200 07c96841 - 849470 ns IT 01000200 6841 LDR r1,[r0,#4] - 849490 ns MR4_I 01000204 6002d1fc - 849550 ns MR4_D 40006004 00000001 - 849550 ns R r1 00000001 - 849550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 849570 ns R r1 80000000 - 849570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 849590 ns R psr 81000200 - 849590 ns MR4_I 01000208 2a001c5b - 849610 ns MR4_I 01000200 07c96841 - 849630 ns IT 01000200 6841 LDR r1,[r0,#4] - 849650 ns MR4_I 01000204 6002d1fc - 849710 ns MR4_D 40006004 00000001 - 849710 ns R r1 00000001 - 849710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 849730 ns R r1 80000000 - 849730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 849750 ns R psr 81000200 - 849750 ns MR4_I 01000208 2a001c5b - 849770 ns MR4_I 01000200 07c96841 - 849790 ns IT 01000200 6841 LDR r1,[r0,#4] - 849810 ns MR4_I 01000204 6002d1fc - 849870 ns MR4_D 40006004 00000001 - 849870 ns R r1 00000001 - 849870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 849890 ns R r1 80000000 - 849890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 849910 ns R psr 81000200 - 849910 ns MR4_I 01000208 2a001c5b - 849930 ns MR4_I 01000200 07c96841 - 849950 ns IT 01000200 6841 LDR r1,[r0,#4] - 849970 ns MR4_I 01000204 6002d1fc - 850030 ns MR4_D 40006004 00000001 - 850030 ns R r1 00000001 - 850030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 850050 ns R r1 80000000 - 850050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 850070 ns R psr 81000200 - 850070 ns MR4_I 01000208 2a001c5b - 850090 ns MR4_I 01000200 07c96841 - 850110 ns IT 01000200 6841 LDR r1,[r0,#4] - 850130 ns MR4_I 01000204 6002d1fc - 850190 ns MR4_D 40006004 00000001 - 850190 ns R r1 00000001 - 850190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 850210 ns R r1 80000000 - 850210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 850230 ns R psr 81000200 - 850230 ns MR4_I 01000208 2a001c5b - 850250 ns MR4_I 01000200 07c96841 - 850270 ns IT 01000200 6841 LDR r1,[r0,#4] - 850290 ns MR4_I 01000204 6002d1fc - 850350 ns MR4_D 40006004 00000001 - 850350 ns R r1 00000001 - 850350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 850370 ns R r1 80000000 - 850370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 850390 ns R psr 81000200 - 850390 ns MR4_I 01000208 2a001c5b - 850410 ns MR4_I 01000200 07c96841 - 850430 ns IT 01000200 6841 LDR r1,[r0,#4] - 850450 ns MR4_I 01000204 6002d1fc - 850510 ns MR4_D 40006004 00000001 - 850510 ns R r1 00000001 - 850510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 850530 ns R r1 80000000 - 850530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 850550 ns R psr 81000200 - 850550 ns MR4_I 01000208 2a001c5b - 850570 ns MR4_I 01000200 07c96841 - 850590 ns IT 01000200 6841 LDR r1,[r0,#4] - 850610 ns MR4_I 01000204 6002d1fc - 850670 ns MR4_D 40006004 00000001 - 850670 ns R r1 00000001 - 850670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 850690 ns R r1 80000000 - 850690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 850710 ns R psr 81000200 - 850710 ns MR4_I 01000208 2a001c5b - 850730 ns MR4_I 01000200 07c96841 - 850750 ns IT 01000200 6841 LDR r1,[r0,#4] - 850770 ns MR4_I 01000204 6002d1fc - 850830 ns MR4_D 40006004 00000001 - 850830 ns R r1 00000001 - 850830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 850850 ns R r1 80000000 - 850850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 850870 ns R psr 81000200 - 850870 ns MR4_I 01000208 2a001c5b - 850890 ns MR4_I 01000200 07c96841 - 850910 ns IT 01000200 6841 LDR r1,[r0,#4] - 850930 ns MR4_I 01000204 6002d1fc - 850990 ns MR4_D 40006004 00000001 - 850990 ns R r1 00000001 - 850990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 851010 ns R r1 80000000 - 851010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 851030 ns R psr 81000200 - 851030 ns MR4_I 01000208 2a001c5b - 851050 ns MR4_I 01000200 07c96841 - 851070 ns IT 01000200 6841 LDR r1,[r0,#4] - 851090 ns MR4_I 01000204 6002d1fc - 851150 ns MR4_D 40006004 00000001 - 851150 ns R r1 00000001 - 851150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 851170 ns R r1 80000000 - 851170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 851190 ns R psr 81000200 - 851190 ns MR4_I 01000208 2a001c5b - 851210 ns MR4_I 01000200 07c96841 - 851230 ns IT 01000200 6841 LDR r1,[r0,#4] - 851250 ns MR4_I 01000204 6002d1fc - 851310 ns MR4_D 40006004 00000001 - 851310 ns R r1 00000001 - 851310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 851330 ns R r1 80000000 - 851330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 851350 ns R psr 81000200 - 851350 ns MR4_I 01000208 2a001c5b - 851370 ns MR4_I 01000200 07c96841 - 851390 ns IT 01000200 6841 LDR r1,[r0,#4] - 851410 ns MR4_I 01000204 6002d1fc - 851470 ns MR4_D 40006004 00000001 - 851470 ns R r1 00000001 - 851470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 851490 ns R r1 80000000 - 851490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 851510 ns R psr 81000200 - 851510 ns MR4_I 01000208 2a001c5b - 851530 ns MR4_I 01000200 07c96841 - 851550 ns IT 01000200 6841 LDR r1,[r0,#4] - 851570 ns MR4_I 01000204 6002d1fc - 851630 ns MR4_D 40006004 00000001 - 851630 ns R r1 00000001 - 851630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 851650 ns R r1 80000000 - 851650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 851670 ns R psr 81000200 - 851670 ns MR4_I 01000208 2a001c5b - 851690 ns MR4_I 01000200 07c96841 - 851710 ns IT 01000200 6841 LDR r1,[r0,#4] - 851730 ns MR4_I 01000204 6002d1fc - 851790 ns MR4_D 40006004 00000001 - 851790 ns R r1 00000001 - 851790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 851810 ns R r1 80000000 - 851810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 851830 ns R psr 81000200 - 851830 ns MR4_I 01000208 2a001c5b - 851850 ns MR4_I 01000200 07c96841 - 851870 ns IT 01000200 6841 LDR r1,[r0,#4] - 851890 ns MR4_I 01000204 6002d1fc - 851950 ns MR4_D 40006004 00000001 - 851950 ns R r1 00000001 - 851950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 851970 ns R r1 80000000 - 851970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 851990 ns R psr 81000200 - 851990 ns MR4_I 01000208 2a001c5b - 852010 ns MR4_I 01000200 07c96841 - 852030 ns IT 01000200 6841 LDR r1,[r0,#4] - 852050 ns MR4_I 01000204 6002d1fc - 852110 ns MR4_D 40006004 00000001 - 852110 ns R r1 00000001 - 852110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 852130 ns R r1 80000000 - 852130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 852150 ns R psr 81000200 - 852150 ns MR4_I 01000208 2a001c5b - 852170 ns MR4_I 01000200 07c96841 - 852190 ns IT 01000200 6841 LDR r1,[r0,#4] - 852210 ns MR4_I 01000204 6002d1fc - 852270 ns MR4_D 40006004 00000001 - 852270 ns R r1 00000001 - 852270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 852290 ns R r1 80000000 - 852290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 852310 ns R psr 81000200 - 852310 ns MR4_I 01000208 2a001c5b - 852330 ns MR4_I 01000200 07c96841 - 852350 ns IT 01000200 6841 LDR r1,[r0,#4] - 852370 ns MR4_I 01000204 6002d1fc - 852430 ns MR4_D 40006004 00000001 - 852430 ns R r1 00000001 - 852430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 852450 ns R r1 80000000 - 852450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 852470 ns R psr 81000200 - 852470 ns MR4_I 01000208 2a001c5b - 852490 ns MR4_I 01000200 07c96841 - 852510 ns IT 01000200 6841 LDR r1,[r0,#4] - 852530 ns MR4_I 01000204 6002d1fc - 852590 ns MR4_D 40006004 00000001 - 852590 ns R r1 00000001 - 852590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 852610 ns R r1 80000000 - 852610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 852630 ns R psr 81000200 - 852630 ns MR4_I 01000208 2a001c5b - 852650 ns MR4_I 01000200 07c96841 - 852670 ns IT 01000200 6841 LDR r1,[r0,#4] - 852690 ns MR4_I 01000204 6002d1fc - 852750 ns MR4_D 40006004 00000001 - 852750 ns R r1 00000001 - 852750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 852770 ns R r1 80000000 - 852770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 852790 ns R psr 81000200 - 852790 ns MR4_I 01000208 2a001c5b - 852810 ns MR4_I 01000200 07c96841 - 852830 ns IT 01000200 6841 LDR r1,[r0,#4] - 852850 ns MR4_I 01000204 6002d1fc - 852910 ns MR4_D 40006004 00000001 - 852910 ns R r1 00000001 - 852910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 852930 ns R r1 80000000 - 852930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 852950 ns R psr 81000200 - 852950 ns MR4_I 01000208 2a001c5b - 852970 ns MR4_I 01000200 07c96841 - 852990 ns IT 01000200 6841 LDR r1,[r0,#4] - 853010 ns MR4_I 01000204 6002d1fc - 853070 ns MR4_D 40006004 00000001 - 853070 ns R r1 00000001 - 853070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 853090 ns R r1 80000000 - 853090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 853110 ns R psr 81000200 - 853110 ns MR4_I 01000208 2a001c5b - 853130 ns MR4_I 01000200 07c96841 - 853150 ns IT 01000200 6841 LDR r1,[r0,#4] - 853170 ns MR4_I 01000204 6002d1fc - 853230 ns MR4_D 40006004 00000001 - 853230 ns R r1 00000001 - 853230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 853250 ns R r1 80000000 - 853250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 853270 ns R psr 81000200 - 853270 ns MR4_I 01000208 2a001c5b - 853290 ns MR4_I 01000200 07c96841 - 853310 ns IT 01000200 6841 LDR r1,[r0,#4] - 853330 ns MR4_I 01000204 6002d1fc - 853390 ns MR4_D 40006004 00000001 - 853390 ns R r1 00000001 - 853390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 853410 ns R r1 80000000 - 853410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 853430 ns R psr 81000200 - 853430 ns MR4_I 01000208 2a001c5b - 853450 ns MR4_I 01000200 07c96841 - 853470 ns IT 01000200 6841 LDR r1,[r0,#4] - 853490 ns MR4_I 01000204 6002d1fc - 853550 ns MR4_D 40006004 00000001 - 853550 ns R r1 00000001 - 853550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 853570 ns R r1 80000000 - 853570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 853590 ns R psr 81000200 - 853590 ns MR4_I 01000208 2a001c5b - 853610 ns MR4_I 01000200 07c96841 - 853630 ns IT 01000200 6841 LDR r1,[r0,#4] - 853650 ns MR4_I 01000204 6002d1fc - 853710 ns MR4_D 40006004 00000001 - 853710 ns R r1 00000001 - 853710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 853730 ns R r1 80000000 - 853730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 853750 ns R psr 81000200 - 853750 ns MR4_I 01000208 2a001c5b - 853770 ns MR4_I 01000200 07c96841 - 853790 ns IT 01000200 6841 LDR r1,[r0,#4] - 853810 ns MR4_I 01000204 6002d1fc - 853870 ns MR4_D 40006004 00000001 - 853870 ns R r1 00000001 - 853870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 853890 ns R r1 80000000 - 853890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 853910 ns R psr 81000200 - 853910 ns MR4_I 01000208 2a001c5b - 853930 ns MR4_I 01000200 07c96841 - 853950 ns IT 01000200 6841 LDR r1,[r0,#4] - 853970 ns MR4_I 01000204 6002d1fc - 854030 ns MR4_D 40006004 00000001 - 854030 ns R r1 00000001 - 854030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 854050 ns R r1 80000000 - 854050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 854070 ns R psr 81000200 - 854070 ns MR4_I 01000208 2a001c5b - 854090 ns MR4_I 01000200 07c96841 - 854110 ns IT 01000200 6841 LDR r1,[r0,#4] - 854130 ns MR4_I 01000204 6002d1fc - 854190 ns MR4_D 40006004 00000001 - 854190 ns R r1 00000001 - 854190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 854210 ns R r1 80000000 - 854210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 854230 ns R psr 81000200 - 854230 ns MR4_I 01000208 2a001c5b - 854250 ns MR4_I 01000200 07c96841 - 854270 ns IT 01000200 6841 LDR r1,[r0,#4] - 854290 ns MR4_I 01000204 6002d1fc - 854350 ns MR4_D 40006004 00000001 - 854350 ns R r1 00000001 - 854350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 854370 ns R r1 80000000 - 854370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 854390 ns R psr 81000200 - 854390 ns MR4_I 01000208 2a001c5b - 854410 ns MR4_I 01000200 07c96841 - 854430 ns IT 01000200 6841 LDR r1,[r0,#4] - 854450 ns MR4_I 01000204 6002d1fc - 854510 ns MR4_D 40006004 00000001 - 854510 ns R r1 00000001 - 854510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 854530 ns R r1 80000000 - 854530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 854550 ns R psr 81000200 - 854550 ns MR4_I 01000208 2a001c5b - 854570 ns MR4_I 01000200 07c96841 - 854590 ns IT 01000200 6841 LDR r1,[r0,#4] - 854610 ns MR4_I 01000204 6002d1fc - 854670 ns MR4_D 40006004 00000001 - 854670 ns R r1 00000001 - 854670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 854690 ns R r1 80000000 - 854690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 854710 ns R psr 81000200 - 854710 ns MR4_I 01000208 2a001c5b - 854730 ns MR4_I 01000200 07c96841 - 854750 ns IT 01000200 6841 LDR r1,[r0,#4] - 854770 ns MR4_I 01000204 6002d1fc - 854830 ns MR4_D 40006004 00000001 - 854830 ns R r1 00000001 - 854830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 854850 ns R r1 80000000 - 854850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 854870 ns R psr 81000200 - 854870 ns MR4_I 01000208 2a001c5b - 854890 ns MR4_I 01000200 07c96841 - 854910 ns IT 01000200 6841 LDR r1,[r0,#4] - 854930 ns MR4_I 01000204 6002d1fc - 854990 ns MR4_D 40006004 00000001 - 854990 ns R r1 00000001 - 854990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 855010 ns R r1 80000000 - 855010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 855030 ns R psr 81000200 - 855030 ns MR4_I 01000208 2a001c5b - 855050 ns MR4_I 01000200 07c96841 - 855070 ns IT 01000200 6841 LDR r1,[r0,#4] - 855090 ns MR4_I 01000204 6002d1fc - 855150 ns MR4_D 40006004 00000001 - 855150 ns R r1 00000001 - 855150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 855170 ns R r1 80000000 - 855170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 855190 ns R psr 81000200 - 855190 ns MR4_I 01000208 2a001c5b - 855210 ns MR4_I 01000200 07c96841 - 855230 ns IT 01000200 6841 LDR r1,[r0,#4] - 855250 ns MR4_I 01000204 6002d1fc - 855310 ns MR4_D 40006004 00000001 - 855310 ns R r1 00000001 - 855310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 855330 ns R r1 80000000 - 855330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 855350 ns R psr 81000200 - 855350 ns MR4_I 01000208 2a001c5b - 855370 ns MR4_I 01000200 07c96841 - 855390 ns IT 01000200 6841 LDR r1,[r0,#4] - 855410 ns MR4_I 01000204 6002d1fc - 855470 ns MR4_D 40006004 00000001 - 855470 ns R r1 00000001 - 855470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 855490 ns R r1 80000000 - 855490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 855510 ns R psr 81000200 - 855510 ns MR4_I 01000208 2a001c5b - 855530 ns MR4_I 01000200 07c96841 - 855550 ns IT 01000200 6841 LDR r1,[r0,#4] - 855570 ns MR4_I 01000204 6002d1fc - 855630 ns MR4_D 40006004 00000001 - 855630 ns R r1 00000001 - 855630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 855650 ns R r1 80000000 - 855650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 855670 ns R psr 81000200 - 855670 ns MR4_I 01000208 2a001c5b - 855690 ns MR4_I 01000200 07c96841 - 855710 ns IT 01000200 6841 LDR r1,[r0,#4] - 855730 ns MR4_I 01000204 6002d1fc - 855790 ns MR4_D 40006004 00000001 - 855790 ns R r1 00000001 - 855790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 855810 ns R r1 80000000 - 855810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 855830 ns R psr 81000200 - 855830 ns MR4_I 01000208 2a001c5b - 855850 ns MR4_I 01000200 07c96841 - 855870 ns IT 01000200 6841 LDR r1,[r0,#4] - 855890 ns MR4_I 01000204 6002d1fc - 855950 ns MR4_D 40006004 00000001 - 855950 ns R r1 00000001 - 855950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 855970 ns R r1 80000000 - 855970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 855990 ns R psr 81000200 - 855990 ns MR4_I 01000208 2a001c5b - 856010 ns MR4_I 01000200 07c96841 - 856030 ns IT 01000200 6841 LDR r1,[r0,#4] - 856050 ns MR4_I 01000204 6002d1fc - 856110 ns MR4_D 40006004 00000001 - 856110 ns R r1 00000001 - 856110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 856130 ns R r1 80000000 - 856130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 856150 ns R psr 81000200 - 856150 ns MR4_I 01000208 2a001c5b - 856170 ns MR4_I 01000200 07c96841 - 856190 ns IT 01000200 6841 LDR r1,[r0,#4] - 856210 ns MR4_I 01000204 6002d1fc - 856270 ns MR4_D 40006004 00000001 - 856270 ns R r1 00000001 - 856270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 856290 ns R r1 80000000 - 856290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 856310 ns R psr 81000200 - 856310 ns MR4_I 01000208 2a001c5b - 856330 ns MR4_I 01000200 07c96841 - 856350 ns IT 01000200 6841 LDR r1,[r0,#4] - 856370 ns MR4_I 01000204 6002d1fc - 856430 ns MR4_D 40006004 00000001 - 856430 ns R r1 00000001 - 856430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 856450 ns R r1 80000000 - 856450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 856470 ns R psr 81000200 - 856470 ns MR4_I 01000208 2a001c5b - 856490 ns MR4_I 01000200 07c96841 - 856510 ns IT 01000200 6841 LDR r1,[r0,#4] - 856530 ns MR4_I 01000204 6002d1fc - 856590 ns MR4_D 40006004 00000001 - 856590 ns R r1 00000001 - 856590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 856610 ns R r1 80000000 - 856610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 856630 ns R psr 81000200 - 856630 ns MR4_I 01000208 2a001c5b - 856650 ns MR4_I 01000200 07c96841 - 856670 ns IT 01000200 6841 LDR r1,[r0,#4] - 856690 ns MR4_I 01000204 6002d1fc - 856750 ns MR4_D 40006004 00000001 - 856750 ns R r1 00000001 - 856750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 856770 ns R r1 80000000 - 856770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 856790 ns R psr 81000200 - 856790 ns MR4_I 01000208 2a001c5b - 856810 ns MR4_I 01000200 07c96841 - 856830 ns IT 01000200 6841 LDR r1,[r0,#4] - 856850 ns MR4_I 01000204 6002d1fc - 856910 ns MR4_D 40006004 00000001 - 856910 ns R r1 00000001 - 856910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 856930 ns R r1 80000000 - 856930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 856950 ns R psr 81000200 - 856950 ns MR4_I 01000208 2a001c5b - 856970 ns MR4_I 01000200 07c96841 - 856990 ns IT 01000200 6841 LDR r1,[r0,#4] - 857010 ns MR4_I 01000204 6002d1fc - 857070 ns MR4_D 40006004 00000001 - 857070 ns R r1 00000001 - 857070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 857090 ns R r1 80000000 - 857090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 857110 ns R psr 81000200 - 857110 ns MR4_I 01000208 2a001c5b - 857130 ns MR4_I 01000200 07c96841 - 857150 ns IT 01000200 6841 LDR r1,[r0,#4] - 857170 ns MR4_I 01000204 6002d1fc - 857230 ns MR4_D 40006004 00000001 - 857230 ns R r1 00000001 - 857230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 857250 ns R r1 80000000 - 857250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 857270 ns R psr 81000200 - 857270 ns MR4_I 01000208 2a001c5b - 857290 ns MR4_I 01000200 07c96841 - 857310 ns IT 01000200 6841 LDR r1,[r0,#4] - 857330 ns MR4_I 01000204 6002d1fc - 857390 ns MR4_D 40006004 00000001 - 857390 ns R r1 00000001 - 857390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 857410 ns R r1 80000000 - 857410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 857430 ns R psr 81000200 - 857430 ns MR4_I 01000208 2a001c5b - 857450 ns MR4_I 01000200 07c96841 - 857470 ns IT 01000200 6841 LDR r1,[r0,#4] - 857490 ns MR4_I 01000204 6002d1fc - 857550 ns MR4_D 40006004 00000001 - 857550 ns R r1 00000001 - 857550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 857570 ns R r1 80000000 - 857570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 857590 ns R psr 81000200 - 857590 ns MR4_I 01000208 2a001c5b - 857610 ns MR4_I 01000200 07c96841 - 857630 ns IT 01000200 6841 LDR r1,[r0,#4] - 857650 ns MR4_I 01000204 6002d1fc - 857710 ns MR4_D 40006004 00000001 - 857710 ns R r1 00000001 - 857710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 857730 ns R r1 80000000 - 857730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 857750 ns R psr 81000200 - 857750 ns MR4_I 01000208 2a001c5b - 857770 ns MR4_I 01000200 07c96841 - 857790 ns IT 01000200 6841 LDR r1,[r0,#4] - 857810 ns MR4_I 01000204 6002d1fc - 857870 ns MR4_D 40006004 00000001 - 857870 ns R r1 00000001 - 857870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 857890 ns R r1 80000000 - 857890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 857910 ns R psr 81000200 - 857910 ns MR4_I 01000208 2a001c5b - 857930 ns MR4_I 01000200 07c96841 - 857950 ns IT 01000200 6841 LDR r1,[r0,#4] - 857970 ns MR4_I 01000204 6002d1fc - 858030 ns MR4_D 40006004 00000001 - 858030 ns R r1 00000001 - 858030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 858050 ns R r1 80000000 - 858050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 858070 ns R psr 81000200 - 858070 ns MR4_I 01000208 2a001c5b - 858090 ns MR4_I 01000200 07c96841 - 858110 ns IT 01000200 6841 LDR r1,[r0,#4] - 858130 ns MR4_I 01000204 6002d1fc - 858190 ns MR4_D 40006004 00000001 - 858190 ns R r1 00000001 - 858190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 858210 ns R r1 80000000 - 858210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 858230 ns R psr 81000200 - 858230 ns MR4_I 01000208 2a001c5b - 858250 ns MR4_I 01000200 07c96841 - 858270 ns IT 01000200 6841 LDR r1,[r0,#4] - 858290 ns MR4_I 01000204 6002d1fc - 858350 ns MR4_D 40006004 00000001 - 858350 ns R r1 00000001 - 858350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 858370 ns R r1 80000000 - 858370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 858390 ns R psr 81000200 - 858390 ns MR4_I 01000208 2a001c5b - 858410 ns MR4_I 01000200 07c96841 - 858430 ns IT 01000200 6841 LDR r1,[r0,#4] - 858450 ns MR4_I 01000204 6002d1fc - 858510 ns MR4_D 40006004 00000001 - 858510 ns R r1 00000001 - 858510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 858530 ns R r1 80000000 - 858530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 858550 ns R psr 81000200 - 858550 ns MR4_I 01000208 2a001c5b - 858570 ns MR4_I 01000200 07c96841 - 858590 ns IT 01000200 6841 LDR r1,[r0,#4] - 858610 ns MR4_I 01000204 6002d1fc - 858670 ns MR4_D 40006004 00000001 - 858670 ns R r1 00000001 - 858670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 858690 ns R r1 80000000 - 858690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 858710 ns R psr 81000200 - 858710 ns MR4_I 01000208 2a001c5b - 858730 ns MR4_I 01000200 07c96841 - 858750 ns IT 01000200 6841 LDR r1,[r0,#4] - 858770 ns MR4_I 01000204 6002d1fc - 858830 ns MR4_D 40006004 00000001 - 858830 ns R r1 00000001 - 858830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 858850 ns R r1 80000000 - 858850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 858870 ns R psr 81000200 - 858870 ns MR4_I 01000208 2a001c5b - 858890 ns MR4_I 01000200 07c96841 - 858910 ns IT 01000200 6841 LDR r1,[r0,#4] - 858930 ns MR4_I 01000204 6002d1fc - 858990 ns MR4_D 40006004 00000001 - 858990 ns R r1 00000001 - 858990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 859010 ns R r1 80000000 - 859010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 859030 ns R psr 81000200 - 859030 ns MR4_I 01000208 2a001c5b - 859050 ns MR4_I 01000200 07c96841 - 859070 ns IT 01000200 6841 LDR r1,[r0,#4] - 859090 ns MR4_I 01000204 6002d1fc - 859150 ns MR4_D 40006004 00000001 - 859150 ns R r1 00000001 - 859150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 859170 ns R r1 80000000 - 859170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 859190 ns R psr 81000200 - 859190 ns MR4_I 01000208 2a001c5b - 859210 ns MR4_I 01000200 07c96841 - 859230 ns IT 01000200 6841 LDR r1,[r0,#4] - 859250 ns MR4_I 01000204 6002d1fc - 859310 ns MR4_D 40006004 00000001 - 859310 ns R r1 00000001 - 859310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 859330 ns R r1 80000000 - 859330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 859350 ns R psr 81000200 - 859350 ns MR4_I 01000208 2a001c5b - 859370 ns MR4_I 01000200 07c96841 - 859390 ns IT 01000200 6841 LDR r1,[r0,#4] - 859410 ns MR4_I 01000204 6002d1fc - 859470 ns MR4_D 40006004 00000001 - 859470 ns R r1 00000001 - 859470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 859490 ns R r1 80000000 - 859490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 859510 ns R psr 81000200 - 859510 ns MR4_I 01000208 2a001c5b - 859530 ns MR4_I 01000200 07c96841 - 859550 ns IT 01000200 6841 LDR r1,[r0,#4] - 859570 ns MR4_I 01000204 6002d1fc - 859630 ns MR4_D 40006004 00000001 - 859630 ns R r1 00000001 - 859630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 859650 ns R r1 80000000 - 859650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 859670 ns R psr 81000200 - 859670 ns MR4_I 01000208 2a001c5b - 859690 ns MR4_I 01000200 07c96841 - 859710 ns IT 01000200 6841 LDR r1,[r0,#4] - 859730 ns MR4_I 01000204 6002d1fc - 859790 ns MR4_D 40006004 00000001 - 859790 ns R r1 00000001 - 859790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 859810 ns R r1 80000000 - 859810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 859830 ns R psr 81000200 - 859830 ns MR4_I 01000208 2a001c5b - 859850 ns MR4_I 01000200 07c96841 - 859870 ns IT 01000200 6841 LDR r1,[r0,#4] - 859890 ns MR4_I 01000204 6002d1fc - 859950 ns MR4_D 40006004 00000001 - 859950 ns R r1 00000001 - 859950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 859970 ns R r1 80000000 - 859970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 859990 ns R psr 81000200 - 859990 ns MR4_I 01000208 2a001c5b - 860010 ns MR4_I 01000200 07c96841 - 860030 ns IT 01000200 6841 LDR r1,[r0,#4] - 860050 ns MR4_I 01000204 6002d1fc - 860110 ns MR4_D 40006004 00000001 - 860110 ns R r1 00000001 - 860110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 860130 ns R r1 80000000 - 860130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 860150 ns R psr 81000200 - 860150 ns MR4_I 01000208 2a001c5b - 860170 ns MR4_I 01000200 07c96841 - 860190 ns IT 01000200 6841 LDR r1,[r0,#4] - 860210 ns MR4_I 01000204 6002d1fc - 860270 ns MR4_D 40006004 00000001 - 860270 ns R r1 00000001 - 860270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 860290 ns R r1 80000000 - 860290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 860310 ns R psr 81000200 - 860310 ns MR4_I 01000208 2a001c5b - 860330 ns MR4_I 01000200 07c96841 - 860350 ns IT 01000200 6841 LDR r1,[r0,#4] - 860370 ns MR4_I 01000204 6002d1fc - 860430 ns MR4_D 40006004 00000001 - 860430 ns R r1 00000001 - 860430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 860450 ns R r1 80000000 - 860450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 860470 ns R psr 81000200 - 860470 ns MR4_I 01000208 2a001c5b - 860490 ns MR4_I 01000200 07c96841 - 860510 ns IT 01000200 6841 LDR r1,[r0,#4] - 860530 ns MR4_I 01000204 6002d1fc - 860590 ns MR4_D 40006004 00000001 - 860590 ns R r1 00000001 - 860590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 860610 ns R r1 80000000 - 860610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 860630 ns R psr 81000200 - 860630 ns MR4_I 01000208 2a001c5b - 860650 ns MR4_I 01000200 07c96841 - 860670 ns IT 01000200 6841 LDR r1,[r0,#4] - 860690 ns MR4_I 01000204 6002d1fc - 860750 ns MR4_D 40006004 00000001 - 860750 ns R r1 00000001 - 860750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 860770 ns R r1 80000000 - 860770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 860790 ns R psr 81000200 - 860790 ns MR4_I 01000208 2a001c5b - 860810 ns MR4_I 01000200 07c96841 - 860830 ns IT 01000200 6841 LDR r1,[r0,#4] - 860850 ns MR4_I 01000204 6002d1fc - 860910 ns MR4_D 40006004 00000001 - 860910 ns R r1 00000001 - 860910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 860930 ns R r1 80000000 - 860930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 860950 ns R psr 81000200 - 860950 ns MR4_I 01000208 2a001c5b - 860970 ns MR4_I 01000200 07c96841 - 860990 ns IT 01000200 6841 LDR r1,[r0,#4] - 861010 ns MR4_I 01000204 6002d1fc - 861070 ns MR4_D 40006004 00000001 - 861070 ns R r1 00000001 - 861070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 861090 ns R r1 80000000 - 861090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 861110 ns R psr 81000200 - 861110 ns MR4_I 01000208 2a001c5b - 861130 ns MR4_I 01000200 07c96841 - 861150 ns IT 01000200 6841 LDR r1,[r0,#4] - 861170 ns MR4_I 01000204 6002d1fc - 861230 ns MR4_D 40006004 00000001 - 861230 ns R r1 00000001 - 861230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 861250 ns R r1 80000000 - 861250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 861270 ns R psr 81000200 - 861270 ns MR4_I 01000208 2a001c5b - 861290 ns MR4_I 01000200 07c96841 - 861310 ns IT 01000200 6841 LDR r1,[r0,#4] - 861330 ns MR4_I 01000204 6002d1fc - 861390 ns MR4_D 40006004 00000001 - 861390 ns R r1 00000001 - 861390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 861410 ns R r1 80000000 - 861410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 861430 ns R psr 81000200 - 861430 ns MR4_I 01000208 2a001c5b - 861450 ns MR4_I 01000200 07c96841 - 861470 ns IT 01000200 6841 LDR r1,[r0,#4] - 861490 ns MR4_I 01000204 6002d1fc - 861550 ns MR4_D 40006004 00000001 - 861550 ns R r1 00000001 - 861550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 861570 ns R r1 80000000 - 861570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 861590 ns R psr 81000200 - 861590 ns MR4_I 01000208 2a001c5b - 861610 ns MR4_I 01000200 07c96841 - 861630 ns IT 01000200 6841 LDR r1,[r0,#4] - 861650 ns MR4_I 01000204 6002d1fc - 861710 ns MR4_D 40006004 00000001 - 861710 ns R r1 00000001 - 861710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 861730 ns R r1 80000000 - 861730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 861750 ns R psr 81000200 - 861750 ns MR4_I 01000208 2a001c5b - 861770 ns MR4_I 01000200 07c96841 - 861790 ns IT 01000200 6841 LDR r1,[r0,#4] - 861810 ns MR4_I 01000204 6002d1fc - 861870 ns MR4_D 40006004 00000001 - 861870 ns R r1 00000001 - 861870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 861890 ns R r1 80000000 - 861890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 861910 ns R psr 81000200 - 861910 ns MR4_I 01000208 2a001c5b - 861930 ns MR4_I 01000200 07c96841 - 861950 ns IT 01000200 6841 LDR r1,[r0,#4] - 861970 ns MR4_I 01000204 6002d1fc - 862030 ns MR4_D 40006004 00000001 - 862030 ns R r1 00000001 - 862030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 862050 ns R r1 80000000 - 862050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 862070 ns R psr 81000200 - 862070 ns MR4_I 01000208 2a001c5b - 862090 ns MR4_I 01000200 07c96841 - 862110 ns IT 01000200 6841 LDR r1,[r0,#4] - 862130 ns MR4_I 01000204 6002d1fc - 862190 ns MR4_D 40006004 00000001 - 862190 ns R r1 00000001 - 862190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 862210 ns R r1 80000000 - 862210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 862230 ns R psr 81000200 - 862230 ns MR4_I 01000208 2a001c5b - 862250 ns MR4_I 01000200 07c96841 - 862270 ns IT 01000200 6841 LDR r1,[r0,#4] - 862290 ns MR4_I 01000204 6002d1fc - 862350 ns MR4_D 40006004 00000001 - 862350 ns R r1 00000001 - 862350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 862370 ns R r1 80000000 - 862370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 862390 ns R psr 81000200 - 862390 ns MR4_I 01000208 2a001c5b - 862410 ns MR4_I 01000200 07c96841 - 862430 ns IT 01000200 6841 LDR r1,[r0,#4] - 862450 ns MR4_I 01000204 6002d1fc - 862510 ns MR4_D 40006004 00000001 - 862510 ns R r1 00000001 - 862510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 862530 ns R r1 80000000 - 862530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 862550 ns R psr 81000200 - 862550 ns MR4_I 01000208 2a001c5b - 862570 ns MR4_I 01000200 07c96841 - 862590 ns IT 01000200 6841 LDR r1,[r0,#4] - 862610 ns MR4_I 01000204 6002d1fc - 862670 ns MR4_D 40006004 00000001 - 862670 ns R r1 00000001 - 862670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 862690 ns R r1 80000000 - 862690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 862710 ns R psr 81000200 - 862710 ns MR4_I 01000208 2a001c5b - 862730 ns MR4_I 01000200 07c96841 - 862750 ns IT 01000200 6841 LDR r1,[r0,#4] - 862770 ns MR4_I 01000204 6002d1fc - 862830 ns MR4_D 40006004 00000001 - 862830 ns R r1 00000001 - 862830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 862850 ns R r1 80000000 - 862850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 862870 ns R psr 81000200 - 862870 ns MR4_I 01000208 2a001c5b - 862890 ns MR4_I 01000200 07c96841 - 862910 ns IT 01000200 6841 LDR r1,[r0,#4] - 862930 ns MR4_I 01000204 6002d1fc - 862990 ns MR4_D 40006004 00000001 - 862990 ns R r1 00000001 - 862990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 863010 ns R r1 80000000 - 863010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 863030 ns R psr 81000200 - 863030 ns MR4_I 01000208 2a001c5b - 863050 ns MR4_I 01000200 07c96841 - 863070 ns IT 01000200 6841 LDR r1,[r0,#4] - 863090 ns MR4_I 01000204 6002d1fc - 863150 ns MR4_D 40006004 00000001 - 863150 ns R r1 00000001 - 863150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 863170 ns R r1 80000000 - 863170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 863190 ns R psr 81000200 - 863190 ns MR4_I 01000208 2a001c5b - 863210 ns MR4_I 01000200 07c96841 - 863230 ns IT 01000200 6841 LDR r1,[r0,#4] - 863250 ns MR4_I 01000204 6002d1fc - 863310 ns MR4_D 40006004 00000001 - 863310 ns R r1 00000001 - 863310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 863330 ns R r1 80000000 - 863330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 863350 ns R psr 81000200 - 863350 ns MR4_I 01000208 2a001c5b - 863370 ns MR4_I 01000200 07c96841 - 863390 ns IT 01000200 6841 LDR r1,[r0,#4] - 863410 ns MR4_I 01000204 6002d1fc - 863470 ns MR4_D 40006004 00000001 - 863470 ns R r1 00000001 - 863470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 863490 ns R r1 80000000 - 863490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 863510 ns R psr 81000200 - 863510 ns MR4_I 01000208 2a001c5b - 863530 ns MR4_I 01000200 07c96841 - 863550 ns IT 01000200 6841 LDR r1,[r0,#4] - 863570 ns MR4_I 01000204 6002d1fc - 863630 ns MR4_D 40006004 00000001 - 863630 ns R r1 00000001 - 863630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 863650 ns R r1 80000000 - 863650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 863670 ns R psr 81000200 - 863670 ns MR4_I 01000208 2a001c5b - 863690 ns MR4_I 01000200 07c96841 - 863710 ns IT 01000200 6841 LDR r1,[r0,#4] - 863730 ns MR4_I 01000204 6002d1fc - 863790 ns MR4_D 40006004 00000001 - 863790 ns R r1 00000001 - 863790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 863810 ns R r1 80000000 - 863810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 863830 ns R psr 81000200 - 863830 ns MR4_I 01000208 2a001c5b - 863850 ns MR4_I 01000200 07c96841 - 863870 ns IT 01000200 6841 LDR r1,[r0,#4] - 863890 ns MR4_I 01000204 6002d1fc - 863950 ns MR4_D 40006004 00000001 - 863950 ns R r1 00000001 - 863950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 863970 ns R r1 80000000 - 863970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 863990 ns R psr 81000200 - 863990 ns MR4_I 01000208 2a001c5b - 864010 ns MR4_I 01000200 07c96841 - 864030 ns IT 01000200 6841 LDR r1,[r0,#4] - 864050 ns MR4_I 01000204 6002d1fc - 864110 ns MR4_D 40006004 00000001 - 864110 ns R r1 00000001 - 864110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 864130 ns R r1 80000000 - 864130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 864150 ns R psr 81000200 - 864150 ns MR4_I 01000208 2a001c5b - 864170 ns MR4_I 01000200 07c96841 - 864190 ns IT 01000200 6841 LDR r1,[r0,#4] - 864210 ns MR4_I 01000204 6002d1fc - 864270 ns MR4_D 40006004 00000001 - 864270 ns R r1 00000001 - 864270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 864290 ns R r1 80000000 - 864290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 864310 ns R psr 81000200 - 864310 ns MR4_I 01000208 2a001c5b - 864330 ns MR4_I 01000200 07c96841 - 864350 ns IT 01000200 6841 LDR r1,[r0,#4] - 864370 ns MR4_I 01000204 6002d1fc - 864430 ns MR4_D 40006004 00000001 - 864430 ns R r1 00000001 - 864430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 864450 ns R r1 80000000 - 864450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 864470 ns R psr 81000200 - 864470 ns MR4_I 01000208 2a001c5b - 864490 ns MR4_I 01000200 07c96841 - 864510 ns IT 01000200 6841 LDR r1,[r0,#4] - 864530 ns MR4_I 01000204 6002d1fc - 864590 ns MR4_D 40006004 00000001 - 864590 ns R r1 00000001 - 864590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 864610 ns R r1 80000000 - 864610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 864630 ns R psr 81000200 - 864630 ns MR4_I 01000208 2a001c5b - 864650 ns MR4_I 01000200 07c96841 - 864670 ns IT 01000200 6841 LDR r1,[r0,#4] - 864690 ns MR4_I 01000204 6002d1fc - 864750 ns MR4_D 40006004 00000001 - 864750 ns R r1 00000001 - 864750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 864770 ns R r1 80000000 - 864770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 864790 ns R psr 81000200 - 864790 ns MR4_I 01000208 2a001c5b - 864810 ns MR4_I 01000200 07c96841 - 864830 ns IT 01000200 6841 LDR r1,[r0,#4] - 864850 ns MR4_I 01000204 6002d1fc - 864910 ns MR4_D 40006004 00000001 - 864910 ns R r1 00000001 - 864910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 864930 ns R r1 80000000 - 864930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 864950 ns R psr 81000200 - 864950 ns MR4_I 01000208 2a001c5b - 864970 ns MR4_I 01000200 07c96841 - 864990 ns IT 01000200 6841 LDR r1,[r0,#4] - 865010 ns MR4_I 01000204 6002d1fc - 865070 ns MR4_D 40006004 00000001 - 865070 ns R r1 00000001 - 865070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 865090 ns R r1 80000000 - 865090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 865110 ns R psr 81000200 - 865110 ns MR4_I 01000208 2a001c5b - 865130 ns MR4_I 01000200 07c96841 - 865150 ns IT 01000200 6841 LDR r1,[r0,#4] - 865170 ns MR4_I 01000204 6002d1fc - 865230 ns MR4_D 40006004 00000001 - 865230 ns R r1 00000001 - 865230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 865250 ns R r1 80000000 - 865250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 865270 ns R psr 81000200 - 865270 ns MR4_I 01000208 2a001c5b - 865290 ns MR4_I 01000200 07c96841 - 865310 ns IT 01000200 6841 LDR r1,[r0,#4] - 865330 ns MR4_I 01000204 6002d1fc - 865390 ns MR4_D 40006004 00000001 - 865390 ns R r1 00000001 - 865390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 865410 ns R r1 80000000 - 865410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 865430 ns R psr 81000200 - 865430 ns MR4_I 01000208 2a001c5b - 865450 ns MR4_I 01000200 07c96841 - 865470 ns IT 01000200 6841 LDR r1,[r0,#4] - 865490 ns MR4_I 01000204 6002d1fc - 865550 ns MR4_D 40006004 00000001 - 865550 ns R r1 00000001 - 865550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 865570 ns R r1 80000000 - 865570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 865590 ns R psr 81000200 - 865590 ns MR4_I 01000208 2a001c5b - 865610 ns MR4_I 01000200 07c96841 - 865630 ns IT 01000200 6841 LDR r1,[r0,#4] - 865650 ns MR4_I 01000204 6002d1fc - 865710 ns MR4_D 40006004 00000001 - 865710 ns R r1 00000001 - 865710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 865730 ns R r1 80000000 - 865730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 865750 ns R psr 81000200 - 865750 ns MR4_I 01000208 2a001c5b - 865770 ns MR4_I 01000200 07c96841 - 865790 ns IT 01000200 6841 LDR r1,[r0,#4] - 865810 ns MR4_I 01000204 6002d1fc - 865870 ns MR4_D 40006004 00000001 - 865870 ns R r1 00000001 - 865870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 865890 ns R r1 80000000 - 865890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 865910 ns R psr 81000200 - 865910 ns MR4_I 01000208 2a001c5b - 865930 ns MR4_I 01000200 07c96841 - 865950 ns IT 01000200 6841 LDR r1,[r0,#4] - 865970 ns MR4_I 01000204 6002d1fc - 866030 ns MR4_D 40006004 00000001 - 866030 ns R r1 00000001 - 866030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 866050 ns R r1 80000000 - 866050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 866070 ns R psr 81000200 - 866070 ns MR4_I 01000208 2a001c5b - 866090 ns MR4_I 01000200 07c96841 - 866110 ns IT 01000200 6841 LDR r1,[r0,#4] - 866130 ns MR4_I 01000204 6002d1fc - 866190 ns MR4_D 40006004 00000001 - 866190 ns R r1 00000001 - 866190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 866210 ns R r1 80000000 - 866210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 866230 ns R psr 81000200 - 866230 ns MR4_I 01000208 2a001c5b - 866250 ns MR4_I 01000200 07c96841 - 866270 ns IT 01000200 6841 LDR r1,[r0,#4] - 866290 ns MR4_I 01000204 6002d1fc - 866350 ns MR4_D 40006004 00000001 - 866350 ns R r1 00000001 - 866350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 866370 ns R r1 80000000 - 866370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 866390 ns R psr 81000200 - 866390 ns MR4_I 01000208 2a001c5b - 866410 ns MR4_I 01000200 07c96841 - 866430 ns IT 01000200 6841 LDR r1,[r0,#4] - 866450 ns MR4_I 01000204 6002d1fc - 866510 ns MR4_D 40006004 00000001 - 866510 ns R r1 00000001 - 866510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 866530 ns R r1 80000000 - 866530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 866550 ns R psr 81000200 - 866550 ns MR4_I 01000208 2a001c5b - 866570 ns MR4_I 01000200 07c96841 - 866590 ns IT 01000200 6841 LDR r1,[r0,#4] - 866610 ns MR4_I 01000204 6002d1fc - 866670 ns MR4_D 40006004 00000001 - 866670 ns R r1 00000001 - 866670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 866690 ns R r1 80000000 - 866690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 866710 ns R psr 81000200 - 866710 ns MR4_I 01000208 2a001c5b - 866730 ns MR4_I 01000200 07c96841 - 866750 ns IT 01000200 6841 LDR r1,[r0,#4] - 866770 ns MR4_I 01000204 6002d1fc - 866830 ns MR4_D 40006004 00000001 - 866830 ns R r1 00000001 - 866830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 866850 ns R r1 80000000 - 866850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 866870 ns R psr 81000200 - 866870 ns MR4_I 01000208 2a001c5b - 866890 ns MR4_I 01000200 07c96841 - 866910 ns IT 01000200 6841 LDR r1,[r0,#4] - 866930 ns MR4_I 01000204 6002d1fc - 866990 ns MR4_D 40006004 00000001 - 866990 ns R r1 00000001 - 866990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 867010 ns R r1 80000000 - 867010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 867030 ns R psr 81000200 - 867030 ns MR4_I 01000208 2a001c5b - 867050 ns MR4_I 01000200 07c96841 - 867070 ns IT 01000200 6841 LDR r1,[r0,#4] - 867090 ns MR4_I 01000204 6002d1fc - 867150 ns MR4_D 40006004 00000001 - 867150 ns R r1 00000001 - 867150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 867170 ns R r1 80000000 - 867170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 867190 ns R psr 81000200 - 867190 ns MR4_I 01000208 2a001c5b - 867210 ns MR4_I 01000200 07c96841 - 867230 ns IT 01000200 6841 LDR r1,[r0,#4] - 867250 ns MR4_I 01000204 6002d1fc - 867310 ns MR4_D 40006004 00000001 - 867310 ns R r1 00000001 - 867310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 867330 ns R r1 80000000 - 867330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 867350 ns R psr 81000200 - 867350 ns MR4_I 01000208 2a001c5b - 867370 ns MR4_I 01000200 07c96841 - 867390 ns IT 01000200 6841 LDR r1,[r0,#4] - 867410 ns MR4_I 01000204 6002d1fc - 867470 ns MR4_D 40006004 00000001 - 867470 ns R r1 00000001 - 867470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 867490 ns R r1 80000000 - 867490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 867510 ns R psr 81000200 - 867510 ns MR4_I 01000208 2a001c5b - 867530 ns MR4_I 01000200 07c96841 - 867550 ns IT 01000200 6841 LDR r1,[r0,#4] - 867570 ns MR4_I 01000204 6002d1fc - 867630 ns MR4_D 40006004 00000001 - 867630 ns R r1 00000001 - 867630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 867650 ns R r1 80000000 - 867650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 867670 ns R psr 81000200 - 867670 ns MR4_I 01000208 2a001c5b - 867690 ns MR4_I 01000200 07c96841 - 867710 ns IT 01000200 6841 LDR r1,[r0,#4] - 867730 ns MR4_I 01000204 6002d1fc - 867790 ns MR4_D 40006004 00000001 - 867790 ns R r1 00000001 - 867790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 867810 ns R r1 80000000 - 867810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 867830 ns R psr 81000200 - 867830 ns MR4_I 01000208 2a001c5b - 867850 ns MR4_I 01000200 07c96841 - 867870 ns IT 01000200 6841 LDR r1,[r0,#4] - 867890 ns MR4_I 01000204 6002d1fc - 867950 ns MR4_D 40006004 00000001 - 867950 ns R r1 00000001 - 867950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 867970 ns R r1 80000000 - 867970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 867990 ns R psr 81000200 - 867990 ns MR4_I 01000208 2a001c5b - 868010 ns MR4_I 01000200 07c96841 - 868030 ns IT 01000200 6841 LDR r1,[r0,#4] - 868050 ns MR4_I 01000204 6002d1fc - 868110 ns MR4_D 40006004 00000001 - 868110 ns R r1 00000001 - 868110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 868130 ns R r1 80000000 - 868130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 868150 ns R psr 81000200 - 868150 ns MR4_I 01000208 2a001c5b - 868170 ns MR4_I 01000200 07c96841 - 868190 ns IT 01000200 6841 LDR r1,[r0,#4] - 868210 ns MR4_I 01000204 6002d1fc - 868270 ns MR4_D 40006004 00000001 - 868270 ns R r1 00000001 - 868270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 868290 ns R r1 80000000 - 868290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 868310 ns R psr 81000200 - 868310 ns MR4_I 01000208 2a001c5b - 868330 ns MR4_I 01000200 07c96841 - 868350 ns IT 01000200 6841 LDR r1,[r0,#4] - 868370 ns MR4_I 01000204 6002d1fc - 868430 ns MR4_D 40006004 00000001 - 868430 ns R r1 00000001 - 868430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 868450 ns R r1 80000000 - 868450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 868470 ns R psr 81000200 - 868470 ns MR4_I 01000208 2a001c5b - 868490 ns MR4_I 01000200 07c96841 - 868510 ns IT 01000200 6841 LDR r1,[r0,#4] - 868530 ns MR4_I 01000204 6002d1fc - 868590 ns MR4_D 40006004 00000001 - 868590 ns R r1 00000001 - 868590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 868610 ns R r1 80000000 - 868610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 868630 ns R psr 81000200 - 868630 ns MR4_I 01000208 2a001c5b - 868650 ns MR4_I 01000200 07c96841 - 868670 ns IT 01000200 6841 LDR r1,[r0,#4] - 868690 ns MR4_I 01000204 6002d1fc - 868750 ns MR4_D 40006004 00000001 - 868750 ns R r1 00000001 - 868750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 868770 ns R r1 80000000 - 868770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 868790 ns R psr 81000200 - 868790 ns MR4_I 01000208 2a001c5b - 868810 ns MR4_I 01000200 07c96841 - 868830 ns IT 01000200 6841 LDR r1,[r0,#4] - 868850 ns MR4_I 01000204 6002d1fc - 868910 ns MR4_D 40006004 00000001 - 868910 ns R r1 00000001 - 868910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 868930 ns R r1 80000000 - 868930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 868950 ns R psr 81000200 - 868950 ns MR4_I 01000208 2a001c5b - 868970 ns MR4_I 01000200 07c96841 - 868990 ns IT 01000200 6841 LDR r1,[r0,#4] - 869010 ns MR4_I 01000204 6002d1fc - 869070 ns MR4_D 40006004 00000001 - 869070 ns R r1 00000001 - 869070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 869090 ns R r1 80000000 - 869090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 869110 ns R psr 81000200 - 869110 ns MR4_I 01000208 2a001c5b - 869130 ns MR4_I 01000200 07c96841 - 869150 ns IT 01000200 6841 LDR r1,[r0,#4] - 869170 ns MR4_I 01000204 6002d1fc - 869230 ns MR4_D 40006004 00000001 - 869230 ns R r1 00000001 - 869230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 869250 ns R r1 80000000 - 869250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 869270 ns R psr 81000200 - 869270 ns MR4_I 01000208 2a001c5b - 869290 ns MR4_I 01000200 07c96841 - 869310 ns IT 01000200 6841 LDR r1,[r0,#4] - 869330 ns MR4_I 01000204 6002d1fc - 869390 ns MR4_D 40006004 00000001 - 869390 ns R r1 00000001 - 869390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 869410 ns R r1 80000000 - 869410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 869430 ns R psr 81000200 - 869430 ns MR4_I 01000208 2a001c5b - 869450 ns MR4_I 01000200 07c96841 - 869470 ns IT 01000200 6841 LDR r1,[r0,#4] - 869490 ns MR4_I 01000204 6002d1fc - 869550 ns MR4_D 40006004 00000001 - 869550 ns R r1 00000001 - 869550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 869570 ns R r1 80000000 - 869570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 869590 ns R psr 81000200 - 869590 ns MR4_I 01000208 2a001c5b - 869610 ns MR4_I 01000200 07c96841 - 869630 ns IT 01000200 6841 LDR r1,[r0,#4] - 869650 ns MR4_I 01000204 6002d1fc - 869710 ns MR4_D 40006004 00000001 - 869710 ns R r1 00000001 - 869710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 869730 ns R r1 80000000 - 869730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 869750 ns R psr 81000200 - 869750 ns MR4_I 01000208 2a001c5b - 869770 ns MR4_I 01000200 07c96841 - 869790 ns IT 01000200 6841 LDR r1,[r0,#4] - 869810 ns MR4_I 01000204 6002d1fc - 869870 ns MR4_D 40006004 00000001 - 869870 ns R r1 00000001 - 869870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 869890 ns R r1 80000000 - 869890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 869910 ns R psr 81000200 - 869910 ns MR4_I 01000208 2a001c5b - 869930 ns MR4_I 01000200 07c96841 - 869950 ns IT 01000200 6841 LDR r1,[r0,#4] - 869970 ns MR4_I 01000204 6002d1fc - 870030 ns MR4_D 40006004 00000001 - 870030 ns R r1 00000001 - 870030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 870050 ns R r1 80000000 - 870050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 870070 ns R psr 81000200 - 870070 ns MR4_I 01000208 2a001c5b - 870090 ns MR4_I 01000200 07c96841 - 870110 ns IT 01000200 6841 LDR r1,[r0,#4] - 870130 ns MR4_I 01000204 6002d1fc - 870190 ns MR4_D 40006004 00000001 - 870190 ns R r1 00000001 - 870190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 870210 ns R r1 80000000 - 870210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 870230 ns R psr 81000200 - 870230 ns MR4_I 01000208 2a001c5b - 870250 ns MR4_I 01000200 07c96841 - 870270 ns IT 01000200 6841 LDR r1,[r0,#4] - 870290 ns MR4_I 01000204 6002d1fc - 870350 ns MR4_D 40006004 00000001 - 870350 ns R r1 00000001 - 870350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 870370 ns R r1 80000000 - 870370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 870390 ns R psr 81000200 - 870390 ns MR4_I 01000208 2a001c5b - 870410 ns MR4_I 01000200 07c96841 - 870430 ns IT 01000200 6841 LDR r1,[r0,#4] - 870450 ns MR4_I 01000204 6002d1fc - 870510 ns MR4_D 40006004 00000001 - 870510 ns R r1 00000001 - 870510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 870530 ns R r1 80000000 - 870530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 870550 ns R psr 81000200 - 870550 ns MR4_I 01000208 2a001c5b - 870570 ns MR4_I 01000200 07c96841 - 870590 ns IT 01000200 6841 LDR r1,[r0,#4] - 870610 ns MR4_I 01000204 6002d1fc - 870670 ns MR4_D 40006004 00000001 - 870670 ns R r1 00000001 - 870670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 870690 ns R r1 80000000 - 870690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 870710 ns R psr 81000200 - 870710 ns MR4_I 01000208 2a001c5b - 870730 ns MR4_I 01000200 07c96841 - 870750 ns IT 01000200 6841 LDR r1,[r0,#4] - 870770 ns MR4_I 01000204 6002d1fc - 870830 ns MR4_D 40006004 00000001 - 870830 ns R r1 00000001 - 870830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 870850 ns R r1 80000000 - 870850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 870870 ns R psr 81000200 - 870870 ns MR4_I 01000208 2a001c5b - 870890 ns MR4_I 01000200 07c96841 - 870910 ns IT 01000200 6841 LDR r1,[r0,#4] - 870930 ns MR4_I 01000204 6002d1fc - 870990 ns MR4_D 40006004 00000001 - 870990 ns R r1 00000001 - 870990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 871010 ns R r1 80000000 - 871010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 871030 ns R psr 81000200 - 871030 ns MR4_I 01000208 2a001c5b - 871050 ns MR4_I 01000200 07c96841 - 871070 ns IT 01000200 6841 LDR r1,[r0,#4] - 871090 ns MR4_I 01000204 6002d1fc - 871150 ns MR4_D 40006004 00000001 - 871150 ns R r1 00000001 - 871150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 871170 ns R r1 80000000 - 871170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 871190 ns R psr 81000200 - 871190 ns MR4_I 01000208 2a001c5b - 871210 ns MR4_I 01000200 07c96841 - 871230 ns IT 01000200 6841 LDR r1,[r0,#4] - 871250 ns MR4_I 01000204 6002d1fc - 871310 ns MR4_D 40006004 00000001 - 871310 ns R r1 00000001 - 871310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 871330 ns R r1 80000000 - 871330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 871350 ns R psr 81000200 - 871350 ns MR4_I 01000208 2a001c5b - 871370 ns MR4_I 01000200 07c96841 - 871390 ns IT 01000200 6841 LDR r1,[r0,#4] - 871410 ns MR4_I 01000204 6002d1fc - 871470 ns MR4_D 40006004 00000001 - 871470 ns R r1 00000001 - 871470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 871490 ns R r1 80000000 - 871490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 871510 ns R psr 81000200 - 871510 ns MR4_I 01000208 2a001c5b - 871530 ns MR4_I 01000200 07c96841 - 871550 ns IT 01000200 6841 LDR r1,[r0,#4] - 871570 ns MR4_I 01000204 6002d1fc - 871630 ns MR4_D 40006004 00000001 - 871630 ns R r1 00000001 - 871630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 871650 ns R r1 80000000 - 871650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 871670 ns R psr 81000200 - 871670 ns MR4_I 01000208 2a001c5b - 871690 ns MR4_I 01000200 07c96841 - 871710 ns IT 01000200 6841 LDR r1,[r0,#4] - 871730 ns MR4_I 01000204 6002d1fc - 871790 ns MR4_D 40006004 00000001 - 871790 ns R r1 00000001 - 871790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 871810 ns R r1 80000000 - 871810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 871830 ns R psr 81000200 - 871830 ns MR4_I 01000208 2a001c5b - 871850 ns MR4_I 01000200 07c96841 - 871870 ns IT 01000200 6841 LDR r1,[r0,#4] - 871890 ns MR4_I 01000204 6002d1fc - 871950 ns MR4_D 40006004 00000001 - 871950 ns R r1 00000001 - 871950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 871970 ns R r1 80000000 - 871970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 871990 ns R psr 81000200 - 871990 ns MR4_I 01000208 2a001c5b - 872010 ns MR4_I 01000200 07c96841 - 872030 ns IT 01000200 6841 LDR r1,[r0,#4] - 872050 ns MR4_I 01000204 6002d1fc - 872110 ns MR4_D 40006004 00000001 - 872110 ns R r1 00000001 - 872110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 872130 ns R r1 80000000 - 872130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 872150 ns R psr 81000200 - 872150 ns MR4_I 01000208 2a001c5b - 872170 ns MR4_I 01000200 07c96841 - 872190 ns IT 01000200 6841 LDR r1,[r0,#4] - 872210 ns MR4_I 01000204 6002d1fc - 872270 ns MR4_D 40006004 00000001 - 872270 ns R r1 00000001 - 872270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 872290 ns R r1 80000000 - 872290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 872310 ns R psr 81000200 - 872310 ns MR4_I 01000208 2a001c5b - 872330 ns MR4_I 01000200 07c96841 - 872350 ns IT 01000200 6841 LDR r1,[r0,#4] - 872370 ns MR4_I 01000204 6002d1fc - 872430 ns MR4_D 40006004 00000001 - 872430 ns R r1 00000001 - 872430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 872450 ns R r1 80000000 - 872450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 872470 ns R psr 81000200 - 872470 ns MR4_I 01000208 2a001c5b - 872490 ns MR4_I 01000200 07c96841 - 872510 ns IT 01000200 6841 LDR r1,[r0,#4] - 872530 ns MR4_I 01000204 6002d1fc - 872590 ns MR4_D 40006004 00000001 - 872590 ns R r1 00000001 - 872590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 872610 ns R r1 80000000 - 872610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 872630 ns R psr 81000200 - 872630 ns MR4_I 01000208 2a001c5b - 872650 ns MR4_I 01000200 07c96841 - 872670 ns IT 01000200 6841 LDR r1,[r0,#4] - 872690 ns MR4_I 01000204 6002d1fc - 872750 ns MR4_D 40006004 00000001 - 872750 ns R r1 00000001 - 872750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 872770 ns R r1 80000000 - 872770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 872790 ns R psr 81000200 - 872790 ns MR4_I 01000208 2a001c5b - 872810 ns MR4_I 01000200 07c96841 - 872830 ns IT 01000200 6841 LDR r1,[r0,#4] - 872850 ns MR4_I 01000204 6002d1fc - 872910 ns MR4_D 40006004 00000001 - 872910 ns R r1 00000001 - 872910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 872930 ns R r1 80000000 - 872930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 872950 ns R psr 81000200 - 872950 ns MR4_I 01000208 2a001c5b - 872970 ns MR4_I 01000200 07c96841 - 872990 ns IT 01000200 6841 LDR r1,[r0,#4] - 873010 ns MR4_I 01000204 6002d1fc - 873070 ns MR4_D 40006004 00000001 - 873070 ns R r1 00000001 - 873070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 873090 ns R r1 80000000 - 873090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 873110 ns R psr 81000200 - 873110 ns MR4_I 01000208 2a001c5b - 873130 ns MR4_I 01000200 07c96841 - 873150 ns IT 01000200 6841 LDR r1,[r0,#4] - 873170 ns MR4_I 01000204 6002d1fc - 873230 ns MR4_D 40006004 00000001 - 873230 ns R r1 00000001 - 873230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 873250 ns R r1 80000000 - 873250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 873270 ns R psr 81000200 - 873270 ns MR4_I 01000208 2a001c5b - 873290 ns MR4_I 01000200 07c96841 - 873310 ns IT 01000200 6841 LDR r1,[r0,#4] - 873330 ns MR4_I 01000204 6002d1fc - 873390 ns MR4_D 40006004 00000001 - 873390 ns R r1 00000001 - 873390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 873410 ns R r1 80000000 - 873410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 873430 ns R psr 81000200 - 873430 ns MR4_I 01000208 2a001c5b - 873450 ns MR4_I 01000200 07c96841 - 873470 ns IT 01000200 6841 LDR r1,[r0,#4] - 873490 ns MR4_I 01000204 6002d1fc - 873550 ns MR4_D 40006004 00000001 - 873550 ns R r1 00000001 - 873550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 873570 ns R r1 80000000 - 873570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 873590 ns R psr 81000200 - 873590 ns MR4_I 01000208 2a001c5b - 873610 ns MR4_I 01000200 07c96841 - 873630 ns IT 01000200 6841 LDR r1,[r0,#4] - 873650 ns MR4_I 01000204 6002d1fc - 873710 ns MR4_D 40006004 00000001 - 873710 ns R r1 00000001 - 873710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 873730 ns R r1 80000000 - 873730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 873750 ns R psr 81000200 - 873750 ns MR4_I 01000208 2a001c5b - 873770 ns MR4_I 01000200 07c96841 - 873790 ns IT 01000200 6841 LDR r1,[r0,#4] - 873810 ns MR4_I 01000204 6002d1fc - 873870 ns MR4_D 40006004 00000001 - 873870 ns R r1 00000001 - 873870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 873890 ns R r1 80000000 - 873890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 873910 ns R psr 81000200 - 873910 ns MR4_I 01000208 2a001c5b - 873930 ns MR4_I 01000200 07c96841 - 873950 ns IT 01000200 6841 LDR r1,[r0,#4] - 873970 ns MR4_I 01000204 6002d1fc - 874030 ns MR4_D 40006004 00000001 - 874030 ns R r1 00000001 - 874030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 874050 ns R r1 80000000 - 874050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 874070 ns R psr 81000200 - 874070 ns MR4_I 01000208 2a001c5b - 874090 ns MR4_I 01000200 07c96841 - 874110 ns IT 01000200 6841 LDR r1,[r0,#4] - 874130 ns MR4_I 01000204 6002d1fc - 874190 ns MR4_D 40006004 00000001 - 874190 ns R r1 00000001 - 874190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 874210 ns R r1 80000000 - 874210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 874230 ns R psr 81000200 - 874230 ns MR4_I 01000208 2a001c5b - 874250 ns MR4_I 01000200 07c96841 - 874270 ns IT 01000200 6841 LDR r1,[r0,#4] - 874290 ns MR4_I 01000204 6002d1fc - 874350 ns MR4_D 40006004 00000001 - 874350 ns R r1 00000001 - 874350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 874370 ns R r1 80000000 - 874370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 874390 ns R psr 81000200 - 874390 ns MR4_I 01000208 2a001c5b - 874410 ns MR4_I 01000200 07c96841 - 874430 ns IT 01000200 6841 LDR r1,[r0,#4] - 874450 ns MR4_I 01000204 6002d1fc - 874510 ns MR4_D 40006004 00000001 - 874510 ns R r1 00000001 - 874510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 874530 ns R r1 80000000 - 874530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 874550 ns R psr 81000200 - 874550 ns MR4_I 01000208 2a001c5b - 874570 ns MR4_I 01000200 07c96841 - 874590 ns IT 01000200 6841 LDR r1,[r0,#4] - 874610 ns MR4_I 01000204 6002d1fc - 874670 ns MR4_D 40006004 00000001 - 874670 ns R r1 00000001 - 874670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 874690 ns R r1 80000000 - 874690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 874710 ns R psr 81000200 - 874710 ns MR4_I 01000208 2a001c5b - 874730 ns MR4_I 01000200 07c96841 - 874750 ns IT 01000200 6841 LDR r1,[r0,#4] - 874770 ns MR4_I 01000204 6002d1fc - 874830 ns MR4_D 40006004 00000001 - 874830 ns R r1 00000001 - 874830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 874850 ns R r1 80000000 - 874850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 874870 ns R psr 81000200 - 874870 ns MR4_I 01000208 2a001c5b - 874890 ns MR4_I 01000200 07c96841 - 874910 ns IT 01000200 6841 LDR r1,[r0,#4] - 874930 ns MR4_I 01000204 6002d1fc - 874990 ns MR4_D 40006004 00000001 - 874990 ns R r1 00000001 - 874990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 875010 ns R r1 80000000 - 875010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 875030 ns R psr 81000200 - 875030 ns MR4_I 01000208 2a001c5b - 875050 ns MR4_I 01000200 07c96841 - 875070 ns IT 01000200 6841 LDR r1,[r0,#4] - 875090 ns MR4_I 01000204 6002d1fc - 875150 ns MR4_D 40006004 00000001 - 875150 ns R r1 00000001 - 875150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 875170 ns R r1 80000000 - 875170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 875190 ns R psr 81000200 - 875190 ns MR4_I 01000208 2a001c5b - 875210 ns MR4_I 01000200 07c96841 - 875230 ns IT 01000200 6841 LDR r1,[r0,#4] - 875250 ns MR4_I 01000204 6002d1fc - 875310 ns MR4_D 40006004 00000001 - 875310 ns R r1 00000001 - 875310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 875330 ns R r1 80000000 - 875330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 875350 ns R psr 81000200 - 875350 ns MR4_I 01000208 2a001c5b - 875370 ns MR4_I 01000200 07c96841 - 875390 ns IT 01000200 6841 LDR r1,[r0,#4] - 875410 ns MR4_I 01000204 6002d1fc - 875470 ns MR4_D 40006004 00000001 - 875470 ns R r1 00000001 - 875470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 875490 ns R r1 80000000 - 875490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 875510 ns R psr 81000200 - 875510 ns MR4_I 01000208 2a001c5b - 875530 ns MR4_I 01000200 07c96841 - 875550 ns IT 01000200 6841 LDR r1,[r0,#4] - 875570 ns MR4_I 01000204 6002d1fc - 875630 ns MR4_D 40006004 00000001 - 875630 ns R r1 00000001 - 875630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 875650 ns R r1 80000000 - 875650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 875670 ns R psr 81000200 - 875670 ns MR4_I 01000208 2a001c5b - 875690 ns MR4_I 01000200 07c96841 - 875710 ns IT 01000200 6841 LDR r1,[r0,#4] - 875730 ns MR4_I 01000204 6002d1fc - 875790 ns MR4_D 40006004 00000001 - 875790 ns R r1 00000001 - 875790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 875810 ns R r1 80000000 - 875810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 875830 ns R psr 81000200 - 875830 ns MR4_I 01000208 2a001c5b - 875850 ns MR4_I 01000200 07c96841 - 875870 ns IT 01000200 6841 LDR r1,[r0,#4] - 875890 ns MR4_I 01000204 6002d1fc - 875950 ns MR4_D 40006004 00000001 - 875950 ns R r1 00000001 - 875950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 875970 ns R r1 80000000 - 875970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 875990 ns R psr 81000200 - 875990 ns MR4_I 01000208 2a001c5b - 876010 ns MR4_I 01000200 07c96841 - 876030 ns IT 01000200 6841 LDR r1,[r0,#4] - 876050 ns MR4_I 01000204 6002d1fc - 876110 ns MR4_D 40006004 00000001 - 876110 ns R r1 00000001 - 876110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 876130 ns R r1 80000000 - 876130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 876150 ns R psr 81000200 - 876150 ns MR4_I 01000208 2a001c5b - 876170 ns MR4_I 01000200 07c96841 - 876190 ns IT 01000200 6841 LDR r1,[r0,#4] - 876210 ns MR4_I 01000204 6002d1fc - 876270 ns MR4_D 40006004 00000001 - 876270 ns R r1 00000001 - 876270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 876290 ns R r1 80000000 - 876290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 876310 ns R psr 81000200 - 876310 ns MR4_I 01000208 2a001c5b - 876330 ns MR4_I 01000200 07c96841 - 876350 ns IT 01000200 6841 LDR r1,[r0,#4] - 876370 ns MR4_I 01000204 6002d1fc - 876430 ns MR4_D 40006004 00000001 - 876430 ns R r1 00000001 - 876430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 876450 ns R r1 80000000 - 876450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 876470 ns R psr 81000200 - 876470 ns MR4_I 01000208 2a001c5b - 876490 ns MR4_I 01000200 07c96841 - 876510 ns IT 01000200 6841 LDR r1,[r0,#4] - 876530 ns MR4_I 01000204 6002d1fc - 876590 ns MR4_D 40006004 00000001 - 876590 ns R r1 00000001 - 876590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 876610 ns R r1 80000000 - 876610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 876630 ns R psr 81000200 - 876630 ns MR4_I 01000208 2a001c5b - 876650 ns MR4_I 01000200 07c96841 - 876670 ns IT 01000200 6841 LDR r1,[r0,#4] - 876690 ns MR4_I 01000204 6002d1fc - 876750 ns MR4_D 40006004 00000001 - 876750 ns R r1 00000001 - 876750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 876770 ns R r1 80000000 - 876770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 876790 ns R psr 81000200 - 876790 ns MR4_I 01000208 2a001c5b - 876810 ns MR4_I 01000200 07c96841 - 876830 ns IT 01000200 6841 LDR r1,[r0,#4] - 876850 ns MR4_I 01000204 6002d1fc - 876910 ns MR4_D 40006004 00000001 - 876910 ns R r1 00000001 - 876910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 876930 ns R r1 80000000 - 876930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 876950 ns R psr 81000200 - 876950 ns MR4_I 01000208 2a001c5b - 876970 ns MR4_I 01000200 07c96841 - 876990 ns IT 01000200 6841 LDR r1,[r0,#4] - 877010 ns MR4_I 01000204 6002d1fc - 877070 ns MR4_D 40006004 00000001 - 877070 ns R r1 00000001 - 877070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 877090 ns R r1 80000000 - 877090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 877110 ns R psr 81000200 - 877110 ns MR4_I 01000208 2a001c5b - 877130 ns MR4_I 01000200 07c96841 - 877150 ns IT 01000200 6841 LDR r1,[r0,#4] - 877170 ns MR4_I 01000204 6002d1fc - 877230 ns MR4_D 40006004 00000001 - 877230 ns R r1 00000001 - 877230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 877250 ns R r1 80000000 - 877250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 877270 ns R psr 81000200 - 877270 ns MR4_I 01000208 2a001c5b - 877290 ns MR4_I 01000200 07c96841 - 877310 ns IT 01000200 6841 LDR r1,[r0,#4] - 877330 ns MR4_I 01000204 6002d1fc - 877390 ns MR4_D 40006004 00000001 - 877390 ns R r1 00000001 - 877390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 877410 ns R r1 80000000 - 877410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 877430 ns R psr 81000200 - 877430 ns MR4_I 01000208 2a001c5b - 877450 ns MR4_I 01000200 07c96841 - 877470 ns IT 01000200 6841 LDR r1,[r0,#4] - 877490 ns MR4_I 01000204 6002d1fc - 877550 ns MR4_D 40006004 00000001 - 877550 ns R r1 00000001 - 877550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 877570 ns R r1 80000000 - 877570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 877590 ns R psr 81000200 - 877590 ns MR4_I 01000208 2a001c5b - 877610 ns MR4_I 01000200 07c96841 - 877630 ns IT 01000200 6841 LDR r1,[r0,#4] - 877650 ns MR4_I 01000204 6002d1fc - 877710 ns MR4_D 40006004 00000001 - 877710 ns R r1 00000001 - 877710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 877730 ns R r1 80000000 - 877730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 877750 ns R psr 81000200 - 877750 ns MR4_I 01000208 2a001c5b - 877770 ns MR4_I 01000200 07c96841 - 877790 ns IT 01000200 6841 LDR r1,[r0,#4] - 877810 ns MR4_I 01000204 6002d1fc - 877870 ns MR4_D 40006004 00000001 - 877870 ns R r1 00000001 - 877870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 877890 ns R r1 80000000 - 877890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 877910 ns R psr 81000200 - 877910 ns MR4_I 01000208 2a001c5b - 877930 ns MR4_I 01000200 07c96841 - 877950 ns IT 01000200 6841 LDR r1,[r0,#4] - 877970 ns MR4_I 01000204 6002d1fc - 878030 ns MR4_D 40006004 00000001 - 878030 ns R r1 00000001 - 878030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 878050 ns R r1 80000000 - 878050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 878070 ns R psr 81000200 - 878070 ns MR4_I 01000208 2a001c5b - 878090 ns MR4_I 01000200 07c96841 - 878110 ns IT 01000200 6841 LDR r1,[r0,#4] - 878130 ns MR4_I 01000204 6002d1fc - 878190 ns MR4_D 40006004 00000001 - 878190 ns R r1 00000001 - 878190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 878210 ns R r1 80000000 - 878210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 878230 ns R psr 81000200 - 878230 ns MR4_I 01000208 2a001c5b - 878250 ns MR4_I 01000200 07c96841 - 878270 ns IT 01000200 6841 LDR r1,[r0,#4] - 878290 ns MR4_I 01000204 6002d1fc - 878350 ns MR4_D 40006004 00000001 - 878350 ns R r1 00000001 - 878350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 878370 ns R r1 80000000 - 878370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 878390 ns R psr 81000200 - 878390 ns MR4_I 01000208 2a001c5b - 878410 ns MR4_I 01000200 07c96841 - 878430 ns IT 01000200 6841 LDR r1,[r0,#4] - 878450 ns MR4_I 01000204 6002d1fc - 878510 ns MR4_D 40006004 00000001 - 878510 ns R r1 00000001 - 878510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 878530 ns R r1 80000000 - 878530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 878550 ns R psr 81000200 - 878550 ns MR4_I 01000208 2a001c5b - 878570 ns MR4_I 01000200 07c96841 - 878590 ns IT 01000200 6841 LDR r1,[r0,#4] - 878610 ns MR4_I 01000204 6002d1fc - 878670 ns MR4_D 40006004 00000001 - 878670 ns R r1 00000001 - 878670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 878690 ns R r1 80000000 - 878690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 878710 ns R psr 81000200 - 878710 ns MR4_I 01000208 2a001c5b - 878730 ns MR4_I 01000200 07c96841 - 878750 ns IT 01000200 6841 LDR r1,[r0,#4] - 878770 ns MR4_I 01000204 6002d1fc - 878830 ns MR4_D 40006004 00000001 - 878830 ns R r1 00000001 - 878830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 878850 ns R r1 80000000 - 878850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 878870 ns R psr 81000200 - 878870 ns MR4_I 01000208 2a001c5b - 878890 ns MR4_I 01000200 07c96841 - 878910 ns IT 01000200 6841 LDR r1,[r0,#4] - 878930 ns MR4_I 01000204 6002d1fc - 878990 ns MR4_D 40006004 00000001 - 878990 ns R r1 00000001 - 878990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 879010 ns R r1 80000000 - 879010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 879030 ns R psr 81000200 - 879030 ns MR4_I 01000208 2a001c5b - 879050 ns MR4_I 01000200 07c96841 - 879070 ns IT 01000200 6841 LDR r1,[r0,#4] - 879090 ns MR4_I 01000204 6002d1fc - 879150 ns MR4_D 40006004 00000001 - 879150 ns R r1 00000001 - 879150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 879170 ns R r1 80000000 - 879170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 879190 ns R psr 81000200 - 879190 ns MR4_I 01000208 2a001c5b - 879210 ns MR4_I 01000200 07c96841 - 879230 ns IT 01000200 6841 LDR r1,[r0,#4] - 879250 ns MR4_I 01000204 6002d1fc - 879310 ns MR4_D 40006004 00000001 - 879310 ns R r1 00000001 - 879310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 879330 ns R r1 80000000 - 879330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 879350 ns R psr 81000200 - 879350 ns MR4_I 01000208 2a001c5b - 879370 ns MR4_I 01000200 07c96841 - 879390 ns IT 01000200 6841 LDR r1,[r0,#4] - 879410 ns MR4_I 01000204 6002d1fc - 879470 ns MR4_D 40006004 00000001 - 879470 ns R r1 00000001 - 879470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 879490 ns R r1 80000000 - 879490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 879510 ns R psr 81000200 - 879510 ns MR4_I 01000208 2a001c5b - 879530 ns MR4_I 01000200 07c96841 - 879550 ns IT 01000200 6841 LDR r1,[r0,#4] - 879570 ns MR4_I 01000204 6002d1fc - 879630 ns MR4_D 40006004 00000001 - 879630 ns R r1 00000001 - 879630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 879650 ns R r1 80000000 - 879650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 879670 ns R psr 81000200 - 879670 ns MR4_I 01000208 2a001c5b - 879690 ns MR4_I 01000200 07c96841 - 879710 ns IT 01000200 6841 LDR r1,[r0,#4] - 879730 ns MR4_I 01000204 6002d1fc - 879790 ns MR4_D 40006004 00000001 - 879790 ns R r1 00000001 - 879790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 879810 ns R r1 80000000 - 879810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 879830 ns R psr 81000200 - 879830 ns MR4_I 01000208 2a001c5b - 879850 ns MR4_I 01000200 07c96841 - 879870 ns IT 01000200 6841 LDR r1,[r0,#4] - 879890 ns MR4_I 01000204 6002d1fc - 879950 ns MR4_D 40006004 00000001 - 879950 ns R r1 00000001 - 879950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 879970 ns R r1 80000000 - 879970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 879990 ns R psr 81000200 - 879990 ns MR4_I 01000208 2a001c5b - 880010 ns MR4_I 01000200 07c96841 - 880030 ns IT 01000200 6841 LDR r1,[r0,#4] - 880050 ns MR4_I 01000204 6002d1fc - 880110 ns MR4_D 40006004 00000001 - 880110 ns R r1 00000001 - 880110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 880130 ns R r1 80000000 - 880130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 880150 ns R psr 81000200 - 880150 ns MR4_I 01000208 2a001c5b - 880170 ns MR4_I 01000200 07c96841 - 880190 ns IT 01000200 6841 LDR r1,[r0,#4] - 880210 ns MR4_I 01000204 6002d1fc - 880270 ns MR4_D 40006004 00000001 - 880270 ns R r1 00000001 - 880270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 880290 ns R r1 80000000 - 880290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 880310 ns R psr 81000200 - 880310 ns MR4_I 01000208 2a001c5b - 880330 ns MR4_I 01000200 07c96841 - 880350 ns IT 01000200 6841 LDR r1,[r0,#4] - 880370 ns MR4_I 01000204 6002d1fc - 880430 ns MR4_D 40006004 00000001 - 880430 ns R r1 00000001 - 880430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 880450 ns R r1 80000000 - 880450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 880470 ns R psr 81000200 - 880470 ns MR4_I 01000208 2a001c5b - 880490 ns MR4_I 01000200 07c96841 - 880510 ns IT 01000200 6841 LDR r1,[r0,#4] - 880530 ns MR4_I 01000204 6002d1fc - 880590 ns MR4_D 40006004 00000001 - 880590 ns R r1 00000001 - 880590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 880610 ns R r1 80000000 - 880610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 880630 ns R psr 81000200 - 880630 ns MR4_I 01000208 2a001c5b - 880650 ns MR4_I 01000200 07c96841 - 880670 ns IT 01000200 6841 LDR r1,[r0,#4] - 880690 ns MR4_I 01000204 6002d1fc - 880750 ns MR4_D 40006004 00000001 - 880750 ns R r1 00000001 - 880750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 880770 ns R r1 80000000 - 880770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 880790 ns R psr 81000200 - 880790 ns MR4_I 01000208 2a001c5b - 880810 ns MR4_I 01000200 07c96841 - 880830 ns IT 01000200 6841 LDR r1,[r0,#4] - 880850 ns MR4_I 01000204 6002d1fc - 880910 ns MR4_D 40006004 00000001 - 880910 ns R r1 00000001 - 880910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 880930 ns R r1 80000000 - 880930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 880950 ns R psr 81000200 - 880950 ns MR4_I 01000208 2a001c5b - 880970 ns MR4_I 01000200 07c96841 - 880990 ns IT 01000200 6841 LDR r1,[r0,#4] - 881010 ns MR4_I 01000204 6002d1fc - 881070 ns MR4_D 40006004 00000001 - 881070 ns R r1 00000001 - 881070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 881090 ns R r1 80000000 - 881090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 881110 ns R psr 81000200 - 881110 ns MR4_I 01000208 2a001c5b - 881130 ns MR4_I 01000200 07c96841 - 881150 ns IT 01000200 6841 LDR r1,[r0,#4] - 881170 ns MR4_I 01000204 6002d1fc - 881230 ns MR4_D 40006004 00000001 - 881230 ns R r1 00000001 - 881230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 881250 ns R r1 80000000 - 881250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 881270 ns R psr 81000200 - 881270 ns MR4_I 01000208 2a001c5b - 881290 ns MR4_I 01000200 07c96841 - 881310 ns IT 01000200 6841 LDR r1,[r0,#4] - 881330 ns MR4_I 01000204 6002d1fc - 881390 ns MR4_D 40006004 00000001 - 881390 ns R r1 00000001 - 881390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 881410 ns R r1 80000000 - 881410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 881430 ns R psr 81000200 - 881430 ns MR4_I 01000208 2a001c5b - 881450 ns MR4_I 01000200 07c96841 - 881470 ns IT 01000200 6841 LDR r1,[r0,#4] - 881490 ns MR4_I 01000204 6002d1fc - 881550 ns MR4_D 40006004 00000001 - 881550 ns R r1 00000001 - 881550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 881570 ns R r1 80000000 - 881570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 881590 ns R psr 81000200 - 881590 ns MR4_I 01000208 2a001c5b - 881610 ns MR4_I 01000200 07c96841 - 881630 ns IT 01000200 6841 LDR r1,[r0,#4] - 881650 ns MR4_I 01000204 6002d1fc - 881710 ns MR4_D 40006004 00000001 - 881710 ns R r1 00000001 - 881710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 881730 ns R r1 80000000 - 881730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 881750 ns R psr 81000200 - 881750 ns MR4_I 01000208 2a001c5b - 881770 ns MR4_I 01000200 07c96841 - 881790 ns IT 01000200 6841 LDR r1,[r0,#4] - 881810 ns MR4_I 01000204 6002d1fc - 881870 ns MR4_D 40006004 00000001 - 881870 ns R r1 00000001 - 881870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 881890 ns R r1 80000000 - 881890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 881910 ns R psr 81000200 - 881910 ns MR4_I 01000208 2a001c5b - 881930 ns MR4_I 01000200 07c96841 - 881950 ns IT 01000200 6841 LDR r1,[r0,#4] - 881970 ns MR4_I 01000204 6002d1fc - 882030 ns MR4_D 40006004 00000001 - 882030 ns R r1 00000001 - 882030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 882050 ns R r1 80000000 - 882050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 882070 ns R psr 81000200 - 882070 ns MR4_I 01000208 2a001c5b - 882090 ns MR4_I 01000200 07c96841 - 882110 ns IT 01000200 6841 LDR r1,[r0,#4] - 882130 ns MR4_I 01000204 6002d1fc - 882190 ns MR4_D 40006004 00000001 - 882190 ns R r1 00000001 - 882190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 882210 ns R r1 80000000 - 882210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 882230 ns R psr 81000200 - 882230 ns MR4_I 01000208 2a001c5b - 882250 ns MR4_I 01000200 07c96841 - 882270 ns IT 01000200 6841 LDR r1,[r0,#4] - 882290 ns MR4_I 01000204 6002d1fc - 882350 ns MR4_D 40006004 00000001 - 882350 ns R r1 00000001 - 882350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 882370 ns R r1 80000000 - 882370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 882390 ns R psr 81000200 - 882390 ns MR4_I 01000208 2a001c5b - 882410 ns MR4_I 01000200 07c96841 - 882430 ns IT 01000200 6841 LDR r1,[r0,#4] - 882450 ns MR4_I 01000204 6002d1fc - 882510 ns MR4_D 40006004 00000001 - 882510 ns R r1 00000001 - 882510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 882530 ns R r1 80000000 - 882530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 882550 ns R psr 81000200 - 882550 ns MR4_I 01000208 2a001c5b - 882570 ns MR4_I 01000200 07c96841 - 882590 ns IT 01000200 6841 LDR r1,[r0,#4] - 882610 ns MR4_I 01000204 6002d1fc - 882670 ns MR4_D 40006004 00000001 - 882670 ns R r1 00000001 - 882670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 882690 ns R r1 80000000 - 882690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 882710 ns R psr 81000200 - 882710 ns MR4_I 01000208 2a001c5b - 882730 ns MR4_I 01000200 07c96841 - 882750 ns IT 01000200 6841 LDR r1,[r0,#4] - 882770 ns MR4_I 01000204 6002d1fc - 882830 ns MR4_D 40006004 00000001 - 882830 ns R r1 00000001 - 882830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 882850 ns R r1 80000000 - 882850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 882870 ns R psr 81000200 - 882870 ns MR4_I 01000208 2a001c5b - 882890 ns MR4_I 01000200 07c96841 - 882910 ns IT 01000200 6841 LDR r1,[r0,#4] - 882930 ns MR4_I 01000204 6002d1fc - 882990 ns MR4_D 40006004 00000001 - 882990 ns R r1 00000001 - 882990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 883010 ns R r1 80000000 - 883010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 883030 ns R psr 81000200 - 883030 ns MR4_I 01000208 2a001c5b - 883050 ns MR4_I 01000200 07c96841 - 883070 ns IT 01000200 6841 LDR r1,[r0,#4] - 883090 ns MR4_I 01000204 6002d1fc - 883150 ns MR4_D 40006004 00000001 - 883150 ns R r1 00000001 - 883150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 883170 ns R r1 80000000 - 883170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 883190 ns R psr 81000200 - 883190 ns MR4_I 01000208 2a001c5b - 883210 ns MR4_I 01000200 07c96841 - 883230 ns IT 01000200 6841 LDR r1,[r0,#4] - 883250 ns MR4_I 01000204 6002d1fc - 883310 ns MR4_D 40006004 00000001 - 883310 ns R r1 00000001 - 883310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 883330 ns R r1 80000000 - 883330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 883350 ns R psr 81000200 - 883350 ns MR4_I 01000208 2a001c5b - 883370 ns MR4_I 01000200 07c96841 - 883390 ns IT 01000200 6841 LDR r1,[r0,#4] - 883410 ns MR4_I 01000204 6002d1fc - 883470 ns MR4_D 40006004 00000001 - 883470 ns R r1 00000001 - 883470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 883490 ns R r1 80000000 - 883490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 883510 ns R psr 81000200 - 883510 ns MR4_I 01000208 2a001c5b - 883530 ns MR4_I 01000200 07c96841 - 883550 ns IT 01000200 6841 LDR r1,[r0,#4] - 883570 ns MR4_I 01000204 6002d1fc - 883630 ns MR4_D 40006004 00000001 - 883630 ns R r1 00000001 - 883630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 883650 ns R r1 80000000 - 883650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 883670 ns R psr 81000200 - 883670 ns MR4_I 01000208 2a001c5b - 883690 ns MR4_I 01000200 07c96841 - 883710 ns IT 01000200 6841 LDR r1,[r0,#4] - 883730 ns MR4_I 01000204 6002d1fc - 883790 ns MR4_D 40006004 00000001 - 883790 ns R r1 00000001 - 883790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 883810 ns R r1 80000000 - 883810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 883830 ns R psr 81000200 - 883830 ns MR4_I 01000208 2a001c5b - 883850 ns MR4_I 01000200 07c96841 - 883870 ns IT 01000200 6841 LDR r1,[r0,#4] - 883890 ns MR4_I 01000204 6002d1fc - 883950 ns MR4_D 40006004 00000001 - 883950 ns R r1 00000001 - 883950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 883970 ns R r1 80000000 - 883970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 883990 ns R psr 81000200 - 883990 ns MR4_I 01000208 2a001c5b - 884010 ns MR4_I 01000200 07c96841 - 884030 ns IT 01000200 6841 LDR r1,[r0,#4] - 884050 ns MR4_I 01000204 6002d1fc - 884110 ns MR4_D 40006004 00000001 - 884110 ns R r1 00000001 - 884110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 884130 ns R r1 80000000 - 884130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 884150 ns R psr 81000200 - 884150 ns MR4_I 01000208 2a001c5b - 884170 ns MR4_I 01000200 07c96841 - 884190 ns IT 01000200 6841 LDR r1,[r0,#4] - 884210 ns MR4_I 01000204 6002d1fc - 884270 ns MR4_D 40006004 00000001 - 884270 ns R r1 00000001 - 884270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 884290 ns R r1 80000000 - 884290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 884310 ns R psr 81000200 - 884310 ns MR4_I 01000208 2a001c5b - 884330 ns MR4_I 01000200 07c96841 - 884350 ns IT 01000200 6841 LDR r1,[r0,#4] - 884370 ns MR4_I 01000204 6002d1fc - 884430 ns MR4_D 40006004 00000001 - 884430 ns R r1 00000001 - 884430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 884450 ns R r1 80000000 - 884450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 884470 ns R psr 81000200 - 884470 ns MR4_I 01000208 2a001c5b - 884490 ns MR4_I 01000200 07c96841 - 884510 ns IT 01000200 6841 LDR r1,[r0,#4] - 884530 ns MR4_I 01000204 6002d1fc - 884590 ns MR4_D 40006004 00000001 - 884590 ns R r1 00000001 - 884590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 884610 ns R r1 80000000 - 884610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 884630 ns R psr 81000200 - 884630 ns MR4_I 01000208 2a001c5b - 884650 ns MR4_I 01000200 07c96841 - 884670 ns IT 01000200 6841 LDR r1,[r0,#4] - 884690 ns MR4_I 01000204 6002d1fc - 884750 ns MR4_D 40006004 00000001 - 884750 ns R r1 00000001 - 884750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 884770 ns R r1 80000000 - 884770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 884790 ns R psr 81000200 - 884790 ns MR4_I 01000208 2a001c5b - 884810 ns MR4_I 01000200 07c96841 - 884830 ns IT 01000200 6841 LDR r1,[r0,#4] - 884850 ns MR4_I 01000204 6002d1fc - 884910 ns MR4_D 40006004 00000001 - 884910 ns R r1 00000001 - 884910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 884930 ns R r1 80000000 - 884930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 884950 ns R psr 81000200 - 884950 ns MR4_I 01000208 2a001c5b - 884970 ns MR4_I 01000200 07c96841 - 884990 ns IT 01000200 6841 LDR r1,[r0,#4] - 885010 ns MR4_I 01000204 6002d1fc - 885070 ns MR4_D 40006004 00000001 - 885070 ns R r1 00000001 - 885070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 885090 ns R r1 80000000 - 885090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 885110 ns R psr 81000200 - 885110 ns MR4_I 01000208 2a001c5b - 885130 ns MR4_I 01000200 07c96841 - 885150 ns IT 01000200 6841 LDR r1,[r0,#4] - 885170 ns MR4_I 01000204 6002d1fc - 885230 ns MR4_D 40006004 00000001 - 885230 ns R r1 00000001 - 885230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 885250 ns R r1 80000000 - 885250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 885270 ns R psr 81000200 - 885270 ns MR4_I 01000208 2a001c5b - 885290 ns MR4_I 01000200 07c96841 - 885310 ns IT 01000200 6841 LDR r1,[r0,#4] - 885330 ns MR4_I 01000204 6002d1fc - 885390 ns MR4_D 40006004 00000001 - 885390 ns R r1 00000001 - 885390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 885410 ns R r1 80000000 - 885410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 885430 ns R psr 81000200 - 885430 ns MR4_I 01000208 2a001c5b - 885450 ns MR4_I 01000200 07c96841 - 885470 ns IT 01000200 6841 LDR r1,[r0,#4] - 885490 ns MR4_I 01000204 6002d1fc - 885550 ns MR4_D 40006004 00000001 - 885550 ns R r1 00000001 - 885550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 885570 ns R r1 80000000 - 885570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 885590 ns R psr 81000200 - 885590 ns MR4_I 01000208 2a001c5b - 885610 ns MR4_I 01000200 07c96841 - 885630 ns IT 01000200 6841 LDR r1,[r0,#4] - 885650 ns MR4_I 01000204 6002d1fc - 885710 ns MR4_D 40006004 00000001 - 885710 ns R r1 00000001 - 885710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 885730 ns R r1 80000000 - 885730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 885750 ns R psr 81000200 - 885750 ns MR4_I 01000208 2a001c5b - 885770 ns MR4_I 01000200 07c96841 - 885790 ns IT 01000200 6841 LDR r1,[r0,#4] - 885810 ns MR4_I 01000204 6002d1fc - 885870 ns MR4_D 40006004 00000001 - 885870 ns R r1 00000001 - 885870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 885890 ns R r1 80000000 - 885890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 885910 ns R psr 81000200 - 885910 ns MR4_I 01000208 2a001c5b - 885930 ns MR4_I 01000200 07c96841 - 885950 ns IT 01000200 6841 LDR r1,[r0,#4] - 885970 ns MR4_I 01000204 6002d1fc - 886030 ns MR4_D 40006004 00000001 - 886030 ns R r1 00000001 - 886030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 886050 ns R r1 80000000 - 886050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 886070 ns R psr 81000200 - 886070 ns MR4_I 01000208 2a001c5b - 886090 ns MR4_I 01000200 07c96841 - 886110 ns IT 01000200 6841 LDR r1,[r0,#4] - 886130 ns MR4_I 01000204 6002d1fc - 886190 ns MR4_D 40006004 00000001 - 886190 ns R r1 00000001 - 886190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 886210 ns R r1 80000000 - 886210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 886230 ns R psr 81000200 - 886230 ns MR4_I 01000208 2a001c5b - 886250 ns MR4_I 01000200 07c96841 - 886270 ns IT 01000200 6841 LDR r1,[r0,#4] - 886290 ns MR4_I 01000204 6002d1fc - 886350 ns MR4_D 40006004 00000001 - 886350 ns R r1 00000001 - 886350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 886370 ns R r1 80000000 - 886370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 886390 ns R psr 81000200 - 886390 ns MR4_I 01000208 2a001c5b - 886410 ns MR4_I 01000200 07c96841 - 886430 ns IT 01000200 6841 LDR r1,[r0,#4] - 886450 ns MR4_I 01000204 6002d1fc - 886510 ns MR4_D 40006004 00000001 - 886510 ns R r1 00000001 - 886510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 886530 ns R r1 80000000 - 886530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 886550 ns R psr 81000200 - 886550 ns MR4_I 01000208 2a001c5b - 886570 ns MR4_I 01000200 07c96841 - 886590 ns IT 01000200 6841 LDR r1,[r0,#4] - 886610 ns MR4_I 01000204 6002d1fc - 886670 ns MR4_D 40006004 00000001 - 886670 ns R r1 00000001 - 886670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 886690 ns R r1 80000000 - 886690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 886710 ns R psr 81000200 - 886710 ns MR4_I 01000208 2a001c5b - 886730 ns MR4_I 01000200 07c96841 - 886750 ns IT 01000200 6841 LDR r1,[r0,#4] - 886770 ns MR4_I 01000204 6002d1fc - 886830 ns MR4_D 40006004 00000001 - 886830 ns R r1 00000001 - 886830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 886850 ns R r1 80000000 - 886850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 886870 ns R psr 81000200 - 886870 ns MR4_I 01000208 2a001c5b - 886890 ns MR4_I 01000200 07c96841 - 886910 ns IT 01000200 6841 LDR r1,[r0,#4] - 886930 ns MR4_I 01000204 6002d1fc - 886990 ns MR4_D 40006004 00000001 - 886990 ns R r1 00000001 - 886990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 887010 ns R r1 80000000 - 887010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 887030 ns R psr 81000200 - 887030 ns MR4_I 01000208 2a001c5b - 887050 ns MR4_I 01000200 07c96841 - 887070 ns IT 01000200 6841 LDR r1,[r0,#4] - 887090 ns MR4_I 01000204 6002d1fc - 887150 ns MR4_D 40006004 00000001 - 887150 ns R r1 00000001 - 887150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 887170 ns R r1 80000000 - 887170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 887190 ns R psr 81000200 - 887190 ns MR4_I 01000208 2a001c5b - 887210 ns MR4_I 01000200 07c96841 - 887230 ns IT 01000200 6841 LDR r1,[r0,#4] - 887250 ns MR4_I 01000204 6002d1fc - 887310 ns MR4_D 40006004 00000001 - 887310 ns R r1 00000001 - 887310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 887330 ns R r1 80000000 - 887330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 887350 ns R psr 81000200 - 887350 ns MR4_I 01000208 2a001c5b - 887370 ns MR4_I 01000200 07c96841 - 887390 ns IT 01000200 6841 LDR r1,[r0,#4] - 887410 ns MR4_I 01000204 6002d1fc - 887470 ns MR4_D 40006004 00000001 - 887470 ns R r1 00000001 - 887470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 887490 ns R r1 80000000 - 887490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 887510 ns R psr 81000200 - 887510 ns MR4_I 01000208 2a001c5b - 887530 ns MR4_I 01000200 07c96841 - 887550 ns IT 01000200 6841 LDR r1,[r0,#4] - 887570 ns MR4_I 01000204 6002d1fc - 887630 ns MR4_D 40006004 00000001 - 887630 ns R r1 00000001 - 887630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 887650 ns R r1 80000000 - 887650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 887670 ns R psr 81000200 - 887670 ns MR4_I 01000208 2a001c5b - 887690 ns MR4_I 01000200 07c96841 - 887710 ns IT 01000200 6841 LDR r1,[r0,#4] - 887730 ns MR4_I 01000204 6002d1fc - 887790 ns MR4_D 40006004 00000001 - 887790 ns R r1 00000001 - 887790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 887810 ns R r1 80000000 - 887810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 887830 ns R psr 81000200 - 887830 ns MR4_I 01000208 2a001c5b - 887850 ns MR4_I 01000200 07c96841 - 887870 ns IT 01000200 6841 LDR r1,[r0,#4] - 887890 ns MR4_I 01000204 6002d1fc - 887950 ns MR4_D 40006004 00000001 - 887950 ns R r1 00000001 - 887950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 887970 ns R r1 80000000 - 887970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 887990 ns R psr 81000200 - 887990 ns MR4_I 01000208 2a001c5b - 888010 ns MR4_I 01000200 07c96841 - 888030 ns IT 01000200 6841 LDR r1,[r0,#4] - 888050 ns MR4_I 01000204 6002d1fc - 888110 ns MR4_D 40006004 00000001 - 888110 ns R r1 00000001 - 888110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 888130 ns R r1 80000000 - 888130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 888150 ns R psr 81000200 - 888150 ns MR4_I 01000208 2a001c5b - 888170 ns MR4_I 01000200 07c96841 - 888190 ns IT 01000200 6841 LDR r1,[r0,#4] - 888210 ns MR4_I 01000204 6002d1fc - 888270 ns MR4_D 40006004 00000001 - 888270 ns R r1 00000001 - 888270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 888290 ns R r1 80000000 - 888290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 888310 ns R psr 81000200 - 888310 ns MR4_I 01000208 2a001c5b - 888330 ns MR4_I 01000200 07c96841 - 888350 ns IT 01000200 6841 LDR r1,[r0,#4] - 888370 ns MR4_I 01000204 6002d1fc - 888430 ns MR4_D 40006004 00000001 - 888430 ns R r1 00000001 - 888430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 888450 ns R r1 80000000 - 888450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 888470 ns R psr 81000200 - 888470 ns MR4_I 01000208 2a001c5b - 888490 ns MR4_I 01000200 07c96841 - 888510 ns IT 01000200 6841 LDR r1,[r0,#4] - 888530 ns MR4_I 01000204 6002d1fc - 888590 ns MR4_D 40006004 00000001 - 888590 ns R r1 00000001 - 888590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 888610 ns R r1 80000000 - 888610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 888630 ns R psr 81000200 - 888630 ns MR4_I 01000208 2a001c5b - 888650 ns MR4_I 01000200 07c96841 - 888670 ns IT 01000200 6841 LDR r1,[r0,#4] - 888690 ns MR4_I 01000204 6002d1fc - 888750 ns MR4_D 40006004 00000001 - 888750 ns R r1 00000001 - 888750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 888770 ns R r1 80000000 - 888770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 888790 ns R psr 81000200 - 888790 ns MR4_I 01000208 2a001c5b - 888810 ns MR4_I 01000200 07c96841 - 888830 ns IT 01000200 6841 LDR r1,[r0,#4] - 888850 ns MR4_I 01000204 6002d1fc - 888910 ns MR4_D 40006004 00000001 - 888910 ns R r1 00000001 - 888910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 888930 ns R r1 80000000 - 888930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 888950 ns R psr 81000200 - 888950 ns MR4_I 01000208 2a001c5b - 888970 ns MR4_I 01000200 07c96841 - 888990 ns IT 01000200 6841 LDR r1,[r0,#4] - 889010 ns MR4_I 01000204 6002d1fc - 889070 ns MR4_D 40006004 00000001 - 889070 ns R r1 00000001 - 889070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 889090 ns R r1 80000000 - 889090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 889110 ns R psr 81000200 - 889110 ns MR4_I 01000208 2a001c5b - 889130 ns MR4_I 01000200 07c96841 - 889150 ns IT 01000200 6841 LDR r1,[r0,#4] - 889170 ns MR4_I 01000204 6002d1fc - 889230 ns MR4_D 40006004 00000001 - 889230 ns R r1 00000001 - 889230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 889250 ns R r1 80000000 - 889250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 889270 ns R psr 81000200 - 889270 ns MR4_I 01000208 2a001c5b - 889290 ns MR4_I 01000200 07c96841 - 889310 ns IT 01000200 6841 LDR r1,[r0,#4] - 889330 ns MR4_I 01000204 6002d1fc - 889390 ns MR4_D 40006004 00000001 - 889390 ns R r1 00000001 - 889390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 889410 ns R r1 80000000 - 889410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 889430 ns R psr 81000200 - 889430 ns MR4_I 01000208 2a001c5b - 889450 ns MR4_I 01000200 07c96841 - 889470 ns IT 01000200 6841 LDR r1,[r0,#4] - 889490 ns MR4_I 01000204 6002d1fc - 889550 ns MR4_D 40006004 00000001 - 889550 ns R r1 00000001 - 889550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 889570 ns R r1 80000000 - 889570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 889590 ns R psr 81000200 - 889590 ns MR4_I 01000208 2a001c5b - 889610 ns MR4_I 01000200 07c96841 - 889630 ns IT 01000200 6841 LDR r1,[r0,#4] - 889650 ns MR4_I 01000204 6002d1fc - 889710 ns MR4_D 40006004 00000001 - 889710 ns R r1 00000001 - 889710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 889730 ns R r1 80000000 - 889730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 889750 ns R psr 81000200 - 889750 ns MR4_I 01000208 2a001c5b - 889770 ns MR4_I 01000200 07c96841 - 889790 ns IT 01000200 6841 LDR r1,[r0,#4] - 889810 ns MR4_I 01000204 6002d1fc - 889870 ns MR4_D 40006004 00000001 - 889870 ns R r1 00000001 - 889870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 889890 ns R r1 80000000 - 889890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 889910 ns R psr 81000200 - 889910 ns MR4_I 01000208 2a001c5b - 889930 ns MR4_I 01000200 07c96841 - 889950 ns IT 01000200 6841 LDR r1,[r0,#4] - 889970 ns MR4_I 01000204 6002d1fc - 890030 ns MR4_D 40006004 00000001 - 890030 ns R r1 00000001 - 890030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 890050 ns R r1 80000000 - 890050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 890070 ns R psr 81000200 - 890070 ns MR4_I 01000208 2a001c5b - 890090 ns MR4_I 01000200 07c96841 - 890110 ns IT 01000200 6841 LDR r1,[r0,#4] - 890130 ns MR4_I 01000204 6002d1fc - 890190 ns MR4_D 40006004 00000001 - 890190 ns R r1 00000001 - 890190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 890210 ns R r1 80000000 - 890210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 890230 ns R psr 81000200 - 890230 ns MR4_I 01000208 2a001c5b - 890250 ns MR4_I 01000200 07c96841 - 890270 ns IT 01000200 6841 LDR r1,[r0,#4] - 890290 ns MR4_I 01000204 6002d1fc - 890350 ns MR4_D 40006004 00000000 - 890350 ns R r1 00000000 - 890350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 890370 ns R r1 00000000 - 890370 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 890390 ns R psr 41000200 - 890390 ns MR4_I 01000208 2a001c5b - 890390 ns IT 01000206 6002 STR r2,[r0,#0] - 890470 ns MW4_D 40006000 00000074 - 890470 ns IT 01000208 1c5b ADDS r3,r3,#1 - 890490 ns MR4_I 0100020c a32ad1f5 - 890490 ns R r3 010002ab - 890490 ns IT 0100020a 2a00 CMP r2,#0 - 890510 ns R psr 01000200 - 890510 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 890530 ns R psr 21000200 - 890530 ns MR4_I 01000210 2a00781a - 890550 ns MR4_I 010001f8 781aa326 - 890570 ns MR4_I 010001fc d0062a00 - 890570 ns IT 010001fa 781a LDRB r2,[r3,#0] - 890610 ns MR1_D 010002ab 6574726f - 890610 ns R r2 00000065 - 890610 ns IT 010001fc 2a00 CMP r2,#0 - 890630 ns MR4_I 01000200 07c96841 - 890630 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 890650 ns R psr 21000200 - 890650 ns IT 01000200 6841 LDR r1,[r0,#4] - 890670 ns MR4_I 01000204 6002d1fc - 890730 ns MR4_D 40006004 00000001 - 890730 ns R r1 00000001 - 890730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 890750 ns R r1 80000000 - 890750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 890770 ns R psr 81000200 - 890770 ns MR4_I 01000208 2a001c5b - 890790 ns MR4_I 01000200 07c96841 - 890810 ns IT 01000200 6841 LDR r1,[r0,#4] - 890830 ns MR4_I 01000204 6002d1fc - 890890 ns MR4_D 40006004 00000001 - 890890 ns R r1 00000001 - 890890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 890910 ns R r1 80000000 - 890910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 890930 ns R psr 81000200 - 890930 ns MR4_I 01000208 2a001c5b - 890950 ns MR4_I 01000200 07c96841 - 890970 ns IT 01000200 6841 LDR r1,[r0,#4] - 890990 ns MR4_I 01000204 6002d1fc - 891050 ns MR4_D 40006004 00000001 - 891050 ns R r1 00000001 - 891050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 891070 ns R r1 80000000 - 891070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 891090 ns R psr 81000200 - 891090 ns MR4_I 01000208 2a001c5b - 891110 ns MR4_I 01000200 07c96841 - 891130 ns IT 01000200 6841 LDR r1,[r0,#4] - 891150 ns MR4_I 01000204 6002d1fc - 891210 ns MR4_D 40006004 00000001 - 891210 ns R r1 00000001 - 891210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 891230 ns R r1 80000000 - 891230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 891250 ns R psr 81000200 - 891250 ns MR4_I 01000208 2a001c5b - 891270 ns MR4_I 01000200 07c96841 - 891290 ns IT 01000200 6841 LDR r1,[r0,#4] - 891310 ns MR4_I 01000204 6002d1fc - 891370 ns MR4_D 40006004 00000001 - 891370 ns R r1 00000001 - 891370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 891390 ns R r1 80000000 - 891390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 891410 ns R psr 81000200 - 891410 ns MR4_I 01000208 2a001c5b - 891430 ns MR4_I 01000200 07c96841 - 891450 ns IT 01000200 6841 LDR r1,[r0,#4] - 891470 ns MR4_I 01000204 6002d1fc - 891530 ns MR4_D 40006004 00000001 - 891530 ns R r1 00000001 - 891530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 891550 ns R r1 80000000 - 891550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 891570 ns R psr 81000200 - 891570 ns MR4_I 01000208 2a001c5b - 891590 ns MR4_I 01000200 07c96841 - 891610 ns IT 01000200 6841 LDR r1,[r0,#4] - 891630 ns MR4_I 01000204 6002d1fc - 891690 ns MR4_D 40006004 00000001 - 891690 ns R r1 00000001 - 891690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 891710 ns R r1 80000000 - 891710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 891730 ns R psr 81000200 - 891730 ns MR4_I 01000208 2a001c5b - 891750 ns MR4_I 01000200 07c96841 - 891770 ns IT 01000200 6841 LDR r1,[r0,#4] - 891790 ns MR4_I 01000204 6002d1fc - 891850 ns MR4_D 40006004 00000001 - 891850 ns R r1 00000001 - 891850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 891870 ns R r1 80000000 - 891870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 891890 ns R psr 81000200 - 891890 ns MR4_I 01000208 2a001c5b - 891910 ns MR4_I 01000200 07c96841 - 891930 ns IT 01000200 6841 LDR r1,[r0,#4] - 891950 ns MR4_I 01000204 6002d1fc - 892010 ns MR4_D 40006004 00000001 - 892010 ns R r1 00000001 - 892010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 892030 ns R r1 80000000 - 892030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 892050 ns R psr 81000200 - 892050 ns MR4_I 01000208 2a001c5b - 892070 ns MR4_I 01000200 07c96841 - 892090 ns IT 01000200 6841 LDR r1,[r0,#4] - 892110 ns MR4_I 01000204 6002d1fc - 892170 ns MR4_D 40006004 00000001 - 892170 ns R r1 00000001 - 892170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 892190 ns R r1 80000000 - 892190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 892210 ns R psr 81000200 - 892210 ns MR4_I 01000208 2a001c5b - 892230 ns MR4_I 01000200 07c96841 - 892250 ns IT 01000200 6841 LDR r1,[r0,#4] - 892270 ns MR4_I 01000204 6002d1fc - 892330 ns MR4_D 40006004 00000001 - 892330 ns R r1 00000001 - 892330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 892350 ns R r1 80000000 - 892350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 892370 ns R psr 81000200 - 892370 ns MR4_I 01000208 2a001c5b - 892390 ns MR4_I 01000200 07c96841 - 892410 ns IT 01000200 6841 LDR r1,[r0,#4] - 892430 ns MR4_I 01000204 6002d1fc - 892490 ns MR4_D 40006004 00000001 - 892490 ns R r1 00000001 - 892490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 892510 ns R r1 80000000 - 892510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 892530 ns R psr 81000200 - 892530 ns MR4_I 01000208 2a001c5b - 892550 ns MR4_I 01000200 07c96841 - 892570 ns IT 01000200 6841 LDR r1,[r0,#4] - 892590 ns MR4_I 01000204 6002d1fc - 892650 ns MR4_D 40006004 00000001 - 892650 ns R r1 00000001 - 892650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 892670 ns R r1 80000000 - 892670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 892690 ns R psr 81000200 - 892690 ns MR4_I 01000208 2a001c5b - 892710 ns MR4_I 01000200 07c96841 - 892730 ns IT 01000200 6841 LDR r1,[r0,#4] - 892750 ns MR4_I 01000204 6002d1fc - 892810 ns MR4_D 40006004 00000001 - 892810 ns R r1 00000001 - 892810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 892830 ns R r1 80000000 - 892830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 892850 ns R psr 81000200 - 892850 ns MR4_I 01000208 2a001c5b - 892870 ns MR4_I 01000200 07c96841 - 892890 ns IT 01000200 6841 LDR r1,[r0,#4] - 892910 ns MR4_I 01000204 6002d1fc - 892970 ns MR4_D 40006004 00000001 - 892970 ns R r1 00000001 - 892970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 892990 ns R r1 80000000 - 892990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 893010 ns R psr 81000200 - 893010 ns MR4_I 01000208 2a001c5b - 893030 ns MR4_I 01000200 07c96841 - 893050 ns IT 01000200 6841 LDR r1,[r0,#4] - 893070 ns MR4_I 01000204 6002d1fc - 893130 ns MR4_D 40006004 00000001 - 893130 ns R r1 00000001 - 893130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 893150 ns R r1 80000000 - 893150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 893170 ns R psr 81000200 - 893170 ns MR4_I 01000208 2a001c5b - 893190 ns MR4_I 01000200 07c96841 - 893210 ns IT 01000200 6841 LDR r1,[r0,#4] - 893230 ns MR4_I 01000204 6002d1fc - 893290 ns MR4_D 40006004 00000001 - 893290 ns R r1 00000001 - 893290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 893310 ns R r1 80000000 - 893310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 893330 ns R psr 81000200 - 893330 ns MR4_I 01000208 2a001c5b - 893350 ns MR4_I 01000200 07c96841 - 893370 ns IT 01000200 6841 LDR r1,[r0,#4] - 893390 ns MR4_I 01000204 6002d1fc - 893450 ns MR4_D 40006004 00000001 - 893450 ns R r1 00000001 - 893450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 893470 ns R r1 80000000 - 893470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 893490 ns R psr 81000200 - 893490 ns MR4_I 01000208 2a001c5b - 893510 ns MR4_I 01000200 07c96841 - 893530 ns IT 01000200 6841 LDR r1,[r0,#4] - 893550 ns MR4_I 01000204 6002d1fc - 893610 ns MR4_D 40006004 00000001 - 893610 ns R r1 00000001 - 893610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 893630 ns R r1 80000000 - 893630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 893650 ns R psr 81000200 - 893650 ns MR4_I 01000208 2a001c5b - 893670 ns MR4_I 01000200 07c96841 - 893690 ns IT 01000200 6841 LDR r1,[r0,#4] - 893710 ns MR4_I 01000204 6002d1fc - 893770 ns MR4_D 40006004 00000001 - 893770 ns R r1 00000001 - 893770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 893790 ns R r1 80000000 - 893790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 893810 ns R psr 81000200 - 893810 ns MR4_I 01000208 2a001c5b - 893830 ns MR4_I 01000200 07c96841 - 893850 ns IT 01000200 6841 LDR r1,[r0,#4] - 893870 ns MR4_I 01000204 6002d1fc - 893930 ns MR4_D 40006004 00000001 - 893930 ns R r1 00000001 - 893930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 893950 ns R r1 80000000 - 893950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 893970 ns R psr 81000200 - 893970 ns MR4_I 01000208 2a001c5b - 893990 ns MR4_I 01000200 07c96841 - 894010 ns IT 01000200 6841 LDR r1,[r0,#4] - 894030 ns MR4_I 01000204 6002d1fc - 894090 ns MR4_D 40006004 00000001 - 894090 ns R r1 00000001 - 894090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 894110 ns R r1 80000000 - 894110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 894130 ns R psr 81000200 - 894130 ns MR4_I 01000208 2a001c5b - 894150 ns MR4_I 01000200 07c96841 - 894170 ns IT 01000200 6841 LDR r1,[r0,#4] - 894190 ns MR4_I 01000204 6002d1fc - 894250 ns MR4_D 40006004 00000001 - 894250 ns R r1 00000001 - 894250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 894270 ns R r1 80000000 - 894270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 894290 ns R psr 81000200 - 894290 ns MR4_I 01000208 2a001c5b - 894310 ns MR4_I 01000200 07c96841 - 894330 ns IT 01000200 6841 LDR r1,[r0,#4] - 894350 ns MR4_I 01000204 6002d1fc - 894410 ns MR4_D 40006004 00000001 - 894410 ns R r1 00000001 - 894410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 894430 ns R r1 80000000 - 894430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 894450 ns R psr 81000200 - 894450 ns MR4_I 01000208 2a001c5b - 894470 ns MR4_I 01000200 07c96841 - 894490 ns IT 01000200 6841 LDR r1,[r0,#4] - 894510 ns MR4_I 01000204 6002d1fc - 894570 ns MR4_D 40006004 00000001 - 894570 ns R r1 00000001 - 894570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 894590 ns R r1 80000000 - 894590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 894610 ns R psr 81000200 - 894610 ns MR4_I 01000208 2a001c5b - 894630 ns MR4_I 01000200 07c96841 - 894650 ns IT 01000200 6841 LDR r1,[r0,#4] - 894670 ns MR4_I 01000204 6002d1fc - 894730 ns MR4_D 40006004 00000001 - 894730 ns R r1 00000001 - 894730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 894750 ns R r1 80000000 - 894750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 894770 ns R psr 81000200 - 894770 ns MR4_I 01000208 2a001c5b - 894790 ns MR4_I 01000200 07c96841 - 894810 ns IT 01000200 6841 LDR r1,[r0,#4] - 894830 ns MR4_I 01000204 6002d1fc - 894890 ns MR4_D 40006004 00000001 - 894890 ns R r1 00000001 - 894890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 894910 ns R r1 80000000 - 894910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 894930 ns R psr 81000200 - 894930 ns MR4_I 01000208 2a001c5b - 894950 ns MR4_I 01000200 07c96841 - 894970 ns IT 01000200 6841 LDR r1,[r0,#4] - 894990 ns MR4_I 01000204 6002d1fc - 895050 ns MR4_D 40006004 00000001 - 895050 ns R r1 00000001 - 895050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 895070 ns R r1 80000000 - 895070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 895090 ns R psr 81000200 - 895090 ns MR4_I 01000208 2a001c5b - 895110 ns MR4_I 01000200 07c96841 - 895130 ns IT 01000200 6841 LDR r1,[r0,#4] - 895150 ns MR4_I 01000204 6002d1fc - 895210 ns MR4_D 40006004 00000001 - 895210 ns R r1 00000001 - 895210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 895230 ns R r1 80000000 - 895230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 895250 ns R psr 81000200 - 895250 ns MR4_I 01000208 2a001c5b - 895270 ns MR4_I 01000200 07c96841 - 895290 ns IT 01000200 6841 LDR r1,[r0,#4] - 895310 ns MR4_I 01000204 6002d1fc - 895370 ns MR4_D 40006004 00000001 - 895370 ns R r1 00000001 - 895370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 895390 ns R r1 80000000 - 895390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 895410 ns R psr 81000200 - 895410 ns MR4_I 01000208 2a001c5b - 895430 ns MR4_I 01000200 07c96841 - 895450 ns IT 01000200 6841 LDR r1,[r0,#4] - 895470 ns MR4_I 01000204 6002d1fc - 895530 ns MR4_D 40006004 00000001 - 895530 ns R r1 00000001 - 895530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 895550 ns R r1 80000000 - 895550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 895570 ns R psr 81000200 - 895570 ns MR4_I 01000208 2a001c5b - 895590 ns MR4_I 01000200 07c96841 - 895610 ns IT 01000200 6841 LDR r1,[r0,#4] - 895630 ns MR4_I 01000204 6002d1fc - 895690 ns MR4_D 40006004 00000001 - 895690 ns R r1 00000001 - 895690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 895710 ns R r1 80000000 - 895710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 895730 ns R psr 81000200 - 895730 ns MR4_I 01000208 2a001c5b - 895750 ns MR4_I 01000200 07c96841 - 895770 ns IT 01000200 6841 LDR r1,[r0,#4] - 895790 ns MR4_I 01000204 6002d1fc - 895850 ns MR4_D 40006004 00000001 - 895850 ns R r1 00000001 - 895850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 895870 ns R r1 80000000 - 895870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 895890 ns R psr 81000200 - 895890 ns MR4_I 01000208 2a001c5b - 895910 ns MR4_I 01000200 07c96841 - 895930 ns IT 01000200 6841 LDR r1,[r0,#4] - 895950 ns MR4_I 01000204 6002d1fc - 896010 ns MR4_D 40006004 00000001 - 896010 ns R r1 00000001 - 896010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 896030 ns R r1 80000000 - 896030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 896050 ns R psr 81000200 - 896050 ns MR4_I 01000208 2a001c5b - 896070 ns MR4_I 01000200 07c96841 - 896090 ns IT 01000200 6841 LDR r1,[r0,#4] - 896110 ns MR4_I 01000204 6002d1fc - 896170 ns MR4_D 40006004 00000001 - 896170 ns R r1 00000001 - 896170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 896190 ns R r1 80000000 - 896190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 896210 ns R psr 81000200 - 896210 ns MR4_I 01000208 2a001c5b - 896230 ns MR4_I 01000200 07c96841 - 896250 ns IT 01000200 6841 LDR r1,[r0,#4] - 896270 ns MR4_I 01000204 6002d1fc - 896330 ns MR4_D 40006004 00000001 - 896330 ns R r1 00000001 - 896330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 896350 ns R r1 80000000 - 896350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 896370 ns R psr 81000200 - 896370 ns MR4_I 01000208 2a001c5b - 896390 ns MR4_I 01000200 07c96841 - 896410 ns IT 01000200 6841 LDR r1,[r0,#4] - 896430 ns MR4_I 01000204 6002d1fc - 896490 ns MR4_D 40006004 00000001 - 896490 ns R r1 00000001 - 896490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 896510 ns R r1 80000000 - 896510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 896530 ns R psr 81000200 - 896530 ns MR4_I 01000208 2a001c5b - 896550 ns MR4_I 01000200 07c96841 - 896570 ns IT 01000200 6841 LDR r1,[r0,#4] - 896590 ns MR4_I 01000204 6002d1fc - 896650 ns MR4_D 40006004 00000001 - 896650 ns R r1 00000001 - 896650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 896670 ns R r1 80000000 - 896670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 896690 ns R psr 81000200 - 896690 ns MR4_I 01000208 2a001c5b - 896710 ns MR4_I 01000200 07c96841 - 896730 ns IT 01000200 6841 LDR r1,[r0,#4] - 896750 ns MR4_I 01000204 6002d1fc - 896810 ns MR4_D 40006004 00000001 - 896810 ns R r1 00000001 - 896810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 896830 ns R r1 80000000 - 896830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 896850 ns R psr 81000200 - 896850 ns MR4_I 01000208 2a001c5b - 896870 ns MR4_I 01000200 07c96841 - 896890 ns IT 01000200 6841 LDR r1,[r0,#4] - 896910 ns MR4_I 01000204 6002d1fc - 896970 ns MR4_D 40006004 00000001 - 896970 ns R r1 00000001 - 896970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 896990 ns R r1 80000000 - 896990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 897010 ns R psr 81000200 - 897010 ns MR4_I 01000208 2a001c5b - 897030 ns MR4_I 01000200 07c96841 - 897050 ns IT 01000200 6841 LDR r1,[r0,#4] - 897070 ns MR4_I 01000204 6002d1fc - 897130 ns MR4_D 40006004 00000001 - 897130 ns R r1 00000001 - 897130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 897150 ns R r1 80000000 - 897150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 897170 ns R psr 81000200 - 897170 ns MR4_I 01000208 2a001c5b - 897190 ns MR4_I 01000200 07c96841 - 897210 ns IT 01000200 6841 LDR r1,[r0,#4] - 897230 ns MR4_I 01000204 6002d1fc - 897290 ns MR4_D 40006004 00000001 - 897290 ns R r1 00000001 - 897290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 897310 ns R r1 80000000 - 897310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 897330 ns R psr 81000200 - 897330 ns MR4_I 01000208 2a001c5b - 897350 ns MR4_I 01000200 07c96841 - 897370 ns IT 01000200 6841 LDR r1,[r0,#4] - 897390 ns MR4_I 01000204 6002d1fc - 897450 ns MR4_D 40006004 00000001 - 897450 ns R r1 00000001 - 897450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 897470 ns R r1 80000000 - 897470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 897490 ns R psr 81000200 - 897490 ns MR4_I 01000208 2a001c5b - 897510 ns MR4_I 01000200 07c96841 - 897530 ns IT 01000200 6841 LDR r1,[r0,#4] - 897550 ns MR4_I 01000204 6002d1fc - 897610 ns MR4_D 40006004 00000001 - 897610 ns R r1 00000001 - 897610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 897630 ns R r1 80000000 - 897630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 897650 ns R psr 81000200 - 897650 ns MR4_I 01000208 2a001c5b - 897670 ns MR4_I 01000200 07c96841 - 897690 ns IT 01000200 6841 LDR r1,[r0,#4] - 897710 ns MR4_I 01000204 6002d1fc - 897770 ns MR4_D 40006004 00000001 - 897770 ns R r1 00000001 - 897770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 897790 ns R r1 80000000 - 897790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 897810 ns R psr 81000200 - 897810 ns MR4_I 01000208 2a001c5b - 897830 ns MR4_I 01000200 07c96841 - 897850 ns IT 01000200 6841 LDR r1,[r0,#4] - 897870 ns MR4_I 01000204 6002d1fc - 897930 ns MR4_D 40006004 00000001 - 897930 ns R r1 00000001 - 897930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 897950 ns R r1 80000000 - 897950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 897970 ns R psr 81000200 - 897970 ns MR4_I 01000208 2a001c5b - 897990 ns MR4_I 01000200 07c96841 - 898010 ns IT 01000200 6841 LDR r1,[r0,#4] - 898030 ns MR4_I 01000204 6002d1fc - 898090 ns MR4_D 40006004 00000001 - 898090 ns R r1 00000001 - 898090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 898110 ns R r1 80000000 - 898110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 898130 ns R psr 81000200 - 898130 ns MR4_I 01000208 2a001c5b - 898150 ns MR4_I 01000200 07c96841 - 898170 ns IT 01000200 6841 LDR r1,[r0,#4] - 898190 ns MR4_I 01000204 6002d1fc - 898250 ns MR4_D 40006004 00000001 - 898250 ns R r1 00000001 - 898250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 898270 ns R r1 80000000 - 898270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 898290 ns R psr 81000200 - 898290 ns MR4_I 01000208 2a001c5b - 898310 ns MR4_I 01000200 07c96841 - 898330 ns IT 01000200 6841 LDR r1,[r0,#4] - 898350 ns MR4_I 01000204 6002d1fc - 898410 ns MR4_D 40006004 00000001 - 898410 ns R r1 00000001 - 898410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 898430 ns R r1 80000000 - 898430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 898450 ns R psr 81000200 - 898450 ns MR4_I 01000208 2a001c5b - 898470 ns MR4_I 01000200 07c96841 - 898490 ns IT 01000200 6841 LDR r1,[r0,#4] - 898510 ns MR4_I 01000204 6002d1fc - 898570 ns MR4_D 40006004 00000001 - 898570 ns R r1 00000001 - 898570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 898590 ns R r1 80000000 - 898590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 898610 ns R psr 81000200 - 898610 ns MR4_I 01000208 2a001c5b - 898630 ns MR4_I 01000200 07c96841 - 898650 ns IT 01000200 6841 LDR r1,[r0,#4] - 898670 ns MR4_I 01000204 6002d1fc - 898730 ns MR4_D 40006004 00000001 - 898730 ns R r1 00000001 - 898730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 898750 ns R r1 80000000 - 898750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 898770 ns R psr 81000200 - 898770 ns MR4_I 01000208 2a001c5b - 898790 ns MR4_I 01000200 07c96841 - 898810 ns IT 01000200 6841 LDR r1,[r0,#4] - 898830 ns MR4_I 01000204 6002d1fc - 898890 ns MR4_D 40006004 00000001 - 898890 ns R r1 00000001 - 898890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 898910 ns R r1 80000000 - 898910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 898930 ns R psr 81000200 - 898930 ns MR4_I 01000208 2a001c5b - 898950 ns MR4_I 01000200 07c96841 - 898970 ns IT 01000200 6841 LDR r1,[r0,#4] - 898990 ns MR4_I 01000204 6002d1fc - 899050 ns MR4_D 40006004 00000001 - 899050 ns R r1 00000001 - 899050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 899070 ns R r1 80000000 - 899070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 899090 ns R psr 81000200 - 899090 ns MR4_I 01000208 2a001c5b - 899110 ns MR4_I 01000200 07c96841 - 899130 ns IT 01000200 6841 LDR r1,[r0,#4] - 899150 ns MR4_I 01000204 6002d1fc - 899210 ns MR4_D 40006004 00000001 - 899210 ns R r1 00000001 - 899210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 899230 ns R r1 80000000 - 899230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 899250 ns R psr 81000200 - 899250 ns MR4_I 01000208 2a001c5b - 899270 ns MR4_I 01000200 07c96841 - 899290 ns IT 01000200 6841 LDR r1,[r0,#4] - 899310 ns MR4_I 01000204 6002d1fc - 899370 ns MR4_D 40006004 00000001 - 899370 ns R r1 00000001 - 899370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 899390 ns R r1 80000000 - 899390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 899410 ns R psr 81000200 - 899410 ns MR4_I 01000208 2a001c5b - 899430 ns MR4_I 01000200 07c96841 - 899450 ns IT 01000200 6841 LDR r1,[r0,#4] - 899470 ns MR4_I 01000204 6002d1fc - 899530 ns MR4_D 40006004 00000001 - 899530 ns R r1 00000001 - 899530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 899550 ns R r1 80000000 - 899550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 899570 ns R psr 81000200 - 899570 ns MR4_I 01000208 2a001c5b - 899590 ns MR4_I 01000200 07c96841 - 899610 ns IT 01000200 6841 LDR r1,[r0,#4] - 899630 ns MR4_I 01000204 6002d1fc - 899690 ns MR4_D 40006004 00000001 - 899690 ns R r1 00000001 - 899690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 899710 ns R r1 80000000 - 899710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 899730 ns R psr 81000200 - 899730 ns MR4_I 01000208 2a001c5b - 899750 ns MR4_I 01000200 07c96841 - 899770 ns IT 01000200 6841 LDR r1,[r0,#4] - 899790 ns MR4_I 01000204 6002d1fc - 899850 ns MR4_D 40006004 00000001 - 899850 ns R r1 00000001 - 899850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 899870 ns R r1 80000000 - 899870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 899890 ns R psr 81000200 - 899890 ns MR4_I 01000208 2a001c5b - 899910 ns MR4_I 01000200 07c96841 - 899930 ns IT 01000200 6841 LDR r1,[r0,#4] - 899950 ns MR4_I 01000204 6002d1fc - 900010 ns MR4_D 40006004 00000001 - 900010 ns R r1 00000001 - 900010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 900030 ns R r1 80000000 - 900030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 900050 ns R psr 81000200 - 900050 ns MR4_I 01000208 2a001c5b - 900070 ns MR4_I 01000200 07c96841 - 900090 ns IT 01000200 6841 LDR r1,[r0,#4] - 900110 ns MR4_I 01000204 6002d1fc - 900170 ns MR4_D 40006004 00000001 - 900170 ns R r1 00000001 - 900170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 900190 ns R r1 80000000 - 900190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 900210 ns R psr 81000200 - 900210 ns MR4_I 01000208 2a001c5b - 900230 ns MR4_I 01000200 07c96841 - 900250 ns IT 01000200 6841 LDR r1,[r0,#4] - 900270 ns MR4_I 01000204 6002d1fc - 900330 ns MR4_D 40006004 00000001 - 900330 ns R r1 00000001 - 900330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 900350 ns R r1 80000000 - 900350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 900370 ns R psr 81000200 - 900370 ns MR4_I 01000208 2a001c5b - 900390 ns MR4_I 01000200 07c96841 - 900410 ns IT 01000200 6841 LDR r1,[r0,#4] - 900430 ns MR4_I 01000204 6002d1fc - 900490 ns MR4_D 40006004 00000001 - 900490 ns R r1 00000001 - 900490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 900510 ns R r1 80000000 - 900510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 900530 ns R psr 81000200 - 900530 ns MR4_I 01000208 2a001c5b - 900550 ns MR4_I 01000200 07c96841 - 900570 ns IT 01000200 6841 LDR r1,[r0,#4] - 900590 ns MR4_I 01000204 6002d1fc - 900650 ns MR4_D 40006004 00000001 - 900650 ns R r1 00000001 - 900650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 900670 ns R r1 80000000 - 900670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 900690 ns R psr 81000200 - 900690 ns MR4_I 01000208 2a001c5b - 900710 ns MR4_I 01000200 07c96841 - 900730 ns IT 01000200 6841 LDR r1,[r0,#4] - 900750 ns MR4_I 01000204 6002d1fc - 900810 ns MR4_D 40006004 00000001 - 900810 ns R r1 00000001 - 900810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 900830 ns R r1 80000000 - 900830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 900850 ns R psr 81000200 - 900850 ns MR4_I 01000208 2a001c5b - 900870 ns MR4_I 01000200 07c96841 - 900890 ns IT 01000200 6841 LDR r1,[r0,#4] - 900910 ns MR4_I 01000204 6002d1fc - 900970 ns MR4_D 40006004 00000001 - 900970 ns R r1 00000001 - 900970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 900990 ns R r1 80000000 - 900990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 901010 ns R psr 81000200 - 901010 ns MR4_I 01000208 2a001c5b - 901030 ns MR4_I 01000200 07c96841 - 901050 ns IT 01000200 6841 LDR r1,[r0,#4] - 901070 ns MR4_I 01000204 6002d1fc - 901130 ns MR4_D 40006004 00000001 - 901130 ns R r1 00000001 - 901130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 901150 ns R r1 80000000 - 901150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 901170 ns R psr 81000200 - 901170 ns MR4_I 01000208 2a001c5b - 901190 ns MR4_I 01000200 07c96841 - 901210 ns IT 01000200 6841 LDR r1,[r0,#4] - 901230 ns MR4_I 01000204 6002d1fc - 901290 ns MR4_D 40006004 00000001 - 901290 ns R r1 00000001 - 901290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 901310 ns R r1 80000000 - 901310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 901330 ns R psr 81000200 - 901330 ns MR4_I 01000208 2a001c5b - 901350 ns MR4_I 01000200 07c96841 - 901370 ns IT 01000200 6841 LDR r1,[r0,#4] - 901390 ns MR4_I 01000204 6002d1fc - 901450 ns MR4_D 40006004 00000001 - 901450 ns R r1 00000001 - 901450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 901470 ns R r1 80000000 - 901470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 901490 ns R psr 81000200 - 901490 ns MR4_I 01000208 2a001c5b - 901510 ns MR4_I 01000200 07c96841 - 901530 ns IT 01000200 6841 LDR r1,[r0,#4] - 901550 ns MR4_I 01000204 6002d1fc - 901610 ns MR4_D 40006004 00000001 - 901610 ns R r1 00000001 - 901610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 901630 ns R r1 80000000 - 901630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 901650 ns R psr 81000200 - 901650 ns MR4_I 01000208 2a001c5b - 901670 ns MR4_I 01000200 07c96841 - 901690 ns IT 01000200 6841 LDR r1,[r0,#4] - 901710 ns MR4_I 01000204 6002d1fc - 901770 ns MR4_D 40006004 00000001 - 901770 ns R r1 00000001 - 901770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 901790 ns R r1 80000000 - 901790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 901810 ns R psr 81000200 - 901810 ns MR4_I 01000208 2a001c5b - 901830 ns MR4_I 01000200 07c96841 - 901850 ns IT 01000200 6841 LDR r1,[r0,#4] - 901870 ns MR4_I 01000204 6002d1fc - 901930 ns MR4_D 40006004 00000001 - 901930 ns R r1 00000001 - 901930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 901950 ns R r1 80000000 - 901950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 901970 ns R psr 81000200 - 901970 ns MR4_I 01000208 2a001c5b - 901990 ns MR4_I 01000200 07c96841 - 902010 ns IT 01000200 6841 LDR r1,[r0,#4] - 902030 ns MR4_I 01000204 6002d1fc - 902090 ns MR4_D 40006004 00000001 - 902090 ns R r1 00000001 - 902090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 902110 ns R r1 80000000 - 902110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 902130 ns R psr 81000200 - 902130 ns MR4_I 01000208 2a001c5b - 902150 ns MR4_I 01000200 07c96841 - 902170 ns IT 01000200 6841 LDR r1,[r0,#4] - 902190 ns MR4_I 01000204 6002d1fc - 902250 ns MR4_D 40006004 00000001 - 902250 ns R r1 00000001 - 902250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 902270 ns R r1 80000000 - 902270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 902290 ns R psr 81000200 - 902290 ns MR4_I 01000208 2a001c5b - 902310 ns MR4_I 01000200 07c96841 - 902330 ns IT 01000200 6841 LDR r1,[r0,#4] - 902350 ns MR4_I 01000204 6002d1fc - 902410 ns MR4_D 40006004 00000001 - 902410 ns R r1 00000001 - 902410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 902430 ns R r1 80000000 - 902430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 902450 ns R psr 81000200 - 902450 ns MR4_I 01000208 2a001c5b - 902470 ns MR4_I 01000200 07c96841 - 902490 ns IT 01000200 6841 LDR r1,[r0,#4] - 902510 ns MR4_I 01000204 6002d1fc - 902570 ns MR4_D 40006004 00000001 - 902570 ns R r1 00000001 - 902570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 902590 ns R r1 80000000 - 902590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 902610 ns R psr 81000200 - 902610 ns MR4_I 01000208 2a001c5b - 902630 ns MR4_I 01000200 07c96841 - 902650 ns IT 01000200 6841 LDR r1,[r0,#4] - 902670 ns MR4_I 01000204 6002d1fc - 902730 ns MR4_D 40006004 00000001 - 902730 ns R r1 00000001 - 902730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 902750 ns R r1 80000000 - 902750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 902770 ns R psr 81000200 - 902770 ns MR4_I 01000208 2a001c5b - 902790 ns MR4_I 01000200 07c96841 - 902810 ns IT 01000200 6841 LDR r1,[r0,#4] - 902830 ns MR4_I 01000204 6002d1fc - 902890 ns MR4_D 40006004 00000001 - 902890 ns R r1 00000001 - 902890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 902910 ns R r1 80000000 - 902910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 902930 ns R psr 81000200 - 902930 ns MR4_I 01000208 2a001c5b - 902950 ns MR4_I 01000200 07c96841 - 902970 ns IT 01000200 6841 LDR r1,[r0,#4] - 902990 ns MR4_I 01000204 6002d1fc - 903050 ns MR4_D 40006004 00000001 - 903050 ns R r1 00000001 - 903050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 903070 ns R r1 80000000 - 903070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 903090 ns R psr 81000200 - 903090 ns MR4_I 01000208 2a001c5b - 903110 ns MR4_I 01000200 07c96841 - 903130 ns IT 01000200 6841 LDR r1,[r0,#4] - 903150 ns MR4_I 01000204 6002d1fc - 903210 ns MR4_D 40006004 00000001 - 903210 ns R r1 00000001 - 903210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 903230 ns R r1 80000000 - 903230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 903250 ns R psr 81000200 - 903250 ns MR4_I 01000208 2a001c5b - 903270 ns MR4_I 01000200 07c96841 - 903290 ns IT 01000200 6841 LDR r1,[r0,#4] - 903310 ns MR4_I 01000204 6002d1fc - 903370 ns MR4_D 40006004 00000001 - 903370 ns R r1 00000001 - 903370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 903390 ns R r1 80000000 - 903390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 903410 ns R psr 81000200 - 903410 ns MR4_I 01000208 2a001c5b - 903430 ns MR4_I 01000200 07c96841 - 903450 ns IT 01000200 6841 LDR r1,[r0,#4] - 903470 ns MR4_I 01000204 6002d1fc - 903530 ns MR4_D 40006004 00000001 - 903530 ns R r1 00000001 - 903530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 903550 ns R r1 80000000 - 903550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 903570 ns R psr 81000200 - 903570 ns MR4_I 01000208 2a001c5b - 903590 ns MR4_I 01000200 07c96841 - 903610 ns IT 01000200 6841 LDR r1,[r0,#4] - 903630 ns MR4_I 01000204 6002d1fc - 903690 ns MR4_D 40006004 00000001 - 903690 ns R r1 00000001 - 903690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 903710 ns R r1 80000000 - 903710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 903730 ns R psr 81000200 - 903730 ns MR4_I 01000208 2a001c5b - 903750 ns MR4_I 01000200 07c96841 - 903770 ns IT 01000200 6841 LDR r1,[r0,#4] - 903790 ns MR4_I 01000204 6002d1fc - 903850 ns MR4_D 40006004 00000001 - 903850 ns R r1 00000001 - 903850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 903870 ns R r1 80000000 - 903870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 903890 ns R psr 81000200 - 903890 ns MR4_I 01000208 2a001c5b - 903910 ns MR4_I 01000200 07c96841 - 903930 ns IT 01000200 6841 LDR r1,[r0,#4] - 903950 ns MR4_I 01000204 6002d1fc - 904010 ns MR4_D 40006004 00000001 - 904010 ns R r1 00000001 - 904010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 904030 ns R r1 80000000 - 904030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 904050 ns R psr 81000200 - 904050 ns MR4_I 01000208 2a001c5b - 904070 ns MR4_I 01000200 07c96841 - 904090 ns IT 01000200 6841 LDR r1,[r0,#4] - 904110 ns MR4_I 01000204 6002d1fc - 904170 ns MR4_D 40006004 00000001 - 904170 ns R r1 00000001 - 904170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 904190 ns R r1 80000000 - 904190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 904210 ns R psr 81000200 - 904210 ns MR4_I 01000208 2a001c5b - 904230 ns MR4_I 01000200 07c96841 - 904250 ns IT 01000200 6841 LDR r1,[r0,#4] - 904270 ns MR4_I 01000204 6002d1fc - 904330 ns MR4_D 40006004 00000001 - 904330 ns R r1 00000001 - 904330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 904350 ns R r1 80000000 - 904350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 904370 ns R psr 81000200 - 904370 ns MR4_I 01000208 2a001c5b - 904390 ns MR4_I 01000200 07c96841 - 904410 ns IT 01000200 6841 LDR r1,[r0,#4] - 904430 ns MR4_I 01000204 6002d1fc - 904490 ns MR4_D 40006004 00000001 - 904490 ns R r1 00000001 - 904490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 904510 ns R r1 80000000 - 904510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 904530 ns R psr 81000200 - 904530 ns MR4_I 01000208 2a001c5b - 904550 ns MR4_I 01000200 07c96841 - 904570 ns IT 01000200 6841 LDR r1,[r0,#4] - 904590 ns MR4_I 01000204 6002d1fc - 904650 ns MR4_D 40006004 00000001 - 904650 ns R r1 00000001 - 904650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 904670 ns R r1 80000000 - 904670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 904690 ns R psr 81000200 - 904690 ns MR4_I 01000208 2a001c5b - 904710 ns MR4_I 01000200 07c96841 - 904730 ns IT 01000200 6841 LDR r1,[r0,#4] - 904750 ns MR4_I 01000204 6002d1fc - 904810 ns MR4_D 40006004 00000001 - 904810 ns R r1 00000001 - 904810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 904830 ns R r1 80000000 - 904830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 904850 ns R psr 81000200 - 904850 ns MR4_I 01000208 2a001c5b - 904870 ns MR4_I 01000200 07c96841 - 904890 ns IT 01000200 6841 LDR r1,[r0,#4] - 904910 ns MR4_I 01000204 6002d1fc - 904970 ns MR4_D 40006004 00000001 - 904970 ns R r1 00000001 - 904970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 904990 ns R r1 80000000 - 904990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 905010 ns R psr 81000200 - 905010 ns MR4_I 01000208 2a001c5b - 905030 ns MR4_I 01000200 07c96841 - 905050 ns IT 01000200 6841 LDR r1,[r0,#4] - 905070 ns MR4_I 01000204 6002d1fc - 905130 ns MR4_D 40006004 00000001 - 905130 ns R r1 00000001 - 905130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 905150 ns R r1 80000000 - 905150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 905170 ns R psr 81000200 - 905170 ns MR4_I 01000208 2a001c5b - 905190 ns MR4_I 01000200 07c96841 - 905210 ns IT 01000200 6841 LDR r1,[r0,#4] - 905230 ns MR4_I 01000204 6002d1fc - 905290 ns MR4_D 40006004 00000001 - 905290 ns R r1 00000001 - 905290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 905310 ns R r1 80000000 - 905310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 905330 ns R psr 81000200 - 905330 ns MR4_I 01000208 2a001c5b - 905350 ns MR4_I 01000200 07c96841 - 905370 ns IT 01000200 6841 LDR r1,[r0,#4] - 905390 ns MR4_I 01000204 6002d1fc - 905450 ns MR4_D 40006004 00000001 - 905450 ns R r1 00000001 - 905450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 905470 ns R r1 80000000 - 905470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 905490 ns R psr 81000200 - 905490 ns MR4_I 01000208 2a001c5b - 905510 ns MR4_I 01000200 07c96841 - 905530 ns IT 01000200 6841 LDR r1,[r0,#4] - 905550 ns MR4_I 01000204 6002d1fc - 905610 ns MR4_D 40006004 00000001 - 905610 ns R r1 00000001 - 905610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 905630 ns R r1 80000000 - 905630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 905650 ns R psr 81000200 - 905650 ns MR4_I 01000208 2a001c5b - 905670 ns MR4_I 01000200 07c96841 - 905690 ns IT 01000200 6841 LDR r1,[r0,#4] - 905710 ns MR4_I 01000204 6002d1fc - 905770 ns MR4_D 40006004 00000001 - 905770 ns R r1 00000001 - 905770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 905790 ns R r1 80000000 - 905790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 905810 ns R psr 81000200 - 905810 ns MR4_I 01000208 2a001c5b - 905830 ns MR4_I 01000200 07c96841 - 905850 ns IT 01000200 6841 LDR r1,[r0,#4] - 905870 ns MR4_I 01000204 6002d1fc - 905930 ns MR4_D 40006004 00000001 - 905930 ns R r1 00000001 - 905930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 905950 ns R r1 80000000 - 905950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 905970 ns R psr 81000200 - 905970 ns MR4_I 01000208 2a001c5b - 905990 ns MR4_I 01000200 07c96841 - 906010 ns IT 01000200 6841 LDR r1,[r0,#4] - 906030 ns MR4_I 01000204 6002d1fc - 906090 ns MR4_D 40006004 00000001 - 906090 ns R r1 00000001 - 906090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 906110 ns R r1 80000000 - 906110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 906130 ns R psr 81000200 - 906130 ns MR4_I 01000208 2a001c5b - 906150 ns MR4_I 01000200 07c96841 - 906170 ns IT 01000200 6841 LDR r1,[r0,#4] - 906190 ns MR4_I 01000204 6002d1fc - 906250 ns MR4_D 40006004 00000001 - 906250 ns R r1 00000001 - 906250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 906270 ns R r1 80000000 - 906270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 906290 ns R psr 81000200 - 906290 ns MR4_I 01000208 2a001c5b - 906310 ns MR4_I 01000200 07c96841 - 906330 ns IT 01000200 6841 LDR r1,[r0,#4] - 906350 ns MR4_I 01000204 6002d1fc - 906410 ns MR4_D 40006004 00000001 - 906410 ns R r1 00000001 - 906410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 906430 ns R r1 80000000 - 906430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 906450 ns R psr 81000200 - 906450 ns MR4_I 01000208 2a001c5b - 906470 ns MR4_I 01000200 07c96841 - 906490 ns IT 01000200 6841 LDR r1,[r0,#4] - 906510 ns MR4_I 01000204 6002d1fc - 906570 ns MR4_D 40006004 00000001 - 906570 ns R r1 00000001 - 906570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 906590 ns R r1 80000000 - 906590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 906610 ns R psr 81000200 - 906610 ns MR4_I 01000208 2a001c5b - 906630 ns MR4_I 01000200 07c96841 - 906650 ns IT 01000200 6841 LDR r1,[r0,#4] - 906670 ns MR4_I 01000204 6002d1fc - 906730 ns MR4_D 40006004 00000001 - 906730 ns R r1 00000001 - 906730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 906750 ns R r1 80000000 - 906750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 906770 ns R psr 81000200 - 906770 ns MR4_I 01000208 2a001c5b - 906790 ns MR4_I 01000200 07c96841 - 906810 ns IT 01000200 6841 LDR r1,[r0,#4] - 906830 ns MR4_I 01000204 6002d1fc - 906890 ns MR4_D 40006004 00000001 - 906890 ns R r1 00000001 - 906890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 906910 ns R r1 80000000 - 906910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 906930 ns R psr 81000200 - 906930 ns MR4_I 01000208 2a001c5b - 906950 ns MR4_I 01000200 07c96841 - 906970 ns IT 01000200 6841 LDR r1,[r0,#4] - 906990 ns MR4_I 01000204 6002d1fc - 907050 ns MR4_D 40006004 00000001 - 907050 ns R r1 00000001 - 907050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 907070 ns R r1 80000000 - 907070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 907090 ns R psr 81000200 - 907090 ns MR4_I 01000208 2a001c5b - 907110 ns MR4_I 01000200 07c96841 - 907130 ns IT 01000200 6841 LDR r1,[r0,#4] - 907150 ns MR4_I 01000204 6002d1fc - 907210 ns MR4_D 40006004 00000001 - 907210 ns R r1 00000001 - 907210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 907230 ns R r1 80000000 - 907230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 907250 ns R psr 81000200 - 907250 ns MR4_I 01000208 2a001c5b - 907270 ns MR4_I 01000200 07c96841 - 907290 ns IT 01000200 6841 LDR r1,[r0,#4] - 907310 ns MR4_I 01000204 6002d1fc - 907370 ns MR4_D 40006004 00000001 - 907370 ns R r1 00000001 - 907370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 907390 ns R r1 80000000 - 907390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 907410 ns R psr 81000200 - 907410 ns MR4_I 01000208 2a001c5b - 907430 ns MR4_I 01000200 07c96841 - 907450 ns IT 01000200 6841 LDR r1,[r0,#4] - 907470 ns MR4_I 01000204 6002d1fc - 907530 ns MR4_D 40006004 00000001 - 907530 ns R r1 00000001 - 907530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 907550 ns R r1 80000000 - 907550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 907570 ns R psr 81000200 - 907570 ns MR4_I 01000208 2a001c5b - 907590 ns MR4_I 01000200 07c96841 - 907610 ns IT 01000200 6841 LDR r1,[r0,#4] - 907630 ns MR4_I 01000204 6002d1fc - 907690 ns MR4_D 40006004 00000001 - 907690 ns R r1 00000001 - 907690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 907710 ns R r1 80000000 - 907710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 907730 ns R psr 81000200 - 907730 ns MR4_I 01000208 2a001c5b - 907750 ns MR4_I 01000200 07c96841 - 907770 ns IT 01000200 6841 LDR r1,[r0,#4] - 907790 ns MR4_I 01000204 6002d1fc - 907850 ns MR4_D 40006004 00000001 - 907850 ns R r1 00000001 - 907850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 907870 ns R r1 80000000 - 907870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 907890 ns R psr 81000200 - 907890 ns MR4_I 01000208 2a001c5b - 907910 ns MR4_I 01000200 07c96841 - 907930 ns IT 01000200 6841 LDR r1,[r0,#4] - 907950 ns MR4_I 01000204 6002d1fc - 908010 ns MR4_D 40006004 00000001 - 908010 ns R r1 00000001 - 908010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 908030 ns R r1 80000000 - 908030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 908050 ns R psr 81000200 - 908050 ns MR4_I 01000208 2a001c5b - 908070 ns MR4_I 01000200 07c96841 - 908090 ns IT 01000200 6841 LDR r1,[r0,#4] - 908110 ns MR4_I 01000204 6002d1fc - 908170 ns MR4_D 40006004 00000001 - 908170 ns R r1 00000001 - 908170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 908190 ns R r1 80000000 - 908190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 908210 ns R psr 81000200 - 908210 ns MR4_I 01000208 2a001c5b - 908230 ns MR4_I 01000200 07c96841 - 908250 ns IT 01000200 6841 LDR r1,[r0,#4] - 908270 ns MR4_I 01000204 6002d1fc - 908330 ns MR4_D 40006004 00000001 - 908330 ns R r1 00000001 - 908330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 908350 ns R r1 80000000 - 908350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 908370 ns R psr 81000200 - 908370 ns MR4_I 01000208 2a001c5b - 908390 ns MR4_I 01000200 07c96841 - 908410 ns IT 01000200 6841 LDR r1,[r0,#4] - 908430 ns MR4_I 01000204 6002d1fc - 908490 ns MR4_D 40006004 00000001 - 908490 ns R r1 00000001 - 908490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 908510 ns R r1 80000000 - 908510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 908530 ns R psr 81000200 - 908530 ns MR4_I 01000208 2a001c5b - 908550 ns MR4_I 01000200 07c96841 - 908570 ns IT 01000200 6841 LDR r1,[r0,#4] - 908590 ns MR4_I 01000204 6002d1fc - 908650 ns MR4_D 40006004 00000001 - 908650 ns R r1 00000001 - 908650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 908670 ns R r1 80000000 - 908670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 908690 ns R psr 81000200 - 908690 ns MR4_I 01000208 2a001c5b - 908710 ns MR4_I 01000200 07c96841 - 908730 ns IT 01000200 6841 LDR r1,[r0,#4] - 908750 ns MR4_I 01000204 6002d1fc - 908810 ns MR4_D 40006004 00000001 - 908810 ns R r1 00000001 - 908810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 908830 ns R r1 80000000 - 908830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 908850 ns R psr 81000200 - 908850 ns MR4_I 01000208 2a001c5b - 908870 ns MR4_I 01000200 07c96841 - 908890 ns IT 01000200 6841 LDR r1,[r0,#4] - 908910 ns MR4_I 01000204 6002d1fc - 908970 ns MR4_D 40006004 00000001 - 908970 ns R r1 00000001 - 908970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 908990 ns R r1 80000000 - 908990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 909010 ns R psr 81000200 - 909010 ns MR4_I 01000208 2a001c5b - 909030 ns MR4_I 01000200 07c96841 - 909050 ns IT 01000200 6841 LDR r1,[r0,#4] - 909070 ns MR4_I 01000204 6002d1fc - 909130 ns MR4_D 40006004 00000001 - 909130 ns R r1 00000001 - 909130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 909150 ns R r1 80000000 - 909150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 909170 ns R psr 81000200 - 909170 ns MR4_I 01000208 2a001c5b - 909190 ns MR4_I 01000200 07c96841 - 909210 ns IT 01000200 6841 LDR r1,[r0,#4] - 909230 ns MR4_I 01000204 6002d1fc - 909290 ns MR4_D 40006004 00000001 - 909290 ns R r1 00000001 - 909290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 909310 ns R r1 80000000 - 909310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 909330 ns R psr 81000200 - 909330 ns MR4_I 01000208 2a001c5b - 909350 ns MR4_I 01000200 07c96841 - 909370 ns IT 01000200 6841 LDR r1,[r0,#4] - 909390 ns MR4_I 01000204 6002d1fc - 909450 ns MR4_D 40006004 00000001 - 909450 ns R r1 00000001 - 909450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 909470 ns R r1 80000000 - 909470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 909490 ns R psr 81000200 - 909490 ns MR4_I 01000208 2a001c5b - 909510 ns MR4_I 01000200 07c96841 - 909530 ns IT 01000200 6841 LDR r1,[r0,#4] - 909550 ns MR4_I 01000204 6002d1fc - 909610 ns MR4_D 40006004 00000001 - 909610 ns R r1 00000001 - 909610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 909630 ns R r1 80000000 - 909630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 909650 ns R psr 81000200 - 909650 ns MR4_I 01000208 2a001c5b - 909670 ns MR4_I 01000200 07c96841 - 909690 ns IT 01000200 6841 LDR r1,[r0,#4] - 909710 ns MR4_I 01000204 6002d1fc - 909770 ns MR4_D 40006004 00000001 - 909770 ns R r1 00000001 - 909770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 909790 ns R r1 80000000 - 909790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 909810 ns R psr 81000200 - 909810 ns MR4_I 01000208 2a001c5b - 909830 ns MR4_I 01000200 07c96841 - 909850 ns IT 01000200 6841 LDR r1,[r0,#4] - 909870 ns MR4_I 01000204 6002d1fc - 909930 ns MR4_D 40006004 00000001 - 909930 ns R r1 00000001 - 909930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 909950 ns R r1 80000000 - 909950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 909970 ns R psr 81000200 - 909970 ns MR4_I 01000208 2a001c5b - 909990 ns MR4_I 01000200 07c96841 - 910010 ns IT 01000200 6841 LDR r1,[r0,#4] - 910030 ns MR4_I 01000204 6002d1fc - 910090 ns MR4_D 40006004 00000001 - 910090 ns R r1 00000001 - 910090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 910110 ns R r1 80000000 - 910110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 910130 ns R psr 81000200 - 910130 ns MR4_I 01000208 2a001c5b - 910150 ns MR4_I 01000200 07c96841 - 910170 ns IT 01000200 6841 LDR r1,[r0,#4] - 910190 ns MR4_I 01000204 6002d1fc - 910250 ns MR4_D 40006004 00000001 - 910250 ns R r1 00000001 - 910250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 910270 ns R r1 80000000 - 910270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 910290 ns R psr 81000200 - 910290 ns MR4_I 01000208 2a001c5b - 910310 ns MR4_I 01000200 07c96841 - 910330 ns IT 01000200 6841 LDR r1,[r0,#4] - 910350 ns MR4_I 01000204 6002d1fc - 910410 ns MR4_D 40006004 00000001 - 910410 ns R r1 00000001 - 910410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 910430 ns R r1 80000000 - 910430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 910450 ns R psr 81000200 - 910450 ns MR4_I 01000208 2a001c5b - 910470 ns MR4_I 01000200 07c96841 - 910490 ns IT 01000200 6841 LDR r1,[r0,#4] - 910510 ns MR4_I 01000204 6002d1fc - 910570 ns MR4_D 40006004 00000001 - 910570 ns R r1 00000001 - 910570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 910590 ns R r1 80000000 - 910590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 910610 ns R psr 81000200 - 910610 ns MR4_I 01000208 2a001c5b - 910630 ns MR4_I 01000200 07c96841 - 910650 ns IT 01000200 6841 LDR r1,[r0,#4] - 910670 ns MR4_I 01000204 6002d1fc - 910730 ns MR4_D 40006004 00000001 - 910730 ns R r1 00000001 - 910730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 910750 ns R r1 80000000 - 910750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 910770 ns R psr 81000200 - 910770 ns MR4_I 01000208 2a001c5b - 910790 ns MR4_I 01000200 07c96841 - 910810 ns IT 01000200 6841 LDR r1,[r0,#4] - 910830 ns MR4_I 01000204 6002d1fc - 910890 ns MR4_D 40006004 00000001 - 910890 ns R r1 00000001 - 910890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 910910 ns R r1 80000000 - 910910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 910930 ns R psr 81000200 - 910930 ns MR4_I 01000208 2a001c5b - 910950 ns MR4_I 01000200 07c96841 - 910970 ns IT 01000200 6841 LDR r1,[r0,#4] - 910990 ns MR4_I 01000204 6002d1fc - 911050 ns MR4_D 40006004 00000001 - 911050 ns R r1 00000001 - 911050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 911070 ns R r1 80000000 - 911070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 911090 ns R psr 81000200 - 911090 ns MR4_I 01000208 2a001c5b - 911110 ns MR4_I 01000200 07c96841 - 911130 ns IT 01000200 6841 LDR r1,[r0,#4] - 911150 ns MR4_I 01000204 6002d1fc - 911210 ns MR4_D 40006004 00000001 - 911210 ns R r1 00000001 - 911210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 911230 ns R r1 80000000 - 911230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 911250 ns R psr 81000200 - 911250 ns MR4_I 01000208 2a001c5b - 911270 ns MR4_I 01000200 07c96841 - 911290 ns IT 01000200 6841 LDR r1,[r0,#4] - 911310 ns MR4_I 01000204 6002d1fc - 911370 ns MR4_D 40006004 00000001 - 911370 ns R r1 00000001 - 911370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 911390 ns R r1 80000000 - 911390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 911410 ns R psr 81000200 - 911410 ns MR4_I 01000208 2a001c5b - 911430 ns MR4_I 01000200 07c96841 - 911450 ns IT 01000200 6841 LDR r1,[r0,#4] - 911470 ns MR4_I 01000204 6002d1fc - 911530 ns MR4_D 40006004 00000001 - 911530 ns R r1 00000001 - 911530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 911550 ns R r1 80000000 - 911550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 911570 ns R psr 81000200 - 911570 ns MR4_I 01000208 2a001c5b - 911590 ns MR4_I 01000200 07c96841 - 911610 ns IT 01000200 6841 LDR r1,[r0,#4] - 911630 ns MR4_I 01000204 6002d1fc - 911690 ns MR4_D 40006004 00000001 - 911690 ns R r1 00000001 - 911690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 911710 ns R r1 80000000 - 911710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 911730 ns R psr 81000200 - 911730 ns MR4_I 01000208 2a001c5b - 911750 ns MR4_I 01000200 07c96841 - 911770 ns IT 01000200 6841 LDR r1,[r0,#4] - 911790 ns MR4_I 01000204 6002d1fc - 911850 ns MR4_D 40006004 00000001 - 911850 ns R r1 00000001 - 911850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 911870 ns R r1 80000000 - 911870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 911890 ns R psr 81000200 - 911890 ns MR4_I 01000208 2a001c5b - 911910 ns MR4_I 01000200 07c96841 - 911930 ns IT 01000200 6841 LDR r1,[r0,#4] - 911950 ns MR4_I 01000204 6002d1fc - 912010 ns MR4_D 40006004 00000001 - 912010 ns R r1 00000001 - 912010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 912030 ns R r1 80000000 - 912030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 912050 ns R psr 81000200 - 912050 ns MR4_I 01000208 2a001c5b - 912070 ns MR4_I 01000200 07c96841 - 912090 ns IT 01000200 6841 LDR r1,[r0,#4] - 912110 ns MR4_I 01000204 6002d1fc - 912170 ns MR4_D 40006004 00000001 - 912170 ns R r1 00000001 - 912170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 912190 ns R r1 80000000 - 912190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 912210 ns R psr 81000200 - 912210 ns MR4_I 01000208 2a001c5b - 912230 ns MR4_I 01000200 07c96841 - 912250 ns IT 01000200 6841 LDR r1,[r0,#4] - 912270 ns MR4_I 01000204 6002d1fc - 912330 ns MR4_D 40006004 00000001 - 912330 ns R r1 00000001 - 912330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 912350 ns R r1 80000000 - 912350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 912370 ns R psr 81000200 - 912370 ns MR4_I 01000208 2a001c5b - 912390 ns MR4_I 01000200 07c96841 - 912410 ns IT 01000200 6841 LDR r1,[r0,#4] - 912430 ns MR4_I 01000204 6002d1fc - 912490 ns MR4_D 40006004 00000001 - 912490 ns R r1 00000001 - 912490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 912510 ns R r1 80000000 - 912510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 912530 ns R psr 81000200 - 912530 ns MR4_I 01000208 2a001c5b - 912550 ns MR4_I 01000200 07c96841 - 912570 ns IT 01000200 6841 LDR r1,[r0,#4] - 912590 ns MR4_I 01000204 6002d1fc - 912650 ns MR4_D 40006004 00000001 - 912650 ns R r1 00000001 - 912650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 912670 ns R r1 80000000 - 912670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 912690 ns R psr 81000200 - 912690 ns MR4_I 01000208 2a001c5b - 912710 ns MR4_I 01000200 07c96841 - 912730 ns IT 01000200 6841 LDR r1,[r0,#4] - 912750 ns MR4_I 01000204 6002d1fc - 912810 ns MR4_D 40006004 00000001 - 912810 ns R r1 00000001 - 912810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 912830 ns R r1 80000000 - 912830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 912850 ns R psr 81000200 - 912850 ns MR4_I 01000208 2a001c5b - 912870 ns MR4_I 01000200 07c96841 - 912890 ns IT 01000200 6841 LDR r1,[r0,#4] - 912910 ns MR4_I 01000204 6002d1fc - 912970 ns MR4_D 40006004 00000001 - 912970 ns R r1 00000001 - 912970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 912990 ns R r1 80000000 - 912990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 913010 ns R psr 81000200 - 913010 ns MR4_I 01000208 2a001c5b - 913030 ns MR4_I 01000200 07c96841 - 913050 ns IT 01000200 6841 LDR r1,[r0,#4] - 913070 ns MR4_I 01000204 6002d1fc - 913130 ns MR4_D 40006004 00000001 - 913130 ns R r1 00000001 - 913130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 913150 ns R r1 80000000 - 913150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 913170 ns R psr 81000200 - 913170 ns MR4_I 01000208 2a001c5b - 913190 ns MR4_I 01000200 07c96841 - 913210 ns IT 01000200 6841 LDR r1,[r0,#4] - 913230 ns MR4_I 01000204 6002d1fc - 913290 ns MR4_D 40006004 00000001 - 913290 ns R r1 00000001 - 913290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 913310 ns R r1 80000000 - 913310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 913330 ns R psr 81000200 - 913330 ns MR4_I 01000208 2a001c5b - 913350 ns MR4_I 01000200 07c96841 - 913370 ns IT 01000200 6841 LDR r1,[r0,#4] - 913390 ns MR4_I 01000204 6002d1fc - 913450 ns MR4_D 40006004 00000001 - 913450 ns R r1 00000001 - 913450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 913470 ns R r1 80000000 - 913470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 913490 ns R psr 81000200 - 913490 ns MR4_I 01000208 2a001c5b - 913510 ns MR4_I 01000200 07c96841 - 913530 ns IT 01000200 6841 LDR r1,[r0,#4] - 913550 ns MR4_I 01000204 6002d1fc - 913610 ns MR4_D 40006004 00000001 - 913610 ns R r1 00000001 - 913610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 913630 ns R r1 80000000 - 913630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 913650 ns R psr 81000200 - 913650 ns MR4_I 01000208 2a001c5b - 913670 ns MR4_I 01000200 07c96841 - 913690 ns IT 01000200 6841 LDR r1,[r0,#4] - 913710 ns MR4_I 01000204 6002d1fc - 913770 ns MR4_D 40006004 00000001 - 913770 ns R r1 00000001 - 913770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 913790 ns R r1 80000000 - 913790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 913810 ns R psr 81000200 - 913810 ns MR4_I 01000208 2a001c5b - 913830 ns MR4_I 01000200 07c96841 - 913850 ns IT 01000200 6841 LDR r1,[r0,#4] - 913870 ns MR4_I 01000204 6002d1fc - 913930 ns MR4_D 40006004 00000001 - 913930 ns R r1 00000001 - 913930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 913950 ns R r1 80000000 - 913950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 913970 ns R psr 81000200 - 913970 ns MR4_I 01000208 2a001c5b - 913990 ns MR4_I 01000200 07c96841 - 914010 ns IT 01000200 6841 LDR r1,[r0,#4] - 914030 ns MR4_I 01000204 6002d1fc - 914090 ns MR4_D 40006004 00000001 - 914090 ns R r1 00000001 - 914090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 914110 ns R r1 80000000 - 914110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 914130 ns R psr 81000200 - 914130 ns MR4_I 01000208 2a001c5b - 914150 ns MR4_I 01000200 07c96841 - 914170 ns IT 01000200 6841 LDR r1,[r0,#4] - 914190 ns MR4_I 01000204 6002d1fc - 914250 ns MR4_D 40006004 00000001 - 914250 ns R r1 00000001 - 914250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 914270 ns R r1 80000000 - 914270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 914290 ns R psr 81000200 - 914290 ns MR4_I 01000208 2a001c5b - 914310 ns MR4_I 01000200 07c96841 - 914330 ns IT 01000200 6841 LDR r1,[r0,#4] - 914350 ns MR4_I 01000204 6002d1fc - 914410 ns MR4_D 40006004 00000001 - 914410 ns R r1 00000001 - 914410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 914430 ns R r1 80000000 - 914430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 914450 ns R psr 81000200 - 914450 ns MR4_I 01000208 2a001c5b - 914470 ns MR4_I 01000200 07c96841 - 914490 ns IT 01000200 6841 LDR r1,[r0,#4] - 914510 ns MR4_I 01000204 6002d1fc - 914570 ns MR4_D 40006004 00000001 - 914570 ns R r1 00000001 - 914570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 914590 ns R r1 80000000 - 914590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 914610 ns R psr 81000200 - 914610 ns MR4_I 01000208 2a001c5b - 914630 ns MR4_I 01000200 07c96841 - 914650 ns IT 01000200 6841 LDR r1,[r0,#4] - 914670 ns MR4_I 01000204 6002d1fc - 914730 ns MR4_D 40006004 00000001 - 914730 ns R r1 00000001 - 914730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 914750 ns R r1 80000000 - 914750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 914770 ns R psr 81000200 - 914770 ns MR4_I 01000208 2a001c5b - 914790 ns MR4_I 01000200 07c96841 - 914810 ns IT 01000200 6841 LDR r1,[r0,#4] - 914830 ns MR4_I 01000204 6002d1fc - 914890 ns MR4_D 40006004 00000001 - 914890 ns R r1 00000001 - 914890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 914910 ns R r1 80000000 - 914910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 914930 ns R psr 81000200 - 914930 ns MR4_I 01000208 2a001c5b - 914950 ns MR4_I 01000200 07c96841 - 914970 ns IT 01000200 6841 LDR r1,[r0,#4] - 914990 ns MR4_I 01000204 6002d1fc - 915050 ns MR4_D 40006004 00000001 - 915050 ns R r1 00000001 - 915050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 915070 ns R r1 80000000 - 915070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 915090 ns R psr 81000200 - 915090 ns MR4_I 01000208 2a001c5b - 915110 ns MR4_I 01000200 07c96841 - 915130 ns IT 01000200 6841 LDR r1,[r0,#4] - 915150 ns MR4_I 01000204 6002d1fc - 915210 ns MR4_D 40006004 00000001 - 915210 ns R r1 00000001 - 915210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 915230 ns R r1 80000000 - 915230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 915250 ns R psr 81000200 - 915250 ns MR4_I 01000208 2a001c5b - 915270 ns MR4_I 01000200 07c96841 - 915290 ns IT 01000200 6841 LDR r1,[r0,#4] - 915310 ns MR4_I 01000204 6002d1fc - 915370 ns MR4_D 40006004 00000001 - 915370 ns R r1 00000001 - 915370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 915390 ns R r1 80000000 - 915390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 915410 ns R psr 81000200 - 915410 ns MR4_I 01000208 2a001c5b - 915430 ns MR4_I 01000200 07c96841 - 915450 ns IT 01000200 6841 LDR r1,[r0,#4] - 915470 ns MR4_I 01000204 6002d1fc - 915530 ns MR4_D 40006004 00000001 - 915530 ns R r1 00000001 - 915530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 915550 ns R r1 80000000 - 915550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 915570 ns R psr 81000200 - 915570 ns MR4_I 01000208 2a001c5b - 915590 ns MR4_I 01000200 07c96841 - 915610 ns IT 01000200 6841 LDR r1,[r0,#4] - 915630 ns MR4_I 01000204 6002d1fc - 915690 ns MR4_D 40006004 00000001 - 915690 ns R r1 00000001 - 915690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 915710 ns R r1 80000000 - 915710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 915730 ns R psr 81000200 - 915730 ns MR4_I 01000208 2a001c5b - 915750 ns MR4_I 01000200 07c96841 - 915770 ns IT 01000200 6841 LDR r1,[r0,#4] - 915790 ns MR4_I 01000204 6002d1fc - 915850 ns MR4_D 40006004 00000001 - 915850 ns R r1 00000001 - 915850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 915870 ns R r1 80000000 - 915870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 915890 ns R psr 81000200 - 915890 ns MR4_I 01000208 2a001c5b - 915910 ns MR4_I 01000200 07c96841 - 915930 ns IT 01000200 6841 LDR r1,[r0,#4] - 915950 ns MR4_I 01000204 6002d1fc - 916010 ns MR4_D 40006004 00000001 - 916010 ns R r1 00000001 - 916010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 916030 ns R r1 80000000 - 916030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 916050 ns R psr 81000200 - 916050 ns MR4_I 01000208 2a001c5b - 916070 ns MR4_I 01000200 07c96841 - 916090 ns IT 01000200 6841 LDR r1,[r0,#4] - 916110 ns MR4_I 01000204 6002d1fc - 916170 ns MR4_D 40006004 00000001 - 916170 ns R r1 00000001 - 916170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 916190 ns R r1 80000000 - 916190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 916210 ns R psr 81000200 - 916210 ns MR4_I 01000208 2a001c5b - 916230 ns MR4_I 01000200 07c96841 - 916250 ns IT 01000200 6841 LDR r1,[r0,#4] - 916270 ns MR4_I 01000204 6002d1fc - 916330 ns MR4_D 40006004 00000001 - 916330 ns R r1 00000001 - 916330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 916350 ns R r1 80000000 - 916350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 916370 ns R psr 81000200 - 916370 ns MR4_I 01000208 2a001c5b - 916390 ns MR4_I 01000200 07c96841 - 916410 ns IT 01000200 6841 LDR r1,[r0,#4] - 916430 ns MR4_I 01000204 6002d1fc - 916490 ns MR4_D 40006004 00000001 - 916490 ns R r1 00000001 - 916490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 916510 ns R r1 80000000 - 916510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 916530 ns R psr 81000200 - 916530 ns MR4_I 01000208 2a001c5b - 916550 ns MR4_I 01000200 07c96841 - 916570 ns IT 01000200 6841 LDR r1,[r0,#4] - 916590 ns MR4_I 01000204 6002d1fc - 916650 ns MR4_D 40006004 00000001 - 916650 ns R r1 00000001 - 916650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 916670 ns R r1 80000000 - 916670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 916690 ns R psr 81000200 - 916690 ns MR4_I 01000208 2a001c5b - 916710 ns MR4_I 01000200 07c96841 - 916730 ns IT 01000200 6841 LDR r1,[r0,#4] - 916750 ns MR4_I 01000204 6002d1fc - 916810 ns MR4_D 40006004 00000001 - 916810 ns R r1 00000001 - 916810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 916830 ns R r1 80000000 - 916830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 916850 ns R psr 81000200 - 916850 ns MR4_I 01000208 2a001c5b - 916870 ns MR4_I 01000200 07c96841 - 916890 ns IT 01000200 6841 LDR r1,[r0,#4] - 916910 ns MR4_I 01000204 6002d1fc - 916970 ns MR4_D 40006004 00000001 - 916970 ns R r1 00000001 - 916970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 916990 ns R r1 80000000 - 916990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 917010 ns R psr 81000200 - 917010 ns MR4_I 01000208 2a001c5b - 917030 ns MR4_I 01000200 07c96841 - 917050 ns IT 01000200 6841 LDR r1,[r0,#4] - 917070 ns MR4_I 01000204 6002d1fc - 917130 ns MR4_D 40006004 00000001 - 917130 ns R r1 00000001 - 917130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 917150 ns R r1 80000000 - 917150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 917170 ns R psr 81000200 - 917170 ns MR4_I 01000208 2a001c5b - 917190 ns MR4_I 01000200 07c96841 - 917210 ns IT 01000200 6841 LDR r1,[r0,#4] - 917230 ns MR4_I 01000204 6002d1fc - 917290 ns MR4_D 40006004 00000001 - 917290 ns R r1 00000001 - 917290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 917310 ns R r1 80000000 - 917310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 917330 ns R psr 81000200 - 917330 ns MR4_I 01000208 2a001c5b - 917350 ns MR4_I 01000200 07c96841 - 917370 ns IT 01000200 6841 LDR r1,[r0,#4] - 917390 ns MR4_I 01000204 6002d1fc - 917450 ns MR4_D 40006004 00000001 - 917450 ns R r1 00000001 - 917450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 917470 ns R r1 80000000 - 917470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 917490 ns R psr 81000200 - 917490 ns MR4_I 01000208 2a001c5b - 917510 ns MR4_I 01000200 07c96841 - 917530 ns IT 01000200 6841 LDR r1,[r0,#4] - 917550 ns MR4_I 01000204 6002d1fc - 917610 ns MR4_D 40006004 00000001 - 917610 ns R r1 00000001 - 917610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 917630 ns R r1 80000000 - 917630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 917650 ns R psr 81000200 - 917650 ns MR4_I 01000208 2a001c5b - 917670 ns MR4_I 01000200 07c96841 - 917690 ns IT 01000200 6841 LDR r1,[r0,#4] - 917710 ns MR4_I 01000204 6002d1fc - 917770 ns MR4_D 40006004 00000001 - 917770 ns R r1 00000001 - 917770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 917790 ns R r1 80000000 - 917790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 917810 ns R psr 81000200 - 917810 ns MR4_I 01000208 2a001c5b - 917830 ns MR4_I 01000200 07c96841 - 917850 ns IT 01000200 6841 LDR r1,[r0,#4] - 917870 ns MR4_I 01000204 6002d1fc - 917930 ns MR4_D 40006004 00000001 - 917930 ns R r1 00000001 - 917930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 917950 ns R r1 80000000 - 917950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 917970 ns R psr 81000200 - 917970 ns MR4_I 01000208 2a001c5b - 917990 ns MR4_I 01000200 07c96841 - 918010 ns IT 01000200 6841 LDR r1,[r0,#4] - 918030 ns MR4_I 01000204 6002d1fc - 918090 ns MR4_D 40006004 00000001 - 918090 ns R r1 00000001 - 918090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 918110 ns R r1 80000000 - 918110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 918130 ns R psr 81000200 - 918130 ns MR4_I 01000208 2a001c5b - 918150 ns MR4_I 01000200 07c96841 - 918170 ns IT 01000200 6841 LDR r1,[r0,#4] - 918190 ns MR4_I 01000204 6002d1fc - 918250 ns MR4_D 40006004 00000001 - 918250 ns R r1 00000001 - 918250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 918270 ns R r1 80000000 - 918270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 918290 ns R psr 81000200 - 918290 ns MR4_I 01000208 2a001c5b - 918310 ns MR4_I 01000200 07c96841 - 918330 ns IT 01000200 6841 LDR r1,[r0,#4] - 918350 ns MR4_I 01000204 6002d1fc - 918410 ns MR4_D 40006004 00000001 - 918410 ns R r1 00000001 - 918410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 918430 ns R r1 80000000 - 918430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 918450 ns R psr 81000200 - 918450 ns MR4_I 01000208 2a001c5b - 918470 ns MR4_I 01000200 07c96841 - 918490 ns IT 01000200 6841 LDR r1,[r0,#4] - 918510 ns MR4_I 01000204 6002d1fc - 918570 ns MR4_D 40006004 00000001 - 918570 ns R r1 00000001 - 918570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 918590 ns R r1 80000000 - 918590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 918610 ns R psr 81000200 - 918610 ns MR4_I 01000208 2a001c5b - 918630 ns MR4_I 01000200 07c96841 - 918650 ns IT 01000200 6841 LDR r1,[r0,#4] - 918670 ns MR4_I 01000204 6002d1fc - 918730 ns MR4_D 40006004 00000001 - 918730 ns R r1 00000001 - 918730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 918750 ns R r1 80000000 - 918750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 918770 ns R psr 81000200 - 918770 ns MR4_I 01000208 2a001c5b - 918790 ns MR4_I 01000200 07c96841 - 918810 ns IT 01000200 6841 LDR r1,[r0,#4] - 918830 ns MR4_I 01000204 6002d1fc - 918890 ns MR4_D 40006004 00000001 - 918890 ns R r1 00000001 - 918890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 918910 ns R r1 80000000 - 918910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 918930 ns R psr 81000200 - 918930 ns MR4_I 01000208 2a001c5b - 918950 ns MR4_I 01000200 07c96841 - 918970 ns IT 01000200 6841 LDR r1,[r0,#4] - 918990 ns MR4_I 01000204 6002d1fc - 919050 ns MR4_D 40006004 00000001 - 919050 ns R r1 00000001 - 919050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 919070 ns R r1 80000000 - 919070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 919090 ns R psr 81000200 - 919090 ns MR4_I 01000208 2a001c5b - 919110 ns MR4_I 01000200 07c96841 - 919130 ns IT 01000200 6841 LDR r1,[r0,#4] - 919150 ns MR4_I 01000204 6002d1fc - 919210 ns MR4_D 40006004 00000001 - 919210 ns R r1 00000001 - 919210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 919230 ns R r1 80000000 - 919230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 919250 ns R psr 81000200 - 919250 ns MR4_I 01000208 2a001c5b - 919270 ns MR4_I 01000200 07c96841 - 919290 ns IT 01000200 6841 LDR r1,[r0,#4] - 919310 ns MR4_I 01000204 6002d1fc - 919370 ns MR4_D 40006004 00000001 - 919370 ns R r1 00000001 - 919370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 919390 ns R r1 80000000 - 919390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 919410 ns R psr 81000200 - 919410 ns MR4_I 01000208 2a001c5b - 919430 ns MR4_I 01000200 07c96841 - 919450 ns IT 01000200 6841 LDR r1,[r0,#4] - 919470 ns MR4_I 01000204 6002d1fc - 919530 ns MR4_D 40006004 00000001 - 919530 ns R r1 00000001 - 919530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 919550 ns R r1 80000000 - 919550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 919570 ns R psr 81000200 - 919570 ns MR4_I 01000208 2a001c5b - 919590 ns MR4_I 01000200 07c96841 - 919610 ns IT 01000200 6841 LDR r1,[r0,#4] - 919630 ns MR4_I 01000204 6002d1fc - 919690 ns MR4_D 40006004 00000001 - 919690 ns R r1 00000001 - 919690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 919710 ns R r1 80000000 - 919710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 919730 ns R psr 81000200 - 919730 ns MR4_I 01000208 2a001c5b - 919750 ns MR4_I 01000200 07c96841 - 919770 ns IT 01000200 6841 LDR r1,[r0,#4] - 919790 ns MR4_I 01000204 6002d1fc - 919850 ns MR4_D 40006004 00000001 - 919850 ns R r1 00000001 - 919850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 919870 ns R r1 80000000 - 919870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 919890 ns R psr 81000200 - 919890 ns MR4_I 01000208 2a001c5b - 919910 ns MR4_I 01000200 07c96841 - 919930 ns IT 01000200 6841 LDR r1,[r0,#4] - 919950 ns MR4_I 01000204 6002d1fc - 920010 ns MR4_D 40006004 00000001 - 920010 ns R r1 00000001 - 920010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 920030 ns R r1 80000000 - 920030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 920050 ns R psr 81000200 - 920050 ns MR4_I 01000208 2a001c5b - 920070 ns MR4_I 01000200 07c96841 - 920090 ns IT 01000200 6841 LDR r1,[r0,#4] - 920110 ns MR4_I 01000204 6002d1fc - 920170 ns MR4_D 40006004 00000001 - 920170 ns R r1 00000001 - 920170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 920190 ns R r1 80000000 - 920190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 920210 ns R psr 81000200 - 920210 ns MR4_I 01000208 2a001c5b - 920230 ns MR4_I 01000200 07c96841 - 920250 ns IT 01000200 6841 LDR r1,[r0,#4] - 920270 ns MR4_I 01000204 6002d1fc - 920330 ns MR4_D 40006004 00000001 - 920330 ns R r1 00000001 - 920330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 920350 ns R r1 80000000 - 920350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 920370 ns R psr 81000200 - 920370 ns MR4_I 01000208 2a001c5b - 920390 ns MR4_I 01000200 07c96841 - 920410 ns IT 01000200 6841 LDR r1,[r0,#4] - 920430 ns MR4_I 01000204 6002d1fc - 920490 ns MR4_D 40006004 00000001 - 920490 ns R r1 00000001 - 920490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 920510 ns R r1 80000000 - 920510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 920530 ns R psr 81000200 - 920530 ns MR4_I 01000208 2a001c5b - 920550 ns MR4_I 01000200 07c96841 - 920570 ns IT 01000200 6841 LDR r1,[r0,#4] - 920590 ns MR4_I 01000204 6002d1fc - 920650 ns MR4_D 40006004 00000001 - 920650 ns R r1 00000001 - 920650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 920670 ns R r1 80000000 - 920670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 920690 ns R psr 81000200 - 920690 ns MR4_I 01000208 2a001c5b - 920710 ns MR4_I 01000200 07c96841 - 920730 ns IT 01000200 6841 LDR r1,[r0,#4] - 920750 ns MR4_I 01000204 6002d1fc - 920810 ns MR4_D 40006004 00000001 - 920810 ns R r1 00000001 - 920810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 920830 ns R r1 80000000 - 920830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 920850 ns R psr 81000200 - 920850 ns MR4_I 01000208 2a001c5b - 920870 ns MR4_I 01000200 07c96841 - 920890 ns IT 01000200 6841 LDR r1,[r0,#4] - 920910 ns MR4_I 01000204 6002d1fc - 920970 ns MR4_D 40006004 00000001 - 920970 ns R r1 00000001 - 920970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 920990 ns R r1 80000000 - 920990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 921010 ns R psr 81000200 - 921010 ns MR4_I 01000208 2a001c5b - 921030 ns MR4_I 01000200 07c96841 - 921050 ns IT 01000200 6841 LDR r1,[r0,#4] - 921070 ns MR4_I 01000204 6002d1fc - 921130 ns MR4_D 40006004 00000001 - 921130 ns R r1 00000001 - 921130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 921150 ns R r1 80000000 - 921150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 921170 ns R psr 81000200 - 921170 ns MR4_I 01000208 2a001c5b - 921190 ns MR4_I 01000200 07c96841 - 921210 ns IT 01000200 6841 LDR r1,[r0,#4] - 921230 ns MR4_I 01000204 6002d1fc - 921290 ns MR4_D 40006004 00000001 - 921290 ns R r1 00000001 - 921290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 921310 ns R r1 80000000 - 921310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 921330 ns R psr 81000200 - 921330 ns MR4_I 01000208 2a001c5b - 921350 ns MR4_I 01000200 07c96841 - 921370 ns IT 01000200 6841 LDR r1,[r0,#4] - 921390 ns MR4_I 01000204 6002d1fc - 921450 ns MR4_D 40006004 00000001 - 921450 ns R r1 00000001 - 921450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 921470 ns R r1 80000000 - 921470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 921490 ns R psr 81000200 - 921490 ns MR4_I 01000208 2a001c5b - 921510 ns MR4_I 01000200 07c96841 - 921530 ns IT 01000200 6841 LDR r1,[r0,#4] - 921550 ns MR4_I 01000204 6002d1fc - 921610 ns MR4_D 40006004 00000001 - 921610 ns R r1 00000001 - 921610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 921630 ns R r1 80000000 - 921630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 921650 ns R psr 81000200 - 921650 ns MR4_I 01000208 2a001c5b - 921670 ns MR4_I 01000200 07c96841 - 921690 ns IT 01000200 6841 LDR r1,[r0,#4] - 921710 ns MR4_I 01000204 6002d1fc - 921770 ns MR4_D 40006004 00000001 - 921770 ns R r1 00000001 - 921770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 921790 ns R r1 80000000 - 921790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 921810 ns R psr 81000200 - 921810 ns MR4_I 01000208 2a001c5b - 921830 ns MR4_I 01000200 07c96841 - 921850 ns IT 01000200 6841 LDR r1,[r0,#4] - 921870 ns MR4_I 01000204 6002d1fc - 921930 ns MR4_D 40006004 00000001 - 921930 ns R r1 00000001 - 921930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 921950 ns R r1 80000000 - 921950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 921970 ns R psr 81000200 - 921970 ns MR4_I 01000208 2a001c5b - 921990 ns MR4_I 01000200 07c96841 - 922010 ns IT 01000200 6841 LDR r1,[r0,#4] - 922030 ns MR4_I 01000204 6002d1fc - 922090 ns MR4_D 40006004 00000001 - 922090 ns R r1 00000001 - 922090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 922110 ns R r1 80000000 - 922110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 922130 ns R psr 81000200 - 922130 ns MR4_I 01000208 2a001c5b - 922150 ns MR4_I 01000200 07c96841 - 922170 ns IT 01000200 6841 LDR r1,[r0,#4] - 922190 ns MR4_I 01000204 6002d1fc - 922250 ns MR4_D 40006004 00000001 - 922250 ns R r1 00000001 - 922250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 922270 ns R r1 80000000 - 922270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 922290 ns R psr 81000200 - 922290 ns MR4_I 01000208 2a001c5b - 922310 ns MR4_I 01000200 07c96841 - 922330 ns IT 01000200 6841 LDR r1,[r0,#4] - 922350 ns MR4_I 01000204 6002d1fc - 922410 ns MR4_D 40006004 00000001 - 922410 ns R r1 00000001 - 922410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 922430 ns R r1 80000000 - 922430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 922450 ns R psr 81000200 - 922450 ns MR4_I 01000208 2a001c5b - 922470 ns MR4_I 01000200 07c96841 - 922490 ns IT 01000200 6841 LDR r1,[r0,#4] - 922510 ns MR4_I 01000204 6002d1fc - 922570 ns MR4_D 40006004 00000001 - 922570 ns R r1 00000001 - 922570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 922590 ns R r1 80000000 - 922590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 922610 ns R psr 81000200 - 922610 ns MR4_I 01000208 2a001c5b - 922630 ns MR4_I 01000200 07c96841 - 922650 ns IT 01000200 6841 LDR r1,[r0,#4] - 922670 ns MR4_I 01000204 6002d1fc - 922730 ns MR4_D 40006004 00000001 - 922730 ns R r1 00000001 - 922730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 922750 ns R r1 80000000 - 922750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 922770 ns R psr 81000200 - 922770 ns MR4_I 01000208 2a001c5b - 922790 ns MR4_I 01000200 07c96841 - 922810 ns IT 01000200 6841 LDR r1,[r0,#4] - 922830 ns MR4_I 01000204 6002d1fc - 922890 ns MR4_D 40006004 00000001 - 922890 ns R r1 00000001 - 922890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 922910 ns R r1 80000000 - 922910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 922930 ns R psr 81000200 - 922930 ns MR4_I 01000208 2a001c5b - 922950 ns MR4_I 01000200 07c96841 - 922970 ns IT 01000200 6841 LDR r1,[r0,#4] - 922990 ns MR4_I 01000204 6002d1fc - 923050 ns MR4_D 40006004 00000001 - 923050 ns R r1 00000001 - 923050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 923070 ns R r1 80000000 - 923070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 923090 ns R psr 81000200 - 923090 ns MR4_I 01000208 2a001c5b - 923110 ns MR4_I 01000200 07c96841 - 923130 ns IT 01000200 6841 LDR r1,[r0,#4] - 923150 ns MR4_I 01000204 6002d1fc - 923210 ns MR4_D 40006004 00000001 - 923210 ns R r1 00000001 - 923210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 923230 ns R r1 80000000 - 923230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 923250 ns R psr 81000200 - 923250 ns MR4_I 01000208 2a001c5b - 923270 ns MR4_I 01000200 07c96841 - 923290 ns IT 01000200 6841 LDR r1,[r0,#4] - 923310 ns MR4_I 01000204 6002d1fc - 923370 ns MR4_D 40006004 00000001 - 923370 ns R r1 00000001 - 923370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 923390 ns R r1 80000000 - 923390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 923410 ns R psr 81000200 - 923410 ns MR4_I 01000208 2a001c5b - 923430 ns MR4_I 01000200 07c96841 - 923450 ns IT 01000200 6841 LDR r1,[r0,#4] - 923470 ns MR4_I 01000204 6002d1fc - 923530 ns MR4_D 40006004 00000001 - 923530 ns R r1 00000001 - 923530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 923550 ns R r1 80000000 - 923550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 923570 ns R psr 81000200 - 923570 ns MR4_I 01000208 2a001c5b - 923590 ns MR4_I 01000200 07c96841 - 923610 ns IT 01000200 6841 LDR r1,[r0,#4] - 923630 ns MR4_I 01000204 6002d1fc - 923690 ns MR4_D 40006004 00000001 - 923690 ns R r1 00000001 - 923690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 923710 ns R r1 80000000 - 923710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 923730 ns R psr 81000200 - 923730 ns MR4_I 01000208 2a001c5b - 923750 ns MR4_I 01000200 07c96841 - 923770 ns IT 01000200 6841 LDR r1,[r0,#4] - 923790 ns MR4_I 01000204 6002d1fc - 923850 ns MR4_D 40006004 00000001 - 923850 ns R r1 00000001 - 923850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 923870 ns R r1 80000000 - 923870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 923890 ns R psr 81000200 - 923890 ns MR4_I 01000208 2a001c5b - 923910 ns MR4_I 01000200 07c96841 - 923930 ns IT 01000200 6841 LDR r1,[r0,#4] - 923950 ns MR4_I 01000204 6002d1fc - 924010 ns MR4_D 40006004 00000001 - 924010 ns R r1 00000001 - 924010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 924030 ns R r1 80000000 - 924030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 924050 ns R psr 81000200 - 924050 ns MR4_I 01000208 2a001c5b - 924070 ns MR4_I 01000200 07c96841 - 924090 ns IT 01000200 6841 LDR r1,[r0,#4] - 924110 ns MR4_I 01000204 6002d1fc - 924170 ns MR4_D 40006004 00000001 - 924170 ns R r1 00000001 - 924170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 924190 ns R r1 80000000 - 924190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 924210 ns R psr 81000200 - 924210 ns MR4_I 01000208 2a001c5b - 924230 ns MR4_I 01000200 07c96841 - 924250 ns IT 01000200 6841 LDR r1,[r0,#4] - 924270 ns MR4_I 01000204 6002d1fc - 924330 ns MR4_D 40006004 00000001 - 924330 ns R r1 00000001 - 924330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 924350 ns R r1 80000000 - 924350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 924370 ns R psr 81000200 - 924370 ns MR4_I 01000208 2a001c5b - 924390 ns MR4_I 01000200 07c96841 - 924410 ns IT 01000200 6841 LDR r1,[r0,#4] - 924430 ns MR4_I 01000204 6002d1fc - 924490 ns MR4_D 40006004 00000001 - 924490 ns R r1 00000001 - 924490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 924510 ns R r1 80000000 - 924510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 924530 ns R psr 81000200 - 924530 ns MR4_I 01000208 2a001c5b - 924550 ns MR4_I 01000200 07c96841 - 924570 ns IT 01000200 6841 LDR r1,[r0,#4] - 924590 ns MR4_I 01000204 6002d1fc - 924650 ns MR4_D 40006004 00000001 - 924650 ns R r1 00000001 - 924650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 924670 ns R r1 80000000 - 924670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 924690 ns R psr 81000200 - 924690 ns MR4_I 01000208 2a001c5b - 924710 ns MR4_I 01000200 07c96841 - 924730 ns IT 01000200 6841 LDR r1,[r0,#4] - 924750 ns MR4_I 01000204 6002d1fc - 924810 ns MR4_D 40006004 00000001 - 924810 ns R r1 00000001 - 924810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 924830 ns R r1 80000000 - 924830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 924850 ns R psr 81000200 - 924850 ns MR4_I 01000208 2a001c5b - 924870 ns MR4_I 01000200 07c96841 - 924890 ns IT 01000200 6841 LDR r1,[r0,#4] - 924910 ns MR4_I 01000204 6002d1fc - 924970 ns MR4_D 40006004 00000001 - 924970 ns R r1 00000001 - 924970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 924990 ns R r1 80000000 - 924990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 925010 ns R psr 81000200 - 925010 ns MR4_I 01000208 2a001c5b - 925030 ns MR4_I 01000200 07c96841 - 925050 ns IT 01000200 6841 LDR r1,[r0,#4] - 925070 ns MR4_I 01000204 6002d1fc - 925130 ns MR4_D 40006004 00000001 - 925130 ns R r1 00000001 - 925130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 925150 ns R r1 80000000 - 925150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 925170 ns R psr 81000200 - 925170 ns MR4_I 01000208 2a001c5b - 925190 ns MR4_I 01000200 07c96841 - 925210 ns IT 01000200 6841 LDR r1,[r0,#4] - 925230 ns MR4_I 01000204 6002d1fc - 925290 ns MR4_D 40006004 00000001 - 925290 ns R r1 00000001 - 925290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 925310 ns R r1 80000000 - 925310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 925330 ns R psr 81000200 - 925330 ns MR4_I 01000208 2a001c5b - 925350 ns MR4_I 01000200 07c96841 - 925370 ns IT 01000200 6841 LDR r1,[r0,#4] - 925390 ns MR4_I 01000204 6002d1fc - 925450 ns MR4_D 40006004 00000001 - 925450 ns R r1 00000001 - 925450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 925470 ns R r1 80000000 - 925470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 925490 ns R psr 81000200 - 925490 ns MR4_I 01000208 2a001c5b - 925510 ns MR4_I 01000200 07c96841 - 925530 ns IT 01000200 6841 LDR r1,[r0,#4] - 925550 ns MR4_I 01000204 6002d1fc - 925610 ns MR4_D 40006004 00000001 - 925610 ns R r1 00000001 - 925610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 925630 ns R r1 80000000 - 925630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 925650 ns R psr 81000200 - 925650 ns MR4_I 01000208 2a001c5b - 925670 ns MR4_I 01000200 07c96841 - 925690 ns IT 01000200 6841 LDR r1,[r0,#4] - 925710 ns MR4_I 01000204 6002d1fc - 925770 ns MR4_D 40006004 00000001 - 925770 ns R r1 00000001 - 925770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 925790 ns R r1 80000000 - 925790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 925810 ns R psr 81000200 - 925810 ns MR4_I 01000208 2a001c5b - 925830 ns MR4_I 01000200 07c96841 - 925850 ns IT 01000200 6841 LDR r1,[r0,#4] - 925870 ns MR4_I 01000204 6002d1fc - 925930 ns MR4_D 40006004 00000001 - 925930 ns R r1 00000001 - 925930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 925950 ns R r1 80000000 - 925950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 925970 ns R psr 81000200 - 925970 ns MR4_I 01000208 2a001c5b - 925990 ns MR4_I 01000200 07c96841 - 926010 ns IT 01000200 6841 LDR r1,[r0,#4] - 926030 ns MR4_I 01000204 6002d1fc - 926090 ns MR4_D 40006004 00000001 - 926090 ns R r1 00000001 - 926090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 926110 ns R r1 80000000 - 926110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 926130 ns R psr 81000200 - 926130 ns MR4_I 01000208 2a001c5b - 926150 ns MR4_I 01000200 07c96841 - 926170 ns IT 01000200 6841 LDR r1,[r0,#4] - 926190 ns MR4_I 01000204 6002d1fc - 926250 ns MR4_D 40006004 00000001 - 926250 ns R r1 00000001 - 926250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 926270 ns R r1 80000000 - 926270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 926290 ns R psr 81000200 - 926290 ns MR4_I 01000208 2a001c5b - 926310 ns MR4_I 01000200 07c96841 - 926330 ns IT 01000200 6841 LDR r1,[r0,#4] - 926350 ns MR4_I 01000204 6002d1fc - 926410 ns MR4_D 40006004 00000001 - 926410 ns R r1 00000001 - 926410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 926430 ns R r1 80000000 - 926430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 926450 ns R psr 81000200 - 926450 ns MR4_I 01000208 2a001c5b - 926470 ns MR4_I 01000200 07c96841 - 926490 ns IT 01000200 6841 LDR r1,[r0,#4] - 926510 ns MR4_I 01000204 6002d1fc - 926570 ns MR4_D 40006004 00000001 - 926570 ns R r1 00000001 - 926570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 926590 ns R r1 80000000 - 926590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 926610 ns R psr 81000200 - 926610 ns MR4_I 01000208 2a001c5b - 926630 ns MR4_I 01000200 07c96841 - 926650 ns IT 01000200 6841 LDR r1,[r0,#4] - 926670 ns MR4_I 01000204 6002d1fc - 926730 ns MR4_D 40006004 00000001 - 926730 ns R r1 00000001 - 926730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 926750 ns R r1 80000000 - 926750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 926770 ns R psr 81000200 - 926770 ns MR4_I 01000208 2a001c5b - 926790 ns MR4_I 01000200 07c96841 - 926810 ns IT 01000200 6841 LDR r1,[r0,#4] - 926830 ns MR4_I 01000204 6002d1fc - 926890 ns MR4_D 40006004 00000001 - 926890 ns R r1 00000001 - 926890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 926910 ns R r1 80000000 - 926910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 926930 ns R psr 81000200 - 926930 ns MR4_I 01000208 2a001c5b - 926950 ns MR4_I 01000200 07c96841 - 926970 ns IT 01000200 6841 LDR r1,[r0,#4] - 926990 ns MR4_I 01000204 6002d1fc - 927050 ns MR4_D 40006004 00000001 - 927050 ns R r1 00000001 - 927050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 927070 ns R r1 80000000 - 927070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 927090 ns R psr 81000200 - 927090 ns MR4_I 01000208 2a001c5b - 927110 ns MR4_I 01000200 07c96841 - 927130 ns IT 01000200 6841 LDR r1,[r0,#4] - 927150 ns MR4_I 01000204 6002d1fc - 927210 ns MR4_D 40006004 00000001 - 927210 ns R r1 00000001 - 927210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 927230 ns R r1 80000000 - 927230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 927250 ns R psr 81000200 - 927250 ns MR4_I 01000208 2a001c5b - 927270 ns MR4_I 01000200 07c96841 - 927290 ns IT 01000200 6841 LDR r1,[r0,#4] - 927310 ns MR4_I 01000204 6002d1fc - 927370 ns MR4_D 40006004 00000001 - 927370 ns R r1 00000001 - 927370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 927390 ns R r1 80000000 - 927390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 927410 ns R psr 81000200 - 927410 ns MR4_I 01000208 2a001c5b - 927430 ns MR4_I 01000200 07c96841 - 927450 ns IT 01000200 6841 LDR r1,[r0,#4] - 927470 ns MR4_I 01000204 6002d1fc - 927530 ns MR4_D 40006004 00000001 - 927530 ns R r1 00000001 - 927530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 927550 ns R r1 80000000 - 927550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 927570 ns R psr 81000200 - 927570 ns MR4_I 01000208 2a001c5b - 927590 ns MR4_I 01000200 07c96841 - 927610 ns IT 01000200 6841 LDR r1,[r0,#4] - 927630 ns MR4_I 01000204 6002d1fc - 927690 ns MR4_D 40006004 00000001 - 927690 ns R r1 00000001 - 927690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 927710 ns R r1 80000000 - 927710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 927730 ns R psr 81000200 - 927730 ns MR4_I 01000208 2a001c5b - 927750 ns MR4_I 01000200 07c96841 - 927770 ns IT 01000200 6841 LDR r1,[r0,#4] - 927790 ns MR4_I 01000204 6002d1fc - 927850 ns MR4_D 40006004 00000001 - 927850 ns R r1 00000001 - 927850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 927870 ns R r1 80000000 - 927870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 927890 ns R psr 81000200 - 927890 ns MR4_I 01000208 2a001c5b - 927910 ns MR4_I 01000200 07c96841 - 927930 ns IT 01000200 6841 LDR r1,[r0,#4] - 927950 ns MR4_I 01000204 6002d1fc - 928010 ns MR4_D 40006004 00000001 - 928010 ns R r1 00000001 - 928010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 928030 ns R r1 80000000 - 928030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 928050 ns R psr 81000200 - 928050 ns MR4_I 01000208 2a001c5b - 928070 ns MR4_I 01000200 07c96841 - 928090 ns IT 01000200 6841 LDR r1,[r0,#4] - 928110 ns MR4_I 01000204 6002d1fc - 928170 ns MR4_D 40006004 00000001 - 928170 ns R r1 00000001 - 928170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 928190 ns R r1 80000000 - 928190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 928210 ns R psr 81000200 - 928210 ns MR4_I 01000208 2a001c5b - 928230 ns MR4_I 01000200 07c96841 - 928250 ns IT 01000200 6841 LDR r1,[r0,#4] - 928270 ns MR4_I 01000204 6002d1fc - 928330 ns MR4_D 40006004 00000001 - 928330 ns R r1 00000001 - 928330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 928350 ns R r1 80000000 - 928350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 928370 ns R psr 81000200 - 928370 ns MR4_I 01000208 2a001c5b - 928390 ns MR4_I 01000200 07c96841 - 928410 ns IT 01000200 6841 LDR r1,[r0,#4] - 928430 ns MR4_I 01000204 6002d1fc - 928490 ns MR4_D 40006004 00000001 - 928490 ns R r1 00000001 - 928490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 928510 ns R r1 80000000 - 928510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 928530 ns R psr 81000200 - 928530 ns MR4_I 01000208 2a001c5b - 928550 ns MR4_I 01000200 07c96841 - 928570 ns IT 01000200 6841 LDR r1,[r0,#4] - 928590 ns MR4_I 01000204 6002d1fc - 928650 ns MR4_D 40006004 00000001 - 928650 ns R r1 00000001 - 928650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 928670 ns R r1 80000000 - 928670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 928690 ns R psr 81000200 - 928690 ns MR4_I 01000208 2a001c5b - 928710 ns MR4_I 01000200 07c96841 - 928730 ns IT 01000200 6841 LDR r1,[r0,#4] - 928750 ns MR4_I 01000204 6002d1fc - 928810 ns MR4_D 40006004 00000001 - 928810 ns R r1 00000001 - 928810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 928830 ns R r1 80000000 - 928830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 928850 ns R psr 81000200 - 928850 ns MR4_I 01000208 2a001c5b - 928870 ns MR4_I 01000200 07c96841 - 928890 ns IT 01000200 6841 LDR r1,[r0,#4] - 928910 ns MR4_I 01000204 6002d1fc - 928970 ns MR4_D 40006004 00000001 - 928970 ns R r1 00000001 - 928970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 928990 ns R r1 80000000 - 928990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 929010 ns R psr 81000200 - 929010 ns MR4_I 01000208 2a001c5b - 929030 ns MR4_I 01000200 07c96841 - 929050 ns IT 01000200 6841 LDR r1,[r0,#4] - 929070 ns MR4_I 01000204 6002d1fc - 929130 ns MR4_D 40006004 00000001 - 929130 ns R r1 00000001 - 929130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 929150 ns R r1 80000000 - 929150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 929170 ns R psr 81000200 - 929170 ns MR4_I 01000208 2a001c5b - 929190 ns MR4_I 01000200 07c96841 - 929210 ns IT 01000200 6841 LDR r1,[r0,#4] - 929230 ns MR4_I 01000204 6002d1fc - 929290 ns MR4_D 40006004 00000001 - 929290 ns R r1 00000001 - 929290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 929310 ns R r1 80000000 - 929310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 929330 ns R psr 81000200 - 929330 ns MR4_I 01000208 2a001c5b - 929350 ns MR4_I 01000200 07c96841 - 929370 ns IT 01000200 6841 LDR r1,[r0,#4] - 929390 ns MR4_I 01000204 6002d1fc - 929450 ns MR4_D 40006004 00000001 - 929450 ns R r1 00000001 - 929450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 929470 ns R r1 80000000 - 929470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 929490 ns R psr 81000200 - 929490 ns MR4_I 01000208 2a001c5b - 929510 ns MR4_I 01000200 07c96841 - 929530 ns IT 01000200 6841 LDR r1,[r0,#4] - 929550 ns MR4_I 01000204 6002d1fc - 929610 ns MR4_D 40006004 00000001 - 929610 ns R r1 00000001 - 929610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 929630 ns R r1 80000000 - 929630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 929650 ns R psr 81000200 - 929650 ns MR4_I 01000208 2a001c5b - 929670 ns MR4_I 01000200 07c96841 - 929690 ns IT 01000200 6841 LDR r1,[r0,#4] - 929710 ns MR4_I 01000204 6002d1fc - 929770 ns MR4_D 40006004 00000001 - 929770 ns R r1 00000001 - 929770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 929790 ns R r1 80000000 - 929790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 929810 ns R psr 81000200 - 929810 ns MR4_I 01000208 2a001c5b - 929830 ns MR4_I 01000200 07c96841 - 929850 ns IT 01000200 6841 LDR r1,[r0,#4] - 929870 ns MR4_I 01000204 6002d1fc - 929930 ns MR4_D 40006004 00000001 - 929930 ns R r1 00000001 - 929930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 929950 ns R r1 80000000 - 929950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 929970 ns R psr 81000200 - 929970 ns MR4_I 01000208 2a001c5b - 929990 ns MR4_I 01000200 07c96841 - 930010 ns IT 01000200 6841 LDR r1,[r0,#4] - 930030 ns MR4_I 01000204 6002d1fc - 930090 ns MR4_D 40006004 00000001 - 930090 ns R r1 00000001 - 930090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 930110 ns R r1 80000000 - 930110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 930130 ns R psr 81000200 - 930130 ns MR4_I 01000208 2a001c5b - 930150 ns MR4_I 01000200 07c96841 - 930170 ns IT 01000200 6841 LDR r1,[r0,#4] - 930190 ns MR4_I 01000204 6002d1fc - 930250 ns MR4_D 40006004 00000001 - 930250 ns R r1 00000001 - 930250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 930270 ns R r1 80000000 - 930270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 930290 ns R psr 81000200 - 930290 ns MR4_I 01000208 2a001c5b - 930310 ns MR4_I 01000200 07c96841 - 930330 ns IT 01000200 6841 LDR r1,[r0,#4] - 930350 ns MR4_I 01000204 6002d1fc - 930410 ns MR4_D 40006004 00000001 - 930410 ns R r1 00000001 - 930410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 930430 ns R r1 80000000 - 930430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 930450 ns R psr 81000200 - 930450 ns MR4_I 01000208 2a001c5b - 930470 ns MR4_I 01000200 07c96841 - 930490 ns IT 01000200 6841 LDR r1,[r0,#4] - 930510 ns MR4_I 01000204 6002d1fc - 930570 ns MR4_D 40006004 00000001 - 930570 ns R r1 00000001 - 930570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 930590 ns R r1 80000000 - 930590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 930610 ns R psr 81000200 - 930610 ns MR4_I 01000208 2a001c5b - 930630 ns MR4_I 01000200 07c96841 - 930650 ns IT 01000200 6841 LDR r1,[r0,#4] - 930670 ns MR4_I 01000204 6002d1fc - 930730 ns MR4_D 40006004 00000001 - 930730 ns R r1 00000001 - 930730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 930750 ns R r1 80000000 - 930750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 930770 ns R psr 81000200 - 930770 ns MR4_I 01000208 2a001c5b - 930790 ns MR4_I 01000200 07c96841 - 930810 ns IT 01000200 6841 LDR r1,[r0,#4] - 930830 ns MR4_I 01000204 6002d1fc - 930890 ns MR4_D 40006004 00000001 - 930890 ns R r1 00000001 - 930890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 930910 ns R r1 80000000 - 930910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 930930 ns R psr 81000200 - 930930 ns MR4_I 01000208 2a001c5b - 930950 ns MR4_I 01000200 07c96841 - 930970 ns IT 01000200 6841 LDR r1,[r0,#4] - 930990 ns MR4_I 01000204 6002d1fc - 931050 ns MR4_D 40006004 00000001 - 931050 ns R r1 00000001 - 931050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 931070 ns R r1 80000000 - 931070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 931090 ns R psr 81000200 - 931090 ns MR4_I 01000208 2a001c5b - 931110 ns MR4_I 01000200 07c96841 - 931130 ns IT 01000200 6841 LDR r1,[r0,#4] - 931150 ns MR4_I 01000204 6002d1fc - 931210 ns MR4_D 40006004 00000001 - 931210 ns R r1 00000001 - 931210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 931230 ns R r1 80000000 - 931230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 931250 ns R psr 81000200 - 931250 ns MR4_I 01000208 2a001c5b - 931270 ns MR4_I 01000200 07c96841 - 931290 ns IT 01000200 6841 LDR r1,[r0,#4] - 931310 ns MR4_I 01000204 6002d1fc - 931370 ns MR4_D 40006004 00000001 - 931370 ns R r1 00000001 - 931370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 931390 ns R r1 80000000 - 931390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 931410 ns R psr 81000200 - 931410 ns MR4_I 01000208 2a001c5b - 931430 ns MR4_I 01000200 07c96841 - 931450 ns IT 01000200 6841 LDR r1,[r0,#4] - 931470 ns MR4_I 01000204 6002d1fc - 931530 ns MR4_D 40006004 00000001 - 931530 ns R r1 00000001 - 931530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 931550 ns R r1 80000000 - 931550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 931570 ns R psr 81000200 - 931570 ns MR4_I 01000208 2a001c5b - 931590 ns MR4_I 01000200 07c96841 - 931610 ns IT 01000200 6841 LDR r1,[r0,#4] - 931630 ns MR4_I 01000204 6002d1fc - 931690 ns MR4_D 40006004 00000001 - 931690 ns R r1 00000001 - 931690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 931710 ns R r1 80000000 - 931710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 931730 ns R psr 81000200 - 931730 ns MR4_I 01000208 2a001c5b - 931750 ns MR4_I 01000200 07c96841 - 931770 ns IT 01000200 6841 LDR r1,[r0,#4] - 931790 ns MR4_I 01000204 6002d1fc - 931850 ns MR4_D 40006004 00000001 - 931850 ns R r1 00000001 - 931850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 931870 ns R r1 80000000 - 931870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 931890 ns R psr 81000200 - 931890 ns MR4_I 01000208 2a001c5b - 931910 ns MR4_I 01000200 07c96841 - 931930 ns IT 01000200 6841 LDR r1,[r0,#4] - 931950 ns MR4_I 01000204 6002d1fc - 932010 ns MR4_D 40006004 00000000 - 932010 ns R r1 00000000 - 932010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 932030 ns R r1 00000000 - 932030 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 932050 ns R psr 41000200 - 932050 ns MR4_I 01000208 2a001c5b - 932050 ns IT 01000206 6002 STR r2,[r0,#0] - 932130 ns MW4_D 40006000 00000065 - 932130 ns IT 01000208 1c5b ADDS r3,r3,#1 - 932150 ns MR4_I 0100020c a32ad1f5 - 932150 ns R r3 010002ac - 932150 ns IT 0100020a 2a00 CMP r2,#0 - 932170 ns R psr 01000200 - 932170 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 932190 ns R psr 21000200 - 932190 ns MR4_I 01000210 2a00781a - 932210 ns MR4_I 010001f8 781aa326 - 932230 ns MR4_I 010001fc d0062a00 - 932230 ns IT 010001fa 781a LDRB r2,[r3,#0] - 932270 ns MR1_D 010002ac 304d2d78 - 932270 ns R r2 00000078 - 932270 ns IT 010001fc 2a00 CMP r2,#0 - 932290 ns MR4_I 01000200 07c96841 - 932290 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 932310 ns R psr 21000200 - 932310 ns IT 01000200 6841 LDR r1,[r0,#4] - 932330 ns MR4_I 01000204 6002d1fc - 932390 ns MR4_D 40006004 00000001 - 932390 ns R r1 00000001 - 932390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 932410 ns R r1 80000000 - 932410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 932430 ns R psr 81000200 - 932430 ns MR4_I 01000208 2a001c5b - 932450 ns MR4_I 01000200 07c96841 - 932470 ns IT 01000200 6841 LDR r1,[r0,#4] - 932490 ns MR4_I 01000204 6002d1fc - 932550 ns MR4_D 40006004 00000001 - 932550 ns R r1 00000001 - 932550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 932570 ns R r1 80000000 - 932570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 932590 ns R psr 81000200 - 932590 ns MR4_I 01000208 2a001c5b - 932610 ns MR4_I 01000200 07c96841 - 932630 ns IT 01000200 6841 LDR r1,[r0,#4] - 932650 ns MR4_I 01000204 6002d1fc - 932710 ns MR4_D 40006004 00000001 - 932710 ns R r1 00000001 - 932710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 932730 ns R r1 80000000 - 932730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 932750 ns R psr 81000200 - 932750 ns MR4_I 01000208 2a001c5b - 932770 ns MR4_I 01000200 07c96841 - 932790 ns IT 01000200 6841 LDR r1,[r0,#4] - 932810 ns MR4_I 01000204 6002d1fc - 932870 ns MR4_D 40006004 00000001 - 932870 ns R r1 00000001 - 932870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 932890 ns R r1 80000000 - 932890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 932910 ns R psr 81000200 - 932910 ns MR4_I 01000208 2a001c5b - 932930 ns MR4_I 01000200 07c96841 - 932950 ns IT 01000200 6841 LDR r1,[r0,#4] - 932970 ns MR4_I 01000204 6002d1fc - 933030 ns MR4_D 40006004 00000001 - 933030 ns R r1 00000001 - 933030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 933050 ns R r1 80000000 - 933050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 933070 ns R psr 81000200 - 933070 ns MR4_I 01000208 2a001c5b - 933090 ns MR4_I 01000200 07c96841 - 933110 ns IT 01000200 6841 LDR r1,[r0,#4] - 933130 ns MR4_I 01000204 6002d1fc - 933190 ns MR4_D 40006004 00000001 - 933190 ns R r1 00000001 - 933190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 933210 ns R r1 80000000 - 933210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 933230 ns R psr 81000200 - 933230 ns MR4_I 01000208 2a001c5b - 933250 ns MR4_I 01000200 07c96841 - 933270 ns IT 01000200 6841 LDR r1,[r0,#4] - 933290 ns MR4_I 01000204 6002d1fc - 933350 ns MR4_D 40006004 00000001 - 933350 ns R r1 00000001 - 933350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 933370 ns R r1 80000000 - 933370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 933390 ns R psr 81000200 - 933390 ns MR4_I 01000208 2a001c5b - 933410 ns MR4_I 01000200 07c96841 - 933430 ns IT 01000200 6841 LDR r1,[r0,#4] - 933450 ns MR4_I 01000204 6002d1fc - 933510 ns MR4_D 40006004 00000001 - 933510 ns R r1 00000001 - 933510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 933530 ns R r1 80000000 - 933530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 933550 ns R psr 81000200 - 933550 ns MR4_I 01000208 2a001c5b - 933570 ns MR4_I 01000200 07c96841 - 933590 ns IT 01000200 6841 LDR r1,[r0,#4] - 933610 ns MR4_I 01000204 6002d1fc - 933670 ns MR4_D 40006004 00000001 - 933670 ns R r1 00000001 - 933670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 933690 ns R r1 80000000 - 933690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 933710 ns R psr 81000200 - 933710 ns MR4_I 01000208 2a001c5b - 933730 ns MR4_I 01000200 07c96841 - 933750 ns IT 01000200 6841 LDR r1,[r0,#4] - 933770 ns MR4_I 01000204 6002d1fc - 933830 ns MR4_D 40006004 00000001 - 933830 ns R r1 00000001 - 933830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 933850 ns R r1 80000000 - 933850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 933870 ns R psr 81000200 - 933870 ns MR4_I 01000208 2a001c5b - 933890 ns MR4_I 01000200 07c96841 - 933910 ns IT 01000200 6841 LDR r1,[r0,#4] - 933930 ns MR4_I 01000204 6002d1fc - 933990 ns MR4_D 40006004 00000001 - 933990 ns R r1 00000001 - 933990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 934010 ns R r1 80000000 - 934010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 934030 ns R psr 81000200 - 934030 ns MR4_I 01000208 2a001c5b - 934050 ns MR4_I 01000200 07c96841 - 934070 ns IT 01000200 6841 LDR r1,[r0,#4] - 934090 ns MR4_I 01000204 6002d1fc - 934150 ns MR4_D 40006004 00000001 - 934150 ns R r1 00000001 - 934150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 934170 ns R r1 80000000 - 934170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 934190 ns R psr 81000200 - 934190 ns MR4_I 01000208 2a001c5b - 934210 ns MR4_I 01000200 07c96841 - 934230 ns IT 01000200 6841 LDR r1,[r0,#4] - 934250 ns MR4_I 01000204 6002d1fc - 934310 ns MR4_D 40006004 00000001 - 934310 ns R r1 00000001 - 934310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 934330 ns R r1 80000000 - 934330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 934350 ns R psr 81000200 - 934350 ns MR4_I 01000208 2a001c5b - 934370 ns MR4_I 01000200 07c96841 - 934390 ns IT 01000200 6841 LDR r1,[r0,#4] - 934410 ns MR4_I 01000204 6002d1fc - 934470 ns MR4_D 40006004 00000001 - 934470 ns R r1 00000001 - 934470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 934490 ns R r1 80000000 - 934490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 934510 ns R psr 81000200 - 934510 ns MR4_I 01000208 2a001c5b - 934530 ns MR4_I 01000200 07c96841 - 934550 ns IT 01000200 6841 LDR r1,[r0,#4] - 934570 ns MR4_I 01000204 6002d1fc - 934630 ns MR4_D 40006004 00000001 - 934630 ns R r1 00000001 - 934630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 934650 ns R r1 80000000 - 934650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 934670 ns R psr 81000200 - 934670 ns MR4_I 01000208 2a001c5b - 934690 ns MR4_I 01000200 07c96841 - 934710 ns IT 01000200 6841 LDR r1,[r0,#4] - 934730 ns MR4_I 01000204 6002d1fc - 934790 ns MR4_D 40006004 00000001 - 934790 ns R r1 00000001 - 934790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 934810 ns R r1 80000000 - 934810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 934830 ns R psr 81000200 - 934830 ns MR4_I 01000208 2a001c5b - 934850 ns MR4_I 01000200 07c96841 - 934870 ns IT 01000200 6841 LDR r1,[r0,#4] - 934890 ns MR4_I 01000204 6002d1fc - 934950 ns MR4_D 40006004 00000001 - 934950 ns R r1 00000001 - 934950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 934970 ns R r1 80000000 - 934970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 934990 ns R psr 81000200 - 934990 ns MR4_I 01000208 2a001c5b - 935010 ns MR4_I 01000200 07c96841 - 935030 ns IT 01000200 6841 LDR r1,[r0,#4] - 935050 ns MR4_I 01000204 6002d1fc - 935110 ns MR4_D 40006004 00000001 - 935110 ns R r1 00000001 - 935110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 935130 ns R r1 80000000 - 935130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 935150 ns R psr 81000200 - 935150 ns MR4_I 01000208 2a001c5b - 935170 ns MR4_I 01000200 07c96841 - 935190 ns IT 01000200 6841 LDR r1,[r0,#4] - 935210 ns MR4_I 01000204 6002d1fc - 935270 ns MR4_D 40006004 00000001 - 935270 ns R r1 00000001 - 935270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 935290 ns R r1 80000000 - 935290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 935310 ns R psr 81000200 - 935310 ns MR4_I 01000208 2a001c5b - 935330 ns MR4_I 01000200 07c96841 - 935350 ns IT 01000200 6841 LDR r1,[r0,#4] - 935370 ns MR4_I 01000204 6002d1fc - 935430 ns MR4_D 40006004 00000001 - 935430 ns R r1 00000001 - 935430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 935450 ns R r1 80000000 - 935450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 935470 ns R psr 81000200 - 935470 ns MR4_I 01000208 2a001c5b - 935490 ns MR4_I 01000200 07c96841 - 935510 ns IT 01000200 6841 LDR r1,[r0,#4] - 935530 ns MR4_I 01000204 6002d1fc - 935590 ns MR4_D 40006004 00000001 - 935590 ns R r1 00000001 - 935590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 935610 ns R r1 80000000 - 935610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 935630 ns R psr 81000200 - 935630 ns MR4_I 01000208 2a001c5b - 935650 ns MR4_I 01000200 07c96841 - 935670 ns IT 01000200 6841 LDR r1,[r0,#4] - 935690 ns MR4_I 01000204 6002d1fc - 935750 ns MR4_D 40006004 00000001 - 935750 ns R r1 00000001 - 935750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 935770 ns R r1 80000000 - 935770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 935790 ns R psr 81000200 - 935790 ns MR4_I 01000208 2a001c5b - 935810 ns MR4_I 01000200 07c96841 - 935830 ns IT 01000200 6841 LDR r1,[r0,#4] - 935850 ns MR4_I 01000204 6002d1fc - 935910 ns MR4_D 40006004 00000001 - 935910 ns R r1 00000001 - 935910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 935930 ns R r1 80000000 - 935930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 935950 ns R psr 81000200 - 935950 ns MR4_I 01000208 2a001c5b - 935970 ns MR4_I 01000200 07c96841 - 935990 ns IT 01000200 6841 LDR r1,[r0,#4] - 936010 ns MR4_I 01000204 6002d1fc - 936070 ns MR4_D 40006004 00000001 - 936070 ns R r1 00000001 - 936070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 936090 ns R r1 80000000 - 936090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 936110 ns R psr 81000200 - 936110 ns MR4_I 01000208 2a001c5b - 936130 ns MR4_I 01000200 07c96841 - 936150 ns IT 01000200 6841 LDR r1,[r0,#4] - 936170 ns MR4_I 01000204 6002d1fc - 936230 ns MR4_D 40006004 00000001 - 936230 ns R r1 00000001 - 936230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 936250 ns R r1 80000000 - 936250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 936270 ns R psr 81000200 - 936270 ns MR4_I 01000208 2a001c5b - 936290 ns MR4_I 01000200 07c96841 - 936310 ns IT 01000200 6841 LDR r1,[r0,#4] - 936330 ns MR4_I 01000204 6002d1fc - 936390 ns MR4_D 40006004 00000001 - 936390 ns R r1 00000001 - 936390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 936410 ns R r1 80000000 - 936410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 936430 ns R psr 81000200 - 936430 ns MR4_I 01000208 2a001c5b - 936450 ns MR4_I 01000200 07c96841 - 936470 ns IT 01000200 6841 LDR r1,[r0,#4] - 936490 ns MR4_I 01000204 6002d1fc - 936550 ns MR4_D 40006004 00000001 - 936550 ns R r1 00000001 - 936550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 936570 ns R r1 80000000 - 936570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 936590 ns R psr 81000200 - 936590 ns MR4_I 01000208 2a001c5b - 936610 ns MR4_I 01000200 07c96841 - 936630 ns IT 01000200 6841 LDR r1,[r0,#4] - 936650 ns MR4_I 01000204 6002d1fc - 936710 ns MR4_D 40006004 00000001 - 936710 ns R r1 00000001 - 936710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 936730 ns R r1 80000000 - 936730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 936750 ns R psr 81000200 - 936750 ns MR4_I 01000208 2a001c5b - 936770 ns MR4_I 01000200 07c96841 - 936790 ns IT 01000200 6841 LDR r1,[r0,#4] - 936810 ns MR4_I 01000204 6002d1fc - 936870 ns MR4_D 40006004 00000001 - 936870 ns R r1 00000001 - 936870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 936890 ns R r1 80000000 - 936890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 936910 ns R psr 81000200 - 936910 ns MR4_I 01000208 2a001c5b - 936930 ns MR4_I 01000200 07c96841 - 936950 ns IT 01000200 6841 LDR r1,[r0,#4] - 936970 ns MR4_I 01000204 6002d1fc - 937030 ns MR4_D 40006004 00000001 - 937030 ns R r1 00000001 - 937030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 937050 ns R r1 80000000 - 937050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 937070 ns R psr 81000200 - 937070 ns MR4_I 01000208 2a001c5b - 937090 ns MR4_I 01000200 07c96841 - 937110 ns IT 01000200 6841 LDR r1,[r0,#4] - 937130 ns MR4_I 01000204 6002d1fc - 937190 ns MR4_D 40006004 00000001 - 937190 ns R r1 00000001 - 937190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 937210 ns R r1 80000000 - 937210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 937230 ns R psr 81000200 - 937230 ns MR4_I 01000208 2a001c5b - 937250 ns MR4_I 01000200 07c96841 - 937270 ns IT 01000200 6841 LDR r1,[r0,#4] - 937290 ns MR4_I 01000204 6002d1fc - 937350 ns MR4_D 40006004 00000001 - 937350 ns R r1 00000001 - 937350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 937370 ns R r1 80000000 - 937370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 937390 ns R psr 81000200 - 937390 ns MR4_I 01000208 2a001c5b - 937410 ns MR4_I 01000200 07c96841 - 937430 ns IT 01000200 6841 LDR r1,[r0,#4] - 937450 ns MR4_I 01000204 6002d1fc - 937510 ns MR4_D 40006004 00000001 - 937510 ns R r1 00000001 - 937510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 937530 ns R r1 80000000 - 937530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 937550 ns R psr 81000200 - 937550 ns MR4_I 01000208 2a001c5b - 937570 ns MR4_I 01000200 07c96841 - 937590 ns IT 01000200 6841 LDR r1,[r0,#4] - 937610 ns MR4_I 01000204 6002d1fc - 937670 ns MR4_D 40006004 00000001 - 937670 ns R r1 00000001 - 937670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 937690 ns R r1 80000000 - 937690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 937710 ns R psr 81000200 - 937710 ns MR4_I 01000208 2a001c5b - 937730 ns MR4_I 01000200 07c96841 - 937750 ns IT 01000200 6841 LDR r1,[r0,#4] - 937770 ns MR4_I 01000204 6002d1fc - 937830 ns MR4_D 40006004 00000001 - 937830 ns R r1 00000001 - 937830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 937850 ns R r1 80000000 - 937850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 937870 ns R psr 81000200 - 937870 ns MR4_I 01000208 2a001c5b - 937890 ns MR4_I 01000200 07c96841 - 937910 ns IT 01000200 6841 LDR r1,[r0,#4] - 937930 ns MR4_I 01000204 6002d1fc - 937990 ns MR4_D 40006004 00000001 - 937990 ns R r1 00000001 - 937990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 938010 ns R r1 80000000 - 938010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 938030 ns R psr 81000200 - 938030 ns MR4_I 01000208 2a001c5b - 938050 ns MR4_I 01000200 07c96841 - 938070 ns IT 01000200 6841 LDR r1,[r0,#4] - 938090 ns MR4_I 01000204 6002d1fc - 938150 ns MR4_D 40006004 00000001 - 938150 ns R r1 00000001 - 938150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 938170 ns R r1 80000000 - 938170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 938190 ns R psr 81000200 - 938190 ns MR4_I 01000208 2a001c5b - 938210 ns MR4_I 01000200 07c96841 - 938230 ns IT 01000200 6841 LDR r1,[r0,#4] - 938250 ns MR4_I 01000204 6002d1fc - 938310 ns MR4_D 40006004 00000001 - 938310 ns R r1 00000001 - 938310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 938330 ns R r1 80000000 - 938330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 938350 ns R psr 81000200 - 938350 ns MR4_I 01000208 2a001c5b - 938370 ns MR4_I 01000200 07c96841 - 938390 ns IT 01000200 6841 LDR r1,[r0,#4] - 938410 ns MR4_I 01000204 6002d1fc - 938470 ns MR4_D 40006004 00000001 - 938470 ns R r1 00000001 - 938470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 938490 ns R r1 80000000 - 938490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 938510 ns R psr 81000200 - 938510 ns MR4_I 01000208 2a001c5b - 938530 ns MR4_I 01000200 07c96841 - 938550 ns IT 01000200 6841 LDR r1,[r0,#4] - 938570 ns MR4_I 01000204 6002d1fc - 938630 ns MR4_D 40006004 00000001 - 938630 ns R r1 00000001 - 938630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 938650 ns R r1 80000000 - 938650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 938670 ns R psr 81000200 - 938670 ns MR4_I 01000208 2a001c5b - 938690 ns MR4_I 01000200 07c96841 - 938710 ns IT 01000200 6841 LDR r1,[r0,#4] - 938730 ns MR4_I 01000204 6002d1fc - 938790 ns MR4_D 40006004 00000001 - 938790 ns R r1 00000001 - 938790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 938810 ns R r1 80000000 - 938810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 938830 ns R psr 81000200 - 938830 ns MR4_I 01000208 2a001c5b - 938850 ns MR4_I 01000200 07c96841 - 938870 ns IT 01000200 6841 LDR r1,[r0,#4] - 938890 ns MR4_I 01000204 6002d1fc - 938950 ns MR4_D 40006004 00000001 - 938950 ns R r1 00000001 - 938950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 938970 ns R r1 80000000 - 938970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 938990 ns R psr 81000200 - 938990 ns MR4_I 01000208 2a001c5b - 939010 ns MR4_I 01000200 07c96841 - 939030 ns IT 01000200 6841 LDR r1,[r0,#4] - 939050 ns MR4_I 01000204 6002d1fc - 939110 ns MR4_D 40006004 00000001 - 939110 ns R r1 00000001 - 939110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 939130 ns R r1 80000000 - 939130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 939150 ns R psr 81000200 - 939150 ns MR4_I 01000208 2a001c5b - 939170 ns MR4_I 01000200 07c96841 - 939190 ns IT 01000200 6841 LDR r1,[r0,#4] - 939210 ns MR4_I 01000204 6002d1fc - 939270 ns MR4_D 40006004 00000001 - 939270 ns R r1 00000001 - 939270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 939290 ns R r1 80000000 - 939290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 939310 ns R psr 81000200 - 939310 ns MR4_I 01000208 2a001c5b - 939330 ns MR4_I 01000200 07c96841 - 939350 ns IT 01000200 6841 LDR r1,[r0,#4] - 939370 ns MR4_I 01000204 6002d1fc - 939430 ns MR4_D 40006004 00000001 - 939430 ns R r1 00000001 - 939430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 939450 ns R r1 80000000 - 939450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 939470 ns R psr 81000200 - 939470 ns MR4_I 01000208 2a001c5b - 939490 ns MR4_I 01000200 07c96841 - 939510 ns IT 01000200 6841 LDR r1,[r0,#4] - 939530 ns MR4_I 01000204 6002d1fc - 939590 ns MR4_D 40006004 00000001 - 939590 ns R r1 00000001 - 939590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 939610 ns R r1 80000000 - 939610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 939630 ns R psr 81000200 - 939630 ns MR4_I 01000208 2a001c5b - 939650 ns MR4_I 01000200 07c96841 - 939670 ns IT 01000200 6841 LDR r1,[r0,#4] - 939690 ns MR4_I 01000204 6002d1fc - 939750 ns MR4_D 40006004 00000001 - 939750 ns R r1 00000001 - 939750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 939770 ns R r1 80000000 - 939770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 939790 ns R psr 81000200 - 939790 ns MR4_I 01000208 2a001c5b - 939810 ns MR4_I 01000200 07c96841 - 939830 ns IT 01000200 6841 LDR r1,[r0,#4] - 939850 ns MR4_I 01000204 6002d1fc - 939910 ns MR4_D 40006004 00000001 - 939910 ns R r1 00000001 - 939910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 939930 ns R r1 80000000 - 939930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 939950 ns R psr 81000200 - 939950 ns MR4_I 01000208 2a001c5b - 939970 ns MR4_I 01000200 07c96841 - 939990 ns IT 01000200 6841 LDR r1,[r0,#4] - 940010 ns MR4_I 01000204 6002d1fc - 940070 ns MR4_D 40006004 00000001 - 940070 ns R r1 00000001 - 940070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 940090 ns R r1 80000000 - 940090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 940110 ns R psr 81000200 - 940110 ns MR4_I 01000208 2a001c5b - 940130 ns MR4_I 01000200 07c96841 - 940150 ns IT 01000200 6841 LDR r1,[r0,#4] - 940170 ns MR4_I 01000204 6002d1fc - 940230 ns MR4_D 40006004 00000001 - 940230 ns R r1 00000001 - 940230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 940250 ns R r1 80000000 - 940250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 940270 ns R psr 81000200 - 940270 ns MR4_I 01000208 2a001c5b - 940290 ns MR4_I 01000200 07c96841 - 940310 ns IT 01000200 6841 LDR r1,[r0,#4] - 940330 ns MR4_I 01000204 6002d1fc - 940390 ns MR4_D 40006004 00000001 - 940390 ns R r1 00000001 - 940390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 940410 ns R r1 80000000 - 940410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 940430 ns R psr 81000200 - 940430 ns MR4_I 01000208 2a001c5b - 940450 ns MR4_I 01000200 07c96841 - 940470 ns IT 01000200 6841 LDR r1,[r0,#4] - 940490 ns MR4_I 01000204 6002d1fc - 940550 ns MR4_D 40006004 00000001 - 940550 ns R r1 00000001 - 940550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 940570 ns R r1 80000000 - 940570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 940590 ns R psr 81000200 - 940590 ns MR4_I 01000208 2a001c5b - 940610 ns MR4_I 01000200 07c96841 - 940630 ns IT 01000200 6841 LDR r1,[r0,#4] - 940650 ns MR4_I 01000204 6002d1fc - 940710 ns MR4_D 40006004 00000001 - 940710 ns R r1 00000001 - 940710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 940730 ns R r1 80000000 - 940730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 940750 ns R psr 81000200 - 940750 ns MR4_I 01000208 2a001c5b - 940770 ns MR4_I 01000200 07c96841 - 940790 ns IT 01000200 6841 LDR r1,[r0,#4] - 940810 ns MR4_I 01000204 6002d1fc - 940870 ns MR4_D 40006004 00000001 - 940870 ns R r1 00000001 - 940870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 940890 ns R r1 80000000 - 940890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 940910 ns R psr 81000200 - 940910 ns MR4_I 01000208 2a001c5b - 940930 ns MR4_I 01000200 07c96841 - 940950 ns IT 01000200 6841 LDR r1,[r0,#4] - 940970 ns MR4_I 01000204 6002d1fc - 941030 ns MR4_D 40006004 00000001 - 941030 ns R r1 00000001 - 941030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 941050 ns R r1 80000000 - 941050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 941070 ns R psr 81000200 - 941070 ns MR4_I 01000208 2a001c5b - 941090 ns MR4_I 01000200 07c96841 - 941110 ns IT 01000200 6841 LDR r1,[r0,#4] - 941130 ns MR4_I 01000204 6002d1fc - 941190 ns MR4_D 40006004 00000001 - 941190 ns R r1 00000001 - 941190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 941210 ns R r1 80000000 - 941210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 941230 ns R psr 81000200 - 941230 ns MR4_I 01000208 2a001c5b - 941250 ns MR4_I 01000200 07c96841 - 941270 ns IT 01000200 6841 LDR r1,[r0,#4] - 941290 ns MR4_I 01000204 6002d1fc - 941350 ns MR4_D 40006004 00000001 - 941350 ns R r1 00000001 - 941350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 941370 ns R r1 80000000 - 941370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 941390 ns R psr 81000200 - 941390 ns MR4_I 01000208 2a001c5b - 941410 ns MR4_I 01000200 07c96841 - 941430 ns IT 01000200 6841 LDR r1,[r0,#4] - 941450 ns MR4_I 01000204 6002d1fc - 941510 ns MR4_D 40006004 00000001 - 941510 ns R r1 00000001 - 941510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 941530 ns R r1 80000000 - 941530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 941550 ns R psr 81000200 - 941550 ns MR4_I 01000208 2a001c5b - 941570 ns MR4_I 01000200 07c96841 - 941590 ns IT 01000200 6841 LDR r1,[r0,#4] - 941610 ns MR4_I 01000204 6002d1fc - 941670 ns MR4_D 40006004 00000001 - 941670 ns R r1 00000001 - 941670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 941690 ns R r1 80000000 - 941690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 941710 ns R psr 81000200 - 941710 ns MR4_I 01000208 2a001c5b - 941730 ns MR4_I 01000200 07c96841 - 941750 ns IT 01000200 6841 LDR r1,[r0,#4] - 941770 ns MR4_I 01000204 6002d1fc - 941830 ns MR4_D 40006004 00000001 - 941830 ns R r1 00000001 - 941830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 941850 ns R r1 80000000 - 941850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 941870 ns R psr 81000200 - 941870 ns MR4_I 01000208 2a001c5b - 941890 ns MR4_I 01000200 07c96841 - 941910 ns IT 01000200 6841 LDR r1,[r0,#4] - 941930 ns MR4_I 01000204 6002d1fc - 941990 ns MR4_D 40006004 00000001 - 941990 ns R r1 00000001 - 941990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 942010 ns R r1 80000000 - 942010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 942030 ns R psr 81000200 - 942030 ns MR4_I 01000208 2a001c5b - 942050 ns MR4_I 01000200 07c96841 - 942070 ns IT 01000200 6841 LDR r1,[r0,#4] - 942090 ns MR4_I 01000204 6002d1fc - 942150 ns MR4_D 40006004 00000001 - 942150 ns R r1 00000001 - 942150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 942170 ns R r1 80000000 - 942170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 942190 ns R psr 81000200 - 942190 ns MR4_I 01000208 2a001c5b - 942210 ns MR4_I 01000200 07c96841 - 942230 ns IT 01000200 6841 LDR r1,[r0,#4] - 942250 ns MR4_I 01000204 6002d1fc - 942310 ns MR4_D 40006004 00000001 - 942310 ns R r1 00000001 - 942310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 942330 ns R r1 80000000 - 942330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 942350 ns R psr 81000200 - 942350 ns MR4_I 01000208 2a001c5b - 942370 ns MR4_I 01000200 07c96841 - 942390 ns IT 01000200 6841 LDR r1,[r0,#4] - 942410 ns MR4_I 01000204 6002d1fc - 942470 ns MR4_D 40006004 00000001 - 942470 ns R r1 00000001 - 942470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 942490 ns R r1 80000000 - 942490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 942510 ns R psr 81000200 - 942510 ns MR4_I 01000208 2a001c5b - 942530 ns MR4_I 01000200 07c96841 - 942550 ns IT 01000200 6841 LDR r1,[r0,#4] - 942570 ns MR4_I 01000204 6002d1fc - 942630 ns MR4_D 40006004 00000001 - 942630 ns R r1 00000001 - 942630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 942650 ns R r1 80000000 - 942650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 942670 ns R psr 81000200 - 942670 ns MR4_I 01000208 2a001c5b - 942690 ns MR4_I 01000200 07c96841 - 942710 ns IT 01000200 6841 LDR r1,[r0,#4] - 942730 ns MR4_I 01000204 6002d1fc - 942790 ns MR4_D 40006004 00000001 - 942790 ns R r1 00000001 - 942790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 942810 ns R r1 80000000 - 942810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 942830 ns R psr 81000200 - 942830 ns MR4_I 01000208 2a001c5b - 942850 ns MR4_I 01000200 07c96841 - 942870 ns IT 01000200 6841 LDR r1,[r0,#4] - 942890 ns MR4_I 01000204 6002d1fc - 942950 ns MR4_D 40006004 00000001 - 942950 ns R r1 00000001 - 942950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 942970 ns R r1 80000000 - 942970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 942990 ns R psr 81000200 - 942990 ns MR4_I 01000208 2a001c5b - 943010 ns MR4_I 01000200 07c96841 - 943030 ns IT 01000200 6841 LDR r1,[r0,#4] - 943050 ns MR4_I 01000204 6002d1fc - 943110 ns MR4_D 40006004 00000001 - 943110 ns R r1 00000001 - 943110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 943130 ns R r1 80000000 - 943130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 943150 ns R psr 81000200 - 943150 ns MR4_I 01000208 2a001c5b - 943170 ns MR4_I 01000200 07c96841 - 943190 ns IT 01000200 6841 LDR r1,[r0,#4] - 943210 ns MR4_I 01000204 6002d1fc - 943270 ns MR4_D 40006004 00000001 - 943270 ns R r1 00000001 - 943270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 943290 ns R r1 80000000 - 943290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 943310 ns R psr 81000200 - 943310 ns MR4_I 01000208 2a001c5b - 943330 ns MR4_I 01000200 07c96841 - 943350 ns IT 01000200 6841 LDR r1,[r0,#4] - 943370 ns MR4_I 01000204 6002d1fc - 943430 ns MR4_D 40006004 00000001 - 943430 ns R r1 00000001 - 943430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 943450 ns R r1 80000000 - 943450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 943470 ns R psr 81000200 - 943470 ns MR4_I 01000208 2a001c5b - 943490 ns MR4_I 01000200 07c96841 - 943510 ns IT 01000200 6841 LDR r1,[r0,#4] - 943530 ns MR4_I 01000204 6002d1fc - 943590 ns MR4_D 40006004 00000001 - 943590 ns R r1 00000001 - 943590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 943610 ns R r1 80000000 - 943610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 943630 ns R psr 81000200 - 943630 ns MR4_I 01000208 2a001c5b - 943650 ns MR4_I 01000200 07c96841 - 943670 ns IT 01000200 6841 LDR r1,[r0,#4] - 943690 ns MR4_I 01000204 6002d1fc - 943750 ns MR4_D 40006004 00000001 - 943750 ns R r1 00000001 - 943750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 943770 ns R r1 80000000 - 943770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 943790 ns R psr 81000200 - 943790 ns MR4_I 01000208 2a001c5b - 943810 ns MR4_I 01000200 07c96841 - 943830 ns IT 01000200 6841 LDR r1,[r0,#4] - 943850 ns MR4_I 01000204 6002d1fc - 943910 ns MR4_D 40006004 00000001 - 943910 ns R r1 00000001 - 943910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 943930 ns R r1 80000000 - 943930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 943950 ns R psr 81000200 - 943950 ns MR4_I 01000208 2a001c5b - 943970 ns MR4_I 01000200 07c96841 - 943990 ns IT 01000200 6841 LDR r1,[r0,#4] - 944010 ns MR4_I 01000204 6002d1fc - 944070 ns MR4_D 40006004 00000001 - 944070 ns R r1 00000001 - 944070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 944090 ns R r1 80000000 - 944090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 944110 ns R psr 81000200 - 944110 ns MR4_I 01000208 2a001c5b - 944130 ns MR4_I 01000200 07c96841 - 944150 ns IT 01000200 6841 LDR r1,[r0,#4] - 944170 ns MR4_I 01000204 6002d1fc - 944230 ns MR4_D 40006004 00000001 - 944230 ns R r1 00000001 - 944230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 944250 ns R r1 80000000 - 944250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 944270 ns R psr 81000200 - 944270 ns MR4_I 01000208 2a001c5b - 944290 ns MR4_I 01000200 07c96841 - 944310 ns IT 01000200 6841 LDR r1,[r0,#4] - 944330 ns MR4_I 01000204 6002d1fc - 944390 ns MR4_D 40006004 00000001 - 944390 ns R r1 00000001 - 944390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 944410 ns R r1 80000000 - 944410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 944430 ns R psr 81000200 - 944430 ns MR4_I 01000208 2a001c5b - 944450 ns MR4_I 01000200 07c96841 - 944470 ns IT 01000200 6841 LDR r1,[r0,#4] - 944490 ns MR4_I 01000204 6002d1fc - 944550 ns MR4_D 40006004 00000001 - 944550 ns R r1 00000001 - 944550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 944570 ns R r1 80000000 - 944570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 944590 ns R psr 81000200 - 944590 ns MR4_I 01000208 2a001c5b - 944610 ns MR4_I 01000200 07c96841 - 944630 ns IT 01000200 6841 LDR r1,[r0,#4] - 944650 ns MR4_I 01000204 6002d1fc - 944710 ns MR4_D 40006004 00000001 - 944710 ns R r1 00000001 - 944710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 944730 ns R r1 80000000 - 944730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 944750 ns R psr 81000200 - 944750 ns MR4_I 01000208 2a001c5b - 944770 ns MR4_I 01000200 07c96841 - 944790 ns IT 01000200 6841 LDR r1,[r0,#4] - 944810 ns MR4_I 01000204 6002d1fc - 944870 ns MR4_D 40006004 00000001 - 944870 ns R r1 00000001 - 944870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 944890 ns R r1 80000000 - 944890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 944910 ns R psr 81000200 - 944910 ns MR4_I 01000208 2a001c5b - 944930 ns MR4_I 01000200 07c96841 - 944950 ns IT 01000200 6841 LDR r1,[r0,#4] - 944970 ns MR4_I 01000204 6002d1fc - 945030 ns MR4_D 40006004 00000001 - 945030 ns R r1 00000001 - 945030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 945050 ns R r1 80000000 - 945050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 945070 ns R psr 81000200 - 945070 ns MR4_I 01000208 2a001c5b - 945090 ns MR4_I 01000200 07c96841 - 945110 ns IT 01000200 6841 LDR r1,[r0,#4] - 945130 ns MR4_I 01000204 6002d1fc - 945190 ns MR4_D 40006004 00000001 - 945190 ns R r1 00000001 - 945190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 945210 ns R r1 80000000 - 945210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 945230 ns R psr 81000200 - 945230 ns MR4_I 01000208 2a001c5b - 945250 ns MR4_I 01000200 07c96841 - 945270 ns IT 01000200 6841 LDR r1,[r0,#4] - 945290 ns MR4_I 01000204 6002d1fc - 945350 ns MR4_D 40006004 00000001 - 945350 ns R r1 00000001 - 945350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 945370 ns R r1 80000000 - 945370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 945390 ns R psr 81000200 - 945390 ns MR4_I 01000208 2a001c5b - 945410 ns MR4_I 01000200 07c96841 - 945430 ns IT 01000200 6841 LDR r1,[r0,#4] - 945450 ns MR4_I 01000204 6002d1fc - 945510 ns MR4_D 40006004 00000001 - 945510 ns R r1 00000001 - 945510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 945530 ns R r1 80000000 - 945530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 945550 ns R psr 81000200 - 945550 ns MR4_I 01000208 2a001c5b - 945570 ns MR4_I 01000200 07c96841 - 945590 ns IT 01000200 6841 LDR r1,[r0,#4] - 945610 ns MR4_I 01000204 6002d1fc - 945670 ns MR4_D 40006004 00000001 - 945670 ns R r1 00000001 - 945670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 945690 ns R r1 80000000 - 945690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 945710 ns R psr 81000200 - 945710 ns MR4_I 01000208 2a001c5b - 945730 ns MR4_I 01000200 07c96841 - 945750 ns IT 01000200 6841 LDR r1,[r0,#4] - 945770 ns MR4_I 01000204 6002d1fc - 945830 ns MR4_D 40006004 00000001 - 945830 ns R r1 00000001 - 945830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 945850 ns R r1 80000000 - 945850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 945870 ns R psr 81000200 - 945870 ns MR4_I 01000208 2a001c5b - 945890 ns MR4_I 01000200 07c96841 - 945910 ns IT 01000200 6841 LDR r1,[r0,#4] - 945930 ns MR4_I 01000204 6002d1fc - 945990 ns MR4_D 40006004 00000001 - 945990 ns R r1 00000001 - 945990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 946010 ns R r1 80000000 - 946010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 946030 ns R psr 81000200 - 946030 ns MR4_I 01000208 2a001c5b - 946050 ns MR4_I 01000200 07c96841 - 946070 ns IT 01000200 6841 LDR r1,[r0,#4] - 946090 ns MR4_I 01000204 6002d1fc - 946150 ns MR4_D 40006004 00000001 - 946150 ns R r1 00000001 - 946150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 946170 ns R r1 80000000 - 946170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 946190 ns R psr 81000200 - 946190 ns MR4_I 01000208 2a001c5b - 946210 ns MR4_I 01000200 07c96841 - 946230 ns IT 01000200 6841 LDR r1,[r0,#4] - 946250 ns MR4_I 01000204 6002d1fc - 946310 ns MR4_D 40006004 00000001 - 946310 ns R r1 00000001 - 946310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 946330 ns R r1 80000000 - 946330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 946350 ns R psr 81000200 - 946350 ns MR4_I 01000208 2a001c5b - 946370 ns MR4_I 01000200 07c96841 - 946390 ns IT 01000200 6841 LDR r1,[r0,#4] - 946410 ns MR4_I 01000204 6002d1fc - 946470 ns MR4_D 40006004 00000001 - 946470 ns R r1 00000001 - 946470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 946490 ns R r1 80000000 - 946490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 946510 ns R psr 81000200 - 946510 ns MR4_I 01000208 2a001c5b - 946530 ns MR4_I 01000200 07c96841 - 946550 ns IT 01000200 6841 LDR r1,[r0,#4] - 946570 ns MR4_I 01000204 6002d1fc - 946630 ns MR4_D 40006004 00000001 - 946630 ns R r1 00000001 - 946630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 946650 ns R r1 80000000 - 946650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 946670 ns R psr 81000200 - 946670 ns MR4_I 01000208 2a001c5b - 946690 ns MR4_I 01000200 07c96841 - 946710 ns IT 01000200 6841 LDR r1,[r0,#4] - 946730 ns MR4_I 01000204 6002d1fc - 946790 ns MR4_D 40006004 00000001 - 946790 ns R r1 00000001 - 946790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 946810 ns R r1 80000000 - 946810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 946830 ns R psr 81000200 - 946830 ns MR4_I 01000208 2a001c5b - 946850 ns MR4_I 01000200 07c96841 - 946870 ns IT 01000200 6841 LDR r1,[r0,#4] - 946890 ns MR4_I 01000204 6002d1fc - 946950 ns MR4_D 40006004 00000001 - 946950 ns R r1 00000001 - 946950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 946970 ns R r1 80000000 - 946970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 946990 ns R psr 81000200 - 946990 ns MR4_I 01000208 2a001c5b - 947010 ns MR4_I 01000200 07c96841 - 947030 ns IT 01000200 6841 LDR r1,[r0,#4] - 947050 ns MR4_I 01000204 6002d1fc - 947110 ns MR4_D 40006004 00000001 - 947110 ns R r1 00000001 - 947110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 947130 ns R r1 80000000 - 947130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 947150 ns R psr 81000200 - 947150 ns MR4_I 01000208 2a001c5b - 947170 ns MR4_I 01000200 07c96841 - 947190 ns IT 01000200 6841 LDR r1,[r0,#4] - 947210 ns MR4_I 01000204 6002d1fc - 947270 ns MR4_D 40006004 00000001 - 947270 ns R r1 00000001 - 947270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 947290 ns R r1 80000000 - 947290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 947310 ns R psr 81000200 - 947310 ns MR4_I 01000208 2a001c5b - 947330 ns MR4_I 01000200 07c96841 - 947350 ns IT 01000200 6841 LDR r1,[r0,#4] - 947370 ns MR4_I 01000204 6002d1fc - 947430 ns MR4_D 40006004 00000001 - 947430 ns R r1 00000001 - 947430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 947450 ns R r1 80000000 - 947450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 947470 ns R psr 81000200 - 947470 ns MR4_I 01000208 2a001c5b - 947490 ns MR4_I 01000200 07c96841 - 947510 ns IT 01000200 6841 LDR r1,[r0,#4] - 947530 ns MR4_I 01000204 6002d1fc - 947590 ns MR4_D 40006004 00000001 - 947590 ns R r1 00000001 - 947590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 947610 ns R r1 80000000 - 947610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 947630 ns R psr 81000200 - 947630 ns MR4_I 01000208 2a001c5b - 947650 ns MR4_I 01000200 07c96841 - 947670 ns IT 01000200 6841 LDR r1,[r0,#4] - 947690 ns MR4_I 01000204 6002d1fc - 947750 ns MR4_D 40006004 00000001 - 947750 ns R r1 00000001 - 947750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 947770 ns R r1 80000000 - 947770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 947790 ns R psr 81000200 - 947790 ns MR4_I 01000208 2a001c5b - 947810 ns MR4_I 01000200 07c96841 - 947830 ns IT 01000200 6841 LDR r1,[r0,#4] - 947850 ns MR4_I 01000204 6002d1fc - 947910 ns MR4_D 40006004 00000001 - 947910 ns R r1 00000001 - 947910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 947930 ns R r1 80000000 - 947930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 947950 ns R psr 81000200 - 947950 ns MR4_I 01000208 2a001c5b - 947970 ns MR4_I 01000200 07c96841 - 947990 ns IT 01000200 6841 LDR r1,[r0,#4] - 948010 ns MR4_I 01000204 6002d1fc - 948070 ns MR4_D 40006004 00000001 - 948070 ns R r1 00000001 - 948070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 948090 ns R r1 80000000 - 948090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 948110 ns R psr 81000200 - 948110 ns MR4_I 01000208 2a001c5b - 948130 ns MR4_I 01000200 07c96841 - 948150 ns IT 01000200 6841 LDR r1,[r0,#4] - 948170 ns MR4_I 01000204 6002d1fc - 948230 ns MR4_D 40006004 00000001 - 948230 ns R r1 00000001 - 948230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 948250 ns R r1 80000000 - 948250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 948270 ns R psr 81000200 - 948270 ns MR4_I 01000208 2a001c5b - 948290 ns MR4_I 01000200 07c96841 - 948310 ns IT 01000200 6841 LDR r1,[r0,#4] - 948330 ns MR4_I 01000204 6002d1fc - 948390 ns MR4_D 40006004 00000001 - 948390 ns R r1 00000001 - 948390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 948410 ns R r1 80000000 - 948410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 948430 ns R psr 81000200 - 948430 ns MR4_I 01000208 2a001c5b - 948450 ns MR4_I 01000200 07c96841 - 948470 ns IT 01000200 6841 LDR r1,[r0,#4] - 948490 ns MR4_I 01000204 6002d1fc - 948550 ns MR4_D 40006004 00000001 - 948550 ns R r1 00000001 - 948550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 948570 ns R r1 80000000 - 948570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 948590 ns R psr 81000200 - 948590 ns MR4_I 01000208 2a001c5b - 948610 ns MR4_I 01000200 07c96841 - 948630 ns IT 01000200 6841 LDR r1,[r0,#4] - 948650 ns MR4_I 01000204 6002d1fc - 948710 ns MR4_D 40006004 00000001 - 948710 ns R r1 00000001 - 948710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 948730 ns R r1 80000000 - 948730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 948750 ns R psr 81000200 - 948750 ns MR4_I 01000208 2a001c5b - 948770 ns MR4_I 01000200 07c96841 - 948790 ns IT 01000200 6841 LDR r1,[r0,#4] - 948810 ns MR4_I 01000204 6002d1fc - 948870 ns MR4_D 40006004 00000001 - 948870 ns R r1 00000001 - 948870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 948890 ns R r1 80000000 - 948890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 948910 ns R psr 81000200 - 948910 ns MR4_I 01000208 2a001c5b - 948930 ns MR4_I 01000200 07c96841 - 948950 ns IT 01000200 6841 LDR r1,[r0,#4] - 948970 ns MR4_I 01000204 6002d1fc - 949030 ns MR4_D 40006004 00000001 - 949030 ns R r1 00000001 - 949030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 949050 ns R r1 80000000 - 949050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 949070 ns R psr 81000200 - 949070 ns MR4_I 01000208 2a001c5b - 949090 ns MR4_I 01000200 07c96841 - 949110 ns IT 01000200 6841 LDR r1,[r0,#4] - 949130 ns MR4_I 01000204 6002d1fc - 949190 ns MR4_D 40006004 00000001 - 949190 ns R r1 00000001 - 949190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 949210 ns R r1 80000000 - 949210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 949230 ns R psr 81000200 - 949230 ns MR4_I 01000208 2a001c5b - 949250 ns MR4_I 01000200 07c96841 - 949270 ns IT 01000200 6841 LDR r1,[r0,#4] - 949290 ns MR4_I 01000204 6002d1fc - 949350 ns MR4_D 40006004 00000001 - 949350 ns R r1 00000001 - 949350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 949370 ns R r1 80000000 - 949370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 949390 ns R psr 81000200 - 949390 ns MR4_I 01000208 2a001c5b - 949410 ns MR4_I 01000200 07c96841 - 949430 ns IT 01000200 6841 LDR r1,[r0,#4] - 949450 ns MR4_I 01000204 6002d1fc - 949510 ns MR4_D 40006004 00000001 - 949510 ns R r1 00000001 - 949510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 949530 ns R r1 80000000 - 949530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 949550 ns R psr 81000200 - 949550 ns MR4_I 01000208 2a001c5b - 949570 ns MR4_I 01000200 07c96841 - 949590 ns IT 01000200 6841 LDR r1,[r0,#4] - 949610 ns MR4_I 01000204 6002d1fc - 949670 ns MR4_D 40006004 00000001 - 949670 ns R r1 00000001 - 949670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 949690 ns R r1 80000000 - 949690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 949710 ns R psr 81000200 - 949710 ns MR4_I 01000208 2a001c5b - 949730 ns MR4_I 01000200 07c96841 - 949750 ns IT 01000200 6841 LDR r1,[r0,#4] - 949770 ns MR4_I 01000204 6002d1fc - 949830 ns MR4_D 40006004 00000001 - 949830 ns R r1 00000001 - 949830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 949850 ns R r1 80000000 - 949850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 949870 ns R psr 81000200 - 949870 ns MR4_I 01000208 2a001c5b - 949890 ns MR4_I 01000200 07c96841 - 949910 ns IT 01000200 6841 LDR r1,[r0,#4] - 949930 ns MR4_I 01000204 6002d1fc - 949990 ns MR4_D 40006004 00000001 - 949990 ns R r1 00000001 - 949990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 950010 ns R r1 80000000 - 950010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 950030 ns R psr 81000200 - 950030 ns MR4_I 01000208 2a001c5b - 950050 ns MR4_I 01000200 07c96841 - 950070 ns IT 01000200 6841 LDR r1,[r0,#4] - 950090 ns MR4_I 01000204 6002d1fc - 950150 ns MR4_D 40006004 00000001 - 950150 ns R r1 00000001 - 950150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 950170 ns R r1 80000000 - 950170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 950190 ns R psr 81000200 - 950190 ns MR4_I 01000208 2a001c5b - 950210 ns MR4_I 01000200 07c96841 - 950230 ns IT 01000200 6841 LDR r1,[r0,#4] - 950250 ns MR4_I 01000204 6002d1fc - 950310 ns MR4_D 40006004 00000001 - 950310 ns R r1 00000001 - 950310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 950330 ns R r1 80000000 - 950330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 950350 ns R psr 81000200 - 950350 ns MR4_I 01000208 2a001c5b - 950370 ns MR4_I 01000200 07c96841 - 950390 ns IT 01000200 6841 LDR r1,[r0,#4] - 950410 ns MR4_I 01000204 6002d1fc - 950470 ns MR4_D 40006004 00000001 - 950470 ns R r1 00000001 - 950470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 950490 ns R r1 80000000 - 950490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 950510 ns R psr 81000200 - 950510 ns MR4_I 01000208 2a001c5b - 950530 ns MR4_I 01000200 07c96841 - 950550 ns IT 01000200 6841 LDR r1,[r0,#4] - 950570 ns MR4_I 01000204 6002d1fc - 950630 ns MR4_D 40006004 00000001 - 950630 ns R r1 00000001 - 950630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 950650 ns R r1 80000000 - 950650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 950670 ns R psr 81000200 - 950670 ns MR4_I 01000208 2a001c5b - 950690 ns MR4_I 01000200 07c96841 - 950710 ns IT 01000200 6841 LDR r1,[r0,#4] - 950730 ns MR4_I 01000204 6002d1fc - 950790 ns MR4_D 40006004 00000001 - 950790 ns R r1 00000001 - 950790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 950810 ns R r1 80000000 - 950810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 950830 ns R psr 81000200 - 950830 ns MR4_I 01000208 2a001c5b - 950850 ns MR4_I 01000200 07c96841 - 950870 ns IT 01000200 6841 LDR r1,[r0,#4] - 950890 ns MR4_I 01000204 6002d1fc - 950950 ns MR4_D 40006004 00000001 - 950950 ns R r1 00000001 - 950950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 950970 ns R r1 80000000 - 950970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 950990 ns R psr 81000200 - 950990 ns MR4_I 01000208 2a001c5b - 951010 ns MR4_I 01000200 07c96841 - 951030 ns IT 01000200 6841 LDR r1,[r0,#4] - 951050 ns MR4_I 01000204 6002d1fc - 951110 ns MR4_D 40006004 00000001 - 951110 ns R r1 00000001 - 951110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 951130 ns R r1 80000000 - 951130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 951150 ns R psr 81000200 - 951150 ns MR4_I 01000208 2a001c5b - 951170 ns MR4_I 01000200 07c96841 - 951190 ns IT 01000200 6841 LDR r1,[r0,#4] - 951210 ns MR4_I 01000204 6002d1fc - 951270 ns MR4_D 40006004 00000001 - 951270 ns R r1 00000001 - 951270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 951290 ns R r1 80000000 - 951290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 951310 ns R psr 81000200 - 951310 ns MR4_I 01000208 2a001c5b - 951330 ns MR4_I 01000200 07c96841 - 951350 ns IT 01000200 6841 LDR r1,[r0,#4] - 951370 ns MR4_I 01000204 6002d1fc - 951430 ns MR4_D 40006004 00000001 - 951430 ns R r1 00000001 - 951430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 951450 ns R r1 80000000 - 951450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 951470 ns R psr 81000200 - 951470 ns MR4_I 01000208 2a001c5b - 951490 ns MR4_I 01000200 07c96841 - 951510 ns IT 01000200 6841 LDR r1,[r0,#4] - 951530 ns MR4_I 01000204 6002d1fc - 951590 ns MR4_D 40006004 00000001 - 951590 ns R r1 00000001 - 951590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 951610 ns R r1 80000000 - 951610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 951630 ns R psr 81000200 - 951630 ns MR4_I 01000208 2a001c5b - 951650 ns MR4_I 01000200 07c96841 - 951670 ns IT 01000200 6841 LDR r1,[r0,#4] - 951690 ns MR4_I 01000204 6002d1fc - 951750 ns MR4_D 40006004 00000001 - 951750 ns R r1 00000001 - 951750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 951770 ns R r1 80000000 - 951770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 951790 ns R psr 81000200 - 951790 ns MR4_I 01000208 2a001c5b - 951810 ns MR4_I 01000200 07c96841 - 951830 ns IT 01000200 6841 LDR r1,[r0,#4] - 951850 ns MR4_I 01000204 6002d1fc - 951910 ns MR4_D 40006004 00000001 - 951910 ns R r1 00000001 - 951910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 951930 ns R r1 80000000 - 951930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 951950 ns R psr 81000200 - 951950 ns MR4_I 01000208 2a001c5b - 951970 ns MR4_I 01000200 07c96841 - 951990 ns IT 01000200 6841 LDR r1,[r0,#4] - 952010 ns MR4_I 01000204 6002d1fc - 952070 ns MR4_D 40006004 00000001 - 952070 ns R r1 00000001 - 952070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 952090 ns R r1 80000000 - 952090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 952110 ns R psr 81000200 - 952110 ns MR4_I 01000208 2a001c5b - 952130 ns MR4_I 01000200 07c96841 - 952150 ns IT 01000200 6841 LDR r1,[r0,#4] - 952170 ns MR4_I 01000204 6002d1fc - 952230 ns MR4_D 40006004 00000001 - 952230 ns R r1 00000001 - 952230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 952250 ns R r1 80000000 - 952250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 952270 ns R psr 81000200 - 952270 ns MR4_I 01000208 2a001c5b - 952290 ns MR4_I 01000200 07c96841 - 952310 ns IT 01000200 6841 LDR r1,[r0,#4] - 952330 ns MR4_I 01000204 6002d1fc - 952390 ns MR4_D 40006004 00000001 - 952390 ns R r1 00000001 - 952390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 952410 ns R r1 80000000 - 952410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 952430 ns R psr 81000200 - 952430 ns MR4_I 01000208 2a001c5b - 952450 ns MR4_I 01000200 07c96841 - 952470 ns IT 01000200 6841 LDR r1,[r0,#4] - 952490 ns MR4_I 01000204 6002d1fc - 952550 ns MR4_D 40006004 00000001 - 952550 ns R r1 00000001 - 952550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 952570 ns R r1 80000000 - 952570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 952590 ns R psr 81000200 - 952590 ns MR4_I 01000208 2a001c5b - 952610 ns MR4_I 01000200 07c96841 - 952630 ns IT 01000200 6841 LDR r1,[r0,#4] - 952650 ns MR4_I 01000204 6002d1fc - 952710 ns MR4_D 40006004 00000001 - 952710 ns R r1 00000001 - 952710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 952730 ns R r1 80000000 - 952730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 952750 ns R psr 81000200 - 952750 ns MR4_I 01000208 2a001c5b - 952770 ns MR4_I 01000200 07c96841 - 952790 ns IT 01000200 6841 LDR r1,[r0,#4] - 952810 ns MR4_I 01000204 6002d1fc - 952870 ns MR4_D 40006004 00000001 - 952870 ns R r1 00000001 - 952870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 952890 ns R r1 80000000 - 952890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 952910 ns R psr 81000200 - 952910 ns MR4_I 01000208 2a001c5b - 952930 ns MR4_I 01000200 07c96841 - 952950 ns IT 01000200 6841 LDR r1,[r0,#4] - 952970 ns MR4_I 01000204 6002d1fc - 953030 ns MR4_D 40006004 00000001 - 953030 ns R r1 00000001 - 953030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 953050 ns R r1 80000000 - 953050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 953070 ns R psr 81000200 - 953070 ns MR4_I 01000208 2a001c5b - 953090 ns MR4_I 01000200 07c96841 - 953110 ns IT 01000200 6841 LDR r1,[r0,#4] - 953130 ns MR4_I 01000204 6002d1fc - 953190 ns MR4_D 40006004 00000001 - 953190 ns R r1 00000001 - 953190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 953210 ns R r1 80000000 - 953210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 953230 ns R psr 81000200 - 953230 ns MR4_I 01000208 2a001c5b - 953250 ns MR4_I 01000200 07c96841 - 953270 ns IT 01000200 6841 LDR r1,[r0,#4] - 953290 ns MR4_I 01000204 6002d1fc - 953350 ns MR4_D 40006004 00000001 - 953350 ns R r1 00000001 - 953350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 953370 ns R r1 80000000 - 953370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 953390 ns R psr 81000200 - 953390 ns MR4_I 01000208 2a001c5b - 953410 ns MR4_I 01000200 07c96841 - 953430 ns IT 01000200 6841 LDR r1,[r0,#4] - 953450 ns MR4_I 01000204 6002d1fc - 953510 ns MR4_D 40006004 00000001 - 953510 ns R r1 00000001 - 953510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 953530 ns R r1 80000000 - 953530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 953550 ns R psr 81000200 - 953550 ns MR4_I 01000208 2a001c5b - 953570 ns MR4_I 01000200 07c96841 - 953590 ns IT 01000200 6841 LDR r1,[r0,#4] - 953610 ns MR4_I 01000204 6002d1fc - 953670 ns MR4_D 40006004 00000001 - 953670 ns R r1 00000001 - 953670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 953690 ns R r1 80000000 - 953690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 953710 ns R psr 81000200 - 953710 ns MR4_I 01000208 2a001c5b - 953730 ns MR4_I 01000200 07c96841 - 953750 ns IT 01000200 6841 LDR r1,[r0,#4] - 953770 ns MR4_I 01000204 6002d1fc - 953830 ns MR4_D 40006004 00000001 - 953830 ns R r1 00000001 - 953830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 953850 ns R r1 80000000 - 953850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 953870 ns R psr 81000200 - 953870 ns MR4_I 01000208 2a001c5b - 953890 ns MR4_I 01000200 07c96841 - 953910 ns IT 01000200 6841 LDR r1,[r0,#4] - 953930 ns MR4_I 01000204 6002d1fc - 953990 ns MR4_D 40006004 00000001 - 953990 ns R r1 00000001 - 953990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 954010 ns R r1 80000000 - 954010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 954030 ns R psr 81000200 - 954030 ns MR4_I 01000208 2a001c5b - 954050 ns MR4_I 01000200 07c96841 - 954070 ns IT 01000200 6841 LDR r1,[r0,#4] - 954090 ns MR4_I 01000204 6002d1fc - 954150 ns MR4_D 40006004 00000001 - 954150 ns R r1 00000001 - 954150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 954170 ns R r1 80000000 - 954170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 954190 ns R psr 81000200 - 954190 ns MR4_I 01000208 2a001c5b - 954210 ns MR4_I 01000200 07c96841 - 954230 ns IT 01000200 6841 LDR r1,[r0,#4] - 954250 ns MR4_I 01000204 6002d1fc - 954310 ns MR4_D 40006004 00000001 - 954310 ns R r1 00000001 - 954310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 954330 ns R r1 80000000 - 954330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 954350 ns R psr 81000200 - 954350 ns MR4_I 01000208 2a001c5b - 954370 ns MR4_I 01000200 07c96841 - 954390 ns IT 01000200 6841 LDR r1,[r0,#4] - 954410 ns MR4_I 01000204 6002d1fc - 954470 ns MR4_D 40006004 00000001 - 954470 ns R r1 00000001 - 954470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 954490 ns R r1 80000000 - 954490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 954510 ns R psr 81000200 - 954510 ns MR4_I 01000208 2a001c5b - 954530 ns MR4_I 01000200 07c96841 - 954550 ns IT 01000200 6841 LDR r1,[r0,#4] - 954570 ns MR4_I 01000204 6002d1fc - 954630 ns MR4_D 40006004 00000001 - 954630 ns R r1 00000001 - 954630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 954650 ns R r1 80000000 - 954650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 954670 ns R psr 81000200 - 954670 ns MR4_I 01000208 2a001c5b - 954690 ns MR4_I 01000200 07c96841 - 954710 ns IT 01000200 6841 LDR r1,[r0,#4] - 954730 ns MR4_I 01000204 6002d1fc - 954790 ns MR4_D 40006004 00000001 - 954790 ns R r1 00000001 - 954790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 954810 ns R r1 80000000 - 954810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 954830 ns R psr 81000200 - 954830 ns MR4_I 01000208 2a001c5b - 954850 ns MR4_I 01000200 07c96841 - 954870 ns IT 01000200 6841 LDR r1,[r0,#4] - 954890 ns MR4_I 01000204 6002d1fc - 954950 ns MR4_D 40006004 00000001 - 954950 ns R r1 00000001 - 954950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 954970 ns R r1 80000000 - 954970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 954990 ns R psr 81000200 - 954990 ns MR4_I 01000208 2a001c5b - 955010 ns MR4_I 01000200 07c96841 - 955030 ns IT 01000200 6841 LDR r1,[r0,#4] - 955050 ns MR4_I 01000204 6002d1fc - 955110 ns MR4_D 40006004 00000001 - 955110 ns R r1 00000001 - 955110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 955130 ns R r1 80000000 - 955130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 955150 ns R psr 81000200 - 955150 ns MR4_I 01000208 2a001c5b - 955170 ns MR4_I 01000200 07c96841 - 955190 ns IT 01000200 6841 LDR r1,[r0,#4] - 955210 ns MR4_I 01000204 6002d1fc - 955270 ns MR4_D 40006004 00000001 - 955270 ns R r1 00000001 - 955270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 955290 ns R r1 80000000 - 955290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 955310 ns R psr 81000200 - 955310 ns MR4_I 01000208 2a001c5b - 955330 ns MR4_I 01000200 07c96841 - 955350 ns IT 01000200 6841 LDR r1,[r0,#4] - 955370 ns MR4_I 01000204 6002d1fc - 955430 ns MR4_D 40006004 00000001 - 955430 ns R r1 00000001 - 955430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 955450 ns R r1 80000000 - 955450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 955470 ns R psr 81000200 - 955470 ns MR4_I 01000208 2a001c5b - 955490 ns MR4_I 01000200 07c96841 - 955510 ns IT 01000200 6841 LDR r1,[r0,#4] - 955530 ns MR4_I 01000204 6002d1fc - 955590 ns MR4_D 40006004 00000001 - 955590 ns R r1 00000001 - 955590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 955610 ns R r1 80000000 - 955610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 955630 ns R psr 81000200 - 955630 ns MR4_I 01000208 2a001c5b - 955650 ns MR4_I 01000200 07c96841 - 955670 ns IT 01000200 6841 LDR r1,[r0,#4] - 955690 ns MR4_I 01000204 6002d1fc - 955750 ns MR4_D 40006004 00000001 - 955750 ns R r1 00000001 - 955750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 955770 ns R r1 80000000 - 955770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 955790 ns R psr 81000200 - 955790 ns MR4_I 01000208 2a001c5b - 955810 ns MR4_I 01000200 07c96841 - 955830 ns IT 01000200 6841 LDR r1,[r0,#4] - 955850 ns MR4_I 01000204 6002d1fc - 955910 ns MR4_D 40006004 00000001 - 955910 ns R r1 00000001 - 955910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 955930 ns R r1 80000000 - 955930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 955950 ns R psr 81000200 - 955950 ns MR4_I 01000208 2a001c5b - 955970 ns MR4_I 01000200 07c96841 - 955990 ns IT 01000200 6841 LDR r1,[r0,#4] - 956010 ns MR4_I 01000204 6002d1fc - 956070 ns MR4_D 40006004 00000001 - 956070 ns R r1 00000001 - 956070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 956090 ns R r1 80000000 - 956090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 956110 ns R psr 81000200 - 956110 ns MR4_I 01000208 2a001c5b - 956130 ns MR4_I 01000200 07c96841 - 956150 ns IT 01000200 6841 LDR r1,[r0,#4] - 956170 ns MR4_I 01000204 6002d1fc - 956230 ns MR4_D 40006004 00000001 - 956230 ns R r1 00000001 - 956230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 956250 ns R r1 80000000 - 956250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 956270 ns R psr 81000200 - 956270 ns MR4_I 01000208 2a001c5b - 956290 ns MR4_I 01000200 07c96841 - 956310 ns IT 01000200 6841 LDR r1,[r0,#4] - 956330 ns MR4_I 01000204 6002d1fc - 956390 ns MR4_D 40006004 00000001 - 956390 ns R r1 00000001 - 956390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 956410 ns R r1 80000000 - 956410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 956430 ns R psr 81000200 - 956430 ns MR4_I 01000208 2a001c5b - 956450 ns MR4_I 01000200 07c96841 - 956470 ns IT 01000200 6841 LDR r1,[r0,#4] - 956490 ns MR4_I 01000204 6002d1fc - 956550 ns MR4_D 40006004 00000001 - 956550 ns R r1 00000001 - 956550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 956570 ns R r1 80000000 - 956570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 956590 ns R psr 81000200 - 956590 ns MR4_I 01000208 2a001c5b - 956610 ns MR4_I 01000200 07c96841 - 956630 ns IT 01000200 6841 LDR r1,[r0,#4] - 956650 ns MR4_I 01000204 6002d1fc - 956710 ns MR4_D 40006004 00000001 - 956710 ns R r1 00000001 - 956710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 956730 ns R r1 80000000 - 956730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 956750 ns R psr 81000200 - 956750 ns MR4_I 01000208 2a001c5b - 956770 ns MR4_I 01000200 07c96841 - 956790 ns IT 01000200 6841 LDR r1,[r0,#4] - 956810 ns MR4_I 01000204 6002d1fc - 956870 ns MR4_D 40006004 00000001 - 956870 ns R r1 00000001 - 956870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 956890 ns R r1 80000000 - 956890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 956910 ns R psr 81000200 - 956910 ns MR4_I 01000208 2a001c5b - 956930 ns MR4_I 01000200 07c96841 - 956950 ns IT 01000200 6841 LDR r1,[r0,#4] - 956970 ns MR4_I 01000204 6002d1fc - 957030 ns MR4_D 40006004 00000001 - 957030 ns R r1 00000001 - 957030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 957050 ns R r1 80000000 - 957050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 957070 ns R psr 81000200 - 957070 ns MR4_I 01000208 2a001c5b - 957090 ns MR4_I 01000200 07c96841 - 957110 ns IT 01000200 6841 LDR r1,[r0,#4] - 957130 ns MR4_I 01000204 6002d1fc - 957190 ns MR4_D 40006004 00000001 - 957190 ns R r1 00000001 - 957190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 957210 ns R r1 80000000 - 957210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 957230 ns R psr 81000200 - 957230 ns MR4_I 01000208 2a001c5b - 957250 ns MR4_I 01000200 07c96841 - 957270 ns IT 01000200 6841 LDR r1,[r0,#4] - 957290 ns MR4_I 01000204 6002d1fc - 957350 ns MR4_D 40006004 00000001 - 957350 ns R r1 00000001 - 957350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 957370 ns R r1 80000000 - 957370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 957390 ns R psr 81000200 - 957390 ns MR4_I 01000208 2a001c5b - 957410 ns MR4_I 01000200 07c96841 - 957430 ns IT 01000200 6841 LDR r1,[r0,#4] - 957450 ns MR4_I 01000204 6002d1fc - 957510 ns MR4_D 40006004 00000001 - 957510 ns R r1 00000001 - 957510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 957530 ns R r1 80000000 - 957530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 957550 ns R psr 81000200 - 957550 ns MR4_I 01000208 2a001c5b - 957570 ns MR4_I 01000200 07c96841 - 957590 ns IT 01000200 6841 LDR r1,[r0,#4] - 957610 ns MR4_I 01000204 6002d1fc - 957670 ns MR4_D 40006004 00000001 - 957670 ns R r1 00000001 - 957670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 957690 ns R r1 80000000 - 957690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 957710 ns R psr 81000200 - 957710 ns MR4_I 01000208 2a001c5b - 957730 ns MR4_I 01000200 07c96841 - 957750 ns IT 01000200 6841 LDR r1,[r0,#4] - 957770 ns MR4_I 01000204 6002d1fc - 957830 ns MR4_D 40006004 00000001 - 957830 ns R r1 00000001 - 957830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 957850 ns R r1 80000000 - 957850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 957870 ns R psr 81000200 - 957870 ns MR4_I 01000208 2a001c5b - 957890 ns MR4_I 01000200 07c96841 - 957910 ns IT 01000200 6841 LDR r1,[r0,#4] - 957930 ns MR4_I 01000204 6002d1fc - 957990 ns MR4_D 40006004 00000001 - 957990 ns R r1 00000001 - 957990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 958010 ns R r1 80000000 - 958010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 958030 ns R psr 81000200 - 958030 ns MR4_I 01000208 2a001c5b - 958050 ns MR4_I 01000200 07c96841 - 958070 ns IT 01000200 6841 LDR r1,[r0,#4] - 958090 ns MR4_I 01000204 6002d1fc - 958150 ns MR4_D 40006004 00000001 - 958150 ns R r1 00000001 - 958150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 958170 ns R r1 80000000 - 958170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 958190 ns R psr 81000200 - 958190 ns MR4_I 01000208 2a001c5b - 958210 ns MR4_I 01000200 07c96841 - 958230 ns IT 01000200 6841 LDR r1,[r0,#4] - 958250 ns MR4_I 01000204 6002d1fc - 958310 ns MR4_D 40006004 00000001 - 958310 ns R r1 00000001 - 958310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 958330 ns R r1 80000000 - 958330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 958350 ns R psr 81000200 - 958350 ns MR4_I 01000208 2a001c5b - 958370 ns MR4_I 01000200 07c96841 - 958390 ns IT 01000200 6841 LDR r1,[r0,#4] - 958410 ns MR4_I 01000204 6002d1fc - 958470 ns MR4_D 40006004 00000001 - 958470 ns R r1 00000001 - 958470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 958490 ns R r1 80000000 - 958490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 958510 ns R psr 81000200 - 958510 ns MR4_I 01000208 2a001c5b - 958530 ns MR4_I 01000200 07c96841 - 958550 ns IT 01000200 6841 LDR r1,[r0,#4] - 958570 ns MR4_I 01000204 6002d1fc - 958630 ns MR4_D 40006004 00000001 - 958630 ns R r1 00000001 - 958630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 958650 ns R r1 80000000 - 958650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 958670 ns R psr 81000200 - 958670 ns MR4_I 01000208 2a001c5b - 958690 ns MR4_I 01000200 07c96841 - 958710 ns IT 01000200 6841 LDR r1,[r0,#4] - 958730 ns MR4_I 01000204 6002d1fc - 958790 ns MR4_D 40006004 00000001 - 958790 ns R r1 00000001 - 958790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 958810 ns R r1 80000000 - 958810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 958830 ns R psr 81000200 - 958830 ns MR4_I 01000208 2a001c5b - 958850 ns MR4_I 01000200 07c96841 - 958870 ns IT 01000200 6841 LDR r1,[r0,#4] - 958890 ns MR4_I 01000204 6002d1fc - 958950 ns MR4_D 40006004 00000001 - 958950 ns R r1 00000001 - 958950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 958970 ns R r1 80000000 - 958970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 958990 ns R psr 81000200 - 958990 ns MR4_I 01000208 2a001c5b - 959010 ns MR4_I 01000200 07c96841 - 959030 ns IT 01000200 6841 LDR r1,[r0,#4] - 959050 ns MR4_I 01000204 6002d1fc - 959110 ns MR4_D 40006004 00000001 - 959110 ns R r1 00000001 - 959110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 959130 ns R r1 80000000 - 959130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 959150 ns R psr 81000200 - 959150 ns MR4_I 01000208 2a001c5b - 959170 ns MR4_I 01000200 07c96841 - 959190 ns IT 01000200 6841 LDR r1,[r0,#4] - 959210 ns MR4_I 01000204 6002d1fc - 959270 ns MR4_D 40006004 00000001 - 959270 ns R r1 00000001 - 959270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 959290 ns R r1 80000000 - 959290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 959310 ns R psr 81000200 - 959310 ns MR4_I 01000208 2a001c5b - 959330 ns MR4_I 01000200 07c96841 - 959350 ns IT 01000200 6841 LDR r1,[r0,#4] - 959370 ns MR4_I 01000204 6002d1fc - 959430 ns MR4_D 40006004 00000001 - 959430 ns R r1 00000001 - 959430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 959450 ns R r1 80000000 - 959450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 959470 ns R psr 81000200 - 959470 ns MR4_I 01000208 2a001c5b - 959490 ns MR4_I 01000200 07c96841 - 959510 ns IT 01000200 6841 LDR r1,[r0,#4] - 959530 ns MR4_I 01000204 6002d1fc - 959590 ns MR4_D 40006004 00000001 - 959590 ns R r1 00000001 - 959590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 959610 ns R r1 80000000 - 959610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 959630 ns R psr 81000200 - 959630 ns MR4_I 01000208 2a001c5b - 959650 ns MR4_I 01000200 07c96841 - 959670 ns IT 01000200 6841 LDR r1,[r0,#4] - 959690 ns MR4_I 01000204 6002d1fc - 959750 ns MR4_D 40006004 00000001 - 959750 ns R r1 00000001 - 959750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 959770 ns R r1 80000000 - 959770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 959790 ns R psr 81000200 - 959790 ns MR4_I 01000208 2a001c5b - 959810 ns MR4_I 01000200 07c96841 - 959830 ns IT 01000200 6841 LDR r1,[r0,#4] - 959850 ns MR4_I 01000204 6002d1fc - 959910 ns MR4_D 40006004 00000001 - 959910 ns R r1 00000001 - 959910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 959930 ns R r1 80000000 - 959930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 959950 ns R psr 81000200 - 959950 ns MR4_I 01000208 2a001c5b - 959970 ns MR4_I 01000200 07c96841 - 959990 ns IT 01000200 6841 LDR r1,[r0,#4] - 960010 ns MR4_I 01000204 6002d1fc - 960070 ns MR4_D 40006004 00000001 - 960070 ns R r1 00000001 - 960070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 960090 ns R r1 80000000 - 960090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 960110 ns R psr 81000200 - 960110 ns MR4_I 01000208 2a001c5b - 960130 ns MR4_I 01000200 07c96841 - 960150 ns IT 01000200 6841 LDR r1,[r0,#4] - 960170 ns MR4_I 01000204 6002d1fc - 960230 ns MR4_D 40006004 00000001 - 960230 ns R r1 00000001 - 960230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 960250 ns R r1 80000000 - 960250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 960270 ns R psr 81000200 - 960270 ns MR4_I 01000208 2a001c5b - 960290 ns MR4_I 01000200 07c96841 - 960310 ns IT 01000200 6841 LDR r1,[r0,#4] - 960330 ns MR4_I 01000204 6002d1fc - 960390 ns MR4_D 40006004 00000001 - 960390 ns R r1 00000001 - 960390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 960410 ns R r1 80000000 - 960410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 960430 ns R psr 81000200 - 960430 ns MR4_I 01000208 2a001c5b - 960450 ns MR4_I 01000200 07c96841 - 960470 ns IT 01000200 6841 LDR r1,[r0,#4] - 960490 ns MR4_I 01000204 6002d1fc - 960550 ns MR4_D 40006004 00000001 - 960550 ns R r1 00000001 - 960550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 960570 ns R r1 80000000 - 960570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 960590 ns R psr 81000200 - 960590 ns MR4_I 01000208 2a001c5b - 960610 ns MR4_I 01000200 07c96841 - 960630 ns IT 01000200 6841 LDR r1,[r0,#4] - 960650 ns MR4_I 01000204 6002d1fc - 960710 ns MR4_D 40006004 00000001 - 960710 ns R r1 00000001 - 960710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 960730 ns R r1 80000000 - 960730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 960750 ns R psr 81000200 - 960750 ns MR4_I 01000208 2a001c5b - 960770 ns MR4_I 01000200 07c96841 - 960790 ns IT 01000200 6841 LDR r1,[r0,#4] - 960810 ns MR4_I 01000204 6002d1fc - 960870 ns MR4_D 40006004 00000001 - 960870 ns R r1 00000001 - 960870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 960890 ns R r1 80000000 - 960890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 960910 ns R psr 81000200 - 960910 ns MR4_I 01000208 2a001c5b - 960930 ns MR4_I 01000200 07c96841 - 960950 ns IT 01000200 6841 LDR r1,[r0,#4] - 960970 ns MR4_I 01000204 6002d1fc - 961030 ns MR4_D 40006004 00000001 - 961030 ns R r1 00000001 - 961030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 961050 ns R r1 80000000 - 961050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 961070 ns R psr 81000200 - 961070 ns MR4_I 01000208 2a001c5b - 961090 ns MR4_I 01000200 07c96841 - 961110 ns IT 01000200 6841 LDR r1,[r0,#4] - 961130 ns MR4_I 01000204 6002d1fc - 961190 ns MR4_D 40006004 00000001 - 961190 ns R r1 00000001 - 961190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 961210 ns R r1 80000000 - 961210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 961230 ns R psr 81000200 - 961230 ns MR4_I 01000208 2a001c5b - 961250 ns MR4_I 01000200 07c96841 - 961270 ns IT 01000200 6841 LDR r1,[r0,#4] - 961290 ns MR4_I 01000204 6002d1fc - 961350 ns MR4_D 40006004 00000001 - 961350 ns R r1 00000001 - 961350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 961370 ns R r1 80000000 - 961370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 961390 ns R psr 81000200 - 961390 ns MR4_I 01000208 2a001c5b - 961410 ns MR4_I 01000200 07c96841 - 961430 ns IT 01000200 6841 LDR r1,[r0,#4] - 961450 ns MR4_I 01000204 6002d1fc - 961510 ns MR4_D 40006004 00000001 - 961510 ns R r1 00000001 - 961510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 961530 ns R r1 80000000 - 961530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 961550 ns R psr 81000200 - 961550 ns MR4_I 01000208 2a001c5b - 961570 ns MR4_I 01000200 07c96841 - 961590 ns IT 01000200 6841 LDR r1,[r0,#4] - 961610 ns MR4_I 01000204 6002d1fc - 961670 ns MR4_D 40006004 00000001 - 961670 ns R r1 00000001 - 961670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 961690 ns R r1 80000000 - 961690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 961710 ns R psr 81000200 - 961710 ns MR4_I 01000208 2a001c5b - 961730 ns MR4_I 01000200 07c96841 - 961750 ns IT 01000200 6841 LDR r1,[r0,#4] - 961770 ns MR4_I 01000204 6002d1fc - 961830 ns MR4_D 40006004 00000001 - 961830 ns R r1 00000001 - 961830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 961850 ns R r1 80000000 - 961850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 961870 ns R psr 81000200 - 961870 ns MR4_I 01000208 2a001c5b - 961890 ns MR4_I 01000200 07c96841 - 961910 ns IT 01000200 6841 LDR r1,[r0,#4] - 961930 ns MR4_I 01000204 6002d1fc - 961990 ns MR4_D 40006004 00000001 - 961990 ns R r1 00000001 - 961990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 962010 ns R r1 80000000 - 962010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 962030 ns R psr 81000200 - 962030 ns MR4_I 01000208 2a001c5b - 962050 ns MR4_I 01000200 07c96841 - 962070 ns IT 01000200 6841 LDR r1,[r0,#4] - 962090 ns MR4_I 01000204 6002d1fc - 962150 ns MR4_D 40006004 00000001 - 962150 ns R r1 00000001 - 962150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 962170 ns R r1 80000000 - 962170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 962190 ns R psr 81000200 - 962190 ns MR4_I 01000208 2a001c5b - 962210 ns MR4_I 01000200 07c96841 - 962230 ns IT 01000200 6841 LDR r1,[r0,#4] - 962250 ns MR4_I 01000204 6002d1fc - 962310 ns MR4_D 40006004 00000001 - 962310 ns R r1 00000001 - 962310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 962330 ns R r1 80000000 - 962330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 962350 ns R psr 81000200 - 962350 ns MR4_I 01000208 2a001c5b - 962370 ns MR4_I 01000200 07c96841 - 962390 ns IT 01000200 6841 LDR r1,[r0,#4] - 962410 ns MR4_I 01000204 6002d1fc - 962470 ns MR4_D 40006004 00000001 - 962470 ns R r1 00000001 - 962470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 962490 ns R r1 80000000 - 962490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 962510 ns R psr 81000200 - 962510 ns MR4_I 01000208 2a001c5b - 962530 ns MR4_I 01000200 07c96841 - 962550 ns IT 01000200 6841 LDR r1,[r0,#4] - 962570 ns MR4_I 01000204 6002d1fc - 962630 ns MR4_D 40006004 00000001 - 962630 ns R r1 00000001 - 962630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 962650 ns R r1 80000000 - 962650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 962670 ns R psr 81000200 - 962670 ns MR4_I 01000208 2a001c5b - 962690 ns MR4_I 01000200 07c96841 - 962710 ns IT 01000200 6841 LDR r1,[r0,#4] - 962730 ns MR4_I 01000204 6002d1fc - 962790 ns MR4_D 40006004 00000001 - 962790 ns R r1 00000001 - 962790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 962810 ns R r1 80000000 - 962810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 962830 ns R psr 81000200 - 962830 ns MR4_I 01000208 2a001c5b - 962850 ns MR4_I 01000200 07c96841 - 962870 ns IT 01000200 6841 LDR r1,[r0,#4] - 962890 ns MR4_I 01000204 6002d1fc - 962950 ns MR4_D 40006004 00000001 - 962950 ns R r1 00000001 - 962950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 962970 ns R r1 80000000 - 962970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 962990 ns R psr 81000200 - 962990 ns MR4_I 01000208 2a001c5b - 963010 ns MR4_I 01000200 07c96841 - 963030 ns IT 01000200 6841 LDR r1,[r0,#4] - 963050 ns MR4_I 01000204 6002d1fc - 963110 ns MR4_D 40006004 00000001 - 963110 ns R r1 00000001 - 963110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 963130 ns R r1 80000000 - 963130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 963150 ns R psr 81000200 - 963150 ns MR4_I 01000208 2a001c5b - 963170 ns MR4_I 01000200 07c96841 - 963190 ns IT 01000200 6841 LDR r1,[r0,#4] - 963210 ns MR4_I 01000204 6002d1fc - 963270 ns MR4_D 40006004 00000001 - 963270 ns R r1 00000001 - 963270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 963290 ns R r1 80000000 - 963290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 963310 ns R psr 81000200 - 963310 ns MR4_I 01000208 2a001c5b - 963330 ns MR4_I 01000200 07c96841 - 963350 ns IT 01000200 6841 LDR r1,[r0,#4] - 963370 ns MR4_I 01000204 6002d1fc - 963430 ns MR4_D 40006004 00000001 - 963430 ns R r1 00000001 - 963430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 963450 ns R r1 80000000 - 963450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 963470 ns R psr 81000200 - 963470 ns MR4_I 01000208 2a001c5b - 963490 ns MR4_I 01000200 07c96841 - 963510 ns IT 01000200 6841 LDR r1,[r0,#4] - 963530 ns MR4_I 01000204 6002d1fc - 963590 ns MR4_D 40006004 00000001 - 963590 ns R r1 00000001 - 963590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 963610 ns R r1 80000000 - 963610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 963630 ns R psr 81000200 - 963630 ns MR4_I 01000208 2a001c5b - 963650 ns MR4_I 01000200 07c96841 - 963670 ns IT 01000200 6841 LDR r1,[r0,#4] - 963690 ns MR4_I 01000204 6002d1fc - 963750 ns MR4_D 40006004 00000001 - 963750 ns R r1 00000001 - 963750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 963770 ns R r1 80000000 - 963770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 963790 ns R psr 81000200 - 963790 ns MR4_I 01000208 2a001c5b - 963810 ns MR4_I 01000200 07c96841 - 963830 ns IT 01000200 6841 LDR r1,[r0,#4] - 963850 ns MR4_I 01000204 6002d1fc - 963910 ns MR4_D 40006004 00000001 - 963910 ns R r1 00000001 - 963910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 963930 ns R r1 80000000 - 963930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 963950 ns R psr 81000200 - 963950 ns MR4_I 01000208 2a001c5b - 963970 ns MR4_I 01000200 07c96841 - 963990 ns IT 01000200 6841 LDR r1,[r0,#4] - 964010 ns MR4_I 01000204 6002d1fc - 964070 ns MR4_D 40006004 00000001 - 964070 ns R r1 00000001 - 964070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 964090 ns R r1 80000000 - 964090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 964110 ns R psr 81000200 - 964110 ns MR4_I 01000208 2a001c5b - 964130 ns MR4_I 01000200 07c96841 - 964150 ns IT 01000200 6841 LDR r1,[r0,#4] - 964170 ns MR4_I 01000204 6002d1fc - 964230 ns MR4_D 40006004 00000001 - 964230 ns R r1 00000001 - 964230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 964250 ns R r1 80000000 - 964250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 964270 ns R psr 81000200 - 964270 ns MR4_I 01000208 2a001c5b - 964290 ns MR4_I 01000200 07c96841 - 964310 ns IT 01000200 6841 LDR r1,[r0,#4] - 964330 ns MR4_I 01000204 6002d1fc - 964390 ns MR4_D 40006004 00000001 - 964390 ns R r1 00000001 - 964390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 964410 ns R r1 80000000 - 964410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 964430 ns R psr 81000200 - 964430 ns MR4_I 01000208 2a001c5b - 964450 ns MR4_I 01000200 07c96841 - 964470 ns IT 01000200 6841 LDR r1,[r0,#4] - 964490 ns MR4_I 01000204 6002d1fc - 964550 ns MR4_D 40006004 00000001 - 964550 ns R r1 00000001 - 964550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 964570 ns R r1 80000000 - 964570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 964590 ns R psr 81000200 - 964590 ns MR4_I 01000208 2a001c5b - 964610 ns MR4_I 01000200 07c96841 - 964630 ns IT 01000200 6841 LDR r1,[r0,#4] - 964650 ns MR4_I 01000204 6002d1fc - 964710 ns MR4_D 40006004 00000001 - 964710 ns R r1 00000001 - 964710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 964730 ns R r1 80000000 - 964730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 964750 ns R psr 81000200 - 964750 ns MR4_I 01000208 2a001c5b - 964770 ns MR4_I 01000200 07c96841 - 964790 ns IT 01000200 6841 LDR r1,[r0,#4] - 964810 ns MR4_I 01000204 6002d1fc - 964870 ns MR4_D 40006004 00000001 - 964870 ns R r1 00000001 - 964870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 964890 ns R r1 80000000 - 964890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 964910 ns R psr 81000200 - 964910 ns MR4_I 01000208 2a001c5b - 964930 ns MR4_I 01000200 07c96841 - 964950 ns IT 01000200 6841 LDR r1,[r0,#4] - 964970 ns MR4_I 01000204 6002d1fc - 965030 ns MR4_D 40006004 00000001 - 965030 ns R r1 00000001 - 965030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 965050 ns R r1 80000000 - 965050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 965070 ns R psr 81000200 - 965070 ns MR4_I 01000208 2a001c5b - 965090 ns MR4_I 01000200 07c96841 - 965110 ns IT 01000200 6841 LDR r1,[r0,#4] - 965130 ns MR4_I 01000204 6002d1fc - 965190 ns MR4_D 40006004 00000001 - 965190 ns R r1 00000001 - 965190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 965210 ns R r1 80000000 - 965210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 965230 ns R psr 81000200 - 965230 ns MR4_I 01000208 2a001c5b - 965250 ns MR4_I 01000200 07c96841 - 965270 ns IT 01000200 6841 LDR r1,[r0,#4] - 965290 ns MR4_I 01000204 6002d1fc - 965350 ns MR4_D 40006004 00000001 - 965350 ns R r1 00000001 - 965350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 965370 ns R r1 80000000 - 965370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 965390 ns R psr 81000200 - 965390 ns MR4_I 01000208 2a001c5b - 965410 ns MR4_I 01000200 07c96841 - 965430 ns IT 01000200 6841 LDR r1,[r0,#4] - 965450 ns MR4_I 01000204 6002d1fc - 965510 ns MR4_D 40006004 00000001 - 965510 ns R r1 00000001 - 965510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 965530 ns R r1 80000000 - 965530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 965550 ns R psr 81000200 - 965550 ns MR4_I 01000208 2a001c5b - 965570 ns MR4_I 01000200 07c96841 - 965590 ns IT 01000200 6841 LDR r1,[r0,#4] - 965610 ns MR4_I 01000204 6002d1fc - 965670 ns MR4_D 40006004 00000001 - 965670 ns R r1 00000001 - 965670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 965690 ns R r1 80000000 - 965690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 965710 ns R psr 81000200 - 965710 ns MR4_I 01000208 2a001c5b - 965730 ns MR4_I 01000200 07c96841 - 965750 ns IT 01000200 6841 LDR r1,[r0,#4] - 965770 ns MR4_I 01000204 6002d1fc - 965830 ns MR4_D 40006004 00000001 - 965830 ns R r1 00000001 - 965830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 965850 ns R r1 80000000 - 965850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 965870 ns R psr 81000200 - 965870 ns MR4_I 01000208 2a001c5b - 965890 ns MR4_I 01000200 07c96841 - 965910 ns IT 01000200 6841 LDR r1,[r0,#4] - 965930 ns MR4_I 01000204 6002d1fc - 965990 ns MR4_D 40006004 00000001 - 965990 ns R r1 00000001 - 965990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 966010 ns R r1 80000000 - 966010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 966030 ns R psr 81000200 - 966030 ns MR4_I 01000208 2a001c5b - 966050 ns MR4_I 01000200 07c96841 - 966070 ns IT 01000200 6841 LDR r1,[r0,#4] - 966090 ns MR4_I 01000204 6002d1fc - 966150 ns MR4_D 40006004 00000001 - 966150 ns R r1 00000001 - 966150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 966170 ns R r1 80000000 - 966170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 966190 ns R psr 81000200 - 966190 ns MR4_I 01000208 2a001c5b - 966210 ns MR4_I 01000200 07c96841 - 966230 ns IT 01000200 6841 LDR r1,[r0,#4] - 966250 ns MR4_I 01000204 6002d1fc - 966310 ns MR4_D 40006004 00000001 - 966310 ns R r1 00000001 - 966310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 966330 ns R r1 80000000 - 966330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 966350 ns R psr 81000200 - 966350 ns MR4_I 01000208 2a001c5b - 966370 ns MR4_I 01000200 07c96841 - 966390 ns IT 01000200 6841 LDR r1,[r0,#4] - 966410 ns MR4_I 01000204 6002d1fc - 966470 ns MR4_D 40006004 00000001 - 966470 ns R r1 00000001 - 966470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 966490 ns R r1 80000000 - 966490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 966510 ns R psr 81000200 - 966510 ns MR4_I 01000208 2a001c5b - 966530 ns MR4_I 01000200 07c96841 - 966550 ns IT 01000200 6841 LDR r1,[r0,#4] - 966570 ns MR4_I 01000204 6002d1fc - 966630 ns MR4_D 40006004 00000001 - 966630 ns R r1 00000001 - 966630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 966650 ns R r1 80000000 - 966650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 966670 ns R psr 81000200 - 966670 ns MR4_I 01000208 2a001c5b - 966690 ns MR4_I 01000200 07c96841 - 966710 ns IT 01000200 6841 LDR r1,[r0,#4] - 966730 ns MR4_I 01000204 6002d1fc - 966790 ns MR4_D 40006004 00000001 - 966790 ns R r1 00000001 - 966790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 966810 ns R r1 80000000 - 966810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 966830 ns R psr 81000200 - 966830 ns MR4_I 01000208 2a001c5b - 966850 ns MR4_I 01000200 07c96841 - 966870 ns IT 01000200 6841 LDR r1,[r0,#4] - 966890 ns MR4_I 01000204 6002d1fc - 966950 ns MR4_D 40006004 00000001 - 966950 ns R r1 00000001 - 966950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 966970 ns R r1 80000000 - 966970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 966990 ns R psr 81000200 - 966990 ns MR4_I 01000208 2a001c5b - 967010 ns MR4_I 01000200 07c96841 - 967030 ns IT 01000200 6841 LDR r1,[r0,#4] - 967050 ns MR4_I 01000204 6002d1fc - 967110 ns MR4_D 40006004 00000001 - 967110 ns R r1 00000001 - 967110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 967130 ns R r1 80000000 - 967130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 967150 ns R psr 81000200 - 967150 ns MR4_I 01000208 2a001c5b - 967170 ns MR4_I 01000200 07c96841 - 967190 ns IT 01000200 6841 LDR r1,[r0,#4] - 967210 ns MR4_I 01000204 6002d1fc - 967270 ns MR4_D 40006004 00000001 - 967270 ns R r1 00000001 - 967270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 967290 ns R r1 80000000 - 967290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 967310 ns R psr 81000200 - 967310 ns MR4_I 01000208 2a001c5b - 967330 ns MR4_I 01000200 07c96841 - 967350 ns IT 01000200 6841 LDR r1,[r0,#4] - 967370 ns MR4_I 01000204 6002d1fc - 967430 ns MR4_D 40006004 00000001 - 967430 ns R r1 00000001 - 967430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 967450 ns R r1 80000000 - 967450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 967470 ns R psr 81000200 - 967470 ns MR4_I 01000208 2a001c5b - 967490 ns MR4_I 01000200 07c96841 - 967510 ns IT 01000200 6841 LDR r1,[r0,#4] - 967530 ns MR4_I 01000204 6002d1fc - 967590 ns MR4_D 40006004 00000001 - 967590 ns R r1 00000001 - 967590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 967610 ns R r1 80000000 - 967610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 967630 ns R psr 81000200 - 967630 ns MR4_I 01000208 2a001c5b - 967650 ns MR4_I 01000200 07c96841 - 967670 ns IT 01000200 6841 LDR r1,[r0,#4] - 967690 ns MR4_I 01000204 6002d1fc - 967750 ns MR4_D 40006004 00000001 - 967750 ns R r1 00000001 - 967750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 967770 ns R r1 80000000 - 967770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 967790 ns R psr 81000200 - 967790 ns MR4_I 01000208 2a001c5b - 967810 ns MR4_I 01000200 07c96841 - 967830 ns IT 01000200 6841 LDR r1,[r0,#4] - 967850 ns MR4_I 01000204 6002d1fc - 967910 ns MR4_D 40006004 00000001 - 967910 ns R r1 00000001 - 967910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 967930 ns R r1 80000000 - 967930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 967950 ns R psr 81000200 - 967950 ns MR4_I 01000208 2a001c5b - 967970 ns MR4_I 01000200 07c96841 - 967990 ns IT 01000200 6841 LDR r1,[r0,#4] - 968010 ns MR4_I 01000204 6002d1fc - 968070 ns MR4_D 40006004 00000001 - 968070 ns R r1 00000001 - 968070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 968090 ns R r1 80000000 - 968090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 968110 ns R psr 81000200 - 968110 ns MR4_I 01000208 2a001c5b - 968130 ns MR4_I 01000200 07c96841 - 968150 ns IT 01000200 6841 LDR r1,[r0,#4] - 968170 ns MR4_I 01000204 6002d1fc - 968230 ns MR4_D 40006004 00000001 - 968230 ns R r1 00000001 - 968230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 968250 ns R r1 80000000 - 968250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 968270 ns R psr 81000200 - 968270 ns MR4_I 01000208 2a001c5b - 968290 ns MR4_I 01000200 07c96841 - 968310 ns IT 01000200 6841 LDR r1,[r0,#4] - 968330 ns MR4_I 01000204 6002d1fc - 968390 ns MR4_D 40006004 00000001 - 968390 ns R r1 00000001 - 968390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 968410 ns R r1 80000000 - 968410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 968430 ns R psr 81000200 - 968430 ns MR4_I 01000208 2a001c5b - 968450 ns MR4_I 01000200 07c96841 - 968470 ns IT 01000200 6841 LDR r1,[r0,#4] - 968490 ns MR4_I 01000204 6002d1fc - 968550 ns MR4_D 40006004 00000001 - 968550 ns R r1 00000001 - 968550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 968570 ns R r1 80000000 - 968570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 968590 ns R psr 81000200 - 968590 ns MR4_I 01000208 2a001c5b - 968610 ns MR4_I 01000200 07c96841 - 968630 ns IT 01000200 6841 LDR r1,[r0,#4] - 968650 ns MR4_I 01000204 6002d1fc - 968710 ns MR4_D 40006004 00000001 - 968710 ns R r1 00000001 - 968710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 968730 ns R r1 80000000 - 968730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 968750 ns R psr 81000200 - 968750 ns MR4_I 01000208 2a001c5b - 968770 ns MR4_I 01000200 07c96841 - 968790 ns IT 01000200 6841 LDR r1,[r0,#4] - 968810 ns MR4_I 01000204 6002d1fc - 968870 ns MR4_D 40006004 00000001 - 968870 ns R r1 00000001 - 968870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 968890 ns R r1 80000000 - 968890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 968910 ns R psr 81000200 - 968910 ns MR4_I 01000208 2a001c5b - 968930 ns MR4_I 01000200 07c96841 - 968950 ns IT 01000200 6841 LDR r1,[r0,#4] - 968970 ns MR4_I 01000204 6002d1fc - 969030 ns MR4_D 40006004 00000001 - 969030 ns R r1 00000001 - 969030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 969050 ns R r1 80000000 - 969050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 969070 ns R psr 81000200 - 969070 ns MR4_I 01000208 2a001c5b - 969090 ns MR4_I 01000200 07c96841 - 969110 ns IT 01000200 6841 LDR r1,[r0,#4] - 969130 ns MR4_I 01000204 6002d1fc - 969190 ns MR4_D 40006004 00000001 - 969190 ns R r1 00000001 - 969190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 969210 ns R r1 80000000 - 969210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 969230 ns R psr 81000200 - 969230 ns MR4_I 01000208 2a001c5b - 969250 ns MR4_I 01000200 07c96841 - 969270 ns IT 01000200 6841 LDR r1,[r0,#4] - 969290 ns MR4_I 01000204 6002d1fc - 969350 ns MR4_D 40006004 00000001 - 969350 ns R r1 00000001 - 969350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 969370 ns R r1 80000000 - 969370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 969390 ns R psr 81000200 - 969390 ns MR4_I 01000208 2a001c5b - 969410 ns MR4_I 01000200 07c96841 - 969430 ns IT 01000200 6841 LDR r1,[r0,#4] - 969450 ns MR4_I 01000204 6002d1fc - 969510 ns MR4_D 40006004 00000001 - 969510 ns R r1 00000001 - 969510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 969530 ns R r1 80000000 - 969530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 969550 ns R psr 81000200 - 969550 ns MR4_I 01000208 2a001c5b - 969570 ns MR4_I 01000200 07c96841 - 969590 ns IT 01000200 6841 LDR r1,[r0,#4] - 969610 ns MR4_I 01000204 6002d1fc - 969670 ns MR4_D 40006004 00000001 - 969670 ns R r1 00000001 - 969670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 969690 ns R r1 80000000 - 969690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 969710 ns R psr 81000200 - 969710 ns MR4_I 01000208 2a001c5b - 969730 ns MR4_I 01000200 07c96841 - 969750 ns IT 01000200 6841 LDR r1,[r0,#4] - 969770 ns MR4_I 01000204 6002d1fc - 969830 ns MR4_D 40006004 00000001 - 969830 ns R r1 00000001 - 969830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 969850 ns R r1 80000000 - 969850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 969870 ns R psr 81000200 - 969870 ns MR4_I 01000208 2a001c5b - 969890 ns MR4_I 01000200 07c96841 - 969910 ns IT 01000200 6841 LDR r1,[r0,#4] - 969930 ns MR4_I 01000204 6002d1fc - 969990 ns MR4_D 40006004 00000001 - 969990 ns R r1 00000001 - 969990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 970010 ns R r1 80000000 - 970010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 970030 ns R psr 81000200 - 970030 ns MR4_I 01000208 2a001c5b - 970050 ns MR4_I 01000200 07c96841 - 970070 ns IT 01000200 6841 LDR r1,[r0,#4] - 970090 ns MR4_I 01000204 6002d1fc - 970150 ns MR4_D 40006004 00000001 - 970150 ns R r1 00000001 - 970150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 970170 ns R r1 80000000 - 970170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 970190 ns R psr 81000200 - 970190 ns MR4_I 01000208 2a001c5b - 970210 ns MR4_I 01000200 07c96841 - 970230 ns IT 01000200 6841 LDR r1,[r0,#4] - 970250 ns MR4_I 01000204 6002d1fc - 970310 ns MR4_D 40006004 00000001 - 970310 ns R r1 00000001 - 970310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 970330 ns R r1 80000000 - 970330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 970350 ns R psr 81000200 - 970350 ns MR4_I 01000208 2a001c5b - 970370 ns MR4_I 01000200 07c96841 - 970390 ns IT 01000200 6841 LDR r1,[r0,#4] - 970410 ns MR4_I 01000204 6002d1fc - 970470 ns MR4_D 40006004 00000001 - 970470 ns R r1 00000001 - 970470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 970490 ns R r1 80000000 - 970490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 970510 ns R psr 81000200 - 970510 ns MR4_I 01000208 2a001c5b - 970530 ns MR4_I 01000200 07c96841 - 970550 ns IT 01000200 6841 LDR r1,[r0,#4] - 970570 ns MR4_I 01000204 6002d1fc - 970630 ns MR4_D 40006004 00000001 - 970630 ns R r1 00000001 - 970630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 970650 ns R r1 80000000 - 970650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 970670 ns R psr 81000200 - 970670 ns MR4_I 01000208 2a001c5b - 970690 ns MR4_I 01000200 07c96841 - 970710 ns IT 01000200 6841 LDR r1,[r0,#4] - 970730 ns MR4_I 01000204 6002d1fc - 970790 ns MR4_D 40006004 00000001 - 970790 ns R r1 00000001 - 970790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 970810 ns R r1 80000000 - 970810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 970830 ns R psr 81000200 - 970830 ns MR4_I 01000208 2a001c5b - 970850 ns MR4_I 01000200 07c96841 - 970870 ns IT 01000200 6841 LDR r1,[r0,#4] - 970890 ns MR4_I 01000204 6002d1fc - 970950 ns MR4_D 40006004 00000001 - 970950 ns R r1 00000001 - 970950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 970970 ns R r1 80000000 - 970970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 970990 ns R psr 81000200 - 970990 ns MR4_I 01000208 2a001c5b - 971010 ns MR4_I 01000200 07c96841 - 971030 ns IT 01000200 6841 LDR r1,[r0,#4] - 971050 ns MR4_I 01000204 6002d1fc - 971110 ns MR4_D 40006004 00000001 - 971110 ns R r1 00000001 - 971110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 971130 ns R r1 80000000 - 971130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 971150 ns R psr 81000200 - 971150 ns MR4_I 01000208 2a001c5b - 971170 ns MR4_I 01000200 07c96841 - 971190 ns IT 01000200 6841 LDR r1,[r0,#4] - 971210 ns MR4_I 01000204 6002d1fc - 971270 ns MR4_D 40006004 00000001 - 971270 ns R r1 00000001 - 971270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 971290 ns R r1 80000000 - 971290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 971310 ns R psr 81000200 - 971310 ns MR4_I 01000208 2a001c5b - 971330 ns MR4_I 01000200 07c96841 - 971350 ns IT 01000200 6841 LDR r1,[r0,#4] - 971370 ns MR4_I 01000204 6002d1fc - 971430 ns MR4_D 40006004 00000001 - 971430 ns R r1 00000001 - 971430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 971450 ns R r1 80000000 - 971450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 971470 ns R psr 81000200 - 971470 ns MR4_I 01000208 2a001c5b - 971490 ns MR4_I 01000200 07c96841 - 971510 ns IT 01000200 6841 LDR r1,[r0,#4] - 971530 ns MR4_I 01000204 6002d1fc - 971590 ns MR4_D 40006004 00000001 - 971590 ns R r1 00000001 - 971590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 971610 ns R r1 80000000 - 971610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 971630 ns R psr 81000200 - 971630 ns MR4_I 01000208 2a001c5b - 971650 ns MR4_I 01000200 07c96841 - 971670 ns IT 01000200 6841 LDR r1,[r0,#4] - 971690 ns MR4_I 01000204 6002d1fc - 971750 ns MR4_D 40006004 00000001 - 971750 ns R r1 00000001 - 971750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 971770 ns R r1 80000000 - 971770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 971790 ns R psr 81000200 - 971790 ns MR4_I 01000208 2a001c5b - 971810 ns MR4_I 01000200 07c96841 - 971830 ns IT 01000200 6841 LDR r1,[r0,#4] - 971850 ns MR4_I 01000204 6002d1fc - 971910 ns MR4_D 40006004 00000001 - 971910 ns R r1 00000001 - 971910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 971930 ns R r1 80000000 - 971930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 971950 ns R psr 81000200 - 971950 ns MR4_I 01000208 2a001c5b - 971970 ns MR4_I 01000200 07c96841 - 971990 ns IT 01000200 6841 LDR r1,[r0,#4] - 972010 ns MR4_I 01000204 6002d1fc - 972070 ns MR4_D 40006004 00000001 - 972070 ns R r1 00000001 - 972070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 972090 ns R r1 80000000 - 972090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 972110 ns R psr 81000200 - 972110 ns MR4_I 01000208 2a001c5b - 972130 ns MR4_I 01000200 07c96841 - 972150 ns IT 01000200 6841 LDR r1,[r0,#4] - 972170 ns MR4_I 01000204 6002d1fc - 972230 ns MR4_D 40006004 00000001 - 972230 ns R r1 00000001 - 972230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 972250 ns R r1 80000000 - 972250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 972270 ns R psr 81000200 - 972270 ns MR4_I 01000208 2a001c5b - 972290 ns MR4_I 01000200 07c96841 - 972310 ns IT 01000200 6841 LDR r1,[r0,#4] - 972330 ns MR4_I 01000204 6002d1fc - 972390 ns MR4_D 40006004 00000001 - 972390 ns R r1 00000001 - 972390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 972410 ns R r1 80000000 - 972410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 972430 ns R psr 81000200 - 972430 ns MR4_I 01000208 2a001c5b - 972450 ns MR4_I 01000200 07c96841 - 972470 ns IT 01000200 6841 LDR r1,[r0,#4] - 972490 ns MR4_I 01000204 6002d1fc - 972550 ns MR4_D 40006004 00000001 - 972550 ns R r1 00000001 - 972550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 972570 ns R r1 80000000 - 972570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 972590 ns R psr 81000200 - 972590 ns MR4_I 01000208 2a001c5b - 972610 ns MR4_I 01000200 07c96841 - 972630 ns IT 01000200 6841 LDR r1,[r0,#4] - 972650 ns MR4_I 01000204 6002d1fc - 972710 ns MR4_D 40006004 00000001 - 972710 ns R r1 00000001 - 972710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 972730 ns R r1 80000000 - 972730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 972750 ns R psr 81000200 - 972750 ns MR4_I 01000208 2a001c5b - 972770 ns MR4_I 01000200 07c96841 - 972790 ns IT 01000200 6841 LDR r1,[r0,#4] - 972810 ns MR4_I 01000204 6002d1fc - 972870 ns MR4_D 40006004 00000001 - 972870 ns R r1 00000001 - 972870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 972890 ns R r1 80000000 - 972890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 972910 ns R psr 81000200 - 972910 ns MR4_I 01000208 2a001c5b - 972930 ns MR4_I 01000200 07c96841 - 972950 ns IT 01000200 6841 LDR r1,[r0,#4] - 972970 ns MR4_I 01000204 6002d1fc - 973030 ns MR4_D 40006004 00000001 - 973030 ns R r1 00000001 - 973030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 973050 ns R r1 80000000 - 973050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 973070 ns R psr 81000200 - 973070 ns MR4_I 01000208 2a001c5b - 973090 ns MR4_I 01000200 07c96841 - 973110 ns IT 01000200 6841 LDR r1,[r0,#4] - 973130 ns MR4_I 01000204 6002d1fc - 973190 ns MR4_D 40006004 00000001 - 973190 ns R r1 00000001 - 973190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 973210 ns R r1 80000000 - 973210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 973230 ns R psr 81000200 - 973230 ns MR4_I 01000208 2a001c5b - 973250 ns MR4_I 01000200 07c96841 - 973270 ns IT 01000200 6841 LDR r1,[r0,#4] - 973290 ns MR4_I 01000204 6002d1fc - 973350 ns MR4_D 40006004 00000001 - 973350 ns R r1 00000001 - 973350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 973370 ns R r1 80000000 - 973370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 973390 ns R psr 81000200 - 973390 ns MR4_I 01000208 2a001c5b - 973410 ns MR4_I 01000200 07c96841 - 973430 ns IT 01000200 6841 LDR r1,[r0,#4] - 973450 ns MR4_I 01000204 6002d1fc - 973510 ns MR4_D 40006004 00000000 - 973510 ns R r1 00000000 - 973510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 973530 ns R r1 00000000 - 973530 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 973550 ns R psr 41000200 - 973550 ns MR4_I 01000208 2a001c5b - 973550 ns IT 01000206 6002 STR r2,[r0,#0] - 973630 ns MW4_D 40006000 00000078 - 973630 ns IT 01000208 1c5b ADDS r3,r3,#1 - 973650 ns MR4_I 0100020c a32ad1f5 - 973650 ns R r3 010002ad - 973650 ns IT 0100020a 2a00 CMP r2,#0 - 973670 ns R psr 01000200 - 973670 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 973690 ns R psr 21000200 - 973690 ns MR4_I 01000210 2a00781a - 973710 ns MR4_I 010001f8 781aa326 - 973730 ns MR4_I 010001fc d0062a00 - 973730 ns IT 010001fa 781a LDRB r2,[r3,#0] - 973770 ns MR1_D 010002ad 304d2d78 - 973770 ns R r2 0000002d - 973770 ns IT 010001fc 2a00 CMP r2,#0 - 973790 ns MR4_I 01000200 07c96841 - 973790 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 973810 ns R psr 21000200 - 973810 ns IT 01000200 6841 LDR r1,[r0,#4] - 973830 ns MR4_I 01000204 6002d1fc - 973890 ns MR4_D 40006004 00000001 - 973890 ns R r1 00000001 - 973890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 973910 ns R r1 80000000 - 973910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 973930 ns R psr 81000200 - 973930 ns MR4_I 01000208 2a001c5b - 973950 ns MR4_I 01000200 07c96841 - 973970 ns IT 01000200 6841 LDR r1,[r0,#4] - 973990 ns MR4_I 01000204 6002d1fc - 974050 ns MR4_D 40006004 00000001 - 974050 ns R r1 00000001 - 974050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 974070 ns R r1 80000000 - 974070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 974090 ns R psr 81000200 - 974090 ns MR4_I 01000208 2a001c5b - 974110 ns MR4_I 01000200 07c96841 - 974130 ns IT 01000200 6841 LDR r1,[r0,#4] - 974150 ns MR4_I 01000204 6002d1fc - 974210 ns MR4_D 40006004 00000001 - 974210 ns R r1 00000001 - 974210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 974230 ns R r1 80000000 - 974230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 974250 ns R psr 81000200 - 974250 ns MR4_I 01000208 2a001c5b - 974270 ns MR4_I 01000200 07c96841 - 974290 ns IT 01000200 6841 LDR r1,[r0,#4] - 974310 ns MR4_I 01000204 6002d1fc - 974370 ns MR4_D 40006004 00000001 - 974370 ns R r1 00000001 - 974370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 974390 ns R r1 80000000 - 974390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 974410 ns R psr 81000200 - 974410 ns MR4_I 01000208 2a001c5b - 974430 ns MR4_I 01000200 07c96841 - 974450 ns IT 01000200 6841 LDR r1,[r0,#4] - 974470 ns MR4_I 01000204 6002d1fc - 974530 ns MR4_D 40006004 00000001 - 974530 ns R r1 00000001 - 974530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 974550 ns R r1 80000000 - 974550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 974570 ns R psr 81000200 - 974570 ns MR4_I 01000208 2a001c5b - 974590 ns MR4_I 01000200 07c96841 - 974610 ns IT 01000200 6841 LDR r1,[r0,#4] - 974630 ns MR4_I 01000204 6002d1fc - 974690 ns MR4_D 40006004 00000001 - 974690 ns R r1 00000001 - 974690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 974710 ns R r1 80000000 - 974710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 974730 ns R psr 81000200 - 974730 ns MR4_I 01000208 2a001c5b - 974750 ns MR4_I 01000200 07c96841 - 974770 ns IT 01000200 6841 LDR r1,[r0,#4] - 974790 ns MR4_I 01000204 6002d1fc - 974850 ns MR4_D 40006004 00000001 - 974850 ns R r1 00000001 - 974850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 974870 ns R r1 80000000 - 974870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 974890 ns R psr 81000200 - 974890 ns MR4_I 01000208 2a001c5b - 974910 ns MR4_I 01000200 07c96841 - 974930 ns IT 01000200 6841 LDR r1,[r0,#4] - 974950 ns MR4_I 01000204 6002d1fc - 975010 ns MR4_D 40006004 00000001 - 975010 ns R r1 00000001 - 975010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 975030 ns R r1 80000000 - 975030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 975050 ns R psr 81000200 - 975050 ns MR4_I 01000208 2a001c5b - 975070 ns MR4_I 01000200 07c96841 - 975090 ns IT 01000200 6841 LDR r1,[r0,#4] - 975110 ns MR4_I 01000204 6002d1fc - 975170 ns MR4_D 40006004 00000001 - 975170 ns R r1 00000001 - 975170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 975190 ns R r1 80000000 - 975190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 975210 ns R psr 81000200 - 975210 ns MR4_I 01000208 2a001c5b - 975230 ns MR4_I 01000200 07c96841 - 975250 ns IT 01000200 6841 LDR r1,[r0,#4] - 975270 ns MR4_I 01000204 6002d1fc - 975330 ns MR4_D 40006004 00000001 - 975330 ns R r1 00000001 - 975330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 975350 ns R r1 80000000 - 975350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 975370 ns R psr 81000200 - 975370 ns MR4_I 01000208 2a001c5b - 975390 ns MR4_I 01000200 07c96841 - 975410 ns IT 01000200 6841 LDR r1,[r0,#4] - 975430 ns MR4_I 01000204 6002d1fc - 975490 ns MR4_D 40006004 00000001 - 975490 ns R r1 00000001 - 975490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 975510 ns R r1 80000000 - 975510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 975530 ns R psr 81000200 - 975530 ns MR4_I 01000208 2a001c5b - 975550 ns MR4_I 01000200 07c96841 - 975570 ns IT 01000200 6841 LDR r1,[r0,#4] - 975590 ns MR4_I 01000204 6002d1fc - 975650 ns MR4_D 40006004 00000001 - 975650 ns R r1 00000001 - 975650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 975670 ns R r1 80000000 - 975670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 975690 ns R psr 81000200 - 975690 ns MR4_I 01000208 2a001c5b - 975710 ns MR4_I 01000200 07c96841 - 975730 ns IT 01000200 6841 LDR r1,[r0,#4] - 975750 ns MR4_I 01000204 6002d1fc - 975810 ns MR4_D 40006004 00000001 - 975810 ns R r1 00000001 - 975810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 975830 ns R r1 80000000 - 975830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 975850 ns R psr 81000200 - 975850 ns MR4_I 01000208 2a001c5b - 975870 ns MR4_I 01000200 07c96841 - 975890 ns IT 01000200 6841 LDR r1,[r0,#4] - 975910 ns MR4_I 01000204 6002d1fc - 975970 ns MR4_D 40006004 00000001 - 975970 ns R r1 00000001 - 975970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 975990 ns R r1 80000000 - 975990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 976010 ns R psr 81000200 - 976010 ns MR4_I 01000208 2a001c5b - 976030 ns MR4_I 01000200 07c96841 - 976050 ns IT 01000200 6841 LDR r1,[r0,#4] - 976070 ns MR4_I 01000204 6002d1fc - 976130 ns MR4_D 40006004 00000001 - 976130 ns R r1 00000001 - 976130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 976150 ns R r1 80000000 - 976150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 976170 ns R psr 81000200 - 976170 ns MR4_I 01000208 2a001c5b - 976190 ns MR4_I 01000200 07c96841 - 976210 ns IT 01000200 6841 LDR r1,[r0,#4] - 976230 ns MR4_I 01000204 6002d1fc - 976290 ns MR4_D 40006004 00000001 - 976290 ns R r1 00000001 - 976290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 976310 ns R r1 80000000 - 976310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 976330 ns R psr 81000200 - 976330 ns MR4_I 01000208 2a001c5b - 976350 ns MR4_I 01000200 07c96841 - 976370 ns IT 01000200 6841 LDR r1,[r0,#4] - 976390 ns MR4_I 01000204 6002d1fc - 976450 ns MR4_D 40006004 00000001 - 976450 ns R r1 00000001 - 976450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 976470 ns R r1 80000000 - 976470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 976490 ns R psr 81000200 - 976490 ns MR4_I 01000208 2a001c5b - 976510 ns MR4_I 01000200 07c96841 - 976530 ns IT 01000200 6841 LDR r1,[r0,#4] - 976550 ns MR4_I 01000204 6002d1fc - 976610 ns MR4_D 40006004 00000001 - 976610 ns R r1 00000001 - 976610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 976630 ns R r1 80000000 - 976630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 976650 ns R psr 81000200 - 976650 ns MR4_I 01000208 2a001c5b - 976670 ns MR4_I 01000200 07c96841 - 976690 ns IT 01000200 6841 LDR r1,[r0,#4] - 976710 ns MR4_I 01000204 6002d1fc - 976770 ns MR4_D 40006004 00000001 - 976770 ns R r1 00000001 - 976770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 976790 ns R r1 80000000 - 976790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 976810 ns R psr 81000200 - 976810 ns MR4_I 01000208 2a001c5b - 976830 ns MR4_I 01000200 07c96841 - 976850 ns IT 01000200 6841 LDR r1,[r0,#4] - 976870 ns MR4_I 01000204 6002d1fc - 976930 ns MR4_D 40006004 00000001 - 976930 ns R r1 00000001 - 976930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 976950 ns R r1 80000000 - 976950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 976970 ns R psr 81000200 - 976970 ns MR4_I 01000208 2a001c5b - 976990 ns MR4_I 01000200 07c96841 - 977010 ns IT 01000200 6841 LDR r1,[r0,#4] - 977030 ns MR4_I 01000204 6002d1fc - 977090 ns MR4_D 40006004 00000001 - 977090 ns R r1 00000001 - 977090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 977110 ns R r1 80000000 - 977110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 977130 ns R psr 81000200 - 977130 ns MR4_I 01000208 2a001c5b - 977150 ns MR4_I 01000200 07c96841 - 977170 ns IT 01000200 6841 LDR r1,[r0,#4] - 977190 ns MR4_I 01000204 6002d1fc - 977250 ns MR4_D 40006004 00000001 - 977250 ns R r1 00000001 - 977250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 977270 ns R r1 80000000 - 977270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 977290 ns R psr 81000200 - 977290 ns MR4_I 01000208 2a001c5b - 977310 ns MR4_I 01000200 07c96841 - 977330 ns IT 01000200 6841 LDR r1,[r0,#4] - 977350 ns MR4_I 01000204 6002d1fc - 977410 ns MR4_D 40006004 00000001 - 977410 ns R r1 00000001 - 977410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 977430 ns R r1 80000000 - 977430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 977450 ns R psr 81000200 - 977450 ns MR4_I 01000208 2a001c5b - 977470 ns MR4_I 01000200 07c96841 - 977490 ns IT 01000200 6841 LDR r1,[r0,#4] - 977510 ns MR4_I 01000204 6002d1fc - 977570 ns MR4_D 40006004 00000001 - 977570 ns R r1 00000001 - 977570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 977590 ns R r1 80000000 - 977590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 977610 ns R psr 81000200 - 977610 ns MR4_I 01000208 2a001c5b - 977630 ns MR4_I 01000200 07c96841 - 977650 ns IT 01000200 6841 LDR r1,[r0,#4] - 977670 ns MR4_I 01000204 6002d1fc - 977730 ns MR4_D 40006004 00000001 - 977730 ns R r1 00000001 - 977730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 977750 ns R r1 80000000 - 977750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 977770 ns R psr 81000200 - 977770 ns MR4_I 01000208 2a001c5b - 977790 ns MR4_I 01000200 07c96841 - 977810 ns IT 01000200 6841 LDR r1,[r0,#4] - 977830 ns MR4_I 01000204 6002d1fc - 977890 ns MR4_D 40006004 00000001 - 977890 ns R r1 00000001 - 977890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 977910 ns R r1 80000000 - 977910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 977930 ns R psr 81000200 - 977930 ns MR4_I 01000208 2a001c5b - 977950 ns MR4_I 01000200 07c96841 - 977970 ns IT 01000200 6841 LDR r1,[r0,#4] - 977990 ns MR4_I 01000204 6002d1fc - 978050 ns MR4_D 40006004 00000001 - 978050 ns R r1 00000001 - 978050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 978070 ns R r1 80000000 - 978070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 978090 ns R psr 81000200 - 978090 ns MR4_I 01000208 2a001c5b - 978110 ns MR4_I 01000200 07c96841 - 978130 ns IT 01000200 6841 LDR r1,[r0,#4] - 978150 ns MR4_I 01000204 6002d1fc - 978210 ns MR4_D 40006004 00000001 - 978210 ns R r1 00000001 - 978210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 978230 ns R r1 80000000 - 978230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 978250 ns R psr 81000200 - 978250 ns MR4_I 01000208 2a001c5b - 978270 ns MR4_I 01000200 07c96841 - 978290 ns IT 01000200 6841 LDR r1,[r0,#4] - 978310 ns MR4_I 01000204 6002d1fc - 978370 ns MR4_D 40006004 00000001 - 978370 ns R r1 00000001 - 978370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 978390 ns R r1 80000000 - 978390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 978410 ns R psr 81000200 - 978410 ns MR4_I 01000208 2a001c5b - 978430 ns MR4_I 01000200 07c96841 - 978450 ns IT 01000200 6841 LDR r1,[r0,#4] - 978470 ns MR4_I 01000204 6002d1fc - 978530 ns MR4_D 40006004 00000001 - 978530 ns R r1 00000001 - 978530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 978550 ns R r1 80000000 - 978550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 978570 ns R psr 81000200 - 978570 ns MR4_I 01000208 2a001c5b - 978590 ns MR4_I 01000200 07c96841 - 978610 ns IT 01000200 6841 LDR r1,[r0,#4] - 978630 ns MR4_I 01000204 6002d1fc - 978690 ns MR4_D 40006004 00000001 - 978690 ns R r1 00000001 - 978690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 978710 ns R r1 80000000 - 978710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 978730 ns R psr 81000200 - 978730 ns MR4_I 01000208 2a001c5b - 978750 ns MR4_I 01000200 07c96841 - 978770 ns IT 01000200 6841 LDR r1,[r0,#4] - 978790 ns MR4_I 01000204 6002d1fc - 978850 ns MR4_D 40006004 00000001 - 978850 ns R r1 00000001 - 978850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 978870 ns R r1 80000000 - 978870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 978890 ns R psr 81000200 - 978890 ns MR4_I 01000208 2a001c5b - 978910 ns MR4_I 01000200 07c96841 - 978930 ns IT 01000200 6841 LDR r1,[r0,#4] - 978950 ns MR4_I 01000204 6002d1fc - 979010 ns MR4_D 40006004 00000001 - 979010 ns R r1 00000001 - 979010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 979030 ns R r1 80000000 - 979030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 979050 ns R psr 81000200 - 979050 ns MR4_I 01000208 2a001c5b - 979070 ns MR4_I 01000200 07c96841 - 979090 ns IT 01000200 6841 LDR r1,[r0,#4] - 979110 ns MR4_I 01000204 6002d1fc - 979170 ns MR4_D 40006004 00000001 - 979170 ns R r1 00000001 - 979170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 979190 ns R r1 80000000 - 979190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 979210 ns R psr 81000200 - 979210 ns MR4_I 01000208 2a001c5b - 979230 ns MR4_I 01000200 07c96841 - 979250 ns IT 01000200 6841 LDR r1,[r0,#4] - 979270 ns MR4_I 01000204 6002d1fc - 979330 ns MR4_D 40006004 00000001 - 979330 ns R r1 00000001 - 979330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 979350 ns R r1 80000000 - 979350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 979370 ns R psr 81000200 - 979370 ns MR4_I 01000208 2a001c5b - 979390 ns MR4_I 01000200 07c96841 - 979410 ns IT 01000200 6841 LDR r1,[r0,#4] - 979430 ns MR4_I 01000204 6002d1fc - 979490 ns MR4_D 40006004 00000001 - 979490 ns R r1 00000001 - 979490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 979510 ns R r1 80000000 - 979510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 979530 ns R psr 81000200 - 979530 ns MR4_I 01000208 2a001c5b - 979550 ns MR4_I 01000200 07c96841 - 979570 ns IT 01000200 6841 LDR r1,[r0,#4] - 979590 ns MR4_I 01000204 6002d1fc - 979650 ns MR4_D 40006004 00000001 - 979650 ns R r1 00000001 - 979650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 979670 ns R r1 80000000 - 979670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 979690 ns R psr 81000200 - 979690 ns MR4_I 01000208 2a001c5b - 979710 ns MR4_I 01000200 07c96841 - 979730 ns IT 01000200 6841 LDR r1,[r0,#4] - 979750 ns MR4_I 01000204 6002d1fc - 979810 ns MR4_D 40006004 00000001 - 979810 ns R r1 00000001 - 979810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 979830 ns R r1 80000000 - 979830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 979850 ns R psr 81000200 - 979850 ns MR4_I 01000208 2a001c5b - 979870 ns MR4_I 01000200 07c96841 - 979890 ns IT 01000200 6841 LDR r1,[r0,#4] - 979910 ns MR4_I 01000204 6002d1fc - 979970 ns MR4_D 40006004 00000001 - 979970 ns R r1 00000001 - 979970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 979990 ns R r1 80000000 - 979990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 980010 ns R psr 81000200 - 980010 ns MR4_I 01000208 2a001c5b - 980030 ns MR4_I 01000200 07c96841 - 980050 ns IT 01000200 6841 LDR r1,[r0,#4] - 980070 ns MR4_I 01000204 6002d1fc - 980130 ns MR4_D 40006004 00000001 - 980130 ns R r1 00000001 - 980130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 980150 ns R r1 80000000 - 980150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 980170 ns R psr 81000200 - 980170 ns MR4_I 01000208 2a001c5b - 980190 ns MR4_I 01000200 07c96841 - 980210 ns IT 01000200 6841 LDR r1,[r0,#4] - 980230 ns MR4_I 01000204 6002d1fc - 980290 ns MR4_D 40006004 00000001 - 980290 ns R r1 00000001 - 980290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 980310 ns R r1 80000000 - 980310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 980330 ns R psr 81000200 - 980330 ns MR4_I 01000208 2a001c5b - 980350 ns MR4_I 01000200 07c96841 - 980370 ns IT 01000200 6841 LDR r1,[r0,#4] - 980390 ns MR4_I 01000204 6002d1fc - 980450 ns MR4_D 40006004 00000001 - 980450 ns R r1 00000001 - 980450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 980470 ns R r1 80000000 - 980470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 980490 ns R psr 81000200 - 980490 ns MR4_I 01000208 2a001c5b - 980510 ns MR4_I 01000200 07c96841 - 980530 ns IT 01000200 6841 LDR r1,[r0,#4] - 980550 ns MR4_I 01000204 6002d1fc - 980610 ns MR4_D 40006004 00000001 - 980610 ns R r1 00000001 - 980610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 980630 ns R r1 80000000 - 980630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 980650 ns R psr 81000200 - 980650 ns MR4_I 01000208 2a001c5b - 980670 ns MR4_I 01000200 07c96841 - 980690 ns IT 01000200 6841 LDR r1,[r0,#4] - 980710 ns MR4_I 01000204 6002d1fc - 980770 ns MR4_D 40006004 00000001 - 980770 ns R r1 00000001 - 980770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 980790 ns R r1 80000000 - 980790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 980810 ns R psr 81000200 - 980810 ns MR4_I 01000208 2a001c5b - 980830 ns MR4_I 01000200 07c96841 - 980850 ns IT 01000200 6841 LDR r1,[r0,#4] - 980870 ns MR4_I 01000204 6002d1fc - 980930 ns MR4_D 40006004 00000001 - 980930 ns R r1 00000001 - 980930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 980950 ns R r1 80000000 - 980950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 980970 ns R psr 81000200 - 980970 ns MR4_I 01000208 2a001c5b - 980990 ns MR4_I 01000200 07c96841 - 981010 ns IT 01000200 6841 LDR r1,[r0,#4] - 981030 ns MR4_I 01000204 6002d1fc - 981090 ns MR4_D 40006004 00000001 - 981090 ns R r1 00000001 - 981090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 981110 ns R r1 80000000 - 981110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 981130 ns R psr 81000200 - 981130 ns MR4_I 01000208 2a001c5b - 981150 ns MR4_I 01000200 07c96841 - 981170 ns IT 01000200 6841 LDR r1,[r0,#4] - 981190 ns MR4_I 01000204 6002d1fc - 981250 ns MR4_D 40006004 00000001 - 981250 ns R r1 00000001 - 981250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 981270 ns R r1 80000000 - 981270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 981290 ns R psr 81000200 - 981290 ns MR4_I 01000208 2a001c5b - 981310 ns MR4_I 01000200 07c96841 - 981330 ns IT 01000200 6841 LDR r1,[r0,#4] - 981350 ns MR4_I 01000204 6002d1fc - 981410 ns MR4_D 40006004 00000001 - 981410 ns R r1 00000001 - 981410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 981430 ns R r1 80000000 - 981430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 981450 ns R psr 81000200 - 981450 ns MR4_I 01000208 2a001c5b - 981470 ns MR4_I 01000200 07c96841 - 981490 ns IT 01000200 6841 LDR r1,[r0,#4] - 981510 ns MR4_I 01000204 6002d1fc - 981570 ns MR4_D 40006004 00000001 - 981570 ns R r1 00000001 - 981570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 981590 ns R r1 80000000 - 981590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 981610 ns R psr 81000200 - 981610 ns MR4_I 01000208 2a001c5b - 981630 ns MR4_I 01000200 07c96841 - 981650 ns IT 01000200 6841 LDR r1,[r0,#4] - 981670 ns MR4_I 01000204 6002d1fc - 981730 ns MR4_D 40006004 00000001 - 981730 ns R r1 00000001 - 981730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 981750 ns R r1 80000000 - 981750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 981770 ns R psr 81000200 - 981770 ns MR4_I 01000208 2a001c5b - 981790 ns MR4_I 01000200 07c96841 - 981810 ns IT 01000200 6841 LDR r1,[r0,#4] - 981830 ns MR4_I 01000204 6002d1fc - 981890 ns MR4_D 40006004 00000001 - 981890 ns R r1 00000001 - 981890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 981910 ns R r1 80000000 - 981910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 981930 ns R psr 81000200 - 981930 ns MR4_I 01000208 2a001c5b - 981950 ns MR4_I 01000200 07c96841 - 981970 ns IT 01000200 6841 LDR r1,[r0,#4] - 981990 ns MR4_I 01000204 6002d1fc - 982050 ns MR4_D 40006004 00000001 - 982050 ns R r1 00000001 - 982050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 982070 ns R r1 80000000 - 982070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 982090 ns R psr 81000200 - 982090 ns MR4_I 01000208 2a001c5b - 982110 ns MR4_I 01000200 07c96841 - 982130 ns IT 01000200 6841 LDR r1,[r0,#4] - 982150 ns MR4_I 01000204 6002d1fc - 982210 ns MR4_D 40006004 00000001 - 982210 ns R r1 00000001 - 982210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 982230 ns R r1 80000000 - 982230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 982250 ns R psr 81000200 - 982250 ns MR4_I 01000208 2a001c5b - 982270 ns MR4_I 01000200 07c96841 - 982290 ns IT 01000200 6841 LDR r1,[r0,#4] - 982310 ns MR4_I 01000204 6002d1fc - 982370 ns MR4_D 40006004 00000001 - 982370 ns R r1 00000001 - 982370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 982390 ns R r1 80000000 - 982390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 982410 ns R psr 81000200 - 982410 ns MR4_I 01000208 2a001c5b - 982430 ns MR4_I 01000200 07c96841 - 982450 ns IT 01000200 6841 LDR r1,[r0,#4] - 982470 ns MR4_I 01000204 6002d1fc - 982530 ns MR4_D 40006004 00000001 - 982530 ns R r1 00000001 - 982530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 982550 ns R r1 80000000 - 982550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 982570 ns R psr 81000200 - 982570 ns MR4_I 01000208 2a001c5b - 982590 ns MR4_I 01000200 07c96841 - 982610 ns IT 01000200 6841 LDR r1,[r0,#4] - 982630 ns MR4_I 01000204 6002d1fc - 982690 ns MR4_D 40006004 00000001 - 982690 ns R r1 00000001 - 982690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 982710 ns R r1 80000000 - 982710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 982730 ns R psr 81000200 - 982730 ns MR4_I 01000208 2a001c5b - 982750 ns MR4_I 01000200 07c96841 - 982770 ns IT 01000200 6841 LDR r1,[r0,#4] - 982790 ns MR4_I 01000204 6002d1fc - 982850 ns MR4_D 40006004 00000001 - 982850 ns R r1 00000001 - 982850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 982870 ns R r1 80000000 - 982870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 982890 ns R psr 81000200 - 982890 ns MR4_I 01000208 2a001c5b - 982910 ns MR4_I 01000200 07c96841 - 982930 ns IT 01000200 6841 LDR r1,[r0,#4] - 982950 ns MR4_I 01000204 6002d1fc - 983010 ns MR4_D 40006004 00000001 - 983010 ns R r1 00000001 - 983010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 983030 ns R r1 80000000 - 983030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 983050 ns R psr 81000200 - 983050 ns MR4_I 01000208 2a001c5b - 983070 ns MR4_I 01000200 07c96841 - 983090 ns IT 01000200 6841 LDR r1,[r0,#4] - 983110 ns MR4_I 01000204 6002d1fc - 983170 ns MR4_D 40006004 00000001 - 983170 ns R r1 00000001 - 983170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 983190 ns R r1 80000000 - 983190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 983210 ns R psr 81000200 - 983210 ns MR4_I 01000208 2a001c5b - 983230 ns MR4_I 01000200 07c96841 - 983250 ns IT 01000200 6841 LDR r1,[r0,#4] - 983270 ns MR4_I 01000204 6002d1fc - 983330 ns MR4_D 40006004 00000001 - 983330 ns R r1 00000001 - 983330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 983350 ns R r1 80000000 - 983350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 983370 ns R psr 81000200 - 983370 ns MR4_I 01000208 2a001c5b - 983390 ns MR4_I 01000200 07c96841 - 983410 ns IT 01000200 6841 LDR r1,[r0,#4] - 983430 ns MR4_I 01000204 6002d1fc - 983490 ns MR4_D 40006004 00000001 - 983490 ns R r1 00000001 - 983490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 983510 ns R r1 80000000 - 983510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 983530 ns R psr 81000200 - 983530 ns MR4_I 01000208 2a001c5b - 983550 ns MR4_I 01000200 07c96841 - 983570 ns IT 01000200 6841 LDR r1,[r0,#4] - 983590 ns MR4_I 01000204 6002d1fc - 983650 ns MR4_D 40006004 00000001 - 983650 ns R r1 00000001 - 983650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 983670 ns R r1 80000000 - 983670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 983690 ns R psr 81000200 - 983690 ns MR4_I 01000208 2a001c5b - 983710 ns MR4_I 01000200 07c96841 - 983730 ns IT 01000200 6841 LDR r1,[r0,#4] - 983750 ns MR4_I 01000204 6002d1fc - 983810 ns MR4_D 40006004 00000001 - 983810 ns R r1 00000001 - 983810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 983830 ns R r1 80000000 - 983830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 983850 ns R psr 81000200 - 983850 ns MR4_I 01000208 2a001c5b - 983870 ns MR4_I 01000200 07c96841 - 983890 ns IT 01000200 6841 LDR r1,[r0,#4] - 983910 ns MR4_I 01000204 6002d1fc - 983970 ns MR4_D 40006004 00000001 - 983970 ns R r1 00000001 - 983970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 983990 ns R r1 80000000 - 983990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 984010 ns R psr 81000200 - 984010 ns MR4_I 01000208 2a001c5b - 984030 ns MR4_I 01000200 07c96841 - 984050 ns IT 01000200 6841 LDR r1,[r0,#4] - 984070 ns MR4_I 01000204 6002d1fc - 984130 ns MR4_D 40006004 00000001 - 984130 ns R r1 00000001 - 984130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 984150 ns R r1 80000000 - 984150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 984170 ns R psr 81000200 - 984170 ns MR4_I 01000208 2a001c5b - 984190 ns MR4_I 01000200 07c96841 - 984210 ns IT 01000200 6841 LDR r1,[r0,#4] - 984230 ns MR4_I 01000204 6002d1fc - 984290 ns MR4_D 40006004 00000001 - 984290 ns R r1 00000001 - 984290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 984310 ns R r1 80000000 - 984310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 984330 ns R psr 81000200 - 984330 ns MR4_I 01000208 2a001c5b - 984350 ns MR4_I 01000200 07c96841 - 984370 ns IT 01000200 6841 LDR r1,[r0,#4] - 984390 ns MR4_I 01000204 6002d1fc - 984450 ns MR4_D 40006004 00000001 - 984450 ns R r1 00000001 - 984450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 984470 ns R r1 80000000 - 984470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 984490 ns R psr 81000200 - 984490 ns MR4_I 01000208 2a001c5b - 984510 ns MR4_I 01000200 07c96841 - 984530 ns IT 01000200 6841 LDR r1,[r0,#4] - 984550 ns MR4_I 01000204 6002d1fc - 984610 ns MR4_D 40006004 00000001 - 984610 ns R r1 00000001 - 984610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 984630 ns R r1 80000000 - 984630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 984650 ns R psr 81000200 - 984650 ns MR4_I 01000208 2a001c5b - 984670 ns MR4_I 01000200 07c96841 - 984690 ns IT 01000200 6841 LDR r1,[r0,#4] - 984710 ns MR4_I 01000204 6002d1fc - 984770 ns MR4_D 40006004 00000001 - 984770 ns R r1 00000001 - 984770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 984790 ns R r1 80000000 - 984790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 984810 ns R psr 81000200 - 984810 ns MR4_I 01000208 2a001c5b - 984830 ns MR4_I 01000200 07c96841 - 984850 ns IT 01000200 6841 LDR r1,[r0,#4] - 984870 ns MR4_I 01000204 6002d1fc - 984930 ns MR4_D 40006004 00000001 - 984930 ns R r1 00000001 - 984930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 984950 ns R r1 80000000 - 984950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 984970 ns R psr 81000200 - 984970 ns MR4_I 01000208 2a001c5b - 984990 ns MR4_I 01000200 07c96841 - 985010 ns IT 01000200 6841 LDR r1,[r0,#4] - 985030 ns MR4_I 01000204 6002d1fc - 985090 ns MR4_D 40006004 00000001 - 985090 ns R r1 00000001 - 985090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 985110 ns R r1 80000000 - 985110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 985130 ns R psr 81000200 - 985130 ns MR4_I 01000208 2a001c5b - 985150 ns MR4_I 01000200 07c96841 - 985170 ns IT 01000200 6841 LDR r1,[r0,#4] - 985190 ns MR4_I 01000204 6002d1fc - 985250 ns MR4_D 40006004 00000001 - 985250 ns R r1 00000001 - 985250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 985270 ns R r1 80000000 - 985270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 985290 ns R psr 81000200 - 985290 ns MR4_I 01000208 2a001c5b - 985310 ns MR4_I 01000200 07c96841 - 985330 ns IT 01000200 6841 LDR r1,[r0,#4] - 985350 ns MR4_I 01000204 6002d1fc - 985410 ns MR4_D 40006004 00000001 - 985410 ns R r1 00000001 - 985410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 985430 ns R r1 80000000 - 985430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 985450 ns R psr 81000200 - 985450 ns MR4_I 01000208 2a001c5b - 985470 ns MR4_I 01000200 07c96841 - 985490 ns IT 01000200 6841 LDR r1,[r0,#4] - 985510 ns MR4_I 01000204 6002d1fc - 985570 ns MR4_D 40006004 00000001 - 985570 ns R r1 00000001 - 985570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 985590 ns R r1 80000000 - 985590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 985610 ns R psr 81000200 - 985610 ns MR4_I 01000208 2a001c5b - 985630 ns MR4_I 01000200 07c96841 - 985650 ns IT 01000200 6841 LDR r1,[r0,#4] - 985670 ns MR4_I 01000204 6002d1fc - 985730 ns MR4_D 40006004 00000001 - 985730 ns R r1 00000001 - 985730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 985750 ns R r1 80000000 - 985750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 985770 ns R psr 81000200 - 985770 ns MR4_I 01000208 2a001c5b - 985790 ns MR4_I 01000200 07c96841 - 985810 ns IT 01000200 6841 LDR r1,[r0,#4] - 985830 ns MR4_I 01000204 6002d1fc - 985890 ns MR4_D 40006004 00000001 - 985890 ns R r1 00000001 - 985890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 985910 ns R r1 80000000 - 985910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 985930 ns R psr 81000200 - 985930 ns MR4_I 01000208 2a001c5b - 985950 ns MR4_I 01000200 07c96841 - 985970 ns IT 01000200 6841 LDR r1,[r0,#4] - 985990 ns MR4_I 01000204 6002d1fc - 986050 ns MR4_D 40006004 00000001 - 986050 ns R r1 00000001 - 986050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 986070 ns R r1 80000000 - 986070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 986090 ns R psr 81000200 - 986090 ns MR4_I 01000208 2a001c5b - 986110 ns MR4_I 01000200 07c96841 - 986130 ns IT 01000200 6841 LDR r1,[r0,#4] - 986150 ns MR4_I 01000204 6002d1fc - 986210 ns MR4_D 40006004 00000001 - 986210 ns R r1 00000001 - 986210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 986230 ns R r1 80000000 - 986230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 986250 ns R psr 81000200 - 986250 ns MR4_I 01000208 2a001c5b - 986270 ns MR4_I 01000200 07c96841 - 986290 ns IT 01000200 6841 LDR r1,[r0,#4] - 986310 ns MR4_I 01000204 6002d1fc - 986370 ns MR4_D 40006004 00000001 - 986370 ns R r1 00000001 - 986370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 986390 ns R r1 80000000 - 986390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 986410 ns R psr 81000200 - 986410 ns MR4_I 01000208 2a001c5b - 986430 ns MR4_I 01000200 07c96841 - 986450 ns IT 01000200 6841 LDR r1,[r0,#4] - 986470 ns MR4_I 01000204 6002d1fc - 986530 ns MR4_D 40006004 00000001 - 986530 ns R r1 00000001 - 986530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 986550 ns R r1 80000000 - 986550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 986570 ns R psr 81000200 - 986570 ns MR4_I 01000208 2a001c5b - 986590 ns MR4_I 01000200 07c96841 - 986610 ns IT 01000200 6841 LDR r1,[r0,#4] - 986630 ns MR4_I 01000204 6002d1fc - 986690 ns MR4_D 40006004 00000001 - 986690 ns R r1 00000001 - 986690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 986710 ns R r1 80000000 - 986710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 986730 ns R psr 81000200 - 986730 ns MR4_I 01000208 2a001c5b - 986750 ns MR4_I 01000200 07c96841 - 986770 ns IT 01000200 6841 LDR r1,[r0,#4] - 986790 ns MR4_I 01000204 6002d1fc - 986850 ns MR4_D 40006004 00000001 - 986850 ns R r1 00000001 - 986850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 986870 ns R r1 80000000 - 986870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 986890 ns R psr 81000200 - 986890 ns MR4_I 01000208 2a001c5b - 986910 ns MR4_I 01000200 07c96841 - 986930 ns IT 01000200 6841 LDR r1,[r0,#4] - 986950 ns MR4_I 01000204 6002d1fc - 987010 ns MR4_D 40006004 00000001 - 987010 ns R r1 00000001 - 987010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 987030 ns R r1 80000000 - 987030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 987050 ns R psr 81000200 - 987050 ns MR4_I 01000208 2a001c5b - 987070 ns MR4_I 01000200 07c96841 - 987090 ns IT 01000200 6841 LDR r1,[r0,#4] - 987110 ns MR4_I 01000204 6002d1fc - 987170 ns MR4_D 40006004 00000001 - 987170 ns R r1 00000001 - 987170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 987190 ns R r1 80000000 - 987190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 987210 ns R psr 81000200 - 987210 ns MR4_I 01000208 2a001c5b - 987230 ns MR4_I 01000200 07c96841 - 987250 ns IT 01000200 6841 LDR r1,[r0,#4] - 987270 ns MR4_I 01000204 6002d1fc - 987330 ns MR4_D 40006004 00000001 - 987330 ns R r1 00000001 - 987330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 987350 ns R r1 80000000 - 987350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 987370 ns R psr 81000200 - 987370 ns MR4_I 01000208 2a001c5b - 987390 ns MR4_I 01000200 07c96841 - 987410 ns IT 01000200 6841 LDR r1,[r0,#4] - 987430 ns MR4_I 01000204 6002d1fc - 987490 ns MR4_D 40006004 00000001 - 987490 ns R r1 00000001 - 987490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 987510 ns R r1 80000000 - 987510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 987530 ns R psr 81000200 - 987530 ns MR4_I 01000208 2a001c5b - 987550 ns MR4_I 01000200 07c96841 - 987570 ns IT 01000200 6841 LDR r1,[r0,#4] - 987590 ns MR4_I 01000204 6002d1fc - 987650 ns MR4_D 40006004 00000001 - 987650 ns R r1 00000001 - 987650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 987670 ns R r1 80000000 - 987670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 987690 ns R psr 81000200 - 987690 ns MR4_I 01000208 2a001c5b - 987710 ns MR4_I 01000200 07c96841 - 987730 ns IT 01000200 6841 LDR r1,[r0,#4] - 987750 ns MR4_I 01000204 6002d1fc - 987810 ns MR4_D 40006004 00000001 - 987810 ns R r1 00000001 - 987810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 987830 ns R r1 80000000 - 987830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 987850 ns R psr 81000200 - 987850 ns MR4_I 01000208 2a001c5b - 987870 ns MR4_I 01000200 07c96841 - 987890 ns IT 01000200 6841 LDR r1,[r0,#4] - 987910 ns MR4_I 01000204 6002d1fc - 987970 ns MR4_D 40006004 00000001 - 987970 ns R r1 00000001 - 987970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 987990 ns R r1 80000000 - 987990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 988010 ns R psr 81000200 - 988010 ns MR4_I 01000208 2a001c5b - 988030 ns MR4_I 01000200 07c96841 - 988050 ns IT 01000200 6841 LDR r1,[r0,#4] - 988070 ns MR4_I 01000204 6002d1fc - 988130 ns MR4_D 40006004 00000001 - 988130 ns R r1 00000001 - 988130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 988150 ns R r1 80000000 - 988150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 988170 ns R psr 81000200 - 988170 ns MR4_I 01000208 2a001c5b - 988190 ns MR4_I 01000200 07c96841 - 988210 ns IT 01000200 6841 LDR r1,[r0,#4] - 988230 ns MR4_I 01000204 6002d1fc - 988290 ns MR4_D 40006004 00000001 - 988290 ns R r1 00000001 - 988290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 988310 ns R r1 80000000 - 988310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 988330 ns R psr 81000200 - 988330 ns MR4_I 01000208 2a001c5b - 988350 ns MR4_I 01000200 07c96841 - 988370 ns IT 01000200 6841 LDR r1,[r0,#4] - 988390 ns MR4_I 01000204 6002d1fc - 988450 ns MR4_D 40006004 00000001 - 988450 ns R r1 00000001 - 988450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 988470 ns R r1 80000000 - 988470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 988490 ns R psr 81000200 - 988490 ns MR4_I 01000208 2a001c5b - 988510 ns MR4_I 01000200 07c96841 - 988530 ns IT 01000200 6841 LDR r1,[r0,#4] - 988550 ns MR4_I 01000204 6002d1fc - 988610 ns MR4_D 40006004 00000001 - 988610 ns R r1 00000001 - 988610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 988630 ns R r1 80000000 - 988630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 988650 ns R psr 81000200 - 988650 ns MR4_I 01000208 2a001c5b - 988670 ns MR4_I 01000200 07c96841 - 988690 ns IT 01000200 6841 LDR r1,[r0,#4] - 988710 ns MR4_I 01000204 6002d1fc - 988770 ns MR4_D 40006004 00000001 - 988770 ns R r1 00000001 - 988770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 988790 ns R r1 80000000 - 988790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 988810 ns R psr 81000200 - 988810 ns MR4_I 01000208 2a001c5b - 988830 ns MR4_I 01000200 07c96841 - 988850 ns IT 01000200 6841 LDR r1,[r0,#4] - 988870 ns MR4_I 01000204 6002d1fc - 988930 ns MR4_D 40006004 00000001 - 988930 ns R r1 00000001 - 988930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 988950 ns R r1 80000000 - 988950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 988970 ns R psr 81000200 - 988970 ns MR4_I 01000208 2a001c5b - 988990 ns MR4_I 01000200 07c96841 - 989010 ns IT 01000200 6841 LDR r1,[r0,#4] - 989030 ns MR4_I 01000204 6002d1fc - 989090 ns MR4_D 40006004 00000001 - 989090 ns R r1 00000001 - 989090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 989110 ns R r1 80000000 - 989110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 989130 ns R psr 81000200 - 989130 ns MR4_I 01000208 2a001c5b - 989150 ns MR4_I 01000200 07c96841 - 989170 ns IT 01000200 6841 LDR r1,[r0,#4] - 989190 ns MR4_I 01000204 6002d1fc - 989250 ns MR4_D 40006004 00000001 - 989250 ns R r1 00000001 - 989250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 989270 ns R r1 80000000 - 989270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 989290 ns R psr 81000200 - 989290 ns MR4_I 01000208 2a001c5b - 989310 ns MR4_I 01000200 07c96841 - 989330 ns IT 01000200 6841 LDR r1,[r0,#4] - 989350 ns MR4_I 01000204 6002d1fc - 989410 ns MR4_D 40006004 00000001 - 989410 ns R r1 00000001 - 989410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 989430 ns R r1 80000000 - 989430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 989450 ns R psr 81000200 - 989450 ns MR4_I 01000208 2a001c5b - 989470 ns MR4_I 01000200 07c96841 - 989490 ns IT 01000200 6841 LDR r1,[r0,#4] - 989510 ns MR4_I 01000204 6002d1fc - 989570 ns MR4_D 40006004 00000001 - 989570 ns R r1 00000001 - 989570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 989590 ns R r1 80000000 - 989590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 989610 ns R psr 81000200 - 989610 ns MR4_I 01000208 2a001c5b - 989630 ns MR4_I 01000200 07c96841 - 989650 ns IT 01000200 6841 LDR r1,[r0,#4] - 989670 ns MR4_I 01000204 6002d1fc - 989730 ns MR4_D 40006004 00000001 - 989730 ns R r1 00000001 - 989730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 989750 ns R r1 80000000 - 989750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 989770 ns R psr 81000200 - 989770 ns MR4_I 01000208 2a001c5b - 989790 ns MR4_I 01000200 07c96841 - 989810 ns IT 01000200 6841 LDR r1,[r0,#4] - 989830 ns MR4_I 01000204 6002d1fc - 989890 ns MR4_D 40006004 00000001 - 989890 ns R r1 00000001 - 989890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 989910 ns R r1 80000000 - 989910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 989930 ns R psr 81000200 - 989930 ns MR4_I 01000208 2a001c5b - 989950 ns MR4_I 01000200 07c96841 - 989970 ns IT 01000200 6841 LDR r1,[r0,#4] - 989990 ns MR4_I 01000204 6002d1fc - 990050 ns MR4_D 40006004 00000001 - 990050 ns R r1 00000001 - 990050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 990070 ns R r1 80000000 - 990070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 990090 ns R psr 81000200 - 990090 ns MR4_I 01000208 2a001c5b - 990110 ns MR4_I 01000200 07c96841 - 990130 ns IT 01000200 6841 LDR r1,[r0,#4] - 990150 ns MR4_I 01000204 6002d1fc - 990210 ns MR4_D 40006004 00000001 - 990210 ns R r1 00000001 - 990210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 990230 ns R r1 80000000 - 990230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 990250 ns R psr 81000200 - 990250 ns MR4_I 01000208 2a001c5b - 990270 ns MR4_I 01000200 07c96841 - 990290 ns IT 01000200 6841 LDR r1,[r0,#4] - 990310 ns MR4_I 01000204 6002d1fc - 990370 ns MR4_D 40006004 00000001 - 990370 ns R r1 00000001 - 990370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 990390 ns R r1 80000000 - 990390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 990410 ns R psr 81000200 - 990410 ns MR4_I 01000208 2a001c5b - 990430 ns MR4_I 01000200 07c96841 - 990450 ns IT 01000200 6841 LDR r1,[r0,#4] - 990470 ns MR4_I 01000204 6002d1fc - 990530 ns MR4_D 40006004 00000001 - 990530 ns R r1 00000001 - 990530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 990550 ns R r1 80000000 - 990550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 990570 ns R psr 81000200 - 990570 ns MR4_I 01000208 2a001c5b - 990590 ns MR4_I 01000200 07c96841 - 990610 ns IT 01000200 6841 LDR r1,[r0,#4] - 990630 ns MR4_I 01000204 6002d1fc - 990690 ns MR4_D 40006004 00000001 - 990690 ns R r1 00000001 - 990690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 990710 ns R r1 80000000 - 990710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 990730 ns R psr 81000200 - 990730 ns MR4_I 01000208 2a001c5b - 990750 ns MR4_I 01000200 07c96841 - 990770 ns IT 01000200 6841 LDR r1,[r0,#4] - 990790 ns MR4_I 01000204 6002d1fc - 990850 ns MR4_D 40006004 00000001 - 990850 ns R r1 00000001 - 990850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 990870 ns R r1 80000000 - 990870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 990890 ns R psr 81000200 - 990890 ns MR4_I 01000208 2a001c5b - 990910 ns MR4_I 01000200 07c96841 - 990930 ns IT 01000200 6841 LDR r1,[r0,#4] - 990950 ns MR4_I 01000204 6002d1fc - 991010 ns MR4_D 40006004 00000001 - 991010 ns R r1 00000001 - 991010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 991030 ns R r1 80000000 - 991030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 991050 ns R psr 81000200 - 991050 ns MR4_I 01000208 2a001c5b - 991070 ns MR4_I 01000200 07c96841 - 991090 ns IT 01000200 6841 LDR r1,[r0,#4] - 991110 ns MR4_I 01000204 6002d1fc - 991170 ns MR4_D 40006004 00000001 - 991170 ns R r1 00000001 - 991170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 991190 ns R r1 80000000 - 991190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 991210 ns R psr 81000200 - 991210 ns MR4_I 01000208 2a001c5b - 991230 ns MR4_I 01000200 07c96841 - 991250 ns IT 01000200 6841 LDR r1,[r0,#4] - 991270 ns MR4_I 01000204 6002d1fc - 991330 ns MR4_D 40006004 00000001 - 991330 ns R r1 00000001 - 991330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 991350 ns R r1 80000000 - 991350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 991370 ns R psr 81000200 - 991370 ns MR4_I 01000208 2a001c5b - 991390 ns MR4_I 01000200 07c96841 - 991410 ns IT 01000200 6841 LDR r1,[r0,#4] - 991430 ns MR4_I 01000204 6002d1fc - 991490 ns MR4_D 40006004 00000001 - 991490 ns R r1 00000001 - 991490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 991510 ns R r1 80000000 - 991510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 991530 ns R psr 81000200 - 991530 ns MR4_I 01000208 2a001c5b - 991550 ns MR4_I 01000200 07c96841 - 991570 ns IT 01000200 6841 LDR r1,[r0,#4] - 991590 ns MR4_I 01000204 6002d1fc - 991650 ns MR4_D 40006004 00000001 - 991650 ns R r1 00000001 - 991650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 991670 ns R r1 80000000 - 991670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 991690 ns R psr 81000200 - 991690 ns MR4_I 01000208 2a001c5b - 991710 ns MR4_I 01000200 07c96841 - 991730 ns IT 01000200 6841 LDR r1,[r0,#4] - 991750 ns MR4_I 01000204 6002d1fc - 991810 ns MR4_D 40006004 00000001 - 991810 ns R r1 00000001 - 991810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 991830 ns R r1 80000000 - 991830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 991850 ns R psr 81000200 - 991850 ns MR4_I 01000208 2a001c5b - 991870 ns MR4_I 01000200 07c96841 - 991890 ns IT 01000200 6841 LDR r1,[r0,#4] - 991910 ns MR4_I 01000204 6002d1fc - 991970 ns MR4_D 40006004 00000001 - 991970 ns R r1 00000001 - 991970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 991990 ns R r1 80000000 - 991990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 992010 ns R psr 81000200 - 992010 ns MR4_I 01000208 2a001c5b - 992030 ns MR4_I 01000200 07c96841 - 992050 ns IT 01000200 6841 LDR r1,[r0,#4] - 992070 ns MR4_I 01000204 6002d1fc - 992130 ns MR4_D 40006004 00000001 - 992130 ns R r1 00000001 - 992130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 992150 ns R r1 80000000 - 992150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 992170 ns R psr 81000200 - 992170 ns MR4_I 01000208 2a001c5b - 992190 ns MR4_I 01000200 07c96841 - 992210 ns IT 01000200 6841 LDR r1,[r0,#4] - 992230 ns MR4_I 01000204 6002d1fc - 992290 ns MR4_D 40006004 00000001 - 992290 ns R r1 00000001 - 992290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 992310 ns R r1 80000000 - 992310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 992330 ns R psr 81000200 - 992330 ns MR4_I 01000208 2a001c5b - 992350 ns MR4_I 01000200 07c96841 - 992370 ns IT 01000200 6841 LDR r1,[r0,#4] - 992390 ns MR4_I 01000204 6002d1fc - 992450 ns MR4_D 40006004 00000001 - 992450 ns R r1 00000001 - 992450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 992470 ns R r1 80000000 - 992470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 992490 ns R psr 81000200 - 992490 ns MR4_I 01000208 2a001c5b - 992510 ns MR4_I 01000200 07c96841 - 992530 ns IT 01000200 6841 LDR r1,[r0,#4] - 992550 ns MR4_I 01000204 6002d1fc - 992610 ns MR4_D 40006004 00000001 - 992610 ns R r1 00000001 - 992610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 992630 ns R r1 80000000 - 992630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 992650 ns R psr 81000200 - 992650 ns MR4_I 01000208 2a001c5b - 992670 ns MR4_I 01000200 07c96841 - 992690 ns IT 01000200 6841 LDR r1,[r0,#4] - 992710 ns MR4_I 01000204 6002d1fc - 992770 ns MR4_D 40006004 00000001 - 992770 ns R r1 00000001 - 992770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 992790 ns R r1 80000000 - 992790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 992810 ns R psr 81000200 - 992810 ns MR4_I 01000208 2a001c5b - 992830 ns MR4_I 01000200 07c96841 - 992850 ns IT 01000200 6841 LDR r1,[r0,#4] - 992870 ns MR4_I 01000204 6002d1fc - 992930 ns MR4_D 40006004 00000001 - 992930 ns R r1 00000001 - 992930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 992950 ns R r1 80000000 - 992950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 992970 ns R psr 81000200 - 992970 ns MR4_I 01000208 2a001c5b - 992990 ns MR4_I 01000200 07c96841 - 993010 ns IT 01000200 6841 LDR r1,[r0,#4] - 993030 ns MR4_I 01000204 6002d1fc - 993090 ns MR4_D 40006004 00000001 - 993090 ns R r1 00000001 - 993090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 993110 ns R r1 80000000 - 993110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 993130 ns R psr 81000200 - 993130 ns MR4_I 01000208 2a001c5b - 993150 ns MR4_I 01000200 07c96841 - 993170 ns IT 01000200 6841 LDR r1,[r0,#4] - 993190 ns MR4_I 01000204 6002d1fc - 993250 ns MR4_D 40006004 00000001 - 993250 ns R r1 00000001 - 993250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 993270 ns R r1 80000000 - 993270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 993290 ns R psr 81000200 - 993290 ns MR4_I 01000208 2a001c5b - 993310 ns MR4_I 01000200 07c96841 - 993330 ns IT 01000200 6841 LDR r1,[r0,#4] - 993350 ns MR4_I 01000204 6002d1fc - 993410 ns MR4_D 40006004 00000001 - 993410 ns R r1 00000001 - 993410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 993430 ns R r1 80000000 - 993430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 993450 ns R psr 81000200 - 993450 ns MR4_I 01000208 2a001c5b - 993470 ns MR4_I 01000200 07c96841 - 993490 ns IT 01000200 6841 LDR r1,[r0,#4] - 993510 ns MR4_I 01000204 6002d1fc - 993570 ns MR4_D 40006004 00000001 - 993570 ns R r1 00000001 - 993570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 993590 ns R r1 80000000 - 993590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 993610 ns R psr 81000200 - 993610 ns MR4_I 01000208 2a001c5b - 993630 ns MR4_I 01000200 07c96841 - 993650 ns IT 01000200 6841 LDR r1,[r0,#4] - 993670 ns MR4_I 01000204 6002d1fc - 993730 ns MR4_D 40006004 00000001 - 993730 ns R r1 00000001 - 993730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 993750 ns R r1 80000000 - 993750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 993770 ns R psr 81000200 - 993770 ns MR4_I 01000208 2a001c5b - 993790 ns MR4_I 01000200 07c96841 - 993810 ns IT 01000200 6841 LDR r1,[r0,#4] - 993830 ns MR4_I 01000204 6002d1fc - 993890 ns MR4_D 40006004 00000001 - 993890 ns R r1 00000001 - 993890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 993910 ns R r1 80000000 - 993910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 993930 ns R psr 81000200 - 993930 ns MR4_I 01000208 2a001c5b - 993950 ns MR4_I 01000200 07c96841 - 993970 ns IT 01000200 6841 LDR r1,[r0,#4] - 993990 ns MR4_I 01000204 6002d1fc - 994050 ns MR4_D 40006004 00000001 - 994050 ns R r1 00000001 - 994050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 994070 ns R r1 80000000 - 994070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 994090 ns R psr 81000200 - 994090 ns MR4_I 01000208 2a001c5b - 994110 ns MR4_I 01000200 07c96841 - 994130 ns IT 01000200 6841 LDR r1,[r0,#4] - 994150 ns MR4_I 01000204 6002d1fc - 994210 ns MR4_D 40006004 00000001 - 994210 ns R r1 00000001 - 994210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 994230 ns R r1 80000000 - 994230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 994250 ns R psr 81000200 - 994250 ns MR4_I 01000208 2a001c5b - 994270 ns MR4_I 01000200 07c96841 - 994290 ns IT 01000200 6841 LDR r1,[r0,#4] - 994310 ns MR4_I 01000204 6002d1fc - 994370 ns MR4_D 40006004 00000001 - 994370 ns R r1 00000001 - 994370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 994390 ns R r1 80000000 - 994390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 994410 ns R psr 81000200 - 994410 ns MR4_I 01000208 2a001c5b - 994430 ns MR4_I 01000200 07c96841 - 994450 ns IT 01000200 6841 LDR r1,[r0,#4] - 994470 ns MR4_I 01000204 6002d1fc - 994530 ns MR4_D 40006004 00000001 - 994530 ns R r1 00000001 - 994530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 994550 ns R r1 80000000 - 994550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 994570 ns R psr 81000200 - 994570 ns MR4_I 01000208 2a001c5b - 994590 ns MR4_I 01000200 07c96841 - 994610 ns IT 01000200 6841 LDR r1,[r0,#4] - 994630 ns MR4_I 01000204 6002d1fc - 994690 ns MR4_D 40006004 00000001 - 994690 ns R r1 00000001 - 994690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 994710 ns R r1 80000000 - 994710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 994730 ns R psr 81000200 - 994730 ns MR4_I 01000208 2a001c5b - 994750 ns MR4_I 01000200 07c96841 - 994770 ns IT 01000200 6841 LDR r1,[r0,#4] - 994790 ns MR4_I 01000204 6002d1fc - 994850 ns MR4_D 40006004 00000001 - 994850 ns R r1 00000001 - 994850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 994870 ns R r1 80000000 - 994870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 994890 ns R psr 81000200 - 994890 ns MR4_I 01000208 2a001c5b - 994910 ns MR4_I 01000200 07c96841 - 994930 ns IT 01000200 6841 LDR r1,[r0,#4] - 994950 ns MR4_I 01000204 6002d1fc - 995010 ns MR4_D 40006004 00000001 - 995010 ns R r1 00000001 - 995010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 995030 ns R r1 80000000 - 995030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 995050 ns R psr 81000200 - 995050 ns MR4_I 01000208 2a001c5b - 995070 ns MR4_I 01000200 07c96841 - 995090 ns IT 01000200 6841 LDR r1,[r0,#4] - 995110 ns MR4_I 01000204 6002d1fc - 995170 ns MR4_D 40006004 00000001 - 995170 ns R r1 00000001 - 995170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 995190 ns R r1 80000000 - 995190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 995210 ns R psr 81000200 - 995210 ns MR4_I 01000208 2a001c5b - 995230 ns MR4_I 01000200 07c96841 - 995250 ns IT 01000200 6841 LDR r1,[r0,#4] - 995270 ns MR4_I 01000204 6002d1fc - 995330 ns MR4_D 40006004 00000001 - 995330 ns R r1 00000001 - 995330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 995350 ns R r1 80000000 - 995350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 995370 ns R psr 81000200 - 995370 ns MR4_I 01000208 2a001c5b - 995390 ns MR4_I 01000200 07c96841 - 995410 ns IT 01000200 6841 LDR r1,[r0,#4] - 995430 ns MR4_I 01000204 6002d1fc - 995490 ns MR4_D 40006004 00000001 - 995490 ns R r1 00000001 - 995490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 995510 ns R r1 80000000 - 995510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 995530 ns R psr 81000200 - 995530 ns MR4_I 01000208 2a001c5b - 995550 ns MR4_I 01000200 07c96841 - 995570 ns IT 01000200 6841 LDR r1,[r0,#4] - 995590 ns MR4_I 01000204 6002d1fc - 995650 ns MR4_D 40006004 00000001 - 995650 ns R r1 00000001 - 995650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 995670 ns R r1 80000000 - 995670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 995690 ns R psr 81000200 - 995690 ns MR4_I 01000208 2a001c5b - 995710 ns MR4_I 01000200 07c96841 - 995730 ns IT 01000200 6841 LDR r1,[r0,#4] - 995750 ns MR4_I 01000204 6002d1fc - 995810 ns MR4_D 40006004 00000001 - 995810 ns R r1 00000001 - 995810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 995830 ns R r1 80000000 - 995830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 995850 ns R psr 81000200 - 995850 ns MR4_I 01000208 2a001c5b - 995870 ns MR4_I 01000200 07c96841 - 995890 ns IT 01000200 6841 LDR r1,[r0,#4] - 995910 ns MR4_I 01000204 6002d1fc - 995970 ns MR4_D 40006004 00000001 - 995970 ns R r1 00000001 - 995970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 995990 ns R r1 80000000 - 995990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 996010 ns R psr 81000200 - 996010 ns MR4_I 01000208 2a001c5b - 996030 ns MR4_I 01000200 07c96841 - 996050 ns IT 01000200 6841 LDR r1,[r0,#4] - 996070 ns MR4_I 01000204 6002d1fc - 996130 ns MR4_D 40006004 00000001 - 996130 ns R r1 00000001 - 996130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 996150 ns R r1 80000000 - 996150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 996170 ns R psr 81000200 - 996170 ns MR4_I 01000208 2a001c5b - 996190 ns MR4_I 01000200 07c96841 - 996210 ns IT 01000200 6841 LDR r1,[r0,#4] - 996230 ns MR4_I 01000204 6002d1fc - 996290 ns MR4_D 40006004 00000001 - 996290 ns R r1 00000001 - 996290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 996310 ns R r1 80000000 - 996310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 996330 ns R psr 81000200 - 996330 ns MR4_I 01000208 2a001c5b - 996350 ns MR4_I 01000200 07c96841 - 996370 ns IT 01000200 6841 LDR r1,[r0,#4] - 996390 ns MR4_I 01000204 6002d1fc - 996450 ns MR4_D 40006004 00000001 - 996450 ns R r1 00000001 - 996450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 996470 ns R r1 80000000 - 996470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 996490 ns R psr 81000200 - 996490 ns MR4_I 01000208 2a001c5b - 996510 ns MR4_I 01000200 07c96841 - 996530 ns IT 01000200 6841 LDR r1,[r0,#4] - 996550 ns MR4_I 01000204 6002d1fc - 996610 ns MR4_D 40006004 00000001 - 996610 ns R r1 00000001 - 996610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 996630 ns R r1 80000000 - 996630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 996650 ns R psr 81000200 - 996650 ns MR4_I 01000208 2a001c5b - 996670 ns MR4_I 01000200 07c96841 - 996690 ns IT 01000200 6841 LDR r1,[r0,#4] - 996710 ns MR4_I 01000204 6002d1fc - 996770 ns MR4_D 40006004 00000001 - 996770 ns R r1 00000001 - 996770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 996790 ns R r1 80000000 - 996790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 996810 ns R psr 81000200 - 996810 ns MR4_I 01000208 2a001c5b - 996830 ns MR4_I 01000200 07c96841 - 996850 ns IT 01000200 6841 LDR r1,[r0,#4] - 996870 ns MR4_I 01000204 6002d1fc - 996930 ns MR4_D 40006004 00000001 - 996930 ns R r1 00000001 - 996930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 996950 ns R r1 80000000 - 996950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 996970 ns R psr 81000200 - 996970 ns MR4_I 01000208 2a001c5b - 996990 ns MR4_I 01000200 07c96841 - 997010 ns IT 01000200 6841 LDR r1,[r0,#4] - 997030 ns MR4_I 01000204 6002d1fc - 997090 ns MR4_D 40006004 00000001 - 997090 ns R r1 00000001 - 997090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 997110 ns R r1 80000000 - 997110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 997130 ns R psr 81000200 - 997130 ns MR4_I 01000208 2a001c5b - 997150 ns MR4_I 01000200 07c96841 - 997170 ns IT 01000200 6841 LDR r1,[r0,#4] - 997190 ns MR4_I 01000204 6002d1fc - 997250 ns MR4_D 40006004 00000001 - 997250 ns R r1 00000001 - 997250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 997270 ns R r1 80000000 - 997270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 997290 ns R psr 81000200 - 997290 ns MR4_I 01000208 2a001c5b - 997310 ns MR4_I 01000200 07c96841 - 997330 ns IT 01000200 6841 LDR r1,[r0,#4] - 997350 ns MR4_I 01000204 6002d1fc - 997410 ns MR4_D 40006004 00000001 - 997410 ns R r1 00000001 - 997410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 997430 ns R r1 80000000 - 997430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 997450 ns R psr 81000200 - 997450 ns MR4_I 01000208 2a001c5b - 997470 ns MR4_I 01000200 07c96841 - 997490 ns IT 01000200 6841 LDR r1,[r0,#4] - 997510 ns MR4_I 01000204 6002d1fc - 997570 ns MR4_D 40006004 00000001 - 997570 ns R r1 00000001 - 997570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 997590 ns R r1 80000000 - 997590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 997610 ns R psr 81000200 - 997610 ns MR4_I 01000208 2a001c5b - 997630 ns MR4_I 01000200 07c96841 - 997650 ns IT 01000200 6841 LDR r1,[r0,#4] - 997670 ns MR4_I 01000204 6002d1fc - 997730 ns MR4_D 40006004 00000001 - 997730 ns R r1 00000001 - 997730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 997750 ns R r1 80000000 - 997750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 997770 ns R psr 81000200 - 997770 ns MR4_I 01000208 2a001c5b - 997790 ns MR4_I 01000200 07c96841 - 997810 ns IT 01000200 6841 LDR r1,[r0,#4] - 997830 ns MR4_I 01000204 6002d1fc - 997890 ns MR4_D 40006004 00000001 - 997890 ns R r1 00000001 - 997890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 997910 ns R r1 80000000 - 997910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 997930 ns R psr 81000200 - 997930 ns MR4_I 01000208 2a001c5b - 997950 ns MR4_I 01000200 07c96841 - 997970 ns IT 01000200 6841 LDR r1,[r0,#4] - 997990 ns MR4_I 01000204 6002d1fc - 998050 ns MR4_D 40006004 00000001 - 998050 ns R r1 00000001 - 998050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 998070 ns R r1 80000000 - 998070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 998090 ns R psr 81000200 - 998090 ns MR4_I 01000208 2a001c5b - 998110 ns MR4_I 01000200 07c96841 - 998130 ns IT 01000200 6841 LDR r1,[r0,#4] - 998150 ns MR4_I 01000204 6002d1fc - 998210 ns MR4_D 40006004 00000001 - 998210 ns R r1 00000001 - 998210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 998230 ns R r1 80000000 - 998230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 998250 ns R psr 81000200 - 998250 ns MR4_I 01000208 2a001c5b - 998270 ns MR4_I 01000200 07c96841 - 998290 ns IT 01000200 6841 LDR r1,[r0,#4] - 998310 ns MR4_I 01000204 6002d1fc - 998370 ns MR4_D 40006004 00000001 - 998370 ns R r1 00000001 - 998370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 998390 ns R r1 80000000 - 998390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 998410 ns R psr 81000200 - 998410 ns MR4_I 01000208 2a001c5b - 998430 ns MR4_I 01000200 07c96841 - 998450 ns IT 01000200 6841 LDR r1,[r0,#4] - 998470 ns MR4_I 01000204 6002d1fc - 998530 ns MR4_D 40006004 00000001 - 998530 ns R r1 00000001 - 998530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 998550 ns R r1 80000000 - 998550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 998570 ns R psr 81000200 - 998570 ns MR4_I 01000208 2a001c5b - 998590 ns MR4_I 01000200 07c96841 - 998610 ns IT 01000200 6841 LDR r1,[r0,#4] - 998630 ns MR4_I 01000204 6002d1fc - 998690 ns MR4_D 40006004 00000001 - 998690 ns R r1 00000001 - 998690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 998710 ns R r1 80000000 - 998710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 998730 ns R psr 81000200 - 998730 ns MR4_I 01000208 2a001c5b - 998750 ns MR4_I 01000200 07c96841 - 998770 ns IT 01000200 6841 LDR r1,[r0,#4] - 998790 ns MR4_I 01000204 6002d1fc - 998850 ns MR4_D 40006004 00000001 - 998850 ns R r1 00000001 - 998850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 998870 ns R r1 80000000 - 998870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 998890 ns R psr 81000200 - 998890 ns MR4_I 01000208 2a001c5b - 998910 ns MR4_I 01000200 07c96841 - 998930 ns IT 01000200 6841 LDR r1,[r0,#4] - 998950 ns MR4_I 01000204 6002d1fc - 999010 ns MR4_D 40006004 00000001 - 999010 ns R r1 00000001 - 999010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 999030 ns R r1 80000000 - 999030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 999050 ns R psr 81000200 - 999050 ns MR4_I 01000208 2a001c5b - 999070 ns MR4_I 01000200 07c96841 - 999090 ns IT 01000200 6841 LDR r1,[r0,#4] - 999110 ns MR4_I 01000204 6002d1fc - 999170 ns MR4_D 40006004 00000001 - 999170 ns R r1 00000001 - 999170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 999190 ns R r1 80000000 - 999190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 999210 ns R psr 81000200 - 999210 ns MR4_I 01000208 2a001c5b - 999230 ns MR4_I 01000200 07c96841 - 999250 ns IT 01000200 6841 LDR r1,[r0,#4] - 999270 ns MR4_I 01000204 6002d1fc - 999330 ns MR4_D 40006004 00000001 - 999330 ns R r1 00000001 - 999330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 999350 ns R r1 80000000 - 999350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 999370 ns R psr 81000200 - 999370 ns MR4_I 01000208 2a001c5b - 999390 ns MR4_I 01000200 07c96841 - 999410 ns IT 01000200 6841 LDR r1,[r0,#4] - 999430 ns MR4_I 01000204 6002d1fc - 999490 ns MR4_D 40006004 00000001 - 999490 ns R r1 00000001 - 999490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 999510 ns R r1 80000000 - 999510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 999530 ns R psr 81000200 - 999530 ns MR4_I 01000208 2a001c5b - 999550 ns MR4_I 01000200 07c96841 - 999570 ns IT 01000200 6841 LDR r1,[r0,#4] - 999590 ns MR4_I 01000204 6002d1fc - 999650 ns MR4_D 40006004 00000001 - 999650 ns R r1 00000001 - 999650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 999670 ns R r1 80000000 - 999670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 999690 ns R psr 81000200 - 999690 ns MR4_I 01000208 2a001c5b - 999710 ns MR4_I 01000200 07c96841 - 999730 ns IT 01000200 6841 LDR r1,[r0,#4] - 999750 ns MR4_I 01000204 6002d1fc - 999810 ns MR4_D 40006004 00000001 - 999810 ns R r1 00000001 - 999810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 999830 ns R r1 80000000 - 999830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 999850 ns R psr 81000200 - 999850 ns MR4_I 01000208 2a001c5b - 999870 ns MR4_I 01000200 07c96841 - 999890 ns IT 01000200 6841 LDR r1,[r0,#4] - 999910 ns MR4_I 01000204 6002d1fc - 999970 ns MR4_D 40006004 00000001 - 999970 ns R r1 00000001 - 999970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 999990 ns R r1 80000000 - 999990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1000010 ns R psr 81000200 - 1000010 ns MR4_I 01000208 2a001c5b - 1000030 ns MR4_I 01000200 07c96841 - 1000050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1000070 ns MR4_I 01000204 6002d1fc - 1000130 ns MR4_D 40006004 00000001 - 1000130 ns R r1 00000001 - 1000130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1000150 ns R r1 80000000 - 1000150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1000170 ns R psr 81000200 - 1000170 ns MR4_I 01000208 2a001c5b - 1000190 ns MR4_I 01000200 07c96841 - 1000210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1000230 ns MR4_I 01000204 6002d1fc - 1000290 ns MR4_D 40006004 00000001 - 1000290 ns R r1 00000001 - 1000290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1000310 ns R r1 80000000 - 1000310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1000330 ns R psr 81000200 - 1000330 ns MR4_I 01000208 2a001c5b - 1000350 ns MR4_I 01000200 07c96841 - 1000370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1000390 ns MR4_I 01000204 6002d1fc - 1000450 ns MR4_D 40006004 00000001 - 1000450 ns R r1 00000001 - 1000450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1000470 ns R r1 80000000 - 1000470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1000490 ns R psr 81000200 - 1000490 ns MR4_I 01000208 2a001c5b - 1000510 ns MR4_I 01000200 07c96841 - 1000530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1000550 ns MR4_I 01000204 6002d1fc - 1000610 ns MR4_D 40006004 00000001 - 1000610 ns R r1 00000001 - 1000610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1000630 ns R r1 80000000 - 1000630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1000650 ns R psr 81000200 - 1000650 ns MR4_I 01000208 2a001c5b - 1000670 ns MR4_I 01000200 07c96841 - 1000690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1000710 ns MR4_I 01000204 6002d1fc - 1000770 ns MR4_D 40006004 00000001 - 1000770 ns R r1 00000001 - 1000770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1000790 ns R r1 80000000 - 1000790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1000810 ns R psr 81000200 - 1000810 ns MR4_I 01000208 2a001c5b - 1000830 ns MR4_I 01000200 07c96841 - 1000850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1000870 ns MR4_I 01000204 6002d1fc - 1000930 ns MR4_D 40006004 00000001 - 1000930 ns R r1 00000001 - 1000930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1000950 ns R r1 80000000 - 1000950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1000970 ns R psr 81000200 - 1000970 ns MR4_I 01000208 2a001c5b - 1000990 ns MR4_I 01000200 07c96841 - 1001010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1001030 ns MR4_I 01000204 6002d1fc - 1001090 ns MR4_D 40006004 00000001 - 1001090 ns R r1 00000001 - 1001090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1001110 ns R r1 80000000 - 1001110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1001130 ns R psr 81000200 - 1001130 ns MR4_I 01000208 2a001c5b - 1001150 ns MR4_I 01000200 07c96841 - 1001170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1001190 ns MR4_I 01000204 6002d1fc - 1001250 ns MR4_D 40006004 00000001 - 1001250 ns R r1 00000001 - 1001250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1001270 ns R r1 80000000 - 1001270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1001290 ns R psr 81000200 - 1001290 ns MR4_I 01000208 2a001c5b - 1001310 ns MR4_I 01000200 07c96841 - 1001330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1001350 ns MR4_I 01000204 6002d1fc - 1001410 ns MR4_D 40006004 00000001 - 1001410 ns R r1 00000001 - 1001410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1001430 ns R r1 80000000 - 1001430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1001450 ns R psr 81000200 - 1001450 ns MR4_I 01000208 2a001c5b - 1001470 ns MR4_I 01000200 07c96841 - 1001490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1001510 ns MR4_I 01000204 6002d1fc - 1001570 ns MR4_D 40006004 00000001 - 1001570 ns R r1 00000001 - 1001570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1001590 ns R r1 80000000 - 1001590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1001610 ns R psr 81000200 - 1001610 ns MR4_I 01000208 2a001c5b - 1001630 ns MR4_I 01000200 07c96841 - 1001650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1001670 ns MR4_I 01000204 6002d1fc - 1001730 ns MR4_D 40006004 00000001 - 1001730 ns R r1 00000001 - 1001730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1001750 ns R r1 80000000 - 1001750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1001770 ns R psr 81000200 - 1001770 ns MR4_I 01000208 2a001c5b - 1001790 ns MR4_I 01000200 07c96841 - 1001810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1001830 ns MR4_I 01000204 6002d1fc - 1001890 ns MR4_D 40006004 00000001 - 1001890 ns R r1 00000001 - 1001890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1001910 ns R r1 80000000 - 1001910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1001930 ns R psr 81000200 - 1001930 ns MR4_I 01000208 2a001c5b - 1001950 ns MR4_I 01000200 07c96841 - 1001970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1001990 ns MR4_I 01000204 6002d1fc - 1002050 ns MR4_D 40006004 00000001 - 1002050 ns R r1 00000001 - 1002050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1002070 ns R r1 80000000 - 1002070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1002090 ns R psr 81000200 - 1002090 ns MR4_I 01000208 2a001c5b - 1002110 ns MR4_I 01000200 07c96841 - 1002130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1002150 ns MR4_I 01000204 6002d1fc - 1002210 ns MR4_D 40006004 00000001 - 1002210 ns R r1 00000001 - 1002210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1002230 ns R r1 80000000 - 1002230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1002250 ns R psr 81000200 - 1002250 ns MR4_I 01000208 2a001c5b - 1002270 ns MR4_I 01000200 07c96841 - 1002290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1002310 ns MR4_I 01000204 6002d1fc - 1002370 ns MR4_D 40006004 00000001 - 1002370 ns R r1 00000001 - 1002370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1002390 ns R r1 80000000 - 1002390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1002410 ns R psr 81000200 - 1002410 ns MR4_I 01000208 2a001c5b - 1002430 ns MR4_I 01000200 07c96841 - 1002450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1002470 ns MR4_I 01000204 6002d1fc - 1002530 ns MR4_D 40006004 00000001 - 1002530 ns R r1 00000001 - 1002530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1002550 ns R r1 80000000 - 1002550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1002570 ns R psr 81000200 - 1002570 ns MR4_I 01000208 2a001c5b - 1002590 ns MR4_I 01000200 07c96841 - 1002610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1002630 ns MR4_I 01000204 6002d1fc - 1002690 ns MR4_D 40006004 00000001 - 1002690 ns R r1 00000001 - 1002690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1002710 ns R r1 80000000 - 1002710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1002730 ns R psr 81000200 - 1002730 ns MR4_I 01000208 2a001c5b - 1002750 ns MR4_I 01000200 07c96841 - 1002770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1002790 ns MR4_I 01000204 6002d1fc - 1002850 ns MR4_D 40006004 00000001 - 1002850 ns R r1 00000001 - 1002850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1002870 ns R r1 80000000 - 1002870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1002890 ns R psr 81000200 - 1002890 ns MR4_I 01000208 2a001c5b - 1002910 ns MR4_I 01000200 07c96841 - 1002930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1002950 ns MR4_I 01000204 6002d1fc - 1003010 ns MR4_D 40006004 00000001 - 1003010 ns R r1 00000001 - 1003010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1003030 ns R r1 80000000 - 1003030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1003050 ns R psr 81000200 - 1003050 ns MR4_I 01000208 2a001c5b - 1003070 ns MR4_I 01000200 07c96841 - 1003090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1003110 ns MR4_I 01000204 6002d1fc - 1003170 ns MR4_D 40006004 00000001 - 1003170 ns R r1 00000001 - 1003170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1003190 ns R r1 80000000 - 1003190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1003210 ns R psr 81000200 - 1003210 ns MR4_I 01000208 2a001c5b - 1003230 ns MR4_I 01000200 07c96841 - 1003250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1003270 ns MR4_I 01000204 6002d1fc - 1003330 ns MR4_D 40006004 00000001 - 1003330 ns R r1 00000001 - 1003330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1003350 ns R r1 80000000 - 1003350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1003370 ns R psr 81000200 - 1003370 ns MR4_I 01000208 2a001c5b - 1003390 ns MR4_I 01000200 07c96841 - 1003410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1003430 ns MR4_I 01000204 6002d1fc - 1003490 ns MR4_D 40006004 00000001 - 1003490 ns R r1 00000001 - 1003490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1003510 ns R r1 80000000 - 1003510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1003530 ns R psr 81000200 - 1003530 ns MR4_I 01000208 2a001c5b - 1003550 ns MR4_I 01000200 07c96841 - 1003570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1003590 ns MR4_I 01000204 6002d1fc - 1003650 ns MR4_D 40006004 00000001 - 1003650 ns R r1 00000001 - 1003650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1003670 ns R r1 80000000 - 1003670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1003690 ns R psr 81000200 - 1003690 ns MR4_I 01000208 2a001c5b - 1003710 ns MR4_I 01000200 07c96841 - 1003730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1003750 ns MR4_I 01000204 6002d1fc - 1003810 ns MR4_D 40006004 00000001 - 1003810 ns R r1 00000001 - 1003810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1003830 ns R r1 80000000 - 1003830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1003850 ns R psr 81000200 - 1003850 ns MR4_I 01000208 2a001c5b - 1003870 ns MR4_I 01000200 07c96841 - 1003890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1003910 ns MR4_I 01000204 6002d1fc - 1003970 ns MR4_D 40006004 00000001 - 1003970 ns R r1 00000001 - 1003970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1003990 ns R r1 80000000 - 1003990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1004010 ns R psr 81000200 - 1004010 ns MR4_I 01000208 2a001c5b - 1004030 ns MR4_I 01000200 07c96841 - 1004050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1004070 ns MR4_I 01000204 6002d1fc - 1004130 ns MR4_D 40006004 00000001 - 1004130 ns R r1 00000001 - 1004130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1004150 ns R r1 80000000 - 1004150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1004170 ns R psr 81000200 - 1004170 ns MR4_I 01000208 2a001c5b - 1004190 ns MR4_I 01000200 07c96841 - 1004210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1004230 ns MR4_I 01000204 6002d1fc - 1004290 ns MR4_D 40006004 00000001 - 1004290 ns R r1 00000001 - 1004290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1004310 ns R r1 80000000 - 1004310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1004330 ns R psr 81000200 - 1004330 ns MR4_I 01000208 2a001c5b - 1004350 ns MR4_I 01000200 07c96841 - 1004370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1004390 ns MR4_I 01000204 6002d1fc - 1004450 ns MR4_D 40006004 00000001 - 1004450 ns R r1 00000001 - 1004450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1004470 ns R r1 80000000 - 1004470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1004490 ns R psr 81000200 - 1004490 ns MR4_I 01000208 2a001c5b - 1004510 ns MR4_I 01000200 07c96841 - 1004530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1004550 ns MR4_I 01000204 6002d1fc - 1004610 ns MR4_D 40006004 00000001 - 1004610 ns R r1 00000001 - 1004610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1004630 ns R r1 80000000 - 1004630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1004650 ns R psr 81000200 - 1004650 ns MR4_I 01000208 2a001c5b - 1004670 ns MR4_I 01000200 07c96841 - 1004690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1004710 ns MR4_I 01000204 6002d1fc - 1004770 ns MR4_D 40006004 00000001 - 1004770 ns R r1 00000001 - 1004770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1004790 ns R r1 80000000 - 1004790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1004810 ns R psr 81000200 - 1004810 ns MR4_I 01000208 2a001c5b - 1004830 ns MR4_I 01000200 07c96841 - 1004850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1004870 ns MR4_I 01000204 6002d1fc - 1004930 ns MR4_D 40006004 00000001 - 1004930 ns R r1 00000001 - 1004930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1004950 ns R r1 80000000 - 1004950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1004970 ns R psr 81000200 - 1004970 ns MR4_I 01000208 2a001c5b - 1004990 ns MR4_I 01000200 07c96841 - 1005010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1005030 ns MR4_I 01000204 6002d1fc - 1005090 ns MR4_D 40006004 00000001 - 1005090 ns R r1 00000001 - 1005090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1005110 ns R r1 80000000 - 1005110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1005130 ns R psr 81000200 - 1005130 ns MR4_I 01000208 2a001c5b - 1005150 ns MR4_I 01000200 07c96841 - 1005170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1005190 ns MR4_I 01000204 6002d1fc - 1005250 ns MR4_D 40006004 00000001 - 1005250 ns R r1 00000001 - 1005250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1005270 ns R r1 80000000 - 1005270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1005290 ns R psr 81000200 - 1005290 ns MR4_I 01000208 2a001c5b - 1005310 ns MR4_I 01000200 07c96841 - 1005330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1005350 ns MR4_I 01000204 6002d1fc - 1005410 ns MR4_D 40006004 00000001 - 1005410 ns R r1 00000001 - 1005410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1005430 ns R r1 80000000 - 1005430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1005450 ns R psr 81000200 - 1005450 ns MR4_I 01000208 2a001c5b - 1005470 ns MR4_I 01000200 07c96841 - 1005490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1005510 ns MR4_I 01000204 6002d1fc - 1005570 ns MR4_D 40006004 00000001 - 1005570 ns R r1 00000001 - 1005570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1005590 ns R r1 80000000 - 1005590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1005610 ns R psr 81000200 - 1005610 ns MR4_I 01000208 2a001c5b - 1005630 ns MR4_I 01000200 07c96841 - 1005650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1005670 ns MR4_I 01000204 6002d1fc - 1005730 ns MR4_D 40006004 00000001 - 1005730 ns R r1 00000001 - 1005730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1005750 ns R r1 80000000 - 1005750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1005770 ns R psr 81000200 - 1005770 ns MR4_I 01000208 2a001c5b - 1005790 ns MR4_I 01000200 07c96841 - 1005810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1005830 ns MR4_I 01000204 6002d1fc - 1005890 ns MR4_D 40006004 00000001 - 1005890 ns R r1 00000001 - 1005890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1005910 ns R r1 80000000 - 1005910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1005930 ns R psr 81000200 - 1005930 ns MR4_I 01000208 2a001c5b - 1005950 ns MR4_I 01000200 07c96841 - 1005970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1005990 ns MR4_I 01000204 6002d1fc - 1006050 ns MR4_D 40006004 00000001 - 1006050 ns R r1 00000001 - 1006050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1006070 ns R r1 80000000 - 1006070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1006090 ns R psr 81000200 - 1006090 ns MR4_I 01000208 2a001c5b - 1006110 ns MR4_I 01000200 07c96841 - 1006130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1006150 ns MR4_I 01000204 6002d1fc - 1006210 ns MR4_D 40006004 00000001 - 1006210 ns R r1 00000001 - 1006210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1006230 ns R r1 80000000 - 1006230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1006250 ns R psr 81000200 - 1006250 ns MR4_I 01000208 2a001c5b - 1006270 ns MR4_I 01000200 07c96841 - 1006290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1006310 ns MR4_I 01000204 6002d1fc - 1006370 ns MR4_D 40006004 00000001 - 1006370 ns R r1 00000001 - 1006370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1006390 ns R r1 80000000 - 1006390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1006410 ns R psr 81000200 - 1006410 ns MR4_I 01000208 2a001c5b - 1006430 ns MR4_I 01000200 07c96841 - 1006450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1006470 ns MR4_I 01000204 6002d1fc - 1006530 ns MR4_D 40006004 00000001 - 1006530 ns R r1 00000001 - 1006530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1006550 ns R r1 80000000 - 1006550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1006570 ns R psr 81000200 - 1006570 ns MR4_I 01000208 2a001c5b - 1006590 ns MR4_I 01000200 07c96841 - 1006610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1006630 ns MR4_I 01000204 6002d1fc - 1006690 ns MR4_D 40006004 00000001 - 1006690 ns R r1 00000001 - 1006690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1006710 ns R r1 80000000 - 1006710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1006730 ns R psr 81000200 - 1006730 ns MR4_I 01000208 2a001c5b - 1006750 ns MR4_I 01000200 07c96841 - 1006770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1006790 ns MR4_I 01000204 6002d1fc - 1006850 ns MR4_D 40006004 00000001 - 1006850 ns R r1 00000001 - 1006850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1006870 ns R r1 80000000 - 1006870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1006890 ns R psr 81000200 - 1006890 ns MR4_I 01000208 2a001c5b - 1006910 ns MR4_I 01000200 07c96841 - 1006930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1006950 ns MR4_I 01000204 6002d1fc - 1007010 ns MR4_D 40006004 00000001 - 1007010 ns R r1 00000001 - 1007010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1007030 ns R r1 80000000 - 1007030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1007050 ns R psr 81000200 - 1007050 ns MR4_I 01000208 2a001c5b - 1007070 ns MR4_I 01000200 07c96841 - 1007090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1007110 ns MR4_I 01000204 6002d1fc - 1007170 ns MR4_D 40006004 00000001 - 1007170 ns R r1 00000001 - 1007170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1007190 ns R r1 80000000 - 1007190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1007210 ns R psr 81000200 - 1007210 ns MR4_I 01000208 2a001c5b - 1007230 ns MR4_I 01000200 07c96841 - 1007250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1007270 ns MR4_I 01000204 6002d1fc - 1007330 ns MR4_D 40006004 00000001 - 1007330 ns R r1 00000001 - 1007330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1007350 ns R r1 80000000 - 1007350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1007370 ns R psr 81000200 - 1007370 ns MR4_I 01000208 2a001c5b - 1007390 ns MR4_I 01000200 07c96841 - 1007410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1007430 ns MR4_I 01000204 6002d1fc - 1007490 ns MR4_D 40006004 00000001 - 1007490 ns R r1 00000001 - 1007490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1007510 ns R r1 80000000 - 1007510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1007530 ns R psr 81000200 - 1007530 ns MR4_I 01000208 2a001c5b - 1007550 ns MR4_I 01000200 07c96841 - 1007570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1007590 ns MR4_I 01000204 6002d1fc - 1007650 ns MR4_D 40006004 00000001 - 1007650 ns R r1 00000001 - 1007650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1007670 ns R r1 80000000 - 1007670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1007690 ns R psr 81000200 - 1007690 ns MR4_I 01000208 2a001c5b - 1007710 ns MR4_I 01000200 07c96841 - 1007730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1007750 ns MR4_I 01000204 6002d1fc - 1007810 ns MR4_D 40006004 00000001 - 1007810 ns R r1 00000001 - 1007810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1007830 ns R r1 80000000 - 1007830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1007850 ns R psr 81000200 - 1007850 ns MR4_I 01000208 2a001c5b - 1007870 ns MR4_I 01000200 07c96841 - 1007890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1007910 ns MR4_I 01000204 6002d1fc - 1007970 ns MR4_D 40006004 00000001 - 1007970 ns R r1 00000001 - 1007970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1007990 ns R r1 80000000 - 1007990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1008010 ns R psr 81000200 - 1008010 ns MR4_I 01000208 2a001c5b - 1008030 ns MR4_I 01000200 07c96841 - 1008050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1008070 ns MR4_I 01000204 6002d1fc - 1008130 ns MR4_D 40006004 00000001 - 1008130 ns R r1 00000001 - 1008130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1008150 ns R r1 80000000 - 1008150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1008170 ns R psr 81000200 - 1008170 ns MR4_I 01000208 2a001c5b - 1008190 ns MR4_I 01000200 07c96841 - 1008210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1008230 ns MR4_I 01000204 6002d1fc - 1008290 ns MR4_D 40006004 00000001 - 1008290 ns R r1 00000001 - 1008290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1008310 ns R r1 80000000 - 1008310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1008330 ns R psr 81000200 - 1008330 ns MR4_I 01000208 2a001c5b - 1008350 ns MR4_I 01000200 07c96841 - 1008370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1008390 ns MR4_I 01000204 6002d1fc - 1008450 ns MR4_D 40006004 00000001 - 1008450 ns R r1 00000001 - 1008450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1008470 ns R r1 80000000 - 1008470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1008490 ns R psr 81000200 - 1008490 ns MR4_I 01000208 2a001c5b - 1008510 ns MR4_I 01000200 07c96841 - 1008530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1008550 ns MR4_I 01000204 6002d1fc - 1008610 ns MR4_D 40006004 00000001 - 1008610 ns R r1 00000001 - 1008610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1008630 ns R r1 80000000 - 1008630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1008650 ns R psr 81000200 - 1008650 ns MR4_I 01000208 2a001c5b - 1008670 ns MR4_I 01000200 07c96841 - 1008690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1008710 ns MR4_I 01000204 6002d1fc - 1008770 ns MR4_D 40006004 00000001 - 1008770 ns R r1 00000001 - 1008770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1008790 ns R r1 80000000 - 1008790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1008810 ns R psr 81000200 - 1008810 ns MR4_I 01000208 2a001c5b - 1008830 ns MR4_I 01000200 07c96841 - 1008850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1008870 ns MR4_I 01000204 6002d1fc - 1008930 ns MR4_D 40006004 00000001 - 1008930 ns R r1 00000001 - 1008930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1008950 ns R r1 80000000 - 1008950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1008970 ns R psr 81000200 - 1008970 ns MR4_I 01000208 2a001c5b - 1008990 ns MR4_I 01000200 07c96841 - 1009010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1009030 ns MR4_I 01000204 6002d1fc - 1009090 ns MR4_D 40006004 00000001 - 1009090 ns R r1 00000001 - 1009090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1009110 ns R r1 80000000 - 1009110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1009130 ns R psr 81000200 - 1009130 ns MR4_I 01000208 2a001c5b - 1009150 ns MR4_I 01000200 07c96841 - 1009170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1009190 ns MR4_I 01000204 6002d1fc - 1009250 ns MR4_D 40006004 00000001 - 1009250 ns R r1 00000001 - 1009250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1009270 ns R r1 80000000 - 1009270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1009290 ns R psr 81000200 - 1009290 ns MR4_I 01000208 2a001c5b - 1009310 ns MR4_I 01000200 07c96841 - 1009330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1009350 ns MR4_I 01000204 6002d1fc - 1009410 ns MR4_D 40006004 00000001 - 1009410 ns R r1 00000001 - 1009410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1009430 ns R r1 80000000 - 1009430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1009450 ns R psr 81000200 - 1009450 ns MR4_I 01000208 2a001c5b - 1009470 ns MR4_I 01000200 07c96841 - 1009490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1009510 ns MR4_I 01000204 6002d1fc - 1009570 ns MR4_D 40006004 00000001 - 1009570 ns R r1 00000001 - 1009570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1009590 ns R r1 80000000 - 1009590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1009610 ns R psr 81000200 - 1009610 ns MR4_I 01000208 2a001c5b - 1009630 ns MR4_I 01000200 07c96841 - 1009650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1009670 ns MR4_I 01000204 6002d1fc - 1009730 ns MR4_D 40006004 00000001 - 1009730 ns R r1 00000001 - 1009730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1009750 ns R r1 80000000 - 1009750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1009770 ns R psr 81000200 - 1009770 ns MR4_I 01000208 2a001c5b - 1009790 ns MR4_I 01000200 07c96841 - 1009810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1009830 ns MR4_I 01000204 6002d1fc - 1009890 ns MR4_D 40006004 00000001 - 1009890 ns R r1 00000001 - 1009890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1009910 ns R r1 80000000 - 1009910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1009930 ns R psr 81000200 - 1009930 ns MR4_I 01000208 2a001c5b - 1009950 ns MR4_I 01000200 07c96841 - 1009970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1009990 ns MR4_I 01000204 6002d1fc - 1010050 ns MR4_D 40006004 00000001 - 1010050 ns R r1 00000001 - 1010050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1010070 ns R r1 80000000 - 1010070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1010090 ns R psr 81000200 - 1010090 ns MR4_I 01000208 2a001c5b - 1010110 ns MR4_I 01000200 07c96841 - 1010130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1010150 ns MR4_I 01000204 6002d1fc - 1010210 ns MR4_D 40006004 00000001 - 1010210 ns R r1 00000001 - 1010210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1010230 ns R r1 80000000 - 1010230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1010250 ns R psr 81000200 - 1010250 ns MR4_I 01000208 2a001c5b - 1010270 ns MR4_I 01000200 07c96841 - 1010290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1010310 ns MR4_I 01000204 6002d1fc - 1010370 ns MR4_D 40006004 00000001 - 1010370 ns R r1 00000001 - 1010370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1010390 ns R r1 80000000 - 1010390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1010410 ns R psr 81000200 - 1010410 ns MR4_I 01000208 2a001c5b - 1010430 ns MR4_I 01000200 07c96841 - 1010450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1010470 ns MR4_I 01000204 6002d1fc - 1010530 ns MR4_D 40006004 00000001 - 1010530 ns R r1 00000001 - 1010530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1010550 ns R r1 80000000 - 1010550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1010570 ns R psr 81000200 - 1010570 ns MR4_I 01000208 2a001c5b - 1010590 ns MR4_I 01000200 07c96841 - 1010610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1010630 ns MR4_I 01000204 6002d1fc - 1010690 ns MR4_D 40006004 00000001 - 1010690 ns R r1 00000001 - 1010690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1010710 ns R r1 80000000 - 1010710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1010730 ns R psr 81000200 - 1010730 ns MR4_I 01000208 2a001c5b - 1010750 ns MR4_I 01000200 07c96841 - 1010770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1010790 ns MR4_I 01000204 6002d1fc - 1010850 ns MR4_D 40006004 00000001 - 1010850 ns R r1 00000001 - 1010850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1010870 ns R r1 80000000 - 1010870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1010890 ns R psr 81000200 - 1010890 ns MR4_I 01000208 2a001c5b - 1010910 ns MR4_I 01000200 07c96841 - 1010930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1010950 ns MR4_I 01000204 6002d1fc - 1011010 ns MR4_D 40006004 00000001 - 1011010 ns R r1 00000001 - 1011010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1011030 ns R r1 80000000 - 1011030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1011050 ns R psr 81000200 - 1011050 ns MR4_I 01000208 2a001c5b - 1011070 ns MR4_I 01000200 07c96841 - 1011090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1011110 ns MR4_I 01000204 6002d1fc - 1011170 ns MR4_D 40006004 00000001 - 1011170 ns R r1 00000001 - 1011170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1011190 ns R r1 80000000 - 1011190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1011210 ns R psr 81000200 - 1011210 ns MR4_I 01000208 2a001c5b - 1011230 ns MR4_I 01000200 07c96841 - 1011250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1011270 ns MR4_I 01000204 6002d1fc - 1011330 ns MR4_D 40006004 00000001 - 1011330 ns R r1 00000001 - 1011330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1011350 ns R r1 80000000 - 1011350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1011370 ns R psr 81000200 - 1011370 ns MR4_I 01000208 2a001c5b - 1011390 ns MR4_I 01000200 07c96841 - 1011410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1011430 ns MR4_I 01000204 6002d1fc - 1011490 ns MR4_D 40006004 00000001 - 1011490 ns R r1 00000001 - 1011490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1011510 ns R r1 80000000 - 1011510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1011530 ns R psr 81000200 - 1011530 ns MR4_I 01000208 2a001c5b - 1011550 ns MR4_I 01000200 07c96841 - 1011570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1011590 ns MR4_I 01000204 6002d1fc - 1011650 ns MR4_D 40006004 00000001 - 1011650 ns R r1 00000001 - 1011650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1011670 ns R r1 80000000 - 1011670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1011690 ns R psr 81000200 - 1011690 ns MR4_I 01000208 2a001c5b - 1011710 ns MR4_I 01000200 07c96841 - 1011730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1011750 ns MR4_I 01000204 6002d1fc - 1011810 ns MR4_D 40006004 00000001 - 1011810 ns R r1 00000001 - 1011810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1011830 ns R r1 80000000 - 1011830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1011850 ns R psr 81000200 - 1011850 ns MR4_I 01000208 2a001c5b - 1011870 ns MR4_I 01000200 07c96841 - 1011890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1011910 ns MR4_I 01000204 6002d1fc - 1011970 ns MR4_D 40006004 00000001 - 1011970 ns R r1 00000001 - 1011970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1011990 ns R r1 80000000 - 1011990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1012010 ns R psr 81000200 - 1012010 ns MR4_I 01000208 2a001c5b - 1012030 ns MR4_I 01000200 07c96841 - 1012050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1012070 ns MR4_I 01000204 6002d1fc - 1012130 ns MR4_D 40006004 00000001 - 1012130 ns R r1 00000001 - 1012130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1012150 ns R r1 80000000 - 1012150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1012170 ns R psr 81000200 - 1012170 ns MR4_I 01000208 2a001c5b - 1012190 ns MR4_I 01000200 07c96841 - 1012210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1012230 ns MR4_I 01000204 6002d1fc - 1012290 ns MR4_D 40006004 00000001 - 1012290 ns R r1 00000001 - 1012290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1012310 ns R r1 80000000 - 1012310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1012330 ns R psr 81000200 - 1012330 ns MR4_I 01000208 2a001c5b - 1012350 ns MR4_I 01000200 07c96841 - 1012370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1012390 ns MR4_I 01000204 6002d1fc - 1012450 ns MR4_D 40006004 00000001 - 1012450 ns R r1 00000001 - 1012450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1012470 ns R r1 80000000 - 1012470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1012490 ns R psr 81000200 - 1012490 ns MR4_I 01000208 2a001c5b - 1012510 ns MR4_I 01000200 07c96841 - 1012530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1012550 ns MR4_I 01000204 6002d1fc - 1012610 ns MR4_D 40006004 00000001 - 1012610 ns R r1 00000001 - 1012610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1012630 ns R r1 80000000 - 1012630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1012650 ns R psr 81000200 - 1012650 ns MR4_I 01000208 2a001c5b - 1012670 ns MR4_I 01000200 07c96841 - 1012690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1012710 ns MR4_I 01000204 6002d1fc - 1012770 ns MR4_D 40006004 00000001 - 1012770 ns R r1 00000001 - 1012770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1012790 ns R r1 80000000 - 1012790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1012810 ns R psr 81000200 - 1012810 ns MR4_I 01000208 2a001c5b - 1012830 ns MR4_I 01000200 07c96841 - 1012850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1012870 ns MR4_I 01000204 6002d1fc - 1012930 ns MR4_D 40006004 00000001 - 1012930 ns R r1 00000001 - 1012930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1012950 ns R r1 80000000 - 1012950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1012970 ns R psr 81000200 - 1012970 ns MR4_I 01000208 2a001c5b - 1012990 ns MR4_I 01000200 07c96841 - 1013010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1013030 ns MR4_I 01000204 6002d1fc - 1013090 ns MR4_D 40006004 00000001 - 1013090 ns R r1 00000001 - 1013090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1013110 ns R r1 80000000 - 1013110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1013130 ns R psr 81000200 - 1013130 ns MR4_I 01000208 2a001c5b - 1013150 ns MR4_I 01000200 07c96841 - 1013170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1013190 ns MR4_I 01000204 6002d1fc - 1013250 ns MR4_D 40006004 00000001 - 1013250 ns R r1 00000001 - 1013250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1013270 ns R r1 80000000 - 1013270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1013290 ns R psr 81000200 - 1013290 ns MR4_I 01000208 2a001c5b - 1013310 ns MR4_I 01000200 07c96841 - 1013330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1013350 ns MR4_I 01000204 6002d1fc - 1013410 ns MR4_D 40006004 00000001 - 1013410 ns R r1 00000001 - 1013410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1013430 ns R r1 80000000 - 1013430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1013450 ns R psr 81000200 - 1013450 ns MR4_I 01000208 2a001c5b - 1013470 ns MR4_I 01000200 07c96841 - 1013490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1013510 ns MR4_I 01000204 6002d1fc - 1013570 ns MR4_D 40006004 00000001 - 1013570 ns R r1 00000001 - 1013570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1013590 ns R r1 80000000 - 1013590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1013610 ns R psr 81000200 - 1013610 ns MR4_I 01000208 2a001c5b - 1013630 ns MR4_I 01000200 07c96841 - 1013650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1013670 ns MR4_I 01000204 6002d1fc - 1013730 ns MR4_D 40006004 00000001 - 1013730 ns R r1 00000001 - 1013730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1013750 ns R r1 80000000 - 1013750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1013770 ns R psr 81000200 - 1013770 ns MR4_I 01000208 2a001c5b - 1013790 ns MR4_I 01000200 07c96841 - 1013810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1013830 ns MR4_I 01000204 6002d1fc - 1013890 ns MR4_D 40006004 00000001 - 1013890 ns R r1 00000001 - 1013890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1013910 ns R r1 80000000 - 1013910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1013930 ns R psr 81000200 - 1013930 ns MR4_I 01000208 2a001c5b - 1013950 ns MR4_I 01000200 07c96841 - 1013970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1013990 ns MR4_I 01000204 6002d1fc - 1014050 ns MR4_D 40006004 00000001 - 1014050 ns R r1 00000001 - 1014050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1014070 ns R r1 80000000 - 1014070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1014090 ns R psr 81000200 - 1014090 ns MR4_I 01000208 2a001c5b - 1014110 ns MR4_I 01000200 07c96841 - 1014130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1014150 ns MR4_I 01000204 6002d1fc - 1014210 ns MR4_D 40006004 00000001 - 1014210 ns R r1 00000001 - 1014210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1014230 ns R r1 80000000 - 1014230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1014250 ns R psr 81000200 - 1014250 ns MR4_I 01000208 2a001c5b - 1014270 ns MR4_I 01000200 07c96841 - 1014290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1014310 ns MR4_I 01000204 6002d1fc - 1014370 ns MR4_D 40006004 00000001 - 1014370 ns R r1 00000001 - 1014370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1014390 ns R r1 80000000 - 1014390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1014410 ns R psr 81000200 - 1014410 ns MR4_I 01000208 2a001c5b - 1014430 ns MR4_I 01000200 07c96841 - 1014450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1014470 ns MR4_I 01000204 6002d1fc - 1014530 ns MR4_D 40006004 00000001 - 1014530 ns R r1 00000001 - 1014530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1014550 ns R r1 80000000 - 1014550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1014570 ns R psr 81000200 - 1014570 ns MR4_I 01000208 2a001c5b - 1014590 ns MR4_I 01000200 07c96841 - 1014610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1014630 ns MR4_I 01000204 6002d1fc - 1014690 ns MR4_D 40006004 00000001 - 1014690 ns R r1 00000001 - 1014690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1014710 ns R r1 80000000 - 1014710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1014730 ns R psr 81000200 - 1014730 ns MR4_I 01000208 2a001c5b - 1014750 ns MR4_I 01000200 07c96841 - 1014770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1014790 ns MR4_I 01000204 6002d1fc - 1014850 ns MR4_D 40006004 00000001 - 1014850 ns R r1 00000001 - 1014850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1014870 ns R r1 80000000 - 1014870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1014890 ns R psr 81000200 - 1014890 ns MR4_I 01000208 2a001c5b - 1014910 ns MR4_I 01000200 07c96841 - 1014930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1014950 ns MR4_I 01000204 6002d1fc - 1015010 ns MR4_D 40006004 00000001 - 1015010 ns R r1 00000001 - 1015010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1015030 ns R r1 80000000 - 1015030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1015050 ns R psr 81000200 - 1015050 ns MR4_I 01000208 2a001c5b - 1015070 ns MR4_I 01000200 07c96841 - 1015090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1015110 ns MR4_I 01000204 6002d1fc - 1015170 ns MR4_D 40006004 00000000 - 1015170 ns R r1 00000000 - 1015170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1015190 ns R r1 00000000 - 1015190 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1015210 ns R psr 41000200 - 1015210 ns MR4_I 01000208 2a001c5b - 1015210 ns IT 01000206 6002 STR r2,[r0,#0] - 1015290 ns MW4_D 40006000 0000002d - 1015290 ns IT 01000208 1c5b ADDS r3,r3,#1 - 1015310 ns MR4_I 0100020c a32ad1f5 - 1015310 ns R r3 010002ae - 1015310 ns IT 0100020a 2a00 CMP r2,#0 - 1015330 ns R psr 01000200 - 1015330 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 1015350 ns R psr 21000200 - 1015350 ns MR4_I 01000210 2a00781a - 1015370 ns MR4_I 010001f8 781aa326 - 1015390 ns MR4_I 010001fc d0062a00 - 1015390 ns IT 010001fa 781a LDRB r2,[r3,#0] - 1015430 ns MR1_D 010002ae 304d2d78 - 1015430 ns R r2 0000004d - 1015430 ns IT 010001fc 2a00 CMP r2,#0 - 1015450 ns MR4_I 01000200 07c96841 - 1015450 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 1015470 ns R psr 21000200 - 1015470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1015490 ns MR4_I 01000204 6002d1fc - 1015550 ns MR4_D 40006004 00000001 - 1015550 ns R r1 00000001 - 1015550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1015570 ns R r1 80000000 - 1015570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1015590 ns R psr 81000200 - 1015590 ns MR4_I 01000208 2a001c5b - 1015610 ns MR4_I 01000200 07c96841 - 1015630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1015650 ns MR4_I 01000204 6002d1fc - 1015710 ns MR4_D 40006004 00000001 - 1015710 ns R r1 00000001 - 1015710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1015730 ns R r1 80000000 - 1015730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1015750 ns R psr 81000200 - 1015750 ns MR4_I 01000208 2a001c5b - 1015770 ns MR4_I 01000200 07c96841 - 1015790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1015810 ns MR4_I 01000204 6002d1fc - 1015870 ns MR4_D 40006004 00000001 - 1015870 ns R r1 00000001 - 1015870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1015890 ns R r1 80000000 - 1015890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1015910 ns R psr 81000200 - 1015910 ns MR4_I 01000208 2a001c5b - 1015930 ns MR4_I 01000200 07c96841 - 1015950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1015970 ns MR4_I 01000204 6002d1fc - 1016030 ns MR4_D 40006004 00000001 - 1016030 ns R r1 00000001 - 1016030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1016050 ns R r1 80000000 - 1016050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1016070 ns R psr 81000200 - 1016070 ns MR4_I 01000208 2a001c5b - 1016090 ns MR4_I 01000200 07c96841 - 1016110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1016130 ns MR4_I 01000204 6002d1fc - 1016190 ns MR4_D 40006004 00000001 - 1016190 ns R r1 00000001 - 1016190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1016210 ns R r1 80000000 - 1016210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1016230 ns R psr 81000200 - 1016230 ns MR4_I 01000208 2a001c5b - 1016250 ns MR4_I 01000200 07c96841 - 1016270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1016290 ns MR4_I 01000204 6002d1fc - 1016350 ns MR4_D 40006004 00000001 - 1016350 ns R r1 00000001 - 1016350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1016370 ns R r1 80000000 - 1016370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1016390 ns R psr 81000200 - 1016390 ns MR4_I 01000208 2a001c5b - 1016410 ns MR4_I 01000200 07c96841 - 1016430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1016450 ns MR4_I 01000204 6002d1fc - 1016510 ns MR4_D 40006004 00000001 - 1016510 ns R r1 00000001 - 1016510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1016530 ns R r1 80000000 - 1016530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1016550 ns R psr 81000200 - 1016550 ns MR4_I 01000208 2a001c5b - 1016570 ns MR4_I 01000200 07c96841 - 1016590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1016610 ns MR4_I 01000204 6002d1fc - 1016670 ns MR4_D 40006004 00000001 - 1016670 ns R r1 00000001 - 1016670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1016690 ns R r1 80000000 - 1016690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1016710 ns R psr 81000200 - 1016710 ns MR4_I 01000208 2a001c5b - 1016730 ns MR4_I 01000200 07c96841 - 1016750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1016770 ns MR4_I 01000204 6002d1fc - 1016830 ns MR4_D 40006004 00000001 - 1016830 ns R r1 00000001 - 1016830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1016850 ns R r1 80000000 - 1016850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1016870 ns R psr 81000200 - 1016870 ns MR4_I 01000208 2a001c5b - 1016890 ns MR4_I 01000200 07c96841 - 1016910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1016930 ns MR4_I 01000204 6002d1fc - 1016990 ns MR4_D 40006004 00000001 - 1016990 ns R r1 00000001 - 1016990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1017010 ns R r1 80000000 - 1017010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1017030 ns R psr 81000200 - 1017030 ns MR4_I 01000208 2a001c5b - 1017050 ns MR4_I 01000200 07c96841 - 1017070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1017090 ns MR4_I 01000204 6002d1fc - 1017150 ns MR4_D 40006004 00000001 - 1017150 ns R r1 00000001 - 1017150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1017170 ns R r1 80000000 - 1017170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1017190 ns R psr 81000200 - 1017190 ns MR4_I 01000208 2a001c5b - 1017210 ns MR4_I 01000200 07c96841 - 1017230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1017250 ns MR4_I 01000204 6002d1fc - 1017310 ns MR4_D 40006004 00000001 - 1017310 ns R r1 00000001 - 1017310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1017330 ns R r1 80000000 - 1017330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1017350 ns R psr 81000200 - 1017350 ns MR4_I 01000208 2a001c5b - 1017370 ns MR4_I 01000200 07c96841 - 1017390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1017410 ns MR4_I 01000204 6002d1fc - 1017470 ns MR4_D 40006004 00000001 - 1017470 ns R r1 00000001 - 1017470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1017490 ns R r1 80000000 - 1017490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1017510 ns R psr 81000200 - 1017510 ns MR4_I 01000208 2a001c5b - 1017530 ns MR4_I 01000200 07c96841 - 1017550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1017570 ns MR4_I 01000204 6002d1fc - 1017630 ns MR4_D 40006004 00000001 - 1017630 ns R r1 00000001 - 1017630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1017650 ns R r1 80000000 - 1017650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1017670 ns R psr 81000200 - 1017670 ns MR4_I 01000208 2a001c5b - 1017690 ns MR4_I 01000200 07c96841 - 1017710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1017730 ns MR4_I 01000204 6002d1fc - 1017790 ns MR4_D 40006004 00000001 - 1017790 ns R r1 00000001 - 1017790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1017810 ns R r1 80000000 - 1017810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1017830 ns R psr 81000200 - 1017830 ns MR4_I 01000208 2a001c5b - 1017850 ns MR4_I 01000200 07c96841 - 1017870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1017890 ns MR4_I 01000204 6002d1fc - 1017950 ns MR4_D 40006004 00000001 - 1017950 ns R r1 00000001 - 1017950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1017970 ns R r1 80000000 - 1017970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1017990 ns R psr 81000200 - 1017990 ns MR4_I 01000208 2a001c5b - 1018010 ns MR4_I 01000200 07c96841 - 1018030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1018050 ns MR4_I 01000204 6002d1fc - 1018110 ns MR4_D 40006004 00000001 - 1018110 ns R r1 00000001 - 1018110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1018130 ns R r1 80000000 - 1018130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1018150 ns R psr 81000200 - 1018150 ns MR4_I 01000208 2a001c5b - 1018170 ns MR4_I 01000200 07c96841 - 1018190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1018210 ns MR4_I 01000204 6002d1fc - 1018270 ns MR4_D 40006004 00000001 - 1018270 ns R r1 00000001 - 1018270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1018290 ns R r1 80000000 - 1018290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1018310 ns R psr 81000200 - 1018310 ns MR4_I 01000208 2a001c5b - 1018330 ns MR4_I 01000200 07c96841 - 1018350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1018370 ns MR4_I 01000204 6002d1fc - 1018430 ns MR4_D 40006004 00000001 - 1018430 ns R r1 00000001 - 1018430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1018450 ns R r1 80000000 - 1018450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1018470 ns R psr 81000200 - 1018470 ns MR4_I 01000208 2a001c5b - 1018490 ns MR4_I 01000200 07c96841 - 1018510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1018530 ns MR4_I 01000204 6002d1fc - 1018590 ns MR4_D 40006004 00000001 - 1018590 ns R r1 00000001 - 1018590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1018610 ns R r1 80000000 - 1018610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1018630 ns R psr 81000200 - 1018630 ns MR4_I 01000208 2a001c5b - 1018650 ns MR4_I 01000200 07c96841 - 1018670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1018690 ns MR4_I 01000204 6002d1fc - 1018750 ns MR4_D 40006004 00000001 - 1018750 ns R r1 00000001 - 1018750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1018770 ns R r1 80000000 - 1018770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1018790 ns R psr 81000200 - 1018790 ns MR4_I 01000208 2a001c5b - 1018810 ns MR4_I 01000200 07c96841 - 1018830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1018850 ns MR4_I 01000204 6002d1fc - 1018910 ns MR4_D 40006004 00000001 - 1018910 ns R r1 00000001 - 1018910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1018930 ns R r1 80000000 - 1018930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1018950 ns R psr 81000200 - 1018950 ns MR4_I 01000208 2a001c5b - 1018970 ns MR4_I 01000200 07c96841 - 1018990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1019010 ns MR4_I 01000204 6002d1fc - 1019070 ns MR4_D 40006004 00000001 - 1019070 ns R r1 00000001 - 1019070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1019090 ns R r1 80000000 - 1019090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1019110 ns R psr 81000200 - 1019110 ns MR4_I 01000208 2a001c5b - 1019130 ns MR4_I 01000200 07c96841 - 1019150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1019170 ns MR4_I 01000204 6002d1fc - 1019230 ns MR4_D 40006004 00000001 - 1019230 ns R r1 00000001 - 1019230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1019250 ns R r1 80000000 - 1019250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1019270 ns R psr 81000200 - 1019270 ns MR4_I 01000208 2a001c5b - 1019290 ns MR4_I 01000200 07c96841 - 1019310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1019330 ns MR4_I 01000204 6002d1fc - 1019390 ns MR4_D 40006004 00000001 - 1019390 ns R r1 00000001 - 1019390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1019410 ns R r1 80000000 - 1019410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1019430 ns R psr 81000200 - 1019430 ns MR4_I 01000208 2a001c5b - 1019450 ns MR4_I 01000200 07c96841 - 1019470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1019490 ns MR4_I 01000204 6002d1fc - 1019550 ns MR4_D 40006004 00000001 - 1019550 ns R r1 00000001 - 1019550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1019570 ns R r1 80000000 - 1019570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1019590 ns R psr 81000200 - 1019590 ns MR4_I 01000208 2a001c5b - 1019610 ns MR4_I 01000200 07c96841 - 1019630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1019650 ns MR4_I 01000204 6002d1fc - 1019710 ns MR4_D 40006004 00000001 - 1019710 ns R r1 00000001 - 1019710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1019730 ns R r1 80000000 - 1019730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1019750 ns R psr 81000200 - 1019750 ns MR4_I 01000208 2a001c5b - 1019770 ns MR4_I 01000200 07c96841 - 1019790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1019810 ns MR4_I 01000204 6002d1fc - 1019870 ns MR4_D 40006004 00000001 - 1019870 ns R r1 00000001 - 1019870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1019890 ns R r1 80000000 - 1019890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1019910 ns R psr 81000200 - 1019910 ns MR4_I 01000208 2a001c5b - 1019930 ns MR4_I 01000200 07c96841 - 1019950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1019970 ns MR4_I 01000204 6002d1fc - 1020030 ns MR4_D 40006004 00000001 - 1020030 ns R r1 00000001 - 1020030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1020050 ns R r1 80000000 - 1020050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1020070 ns R psr 81000200 - 1020070 ns MR4_I 01000208 2a001c5b - 1020090 ns MR4_I 01000200 07c96841 - 1020110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1020130 ns MR4_I 01000204 6002d1fc - 1020190 ns MR4_D 40006004 00000001 - 1020190 ns R r1 00000001 - 1020190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1020210 ns R r1 80000000 - 1020210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1020230 ns R psr 81000200 - 1020230 ns MR4_I 01000208 2a001c5b - 1020250 ns MR4_I 01000200 07c96841 - 1020270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1020290 ns MR4_I 01000204 6002d1fc - 1020350 ns MR4_D 40006004 00000001 - 1020350 ns R r1 00000001 - 1020350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1020370 ns R r1 80000000 - 1020370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1020390 ns R psr 81000200 - 1020390 ns MR4_I 01000208 2a001c5b - 1020410 ns MR4_I 01000200 07c96841 - 1020430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1020450 ns MR4_I 01000204 6002d1fc - 1020510 ns MR4_D 40006004 00000001 - 1020510 ns R r1 00000001 - 1020510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1020530 ns R r1 80000000 - 1020530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1020550 ns R psr 81000200 - 1020550 ns MR4_I 01000208 2a001c5b - 1020570 ns MR4_I 01000200 07c96841 - 1020590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1020610 ns MR4_I 01000204 6002d1fc - 1020670 ns MR4_D 40006004 00000001 - 1020670 ns R r1 00000001 - 1020670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1020690 ns R r1 80000000 - 1020690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1020710 ns R psr 81000200 - 1020710 ns MR4_I 01000208 2a001c5b - 1020730 ns MR4_I 01000200 07c96841 - 1020750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1020770 ns MR4_I 01000204 6002d1fc - 1020830 ns MR4_D 40006004 00000001 - 1020830 ns R r1 00000001 - 1020830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1020850 ns R r1 80000000 - 1020850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1020870 ns R psr 81000200 - 1020870 ns MR4_I 01000208 2a001c5b - 1020890 ns MR4_I 01000200 07c96841 - 1020910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1020930 ns MR4_I 01000204 6002d1fc - 1020990 ns MR4_D 40006004 00000001 - 1020990 ns R r1 00000001 - 1020990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1021010 ns R r1 80000000 - 1021010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1021030 ns R psr 81000200 - 1021030 ns MR4_I 01000208 2a001c5b - 1021050 ns MR4_I 01000200 07c96841 - 1021070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1021090 ns MR4_I 01000204 6002d1fc - 1021150 ns MR4_D 40006004 00000001 - 1021150 ns R r1 00000001 - 1021150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1021170 ns R r1 80000000 - 1021170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1021190 ns R psr 81000200 - 1021190 ns MR4_I 01000208 2a001c5b - 1021210 ns MR4_I 01000200 07c96841 - 1021230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1021250 ns MR4_I 01000204 6002d1fc - 1021310 ns MR4_D 40006004 00000001 - 1021310 ns R r1 00000001 - 1021310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1021330 ns R r1 80000000 - 1021330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1021350 ns R psr 81000200 - 1021350 ns MR4_I 01000208 2a001c5b - 1021370 ns MR4_I 01000200 07c96841 - 1021390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1021410 ns MR4_I 01000204 6002d1fc - 1021470 ns MR4_D 40006004 00000001 - 1021470 ns R r1 00000001 - 1021470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1021490 ns R r1 80000000 - 1021490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1021510 ns R psr 81000200 - 1021510 ns MR4_I 01000208 2a001c5b - 1021530 ns MR4_I 01000200 07c96841 - 1021550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1021570 ns MR4_I 01000204 6002d1fc - 1021630 ns MR4_D 40006004 00000001 - 1021630 ns R r1 00000001 - 1021630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1021650 ns R r1 80000000 - 1021650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1021670 ns R psr 81000200 - 1021670 ns MR4_I 01000208 2a001c5b - 1021690 ns MR4_I 01000200 07c96841 - 1021710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1021730 ns MR4_I 01000204 6002d1fc - 1021790 ns MR4_D 40006004 00000001 - 1021790 ns R r1 00000001 - 1021790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1021810 ns R r1 80000000 - 1021810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1021830 ns R psr 81000200 - 1021830 ns MR4_I 01000208 2a001c5b - 1021850 ns MR4_I 01000200 07c96841 - 1021870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1021890 ns MR4_I 01000204 6002d1fc - 1021950 ns MR4_D 40006004 00000001 - 1021950 ns R r1 00000001 - 1021950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1021970 ns R r1 80000000 - 1021970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1021990 ns R psr 81000200 - 1021990 ns MR4_I 01000208 2a001c5b - 1022010 ns MR4_I 01000200 07c96841 - 1022030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1022050 ns MR4_I 01000204 6002d1fc - 1022110 ns MR4_D 40006004 00000001 - 1022110 ns R r1 00000001 - 1022110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1022130 ns R r1 80000000 - 1022130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1022150 ns R psr 81000200 - 1022150 ns MR4_I 01000208 2a001c5b - 1022170 ns MR4_I 01000200 07c96841 - 1022190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1022210 ns MR4_I 01000204 6002d1fc - 1022270 ns MR4_D 40006004 00000001 - 1022270 ns R r1 00000001 - 1022270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1022290 ns R r1 80000000 - 1022290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1022310 ns R psr 81000200 - 1022310 ns MR4_I 01000208 2a001c5b - 1022330 ns MR4_I 01000200 07c96841 - 1022350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1022370 ns MR4_I 01000204 6002d1fc - 1022430 ns MR4_D 40006004 00000001 - 1022430 ns R r1 00000001 - 1022430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1022450 ns R r1 80000000 - 1022450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1022470 ns R psr 81000200 - 1022470 ns MR4_I 01000208 2a001c5b - 1022490 ns MR4_I 01000200 07c96841 - 1022510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1022530 ns MR4_I 01000204 6002d1fc - 1022590 ns MR4_D 40006004 00000001 - 1022590 ns R r1 00000001 - 1022590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1022610 ns R r1 80000000 - 1022610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1022630 ns R psr 81000200 - 1022630 ns MR4_I 01000208 2a001c5b - 1022650 ns MR4_I 01000200 07c96841 - 1022670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1022690 ns MR4_I 01000204 6002d1fc - 1022750 ns MR4_D 40006004 00000001 - 1022750 ns R r1 00000001 - 1022750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1022770 ns R r1 80000000 - 1022770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1022790 ns R psr 81000200 - 1022790 ns MR4_I 01000208 2a001c5b - 1022810 ns MR4_I 01000200 07c96841 - 1022830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1022850 ns MR4_I 01000204 6002d1fc - 1022910 ns MR4_D 40006004 00000001 - 1022910 ns R r1 00000001 - 1022910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1022930 ns R r1 80000000 - 1022930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1022950 ns R psr 81000200 - 1022950 ns MR4_I 01000208 2a001c5b - 1022970 ns MR4_I 01000200 07c96841 - 1022990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1023010 ns MR4_I 01000204 6002d1fc - 1023070 ns MR4_D 40006004 00000001 - 1023070 ns R r1 00000001 - 1023070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1023090 ns R r1 80000000 - 1023090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1023110 ns R psr 81000200 - 1023110 ns MR4_I 01000208 2a001c5b - 1023130 ns MR4_I 01000200 07c96841 - 1023150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1023170 ns MR4_I 01000204 6002d1fc - 1023230 ns MR4_D 40006004 00000001 - 1023230 ns R r1 00000001 - 1023230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1023250 ns R r1 80000000 - 1023250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1023270 ns R psr 81000200 - 1023270 ns MR4_I 01000208 2a001c5b - 1023290 ns MR4_I 01000200 07c96841 - 1023310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1023330 ns MR4_I 01000204 6002d1fc - 1023390 ns MR4_D 40006004 00000001 - 1023390 ns R r1 00000001 - 1023390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1023410 ns R r1 80000000 - 1023410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1023430 ns R psr 81000200 - 1023430 ns MR4_I 01000208 2a001c5b - 1023450 ns MR4_I 01000200 07c96841 - 1023470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1023490 ns MR4_I 01000204 6002d1fc - 1023550 ns MR4_D 40006004 00000001 - 1023550 ns R r1 00000001 - 1023550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1023570 ns R r1 80000000 - 1023570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1023590 ns R psr 81000200 - 1023590 ns MR4_I 01000208 2a001c5b - 1023610 ns MR4_I 01000200 07c96841 - 1023630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1023650 ns MR4_I 01000204 6002d1fc - 1023710 ns MR4_D 40006004 00000001 - 1023710 ns R r1 00000001 - 1023710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1023730 ns R r1 80000000 - 1023730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1023750 ns R psr 81000200 - 1023750 ns MR4_I 01000208 2a001c5b - 1023770 ns MR4_I 01000200 07c96841 - 1023790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1023810 ns MR4_I 01000204 6002d1fc - 1023870 ns MR4_D 40006004 00000001 - 1023870 ns R r1 00000001 - 1023870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1023890 ns R r1 80000000 - 1023890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1023910 ns R psr 81000200 - 1023910 ns MR4_I 01000208 2a001c5b - 1023930 ns MR4_I 01000200 07c96841 - 1023950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1023970 ns MR4_I 01000204 6002d1fc - 1024030 ns MR4_D 40006004 00000001 - 1024030 ns R r1 00000001 - 1024030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1024050 ns R r1 80000000 - 1024050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1024070 ns R psr 81000200 - 1024070 ns MR4_I 01000208 2a001c5b - 1024090 ns MR4_I 01000200 07c96841 - 1024110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1024130 ns MR4_I 01000204 6002d1fc - 1024190 ns MR4_D 40006004 00000001 - 1024190 ns R r1 00000001 - 1024190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1024210 ns R r1 80000000 - 1024210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1024230 ns R psr 81000200 - 1024230 ns MR4_I 01000208 2a001c5b - 1024250 ns MR4_I 01000200 07c96841 - 1024270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1024290 ns MR4_I 01000204 6002d1fc - 1024350 ns MR4_D 40006004 00000001 - 1024350 ns R r1 00000001 - 1024350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1024370 ns R r1 80000000 - 1024370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1024390 ns R psr 81000200 - 1024390 ns MR4_I 01000208 2a001c5b - 1024410 ns MR4_I 01000200 07c96841 - 1024430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1024450 ns MR4_I 01000204 6002d1fc - 1024510 ns MR4_D 40006004 00000001 - 1024510 ns R r1 00000001 - 1024510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1024530 ns R r1 80000000 - 1024530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1024550 ns R psr 81000200 - 1024550 ns MR4_I 01000208 2a001c5b - 1024570 ns MR4_I 01000200 07c96841 - 1024590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1024610 ns MR4_I 01000204 6002d1fc - 1024670 ns MR4_D 40006004 00000001 - 1024670 ns R r1 00000001 - 1024670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1024690 ns R r1 80000000 - 1024690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1024710 ns R psr 81000200 - 1024710 ns MR4_I 01000208 2a001c5b - 1024730 ns MR4_I 01000200 07c96841 - 1024750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1024770 ns MR4_I 01000204 6002d1fc - 1024830 ns MR4_D 40006004 00000001 - 1024830 ns R r1 00000001 - 1024830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1024850 ns R r1 80000000 - 1024850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1024870 ns R psr 81000200 - 1024870 ns MR4_I 01000208 2a001c5b - 1024890 ns MR4_I 01000200 07c96841 - 1024910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1024930 ns MR4_I 01000204 6002d1fc - 1024990 ns MR4_D 40006004 00000001 - 1024990 ns R r1 00000001 - 1024990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1025010 ns R r1 80000000 - 1025010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1025030 ns R psr 81000200 - 1025030 ns MR4_I 01000208 2a001c5b - 1025050 ns MR4_I 01000200 07c96841 - 1025070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1025090 ns MR4_I 01000204 6002d1fc - 1025150 ns MR4_D 40006004 00000001 - 1025150 ns R r1 00000001 - 1025150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1025170 ns R r1 80000000 - 1025170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1025190 ns R psr 81000200 - 1025190 ns MR4_I 01000208 2a001c5b - 1025210 ns MR4_I 01000200 07c96841 - 1025230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1025250 ns MR4_I 01000204 6002d1fc - 1025310 ns MR4_D 40006004 00000001 - 1025310 ns R r1 00000001 - 1025310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1025330 ns R r1 80000000 - 1025330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1025350 ns R psr 81000200 - 1025350 ns MR4_I 01000208 2a001c5b - 1025370 ns MR4_I 01000200 07c96841 - 1025390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1025410 ns MR4_I 01000204 6002d1fc - 1025470 ns MR4_D 40006004 00000001 - 1025470 ns R r1 00000001 - 1025470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1025490 ns R r1 80000000 - 1025490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1025510 ns R psr 81000200 - 1025510 ns MR4_I 01000208 2a001c5b - 1025530 ns MR4_I 01000200 07c96841 - 1025550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1025570 ns MR4_I 01000204 6002d1fc - 1025630 ns MR4_D 40006004 00000001 - 1025630 ns R r1 00000001 - 1025630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1025650 ns R r1 80000000 - 1025650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1025670 ns R psr 81000200 - 1025670 ns MR4_I 01000208 2a001c5b - 1025690 ns MR4_I 01000200 07c96841 - 1025710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1025730 ns MR4_I 01000204 6002d1fc - 1025790 ns MR4_D 40006004 00000001 - 1025790 ns R r1 00000001 - 1025790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1025810 ns R r1 80000000 - 1025810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1025830 ns R psr 81000200 - 1025830 ns MR4_I 01000208 2a001c5b - 1025850 ns MR4_I 01000200 07c96841 - 1025870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1025890 ns MR4_I 01000204 6002d1fc - 1025950 ns MR4_D 40006004 00000001 - 1025950 ns R r1 00000001 - 1025950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1025970 ns R r1 80000000 - 1025970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1025990 ns R psr 81000200 - 1025990 ns MR4_I 01000208 2a001c5b - 1026010 ns MR4_I 01000200 07c96841 - 1026030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1026050 ns MR4_I 01000204 6002d1fc - 1026110 ns MR4_D 40006004 00000001 - 1026110 ns R r1 00000001 - 1026110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1026130 ns R r1 80000000 - 1026130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1026150 ns R psr 81000200 - 1026150 ns MR4_I 01000208 2a001c5b - 1026170 ns MR4_I 01000200 07c96841 - 1026190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1026210 ns MR4_I 01000204 6002d1fc - 1026270 ns MR4_D 40006004 00000001 - 1026270 ns R r1 00000001 - 1026270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1026290 ns R r1 80000000 - 1026290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1026310 ns R psr 81000200 - 1026310 ns MR4_I 01000208 2a001c5b - 1026330 ns MR4_I 01000200 07c96841 - 1026350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1026370 ns MR4_I 01000204 6002d1fc - 1026430 ns MR4_D 40006004 00000001 - 1026430 ns R r1 00000001 - 1026430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1026450 ns R r1 80000000 - 1026450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1026470 ns R psr 81000200 - 1026470 ns MR4_I 01000208 2a001c5b - 1026490 ns MR4_I 01000200 07c96841 - 1026510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1026530 ns MR4_I 01000204 6002d1fc - 1026590 ns MR4_D 40006004 00000001 - 1026590 ns R r1 00000001 - 1026590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1026610 ns R r1 80000000 - 1026610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1026630 ns R psr 81000200 - 1026630 ns MR4_I 01000208 2a001c5b - 1026650 ns MR4_I 01000200 07c96841 - 1026670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1026690 ns MR4_I 01000204 6002d1fc - 1026750 ns MR4_D 40006004 00000001 - 1026750 ns R r1 00000001 - 1026750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1026770 ns R r1 80000000 - 1026770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1026790 ns R psr 81000200 - 1026790 ns MR4_I 01000208 2a001c5b - 1026810 ns MR4_I 01000200 07c96841 - 1026830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1026850 ns MR4_I 01000204 6002d1fc - 1026910 ns MR4_D 40006004 00000001 - 1026910 ns R r1 00000001 - 1026910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1026930 ns R r1 80000000 - 1026930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1026950 ns R psr 81000200 - 1026950 ns MR4_I 01000208 2a001c5b - 1026970 ns MR4_I 01000200 07c96841 - 1026990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1027010 ns MR4_I 01000204 6002d1fc - 1027070 ns MR4_D 40006004 00000001 - 1027070 ns R r1 00000001 - 1027070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1027090 ns R r1 80000000 - 1027090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1027110 ns R psr 81000200 - 1027110 ns MR4_I 01000208 2a001c5b - 1027130 ns MR4_I 01000200 07c96841 - 1027150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1027170 ns MR4_I 01000204 6002d1fc - 1027230 ns MR4_D 40006004 00000001 - 1027230 ns R r1 00000001 - 1027230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1027250 ns R r1 80000000 - 1027250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1027270 ns R psr 81000200 - 1027270 ns MR4_I 01000208 2a001c5b - 1027290 ns MR4_I 01000200 07c96841 - 1027310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1027330 ns MR4_I 01000204 6002d1fc - 1027390 ns MR4_D 40006004 00000001 - 1027390 ns R r1 00000001 - 1027390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1027410 ns R r1 80000000 - 1027410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1027430 ns R psr 81000200 - 1027430 ns MR4_I 01000208 2a001c5b - 1027450 ns MR4_I 01000200 07c96841 - 1027470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1027490 ns MR4_I 01000204 6002d1fc - 1027550 ns MR4_D 40006004 00000001 - 1027550 ns R r1 00000001 - 1027550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1027570 ns R r1 80000000 - 1027570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1027590 ns R psr 81000200 - 1027590 ns MR4_I 01000208 2a001c5b - 1027610 ns MR4_I 01000200 07c96841 - 1027630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1027650 ns MR4_I 01000204 6002d1fc - 1027710 ns MR4_D 40006004 00000001 - 1027710 ns R r1 00000001 - 1027710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1027730 ns R r1 80000000 - 1027730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1027750 ns R psr 81000200 - 1027750 ns MR4_I 01000208 2a001c5b - 1027770 ns MR4_I 01000200 07c96841 - 1027790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1027810 ns MR4_I 01000204 6002d1fc - 1027870 ns MR4_D 40006004 00000001 - 1027870 ns R r1 00000001 - 1027870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1027890 ns R r1 80000000 - 1027890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1027910 ns R psr 81000200 - 1027910 ns MR4_I 01000208 2a001c5b - 1027930 ns MR4_I 01000200 07c96841 - 1027950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1027970 ns MR4_I 01000204 6002d1fc - 1028030 ns MR4_D 40006004 00000001 - 1028030 ns R r1 00000001 - 1028030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1028050 ns R r1 80000000 - 1028050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1028070 ns R psr 81000200 - 1028070 ns MR4_I 01000208 2a001c5b - 1028090 ns MR4_I 01000200 07c96841 - 1028110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1028130 ns MR4_I 01000204 6002d1fc - 1028190 ns MR4_D 40006004 00000001 - 1028190 ns R r1 00000001 - 1028190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1028210 ns R r1 80000000 - 1028210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1028230 ns R psr 81000200 - 1028230 ns MR4_I 01000208 2a001c5b - 1028250 ns MR4_I 01000200 07c96841 - 1028270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1028290 ns MR4_I 01000204 6002d1fc - 1028350 ns MR4_D 40006004 00000001 - 1028350 ns R r1 00000001 - 1028350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1028370 ns R r1 80000000 - 1028370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1028390 ns R psr 81000200 - 1028390 ns MR4_I 01000208 2a001c5b - 1028410 ns MR4_I 01000200 07c96841 - 1028430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1028450 ns MR4_I 01000204 6002d1fc - 1028510 ns MR4_D 40006004 00000001 - 1028510 ns R r1 00000001 - 1028510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1028530 ns R r1 80000000 - 1028530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1028550 ns R psr 81000200 - 1028550 ns MR4_I 01000208 2a001c5b - 1028570 ns MR4_I 01000200 07c96841 - 1028590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1028610 ns MR4_I 01000204 6002d1fc - 1028670 ns MR4_D 40006004 00000001 - 1028670 ns R r1 00000001 - 1028670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1028690 ns R r1 80000000 - 1028690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1028710 ns R psr 81000200 - 1028710 ns MR4_I 01000208 2a001c5b - 1028730 ns MR4_I 01000200 07c96841 - 1028750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1028770 ns MR4_I 01000204 6002d1fc - 1028830 ns MR4_D 40006004 00000001 - 1028830 ns R r1 00000001 - 1028830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1028850 ns R r1 80000000 - 1028850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1028870 ns R psr 81000200 - 1028870 ns MR4_I 01000208 2a001c5b - 1028890 ns MR4_I 01000200 07c96841 - 1028910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1028930 ns MR4_I 01000204 6002d1fc - 1028990 ns MR4_D 40006004 00000001 - 1028990 ns R r1 00000001 - 1028990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1029010 ns R r1 80000000 - 1029010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1029030 ns R psr 81000200 - 1029030 ns MR4_I 01000208 2a001c5b - 1029050 ns MR4_I 01000200 07c96841 - 1029070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1029090 ns MR4_I 01000204 6002d1fc - 1029150 ns MR4_D 40006004 00000001 - 1029150 ns R r1 00000001 - 1029150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1029170 ns R r1 80000000 - 1029170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1029190 ns R psr 81000200 - 1029190 ns MR4_I 01000208 2a001c5b - 1029210 ns MR4_I 01000200 07c96841 - 1029230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1029250 ns MR4_I 01000204 6002d1fc - 1029310 ns MR4_D 40006004 00000001 - 1029310 ns R r1 00000001 - 1029310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1029330 ns R r1 80000000 - 1029330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1029350 ns R psr 81000200 - 1029350 ns MR4_I 01000208 2a001c5b - 1029370 ns MR4_I 01000200 07c96841 - 1029390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1029410 ns MR4_I 01000204 6002d1fc - 1029470 ns MR4_D 40006004 00000001 - 1029470 ns R r1 00000001 - 1029470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1029490 ns R r1 80000000 - 1029490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1029510 ns R psr 81000200 - 1029510 ns MR4_I 01000208 2a001c5b - 1029530 ns MR4_I 01000200 07c96841 - 1029550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1029570 ns MR4_I 01000204 6002d1fc - 1029630 ns MR4_D 40006004 00000001 - 1029630 ns R r1 00000001 - 1029630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1029650 ns R r1 80000000 - 1029650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1029670 ns R psr 81000200 - 1029670 ns MR4_I 01000208 2a001c5b - 1029690 ns MR4_I 01000200 07c96841 - 1029710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1029730 ns MR4_I 01000204 6002d1fc - 1029790 ns MR4_D 40006004 00000001 - 1029790 ns R r1 00000001 - 1029790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1029810 ns R r1 80000000 - 1029810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1029830 ns R psr 81000200 - 1029830 ns MR4_I 01000208 2a001c5b - 1029850 ns MR4_I 01000200 07c96841 - 1029870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1029890 ns MR4_I 01000204 6002d1fc - 1029950 ns MR4_D 40006004 00000001 - 1029950 ns R r1 00000001 - 1029950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1029970 ns R r1 80000000 - 1029970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1029990 ns R psr 81000200 - 1029990 ns MR4_I 01000208 2a001c5b - 1030010 ns MR4_I 01000200 07c96841 - 1030030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1030050 ns MR4_I 01000204 6002d1fc - 1030110 ns MR4_D 40006004 00000001 - 1030110 ns R r1 00000001 - 1030110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1030130 ns R r1 80000000 - 1030130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1030150 ns R psr 81000200 - 1030150 ns MR4_I 01000208 2a001c5b - 1030170 ns MR4_I 01000200 07c96841 - 1030190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1030210 ns MR4_I 01000204 6002d1fc - 1030270 ns MR4_D 40006004 00000001 - 1030270 ns R r1 00000001 - 1030270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1030290 ns R r1 80000000 - 1030290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1030310 ns R psr 81000200 - 1030310 ns MR4_I 01000208 2a001c5b - 1030330 ns MR4_I 01000200 07c96841 - 1030350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1030370 ns MR4_I 01000204 6002d1fc - 1030430 ns MR4_D 40006004 00000001 - 1030430 ns R r1 00000001 - 1030430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1030450 ns R r1 80000000 - 1030450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1030470 ns R psr 81000200 - 1030470 ns MR4_I 01000208 2a001c5b - 1030490 ns MR4_I 01000200 07c96841 - 1030510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1030530 ns MR4_I 01000204 6002d1fc - 1030590 ns MR4_D 40006004 00000001 - 1030590 ns R r1 00000001 - 1030590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1030610 ns R r1 80000000 - 1030610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1030630 ns R psr 81000200 - 1030630 ns MR4_I 01000208 2a001c5b - 1030650 ns MR4_I 01000200 07c96841 - 1030670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1030690 ns MR4_I 01000204 6002d1fc - 1030750 ns MR4_D 40006004 00000001 - 1030750 ns R r1 00000001 - 1030750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1030770 ns R r1 80000000 - 1030770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1030790 ns R psr 81000200 - 1030790 ns MR4_I 01000208 2a001c5b - 1030810 ns MR4_I 01000200 07c96841 - 1030830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1030850 ns MR4_I 01000204 6002d1fc - 1030910 ns MR4_D 40006004 00000001 - 1030910 ns R r1 00000001 - 1030910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1030930 ns R r1 80000000 - 1030930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1030950 ns R psr 81000200 - 1030950 ns MR4_I 01000208 2a001c5b - 1030970 ns MR4_I 01000200 07c96841 - 1030990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1031010 ns MR4_I 01000204 6002d1fc - 1031070 ns MR4_D 40006004 00000001 - 1031070 ns R r1 00000001 - 1031070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1031090 ns R r1 80000000 - 1031090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1031110 ns R psr 81000200 - 1031110 ns MR4_I 01000208 2a001c5b - 1031130 ns MR4_I 01000200 07c96841 - 1031150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1031170 ns MR4_I 01000204 6002d1fc - 1031230 ns MR4_D 40006004 00000001 - 1031230 ns R r1 00000001 - 1031230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1031250 ns R r1 80000000 - 1031250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1031270 ns R psr 81000200 - 1031270 ns MR4_I 01000208 2a001c5b - 1031290 ns MR4_I 01000200 07c96841 - 1031310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1031330 ns MR4_I 01000204 6002d1fc - 1031390 ns MR4_D 40006004 00000001 - 1031390 ns R r1 00000001 - 1031390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1031410 ns R r1 80000000 - 1031410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1031430 ns R psr 81000200 - 1031430 ns MR4_I 01000208 2a001c5b - 1031450 ns MR4_I 01000200 07c96841 - 1031470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1031490 ns MR4_I 01000204 6002d1fc - 1031550 ns MR4_D 40006004 00000001 - 1031550 ns R r1 00000001 - 1031550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1031570 ns R r1 80000000 - 1031570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1031590 ns R psr 81000200 - 1031590 ns MR4_I 01000208 2a001c5b - 1031610 ns MR4_I 01000200 07c96841 - 1031630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1031650 ns MR4_I 01000204 6002d1fc - 1031710 ns MR4_D 40006004 00000001 - 1031710 ns R r1 00000001 - 1031710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1031730 ns R r1 80000000 - 1031730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1031750 ns R psr 81000200 - 1031750 ns MR4_I 01000208 2a001c5b - 1031770 ns MR4_I 01000200 07c96841 - 1031790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1031810 ns MR4_I 01000204 6002d1fc - 1031870 ns MR4_D 40006004 00000001 - 1031870 ns R r1 00000001 - 1031870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1031890 ns R r1 80000000 - 1031890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1031910 ns R psr 81000200 - 1031910 ns MR4_I 01000208 2a001c5b - 1031930 ns MR4_I 01000200 07c96841 - 1031950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1031970 ns MR4_I 01000204 6002d1fc - 1032030 ns MR4_D 40006004 00000001 - 1032030 ns R r1 00000001 - 1032030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1032050 ns R r1 80000000 - 1032050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1032070 ns R psr 81000200 - 1032070 ns MR4_I 01000208 2a001c5b - 1032090 ns MR4_I 01000200 07c96841 - 1032110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1032130 ns MR4_I 01000204 6002d1fc - 1032190 ns MR4_D 40006004 00000001 - 1032190 ns R r1 00000001 - 1032190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1032210 ns R r1 80000000 - 1032210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1032230 ns R psr 81000200 - 1032230 ns MR4_I 01000208 2a001c5b - 1032250 ns MR4_I 01000200 07c96841 - 1032270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1032290 ns MR4_I 01000204 6002d1fc - 1032350 ns MR4_D 40006004 00000001 - 1032350 ns R r1 00000001 - 1032350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1032370 ns R r1 80000000 - 1032370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1032390 ns R psr 81000200 - 1032390 ns MR4_I 01000208 2a001c5b - 1032410 ns MR4_I 01000200 07c96841 - 1032430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1032450 ns MR4_I 01000204 6002d1fc - 1032510 ns MR4_D 40006004 00000001 - 1032510 ns R r1 00000001 - 1032510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1032530 ns R r1 80000000 - 1032530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1032550 ns R psr 81000200 - 1032550 ns MR4_I 01000208 2a001c5b - 1032570 ns MR4_I 01000200 07c96841 - 1032590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1032610 ns MR4_I 01000204 6002d1fc - 1032670 ns MR4_D 40006004 00000001 - 1032670 ns R r1 00000001 - 1032670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1032690 ns R r1 80000000 - 1032690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1032710 ns R psr 81000200 - 1032710 ns MR4_I 01000208 2a001c5b - 1032730 ns MR4_I 01000200 07c96841 - 1032750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1032770 ns MR4_I 01000204 6002d1fc - 1032830 ns MR4_D 40006004 00000001 - 1032830 ns R r1 00000001 - 1032830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1032850 ns R r1 80000000 - 1032850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1032870 ns R psr 81000200 - 1032870 ns MR4_I 01000208 2a001c5b - 1032890 ns MR4_I 01000200 07c96841 - 1032910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1032930 ns MR4_I 01000204 6002d1fc - 1032990 ns MR4_D 40006004 00000001 - 1032990 ns R r1 00000001 - 1032990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1033010 ns R r1 80000000 - 1033010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1033030 ns R psr 81000200 - 1033030 ns MR4_I 01000208 2a001c5b - 1033050 ns MR4_I 01000200 07c96841 - 1033070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1033090 ns MR4_I 01000204 6002d1fc - 1033150 ns MR4_D 40006004 00000001 - 1033150 ns R r1 00000001 - 1033150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1033170 ns R r1 80000000 - 1033170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1033190 ns R psr 81000200 - 1033190 ns MR4_I 01000208 2a001c5b - 1033210 ns MR4_I 01000200 07c96841 - 1033230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1033250 ns MR4_I 01000204 6002d1fc - 1033310 ns MR4_D 40006004 00000001 - 1033310 ns R r1 00000001 - 1033310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1033330 ns R r1 80000000 - 1033330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1033350 ns R psr 81000200 - 1033350 ns MR4_I 01000208 2a001c5b - 1033370 ns MR4_I 01000200 07c96841 - 1033390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1033410 ns MR4_I 01000204 6002d1fc - 1033470 ns MR4_D 40006004 00000001 - 1033470 ns R r1 00000001 - 1033470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1033490 ns R r1 80000000 - 1033490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1033510 ns R psr 81000200 - 1033510 ns MR4_I 01000208 2a001c5b - 1033530 ns MR4_I 01000200 07c96841 - 1033550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1033570 ns MR4_I 01000204 6002d1fc - 1033630 ns MR4_D 40006004 00000001 - 1033630 ns R r1 00000001 - 1033630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1033650 ns R r1 80000000 - 1033650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1033670 ns R psr 81000200 - 1033670 ns MR4_I 01000208 2a001c5b - 1033690 ns MR4_I 01000200 07c96841 - 1033710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1033730 ns MR4_I 01000204 6002d1fc - 1033790 ns MR4_D 40006004 00000001 - 1033790 ns R r1 00000001 - 1033790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1033810 ns R r1 80000000 - 1033810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1033830 ns R psr 81000200 - 1033830 ns MR4_I 01000208 2a001c5b - 1033850 ns MR4_I 01000200 07c96841 - 1033870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1033890 ns MR4_I 01000204 6002d1fc - 1033950 ns MR4_D 40006004 00000001 - 1033950 ns R r1 00000001 - 1033950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1033970 ns R r1 80000000 - 1033970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1033990 ns R psr 81000200 - 1033990 ns MR4_I 01000208 2a001c5b - 1034010 ns MR4_I 01000200 07c96841 - 1034030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1034050 ns MR4_I 01000204 6002d1fc - 1034110 ns MR4_D 40006004 00000001 - 1034110 ns R r1 00000001 - 1034110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1034130 ns R r1 80000000 - 1034130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1034150 ns R psr 81000200 - 1034150 ns MR4_I 01000208 2a001c5b - 1034170 ns MR4_I 01000200 07c96841 - 1034190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1034210 ns MR4_I 01000204 6002d1fc - 1034270 ns MR4_D 40006004 00000001 - 1034270 ns R r1 00000001 - 1034270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1034290 ns R r1 80000000 - 1034290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1034310 ns R psr 81000200 - 1034310 ns MR4_I 01000208 2a001c5b - 1034330 ns MR4_I 01000200 07c96841 - 1034350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1034370 ns MR4_I 01000204 6002d1fc - 1034430 ns MR4_D 40006004 00000001 - 1034430 ns R r1 00000001 - 1034430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1034450 ns R r1 80000000 - 1034450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1034470 ns R psr 81000200 - 1034470 ns MR4_I 01000208 2a001c5b - 1034490 ns MR4_I 01000200 07c96841 - 1034510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1034530 ns MR4_I 01000204 6002d1fc - 1034590 ns MR4_D 40006004 00000001 - 1034590 ns R r1 00000001 - 1034590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1034610 ns R r1 80000000 - 1034610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1034630 ns R psr 81000200 - 1034630 ns MR4_I 01000208 2a001c5b - 1034650 ns MR4_I 01000200 07c96841 - 1034670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1034690 ns MR4_I 01000204 6002d1fc - 1034750 ns MR4_D 40006004 00000001 - 1034750 ns R r1 00000001 - 1034750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1034770 ns R r1 80000000 - 1034770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1034790 ns R psr 81000200 - 1034790 ns MR4_I 01000208 2a001c5b - 1034810 ns MR4_I 01000200 07c96841 - 1034830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1034850 ns MR4_I 01000204 6002d1fc - 1034910 ns MR4_D 40006004 00000001 - 1034910 ns R r1 00000001 - 1034910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1034930 ns R r1 80000000 - 1034930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1034950 ns R psr 81000200 - 1034950 ns MR4_I 01000208 2a001c5b - 1034970 ns MR4_I 01000200 07c96841 - 1034990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1035010 ns MR4_I 01000204 6002d1fc - 1035070 ns MR4_D 40006004 00000001 - 1035070 ns R r1 00000001 - 1035070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1035090 ns R r1 80000000 - 1035090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1035110 ns R psr 81000200 - 1035110 ns MR4_I 01000208 2a001c5b - 1035130 ns MR4_I 01000200 07c96841 - 1035150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1035170 ns MR4_I 01000204 6002d1fc - 1035230 ns MR4_D 40006004 00000001 - 1035230 ns R r1 00000001 - 1035230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1035250 ns R r1 80000000 - 1035250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1035270 ns R psr 81000200 - 1035270 ns MR4_I 01000208 2a001c5b - 1035290 ns MR4_I 01000200 07c96841 - 1035310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1035330 ns MR4_I 01000204 6002d1fc - 1035390 ns MR4_D 40006004 00000001 - 1035390 ns R r1 00000001 - 1035390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1035410 ns R r1 80000000 - 1035410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1035430 ns R psr 81000200 - 1035430 ns MR4_I 01000208 2a001c5b - 1035450 ns MR4_I 01000200 07c96841 - 1035470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1035490 ns MR4_I 01000204 6002d1fc - 1035550 ns MR4_D 40006004 00000001 - 1035550 ns R r1 00000001 - 1035550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1035570 ns R r1 80000000 - 1035570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1035590 ns R psr 81000200 - 1035590 ns MR4_I 01000208 2a001c5b - 1035610 ns MR4_I 01000200 07c96841 - 1035630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1035650 ns MR4_I 01000204 6002d1fc - 1035710 ns MR4_D 40006004 00000001 - 1035710 ns R r1 00000001 - 1035710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1035730 ns R r1 80000000 - 1035730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1035750 ns R psr 81000200 - 1035750 ns MR4_I 01000208 2a001c5b - 1035770 ns MR4_I 01000200 07c96841 - 1035790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1035810 ns MR4_I 01000204 6002d1fc - 1035870 ns MR4_D 40006004 00000001 - 1035870 ns R r1 00000001 - 1035870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1035890 ns R r1 80000000 - 1035890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1035910 ns R psr 81000200 - 1035910 ns MR4_I 01000208 2a001c5b - 1035930 ns MR4_I 01000200 07c96841 - 1035950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1035970 ns MR4_I 01000204 6002d1fc - 1036030 ns MR4_D 40006004 00000001 - 1036030 ns R r1 00000001 - 1036030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1036050 ns R r1 80000000 - 1036050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1036070 ns R psr 81000200 - 1036070 ns MR4_I 01000208 2a001c5b - 1036090 ns MR4_I 01000200 07c96841 - 1036110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1036130 ns MR4_I 01000204 6002d1fc - 1036190 ns MR4_D 40006004 00000001 - 1036190 ns R r1 00000001 - 1036190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1036210 ns R r1 80000000 - 1036210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1036230 ns R psr 81000200 - 1036230 ns MR4_I 01000208 2a001c5b - 1036250 ns MR4_I 01000200 07c96841 - 1036270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1036290 ns MR4_I 01000204 6002d1fc - 1036350 ns MR4_D 40006004 00000001 - 1036350 ns R r1 00000001 - 1036350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1036370 ns R r1 80000000 - 1036370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1036390 ns R psr 81000200 - 1036390 ns MR4_I 01000208 2a001c5b - 1036410 ns MR4_I 01000200 07c96841 - 1036430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1036450 ns MR4_I 01000204 6002d1fc - 1036510 ns MR4_D 40006004 00000001 - 1036510 ns R r1 00000001 - 1036510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1036530 ns R r1 80000000 - 1036530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1036550 ns R psr 81000200 - 1036550 ns MR4_I 01000208 2a001c5b - 1036570 ns MR4_I 01000200 07c96841 - 1036590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1036610 ns MR4_I 01000204 6002d1fc - 1036670 ns MR4_D 40006004 00000001 - 1036670 ns R r1 00000001 - 1036670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1036690 ns R r1 80000000 - 1036690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1036710 ns R psr 81000200 - 1036710 ns MR4_I 01000208 2a001c5b - 1036730 ns MR4_I 01000200 07c96841 - 1036750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1036770 ns MR4_I 01000204 6002d1fc - 1036830 ns MR4_D 40006004 00000001 - 1036830 ns R r1 00000001 - 1036830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1036850 ns R r1 80000000 - 1036850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1036870 ns R psr 81000200 - 1036870 ns MR4_I 01000208 2a001c5b - 1036890 ns MR4_I 01000200 07c96841 - 1036910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1036930 ns MR4_I 01000204 6002d1fc - 1036990 ns MR4_D 40006004 00000001 - 1036990 ns R r1 00000001 - 1036990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1037010 ns R r1 80000000 - 1037010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1037030 ns R psr 81000200 - 1037030 ns MR4_I 01000208 2a001c5b - 1037050 ns MR4_I 01000200 07c96841 - 1037070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1037090 ns MR4_I 01000204 6002d1fc - 1037150 ns MR4_D 40006004 00000001 - 1037150 ns R r1 00000001 - 1037150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1037170 ns R r1 80000000 - 1037170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1037190 ns R psr 81000200 - 1037190 ns MR4_I 01000208 2a001c5b - 1037210 ns MR4_I 01000200 07c96841 - 1037230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1037250 ns MR4_I 01000204 6002d1fc - 1037310 ns MR4_D 40006004 00000001 - 1037310 ns R r1 00000001 - 1037310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1037330 ns R r1 80000000 - 1037330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1037350 ns R psr 81000200 - 1037350 ns MR4_I 01000208 2a001c5b - 1037370 ns MR4_I 01000200 07c96841 - 1037390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1037410 ns MR4_I 01000204 6002d1fc - 1037470 ns MR4_D 40006004 00000001 - 1037470 ns R r1 00000001 - 1037470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1037490 ns R r1 80000000 - 1037490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1037510 ns R psr 81000200 - 1037510 ns MR4_I 01000208 2a001c5b - 1037530 ns MR4_I 01000200 07c96841 - 1037550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1037570 ns MR4_I 01000204 6002d1fc - 1037630 ns MR4_D 40006004 00000001 - 1037630 ns R r1 00000001 - 1037630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1037650 ns R r1 80000000 - 1037650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1037670 ns R psr 81000200 - 1037670 ns MR4_I 01000208 2a001c5b - 1037690 ns MR4_I 01000200 07c96841 - 1037710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1037730 ns MR4_I 01000204 6002d1fc - 1037790 ns MR4_D 40006004 00000001 - 1037790 ns R r1 00000001 - 1037790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1037810 ns R r1 80000000 - 1037810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1037830 ns R psr 81000200 - 1037830 ns MR4_I 01000208 2a001c5b - 1037850 ns MR4_I 01000200 07c96841 - 1037870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1037890 ns MR4_I 01000204 6002d1fc - 1037950 ns MR4_D 40006004 00000001 - 1037950 ns R r1 00000001 - 1037950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1037970 ns R r1 80000000 - 1037970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1037990 ns R psr 81000200 - 1037990 ns MR4_I 01000208 2a001c5b - 1038010 ns MR4_I 01000200 07c96841 - 1038030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1038050 ns MR4_I 01000204 6002d1fc - 1038110 ns MR4_D 40006004 00000001 - 1038110 ns R r1 00000001 - 1038110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1038130 ns R r1 80000000 - 1038130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1038150 ns R psr 81000200 - 1038150 ns MR4_I 01000208 2a001c5b - 1038170 ns MR4_I 01000200 07c96841 - 1038190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1038210 ns MR4_I 01000204 6002d1fc - 1038270 ns MR4_D 40006004 00000001 - 1038270 ns R r1 00000001 - 1038270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1038290 ns R r1 80000000 - 1038290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1038310 ns R psr 81000200 - 1038310 ns MR4_I 01000208 2a001c5b - 1038330 ns MR4_I 01000200 07c96841 - 1038350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1038370 ns MR4_I 01000204 6002d1fc - 1038430 ns MR4_D 40006004 00000001 - 1038430 ns R r1 00000001 - 1038430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1038450 ns R r1 80000000 - 1038450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1038470 ns R psr 81000200 - 1038470 ns MR4_I 01000208 2a001c5b - 1038490 ns MR4_I 01000200 07c96841 - 1038510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1038530 ns MR4_I 01000204 6002d1fc - 1038590 ns MR4_D 40006004 00000001 - 1038590 ns R r1 00000001 - 1038590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1038610 ns R r1 80000000 - 1038610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1038630 ns R psr 81000200 - 1038630 ns MR4_I 01000208 2a001c5b - 1038650 ns MR4_I 01000200 07c96841 - 1038670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1038690 ns MR4_I 01000204 6002d1fc - 1038750 ns MR4_D 40006004 00000001 - 1038750 ns R r1 00000001 - 1038750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1038770 ns R r1 80000000 - 1038770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1038790 ns R psr 81000200 - 1038790 ns MR4_I 01000208 2a001c5b - 1038810 ns MR4_I 01000200 07c96841 - 1038830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1038850 ns MR4_I 01000204 6002d1fc - 1038910 ns MR4_D 40006004 00000001 - 1038910 ns R r1 00000001 - 1038910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1038930 ns R r1 80000000 - 1038930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1038950 ns R psr 81000200 - 1038950 ns MR4_I 01000208 2a001c5b - 1038970 ns MR4_I 01000200 07c96841 - 1038990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1039010 ns MR4_I 01000204 6002d1fc - 1039070 ns MR4_D 40006004 00000001 - 1039070 ns R r1 00000001 - 1039070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1039090 ns R r1 80000000 - 1039090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1039110 ns R psr 81000200 - 1039110 ns MR4_I 01000208 2a001c5b - 1039130 ns MR4_I 01000200 07c96841 - 1039150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1039170 ns MR4_I 01000204 6002d1fc - 1039230 ns MR4_D 40006004 00000001 - 1039230 ns R r1 00000001 - 1039230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1039250 ns R r1 80000000 - 1039250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1039270 ns R psr 81000200 - 1039270 ns MR4_I 01000208 2a001c5b - 1039290 ns MR4_I 01000200 07c96841 - 1039310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1039330 ns MR4_I 01000204 6002d1fc - 1039390 ns MR4_D 40006004 00000001 - 1039390 ns R r1 00000001 - 1039390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1039410 ns R r1 80000000 - 1039410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1039430 ns R psr 81000200 - 1039430 ns MR4_I 01000208 2a001c5b - 1039450 ns MR4_I 01000200 07c96841 - 1039470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1039490 ns MR4_I 01000204 6002d1fc - 1039550 ns MR4_D 40006004 00000001 - 1039550 ns R r1 00000001 - 1039550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1039570 ns R r1 80000000 - 1039570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1039590 ns R psr 81000200 - 1039590 ns MR4_I 01000208 2a001c5b - 1039610 ns MR4_I 01000200 07c96841 - 1039630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1039650 ns MR4_I 01000204 6002d1fc - 1039710 ns MR4_D 40006004 00000001 - 1039710 ns R r1 00000001 - 1039710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1039730 ns R r1 80000000 - 1039730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1039750 ns R psr 81000200 - 1039750 ns MR4_I 01000208 2a001c5b - 1039770 ns MR4_I 01000200 07c96841 - 1039790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1039810 ns MR4_I 01000204 6002d1fc - 1039870 ns MR4_D 40006004 00000001 - 1039870 ns R r1 00000001 - 1039870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1039890 ns R r1 80000000 - 1039890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1039910 ns R psr 81000200 - 1039910 ns MR4_I 01000208 2a001c5b - 1039930 ns MR4_I 01000200 07c96841 - 1039950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1039970 ns MR4_I 01000204 6002d1fc - 1040030 ns MR4_D 40006004 00000001 - 1040030 ns R r1 00000001 - 1040030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1040050 ns R r1 80000000 - 1040050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1040070 ns R psr 81000200 - 1040070 ns MR4_I 01000208 2a001c5b - 1040090 ns MR4_I 01000200 07c96841 - 1040110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1040130 ns MR4_I 01000204 6002d1fc - 1040190 ns MR4_D 40006004 00000001 - 1040190 ns R r1 00000001 - 1040190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1040210 ns R r1 80000000 - 1040210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1040230 ns R psr 81000200 - 1040230 ns MR4_I 01000208 2a001c5b - 1040250 ns MR4_I 01000200 07c96841 - 1040270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1040290 ns MR4_I 01000204 6002d1fc - 1040350 ns MR4_D 40006004 00000001 - 1040350 ns R r1 00000001 - 1040350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1040370 ns R r1 80000000 - 1040370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1040390 ns R psr 81000200 - 1040390 ns MR4_I 01000208 2a001c5b - 1040410 ns MR4_I 01000200 07c96841 - 1040430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1040450 ns MR4_I 01000204 6002d1fc - 1040510 ns MR4_D 40006004 00000001 - 1040510 ns R r1 00000001 - 1040510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1040530 ns R r1 80000000 - 1040530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1040550 ns R psr 81000200 - 1040550 ns MR4_I 01000208 2a001c5b - 1040570 ns MR4_I 01000200 07c96841 - 1040590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1040610 ns MR4_I 01000204 6002d1fc - 1040670 ns MR4_D 40006004 00000001 - 1040670 ns R r1 00000001 - 1040670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1040690 ns R r1 80000000 - 1040690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1040710 ns R psr 81000200 - 1040710 ns MR4_I 01000208 2a001c5b - 1040730 ns MR4_I 01000200 07c96841 - 1040750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1040770 ns MR4_I 01000204 6002d1fc - 1040830 ns MR4_D 40006004 00000001 - 1040830 ns R r1 00000001 - 1040830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1040850 ns R r1 80000000 - 1040850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1040870 ns R psr 81000200 - 1040870 ns MR4_I 01000208 2a001c5b - 1040890 ns MR4_I 01000200 07c96841 - 1040910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1040930 ns MR4_I 01000204 6002d1fc - 1040990 ns MR4_D 40006004 00000001 - 1040990 ns R r1 00000001 - 1040990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1041010 ns R r1 80000000 - 1041010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1041030 ns R psr 81000200 - 1041030 ns MR4_I 01000208 2a001c5b - 1041050 ns MR4_I 01000200 07c96841 - 1041070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1041090 ns MR4_I 01000204 6002d1fc - 1041150 ns MR4_D 40006004 00000001 - 1041150 ns R r1 00000001 - 1041150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1041170 ns R r1 80000000 - 1041170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1041190 ns R psr 81000200 - 1041190 ns MR4_I 01000208 2a001c5b - 1041210 ns MR4_I 01000200 07c96841 - 1041230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1041250 ns MR4_I 01000204 6002d1fc - 1041310 ns MR4_D 40006004 00000001 - 1041310 ns R r1 00000001 - 1041310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1041330 ns R r1 80000000 - 1041330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1041350 ns R psr 81000200 - 1041350 ns MR4_I 01000208 2a001c5b - 1041370 ns MR4_I 01000200 07c96841 - 1041390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1041410 ns MR4_I 01000204 6002d1fc - 1041470 ns MR4_D 40006004 00000001 - 1041470 ns R r1 00000001 - 1041470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1041490 ns R r1 80000000 - 1041490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1041510 ns R psr 81000200 - 1041510 ns MR4_I 01000208 2a001c5b - 1041530 ns MR4_I 01000200 07c96841 - 1041550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1041570 ns MR4_I 01000204 6002d1fc - 1041630 ns MR4_D 40006004 00000001 - 1041630 ns R r1 00000001 - 1041630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1041650 ns R r1 80000000 - 1041650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1041670 ns R psr 81000200 - 1041670 ns MR4_I 01000208 2a001c5b - 1041690 ns MR4_I 01000200 07c96841 - 1041710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1041730 ns MR4_I 01000204 6002d1fc - 1041790 ns MR4_D 40006004 00000001 - 1041790 ns R r1 00000001 - 1041790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1041810 ns R r1 80000000 - 1041810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1041830 ns R psr 81000200 - 1041830 ns MR4_I 01000208 2a001c5b - 1041850 ns MR4_I 01000200 07c96841 - 1041870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1041890 ns MR4_I 01000204 6002d1fc - 1041950 ns MR4_D 40006004 00000001 - 1041950 ns R r1 00000001 - 1041950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1041970 ns R r1 80000000 - 1041970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1041990 ns R psr 81000200 - 1041990 ns MR4_I 01000208 2a001c5b - 1042010 ns MR4_I 01000200 07c96841 - 1042030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1042050 ns MR4_I 01000204 6002d1fc - 1042110 ns MR4_D 40006004 00000001 - 1042110 ns R r1 00000001 - 1042110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1042130 ns R r1 80000000 - 1042130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1042150 ns R psr 81000200 - 1042150 ns MR4_I 01000208 2a001c5b - 1042170 ns MR4_I 01000200 07c96841 - 1042190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1042210 ns MR4_I 01000204 6002d1fc - 1042270 ns MR4_D 40006004 00000001 - 1042270 ns R r1 00000001 - 1042270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1042290 ns R r1 80000000 - 1042290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1042310 ns R psr 81000200 - 1042310 ns MR4_I 01000208 2a001c5b - 1042330 ns MR4_I 01000200 07c96841 - 1042350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1042370 ns MR4_I 01000204 6002d1fc - 1042430 ns MR4_D 40006004 00000001 - 1042430 ns R r1 00000001 - 1042430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1042450 ns R r1 80000000 - 1042450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1042470 ns R psr 81000200 - 1042470 ns MR4_I 01000208 2a001c5b - 1042490 ns MR4_I 01000200 07c96841 - 1042510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1042530 ns MR4_I 01000204 6002d1fc - 1042590 ns MR4_D 40006004 00000001 - 1042590 ns R r1 00000001 - 1042590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1042610 ns R r1 80000000 - 1042610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1042630 ns R psr 81000200 - 1042630 ns MR4_I 01000208 2a001c5b - 1042650 ns MR4_I 01000200 07c96841 - 1042670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1042690 ns MR4_I 01000204 6002d1fc - 1042750 ns MR4_D 40006004 00000001 - 1042750 ns R r1 00000001 - 1042750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1042770 ns R r1 80000000 - 1042770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1042790 ns R psr 81000200 - 1042790 ns MR4_I 01000208 2a001c5b - 1042810 ns MR4_I 01000200 07c96841 - 1042830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1042850 ns MR4_I 01000204 6002d1fc - 1042910 ns MR4_D 40006004 00000001 - 1042910 ns R r1 00000001 - 1042910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1042930 ns R r1 80000000 - 1042930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1042950 ns R psr 81000200 - 1042950 ns MR4_I 01000208 2a001c5b - 1042970 ns MR4_I 01000200 07c96841 - 1042990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1043010 ns MR4_I 01000204 6002d1fc - 1043070 ns MR4_D 40006004 00000001 - 1043070 ns R r1 00000001 - 1043070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1043090 ns R r1 80000000 - 1043090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1043110 ns R psr 81000200 - 1043110 ns MR4_I 01000208 2a001c5b - 1043130 ns MR4_I 01000200 07c96841 - 1043150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1043170 ns MR4_I 01000204 6002d1fc - 1043230 ns MR4_D 40006004 00000001 - 1043230 ns R r1 00000001 - 1043230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1043250 ns R r1 80000000 - 1043250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1043270 ns R psr 81000200 - 1043270 ns MR4_I 01000208 2a001c5b - 1043290 ns MR4_I 01000200 07c96841 - 1043310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1043330 ns MR4_I 01000204 6002d1fc - 1043390 ns MR4_D 40006004 00000001 - 1043390 ns R r1 00000001 - 1043390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1043410 ns R r1 80000000 - 1043410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1043430 ns R psr 81000200 - 1043430 ns MR4_I 01000208 2a001c5b - 1043450 ns MR4_I 01000200 07c96841 - 1043470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1043490 ns MR4_I 01000204 6002d1fc - 1043550 ns MR4_D 40006004 00000001 - 1043550 ns R r1 00000001 - 1043550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1043570 ns R r1 80000000 - 1043570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1043590 ns R psr 81000200 - 1043590 ns MR4_I 01000208 2a001c5b - 1043610 ns MR4_I 01000200 07c96841 - 1043630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1043650 ns MR4_I 01000204 6002d1fc - 1043710 ns MR4_D 40006004 00000001 - 1043710 ns R r1 00000001 - 1043710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1043730 ns R r1 80000000 - 1043730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1043750 ns R psr 81000200 - 1043750 ns MR4_I 01000208 2a001c5b - 1043770 ns MR4_I 01000200 07c96841 - 1043790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1043810 ns MR4_I 01000204 6002d1fc - 1043870 ns MR4_D 40006004 00000001 - 1043870 ns R r1 00000001 - 1043870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1043890 ns R r1 80000000 - 1043890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1043910 ns R psr 81000200 - 1043910 ns MR4_I 01000208 2a001c5b - 1043930 ns MR4_I 01000200 07c96841 - 1043950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1043970 ns MR4_I 01000204 6002d1fc - 1044030 ns MR4_D 40006004 00000001 - 1044030 ns R r1 00000001 - 1044030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1044050 ns R r1 80000000 - 1044050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1044070 ns R psr 81000200 - 1044070 ns MR4_I 01000208 2a001c5b - 1044090 ns MR4_I 01000200 07c96841 - 1044110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1044130 ns MR4_I 01000204 6002d1fc - 1044190 ns MR4_D 40006004 00000001 - 1044190 ns R r1 00000001 - 1044190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1044210 ns R r1 80000000 - 1044210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1044230 ns R psr 81000200 - 1044230 ns MR4_I 01000208 2a001c5b - 1044250 ns MR4_I 01000200 07c96841 - 1044270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1044290 ns MR4_I 01000204 6002d1fc - 1044350 ns MR4_D 40006004 00000001 - 1044350 ns R r1 00000001 - 1044350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1044370 ns R r1 80000000 - 1044370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1044390 ns R psr 81000200 - 1044390 ns MR4_I 01000208 2a001c5b - 1044410 ns MR4_I 01000200 07c96841 - 1044430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1044450 ns MR4_I 01000204 6002d1fc - 1044510 ns MR4_D 40006004 00000001 - 1044510 ns R r1 00000001 - 1044510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1044530 ns R r1 80000000 - 1044530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1044550 ns R psr 81000200 - 1044550 ns MR4_I 01000208 2a001c5b - 1044570 ns MR4_I 01000200 07c96841 - 1044590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1044610 ns MR4_I 01000204 6002d1fc - 1044670 ns MR4_D 40006004 00000001 - 1044670 ns R r1 00000001 - 1044670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1044690 ns R r1 80000000 - 1044690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1044710 ns R psr 81000200 - 1044710 ns MR4_I 01000208 2a001c5b - 1044730 ns MR4_I 01000200 07c96841 - 1044750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1044770 ns MR4_I 01000204 6002d1fc - 1044830 ns MR4_D 40006004 00000001 - 1044830 ns R r1 00000001 - 1044830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1044850 ns R r1 80000000 - 1044850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1044870 ns R psr 81000200 - 1044870 ns MR4_I 01000208 2a001c5b - 1044890 ns MR4_I 01000200 07c96841 - 1044910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1044930 ns MR4_I 01000204 6002d1fc - 1044990 ns MR4_D 40006004 00000001 - 1044990 ns R r1 00000001 - 1044990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1045010 ns R r1 80000000 - 1045010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1045030 ns R psr 81000200 - 1045030 ns MR4_I 01000208 2a001c5b - 1045050 ns MR4_I 01000200 07c96841 - 1045070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1045090 ns MR4_I 01000204 6002d1fc - 1045150 ns MR4_D 40006004 00000001 - 1045150 ns R r1 00000001 - 1045150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1045170 ns R r1 80000000 - 1045170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1045190 ns R psr 81000200 - 1045190 ns MR4_I 01000208 2a001c5b - 1045210 ns MR4_I 01000200 07c96841 - 1045230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1045250 ns MR4_I 01000204 6002d1fc - 1045310 ns MR4_D 40006004 00000001 - 1045310 ns R r1 00000001 - 1045310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1045330 ns R r1 80000000 - 1045330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1045350 ns R psr 81000200 - 1045350 ns MR4_I 01000208 2a001c5b - 1045370 ns MR4_I 01000200 07c96841 - 1045390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1045410 ns MR4_I 01000204 6002d1fc - 1045470 ns MR4_D 40006004 00000001 - 1045470 ns R r1 00000001 - 1045470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1045490 ns R r1 80000000 - 1045490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1045510 ns R psr 81000200 - 1045510 ns MR4_I 01000208 2a001c5b - 1045530 ns MR4_I 01000200 07c96841 - 1045550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1045570 ns MR4_I 01000204 6002d1fc - 1045630 ns MR4_D 40006004 00000001 - 1045630 ns R r1 00000001 - 1045630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1045650 ns R r1 80000000 - 1045650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1045670 ns R psr 81000200 - 1045670 ns MR4_I 01000208 2a001c5b - 1045690 ns MR4_I 01000200 07c96841 - 1045710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1045730 ns MR4_I 01000204 6002d1fc - 1045790 ns MR4_D 40006004 00000001 - 1045790 ns R r1 00000001 - 1045790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1045810 ns R r1 80000000 - 1045810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1045830 ns R psr 81000200 - 1045830 ns MR4_I 01000208 2a001c5b - 1045850 ns MR4_I 01000200 07c96841 - 1045870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1045890 ns MR4_I 01000204 6002d1fc - 1045950 ns MR4_D 40006004 00000001 - 1045950 ns R r1 00000001 - 1045950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1045970 ns R r1 80000000 - 1045970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1045990 ns R psr 81000200 - 1045990 ns MR4_I 01000208 2a001c5b - 1046010 ns MR4_I 01000200 07c96841 - 1046030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1046050 ns MR4_I 01000204 6002d1fc - 1046110 ns MR4_D 40006004 00000001 - 1046110 ns R r1 00000001 - 1046110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1046130 ns R r1 80000000 - 1046130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1046150 ns R psr 81000200 - 1046150 ns MR4_I 01000208 2a001c5b - 1046170 ns MR4_I 01000200 07c96841 - 1046190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1046210 ns MR4_I 01000204 6002d1fc - 1046270 ns MR4_D 40006004 00000001 - 1046270 ns R r1 00000001 - 1046270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1046290 ns R r1 80000000 - 1046290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1046310 ns R psr 81000200 - 1046310 ns MR4_I 01000208 2a001c5b - 1046330 ns MR4_I 01000200 07c96841 - 1046350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1046370 ns MR4_I 01000204 6002d1fc - 1046430 ns MR4_D 40006004 00000001 - 1046430 ns R r1 00000001 - 1046430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1046450 ns R r1 80000000 - 1046450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1046470 ns R psr 81000200 - 1046470 ns MR4_I 01000208 2a001c5b - 1046490 ns MR4_I 01000200 07c96841 - 1046510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1046530 ns MR4_I 01000204 6002d1fc - 1046590 ns MR4_D 40006004 00000001 - 1046590 ns R r1 00000001 - 1046590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1046610 ns R r1 80000000 - 1046610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1046630 ns R psr 81000200 - 1046630 ns MR4_I 01000208 2a001c5b - 1046650 ns MR4_I 01000200 07c96841 - 1046670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1046690 ns MR4_I 01000204 6002d1fc - 1046750 ns MR4_D 40006004 00000001 - 1046750 ns R r1 00000001 - 1046750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1046770 ns R r1 80000000 - 1046770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1046790 ns R psr 81000200 - 1046790 ns MR4_I 01000208 2a001c5b - 1046810 ns MR4_I 01000200 07c96841 - 1046830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1046850 ns MR4_I 01000204 6002d1fc - 1046910 ns MR4_D 40006004 00000001 - 1046910 ns R r1 00000001 - 1046910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1046930 ns R r1 80000000 - 1046930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1046950 ns R psr 81000200 - 1046950 ns MR4_I 01000208 2a001c5b - 1046970 ns MR4_I 01000200 07c96841 - 1046990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1047010 ns MR4_I 01000204 6002d1fc - 1047070 ns MR4_D 40006004 00000001 - 1047070 ns R r1 00000001 - 1047070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1047090 ns R r1 80000000 - 1047090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1047110 ns R psr 81000200 - 1047110 ns MR4_I 01000208 2a001c5b - 1047130 ns MR4_I 01000200 07c96841 - 1047150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1047170 ns MR4_I 01000204 6002d1fc - 1047230 ns MR4_D 40006004 00000001 - 1047230 ns R r1 00000001 - 1047230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1047250 ns R r1 80000000 - 1047250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1047270 ns R psr 81000200 - 1047270 ns MR4_I 01000208 2a001c5b - 1047290 ns MR4_I 01000200 07c96841 - 1047310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1047330 ns MR4_I 01000204 6002d1fc - 1047390 ns MR4_D 40006004 00000001 - 1047390 ns R r1 00000001 - 1047390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1047410 ns R r1 80000000 - 1047410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1047430 ns R psr 81000200 - 1047430 ns MR4_I 01000208 2a001c5b - 1047450 ns MR4_I 01000200 07c96841 - 1047470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1047490 ns MR4_I 01000204 6002d1fc - 1047550 ns MR4_D 40006004 00000001 - 1047550 ns R r1 00000001 - 1047550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1047570 ns R r1 80000000 - 1047570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1047590 ns R psr 81000200 - 1047590 ns MR4_I 01000208 2a001c5b - 1047610 ns MR4_I 01000200 07c96841 - 1047630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1047650 ns MR4_I 01000204 6002d1fc - 1047710 ns MR4_D 40006004 00000001 - 1047710 ns R r1 00000001 - 1047710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1047730 ns R r1 80000000 - 1047730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1047750 ns R psr 81000200 - 1047750 ns MR4_I 01000208 2a001c5b - 1047770 ns MR4_I 01000200 07c96841 - 1047790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1047810 ns MR4_I 01000204 6002d1fc - 1047870 ns MR4_D 40006004 00000001 - 1047870 ns R r1 00000001 - 1047870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1047890 ns R r1 80000000 - 1047890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1047910 ns R psr 81000200 - 1047910 ns MR4_I 01000208 2a001c5b - 1047930 ns MR4_I 01000200 07c96841 - 1047950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1047970 ns MR4_I 01000204 6002d1fc - 1048030 ns MR4_D 40006004 00000001 - 1048030 ns R r1 00000001 - 1048030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1048050 ns R r1 80000000 - 1048050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1048070 ns R psr 81000200 - 1048070 ns MR4_I 01000208 2a001c5b - 1048090 ns MR4_I 01000200 07c96841 - 1048110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1048130 ns MR4_I 01000204 6002d1fc - 1048190 ns MR4_D 40006004 00000001 - 1048190 ns R r1 00000001 - 1048190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1048210 ns R r1 80000000 - 1048210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1048230 ns R psr 81000200 - 1048230 ns MR4_I 01000208 2a001c5b - 1048250 ns MR4_I 01000200 07c96841 - 1048270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1048290 ns MR4_I 01000204 6002d1fc - 1048350 ns MR4_D 40006004 00000001 - 1048350 ns R r1 00000001 - 1048350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1048370 ns R r1 80000000 - 1048370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1048390 ns R psr 81000200 - 1048390 ns MR4_I 01000208 2a001c5b - 1048410 ns MR4_I 01000200 07c96841 - 1048430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1048450 ns MR4_I 01000204 6002d1fc - 1048510 ns MR4_D 40006004 00000001 - 1048510 ns R r1 00000001 - 1048510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1048530 ns R r1 80000000 - 1048530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1048550 ns R psr 81000200 - 1048550 ns MR4_I 01000208 2a001c5b - 1048570 ns MR4_I 01000200 07c96841 - 1048590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1048610 ns MR4_I 01000204 6002d1fc - 1048670 ns MR4_D 40006004 00000001 - 1048670 ns R r1 00000001 - 1048670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1048690 ns R r1 80000000 - 1048690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1048710 ns R psr 81000200 - 1048710 ns MR4_I 01000208 2a001c5b - 1048730 ns MR4_I 01000200 07c96841 - 1048750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1048770 ns MR4_I 01000204 6002d1fc - 1048830 ns MR4_D 40006004 00000001 - 1048830 ns R r1 00000001 - 1048830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1048850 ns R r1 80000000 - 1048850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1048870 ns R psr 81000200 - 1048870 ns MR4_I 01000208 2a001c5b - 1048890 ns MR4_I 01000200 07c96841 - 1048910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1048930 ns MR4_I 01000204 6002d1fc - 1048990 ns MR4_D 40006004 00000001 - 1048990 ns R r1 00000001 - 1048990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1049010 ns R r1 80000000 - 1049010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1049030 ns R psr 81000200 - 1049030 ns MR4_I 01000208 2a001c5b - 1049050 ns MR4_I 01000200 07c96841 - 1049070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1049090 ns MR4_I 01000204 6002d1fc - 1049150 ns MR4_D 40006004 00000001 - 1049150 ns R r1 00000001 - 1049150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1049170 ns R r1 80000000 - 1049170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1049190 ns R psr 81000200 - 1049190 ns MR4_I 01000208 2a001c5b - 1049210 ns MR4_I 01000200 07c96841 - 1049230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1049250 ns MR4_I 01000204 6002d1fc - 1049310 ns MR4_D 40006004 00000001 - 1049310 ns R r1 00000001 - 1049310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1049330 ns R r1 80000000 - 1049330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1049350 ns R psr 81000200 - 1049350 ns MR4_I 01000208 2a001c5b - 1049370 ns MR4_I 01000200 07c96841 - 1049390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1049410 ns MR4_I 01000204 6002d1fc - 1049470 ns MR4_D 40006004 00000001 - 1049470 ns R r1 00000001 - 1049470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1049490 ns R r1 80000000 - 1049490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1049510 ns R psr 81000200 - 1049510 ns MR4_I 01000208 2a001c5b - 1049530 ns MR4_I 01000200 07c96841 - 1049550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1049570 ns MR4_I 01000204 6002d1fc - 1049630 ns MR4_D 40006004 00000001 - 1049630 ns R r1 00000001 - 1049630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1049650 ns R r1 80000000 - 1049650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1049670 ns R psr 81000200 - 1049670 ns MR4_I 01000208 2a001c5b - 1049690 ns MR4_I 01000200 07c96841 - 1049710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1049730 ns MR4_I 01000204 6002d1fc - 1049790 ns MR4_D 40006004 00000001 - 1049790 ns R r1 00000001 - 1049790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1049810 ns R r1 80000000 - 1049810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1049830 ns R psr 81000200 - 1049830 ns MR4_I 01000208 2a001c5b - 1049850 ns MR4_I 01000200 07c96841 - 1049870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1049890 ns MR4_I 01000204 6002d1fc - 1049950 ns MR4_D 40006004 00000001 - 1049950 ns R r1 00000001 - 1049950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1049970 ns R r1 80000000 - 1049970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1049990 ns R psr 81000200 - 1049990 ns MR4_I 01000208 2a001c5b - 1050010 ns MR4_I 01000200 07c96841 - 1050030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1050050 ns MR4_I 01000204 6002d1fc - 1050110 ns MR4_D 40006004 00000001 - 1050110 ns R r1 00000001 - 1050110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1050130 ns R r1 80000000 - 1050130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1050150 ns R psr 81000200 - 1050150 ns MR4_I 01000208 2a001c5b - 1050170 ns MR4_I 01000200 07c96841 - 1050190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1050210 ns MR4_I 01000204 6002d1fc - 1050270 ns MR4_D 40006004 00000001 - 1050270 ns R r1 00000001 - 1050270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1050290 ns R r1 80000000 - 1050290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1050310 ns R psr 81000200 - 1050310 ns MR4_I 01000208 2a001c5b - 1050330 ns MR4_I 01000200 07c96841 - 1050350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1050370 ns MR4_I 01000204 6002d1fc - 1050430 ns MR4_D 40006004 00000001 - 1050430 ns R r1 00000001 - 1050430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1050450 ns R r1 80000000 - 1050450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1050470 ns R psr 81000200 - 1050470 ns MR4_I 01000208 2a001c5b - 1050490 ns MR4_I 01000200 07c96841 - 1050510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1050530 ns MR4_I 01000204 6002d1fc - 1050590 ns MR4_D 40006004 00000001 - 1050590 ns R r1 00000001 - 1050590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1050610 ns R r1 80000000 - 1050610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1050630 ns R psr 81000200 - 1050630 ns MR4_I 01000208 2a001c5b - 1050650 ns MR4_I 01000200 07c96841 - 1050670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1050690 ns MR4_I 01000204 6002d1fc - 1050750 ns MR4_D 40006004 00000001 - 1050750 ns R r1 00000001 - 1050750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1050770 ns R r1 80000000 - 1050770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1050790 ns R psr 81000200 - 1050790 ns MR4_I 01000208 2a001c5b - 1050810 ns MR4_I 01000200 07c96841 - 1050830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1050850 ns MR4_I 01000204 6002d1fc - 1050910 ns MR4_D 40006004 00000001 - 1050910 ns R r1 00000001 - 1050910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1050930 ns R r1 80000000 - 1050930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1050950 ns R psr 81000200 - 1050950 ns MR4_I 01000208 2a001c5b - 1050970 ns MR4_I 01000200 07c96841 - 1050990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1051010 ns MR4_I 01000204 6002d1fc - 1051070 ns MR4_D 40006004 00000001 - 1051070 ns R r1 00000001 - 1051070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1051090 ns R r1 80000000 - 1051090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1051110 ns R psr 81000200 - 1051110 ns MR4_I 01000208 2a001c5b - 1051130 ns MR4_I 01000200 07c96841 - 1051150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1051170 ns MR4_I 01000204 6002d1fc - 1051230 ns MR4_D 40006004 00000001 - 1051230 ns R r1 00000001 - 1051230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1051250 ns R r1 80000000 - 1051250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1051270 ns R psr 81000200 - 1051270 ns MR4_I 01000208 2a001c5b - 1051290 ns MR4_I 01000200 07c96841 - 1051310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1051330 ns MR4_I 01000204 6002d1fc - 1051390 ns MR4_D 40006004 00000001 - 1051390 ns R r1 00000001 - 1051390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1051410 ns R r1 80000000 - 1051410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1051430 ns R psr 81000200 - 1051430 ns MR4_I 01000208 2a001c5b - 1051450 ns MR4_I 01000200 07c96841 - 1051470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1051490 ns MR4_I 01000204 6002d1fc - 1051550 ns MR4_D 40006004 00000001 - 1051550 ns R r1 00000001 - 1051550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1051570 ns R r1 80000000 - 1051570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1051590 ns R psr 81000200 - 1051590 ns MR4_I 01000208 2a001c5b - 1051610 ns MR4_I 01000200 07c96841 - 1051630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1051650 ns MR4_I 01000204 6002d1fc - 1051710 ns MR4_D 40006004 00000001 - 1051710 ns R r1 00000001 - 1051710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1051730 ns R r1 80000000 - 1051730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1051750 ns R psr 81000200 - 1051750 ns MR4_I 01000208 2a001c5b - 1051770 ns MR4_I 01000200 07c96841 - 1051790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1051810 ns MR4_I 01000204 6002d1fc - 1051870 ns MR4_D 40006004 00000001 - 1051870 ns R r1 00000001 - 1051870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1051890 ns R r1 80000000 - 1051890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1051910 ns R psr 81000200 - 1051910 ns MR4_I 01000208 2a001c5b - 1051930 ns MR4_I 01000200 07c96841 - 1051950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1051970 ns MR4_I 01000204 6002d1fc - 1052030 ns MR4_D 40006004 00000001 - 1052030 ns R r1 00000001 - 1052030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1052050 ns R r1 80000000 - 1052050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1052070 ns R psr 81000200 - 1052070 ns MR4_I 01000208 2a001c5b - 1052090 ns MR4_I 01000200 07c96841 - 1052110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1052130 ns MR4_I 01000204 6002d1fc - 1052190 ns MR4_D 40006004 00000001 - 1052190 ns R r1 00000001 - 1052190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1052210 ns R r1 80000000 - 1052210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1052230 ns R psr 81000200 - 1052230 ns MR4_I 01000208 2a001c5b - 1052250 ns MR4_I 01000200 07c96841 - 1052270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1052290 ns MR4_I 01000204 6002d1fc - 1052350 ns MR4_D 40006004 00000001 - 1052350 ns R r1 00000001 - 1052350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1052370 ns R r1 80000000 - 1052370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1052390 ns R psr 81000200 - 1052390 ns MR4_I 01000208 2a001c5b - 1052410 ns MR4_I 01000200 07c96841 - 1052430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1052450 ns MR4_I 01000204 6002d1fc - 1052510 ns MR4_D 40006004 00000001 - 1052510 ns R r1 00000001 - 1052510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1052530 ns R r1 80000000 - 1052530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1052550 ns R psr 81000200 - 1052550 ns MR4_I 01000208 2a001c5b - 1052570 ns MR4_I 01000200 07c96841 - 1052590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1052610 ns MR4_I 01000204 6002d1fc - 1052670 ns MR4_D 40006004 00000001 - 1052670 ns R r1 00000001 - 1052670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1052690 ns R r1 80000000 - 1052690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1052710 ns R psr 81000200 - 1052710 ns MR4_I 01000208 2a001c5b - 1052730 ns MR4_I 01000200 07c96841 - 1052750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1052770 ns MR4_I 01000204 6002d1fc - 1052830 ns MR4_D 40006004 00000001 - 1052830 ns R r1 00000001 - 1052830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1052850 ns R r1 80000000 - 1052850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1052870 ns R psr 81000200 - 1052870 ns MR4_I 01000208 2a001c5b - 1052890 ns MR4_I 01000200 07c96841 - 1052910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1052930 ns MR4_I 01000204 6002d1fc - 1052990 ns MR4_D 40006004 00000001 - 1052990 ns R r1 00000001 - 1052990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1053010 ns R r1 80000000 - 1053010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1053030 ns R psr 81000200 - 1053030 ns MR4_I 01000208 2a001c5b - 1053050 ns MR4_I 01000200 07c96841 - 1053070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1053090 ns MR4_I 01000204 6002d1fc - 1053150 ns MR4_D 40006004 00000001 - 1053150 ns R r1 00000001 - 1053150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1053170 ns R r1 80000000 - 1053170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1053190 ns R psr 81000200 - 1053190 ns MR4_I 01000208 2a001c5b - 1053210 ns MR4_I 01000200 07c96841 - 1053230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1053250 ns MR4_I 01000204 6002d1fc - 1053310 ns MR4_D 40006004 00000001 - 1053310 ns R r1 00000001 - 1053310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1053330 ns R r1 80000000 - 1053330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1053350 ns R psr 81000200 - 1053350 ns MR4_I 01000208 2a001c5b - 1053370 ns MR4_I 01000200 07c96841 - 1053390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1053410 ns MR4_I 01000204 6002d1fc - 1053470 ns MR4_D 40006004 00000001 - 1053470 ns R r1 00000001 - 1053470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1053490 ns R r1 80000000 - 1053490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1053510 ns R psr 81000200 - 1053510 ns MR4_I 01000208 2a001c5b - 1053530 ns MR4_I 01000200 07c96841 - 1053550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1053570 ns MR4_I 01000204 6002d1fc - 1053630 ns MR4_D 40006004 00000001 - 1053630 ns R r1 00000001 - 1053630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1053650 ns R r1 80000000 - 1053650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1053670 ns R psr 81000200 - 1053670 ns MR4_I 01000208 2a001c5b - 1053690 ns MR4_I 01000200 07c96841 - 1053710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1053730 ns MR4_I 01000204 6002d1fc - 1053790 ns MR4_D 40006004 00000001 - 1053790 ns R r1 00000001 - 1053790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1053810 ns R r1 80000000 - 1053810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1053830 ns R psr 81000200 - 1053830 ns MR4_I 01000208 2a001c5b - 1053850 ns MR4_I 01000200 07c96841 - 1053870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1053890 ns MR4_I 01000204 6002d1fc - 1053950 ns MR4_D 40006004 00000001 - 1053950 ns R r1 00000001 - 1053950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1053970 ns R r1 80000000 - 1053970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1053990 ns R psr 81000200 - 1053990 ns MR4_I 01000208 2a001c5b - 1054010 ns MR4_I 01000200 07c96841 - 1054030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1054050 ns MR4_I 01000204 6002d1fc - 1054110 ns MR4_D 40006004 00000001 - 1054110 ns R r1 00000001 - 1054110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1054130 ns R r1 80000000 - 1054130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1054150 ns R psr 81000200 - 1054150 ns MR4_I 01000208 2a001c5b - 1054170 ns MR4_I 01000200 07c96841 - 1054190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1054210 ns MR4_I 01000204 6002d1fc - 1054270 ns MR4_D 40006004 00000001 - 1054270 ns R r1 00000001 - 1054270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1054290 ns R r1 80000000 - 1054290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1054310 ns R psr 81000200 - 1054310 ns MR4_I 01000208 2a001c5b - 1054330 ns MR4_I 01000200 07c96841 - 1054350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1054370 ns MR4_I 01000204 6002d1fc - 1054430 ns MR4_D 40006004 00000001 - 1054430 ns R r1 00000001 - 1054430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1054450 ns R r1 80000000 - 1054450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1054470 ns R psr 81000200 - 1054470 ns MR4_I 01000208 2a001c5b - 1054490 ns MR4_I 01000200 07c96841 - 1054510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1054530 ns MR4_I 01000204 6002d1fc - 1054590 ns MR4_D 40006004 00000001 - 1054590 ns R r1 00000001 - 1054590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1054610 ns R r1 80000000 - 1054610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1054630 ns R psr 81000200 - 1054630 ns MR4_I 01000208 2a001c5b - 1054650 ns MR4_I 01000200 07c96841 - 1054670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1054690 ns MR4_I 01000204 6002d1fc - 1054750 ns MR4_D 40006004 00000001 - 1054750 ns R r1 00000001 - 1054750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1054770 ns R r1 80000000 - 1054770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1054790 ns R psr 81000200 - 1054790 ns MR4_I 01000208 2a001c5b - 1054810 ns MR4_I 01000200 07c96841 - 1054830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1054850 ns MR4_I 01000204 6002d1fc - 1054910 ns MR4_D 40006004 00000001 - 1054910 ns R r1 00000001 - 1054910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1054930 ns R r1 80000000 - 1054930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1054950 ns R psr 81000200 - 1054950 ns MR4_I 01000208 2a001c5b - 1054970 ns MR4_I 01000200 07c96841 - 1054990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1055010 ns MR4_I 01000204 6002d1fc - 1055070 ns MR4_D 40006004 00000001 - 1055070 ns R r1 00000001 - 1055070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1055090 ns R r1 80000000 - 1055090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1055110 ns R psr 81000200 - 1055110 ns MR4_I 01000208 2a001c5b - 1055130 ns MR4_I 01000200 07c96841 - 1055150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1055170 ns MR4_I 01000204 6002d1fc - 1055230 ns MR4_D 40006004 00000001 - 1055230 ns R r1 00000001 - 1055230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1055250 ns R r1 80000000 - 1055250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1055270 ns R psr 81000200 - 1055270 ns MR4_I 01000208 2a001c5b - 1055290 ns MR4_I 01000200 07c96841 - 1055310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1055330 ns MR4_I 01000204 6002d1fc - 1055390 ns MR4_D 40006004 00000001 - 1055390 ns R r1 00000001 - 1055390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1055410 ns R r1 80000000 - 1055410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1055430 ns R psr 81000200 - 1055430 ns MR4_I 01000208 2a001c5b - 1055450 ns MR4_I 01000200 07c96841 - 1055470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1055490 ns MR4_I 01000204 6002d1fc - 1055550 ns MR4_D 40006004 00000001 - 1055550 ns R r1 00000001 - 1055550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1055570 ns R r1 80000000 - 1055570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1055590 ns R psr 81000200 - 1055590 ns MR4_I 01000208 2a001c5b - 1055610 ns MR4_I 01000200 07c96841 - 1055630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1055650 ns MR4_I 01000204 6002d1fc - 1055710 ns MR4_D 40006004 00000001 - 1055710 ns R r1 00000001 - 1055710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1055730 ns R r1 80000000 - 1055730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1055750 ns R psr 81000200 - 1055750 ns MR4_I 01000208 2a001c5b - 1055770 ns MR4_I 01000200 07c96841 - 1055790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1055810 ns MR4_I 01000204 6002d1fc - 1055870 ns MR4_D 40006004 00000001 - 1055870 ns R r1 00000001 - 1055870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1055890 ns R r1 80000000 - 1055890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1055910 ns R psr 81000200 - 1055910 ns MR4_I 01000208 2a001c5b - 1055930 ns MR4_I 01000200 07c96841 - 1055950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1055970 ns MR4_I 01000204 6002d1fc - 1056030 ns MR4_D 40006004 00000001 - 1056030 ns R r1 00000001 - 1056030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1056050 ns R r1 80000000 - 1056050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1056070 ns R psr 81000200 - 1056070 ns MR4_I 01000208 2a001c5b - 1056090 ns MR4_I 01000200 07c96841 - 1056110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1056130 ns MR4_I 01000204 6002d1fc - 1056190 ns MR4_D 40006004 00000001 - 1056190 ns R r1 00000001 - 1056190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1056210 ns R r1 80000000 - 1056210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1056230 ns R psr 81000200 - 1056230 ns MR4_I 01000208 2a001c5b - 1056250 ns MR4_I 01000200 07c96841 - 1056270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1056290 ns MR4_I 01000204 6002d1fc - 1056350 ns MR4_D 40006004 00000001 - 1056350 ns R r1 00000001 - 1056350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1056370 ns R r1 80000000 - 1056370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1056390 ns R psr 81000200 - 1056390 ns MR4_I 01000208 2a001c5b - 1056410 ns MR4_I 01000200 07c96841 - 1056430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1056450 ns MR4_I 01000204 6002d1fc - 1056510 ns MR4_D 40006004 00000001 - 1056510 ns R r1 00000001 - 1056510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1056530 ns R r1 80000000 - 1056530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1056550 ns R psr 81000200 - 1056550 ns MR4_I 01000208 2a001c5b - 1056570 ns MR4_I 01000200 07c96841 - 1056590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1056610 ns MR4_I 01000204 6002d1fc - 1056670 ns MR4_D 40006004 00000000 - 1056670 ns R r1 00000000 - 1056670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1056690 ns R r1 00000000 - 1056690 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1056710 ns R psr 41000200 - 1056710 ns MR4_I 01000208 2a001c5b - 1056710 ns IT 01000206 6002 STR r2,[r0,#0] - 1056790 ns MW4_D 40006000 0000004d - 1056790 ns IT 01000208 1c5b ADDS r3,r3,#1 - 1056810 ns MR4_I 0100020c a32ad1f5 - 1056810 ns R r3 010002af - 1056810 ns IT 0100020a 2a00 CMP r2,#0 - 1056830 ns R psr 01000200 - 1056830 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 1056850 ns R psr 21000200 - 1056850 ns MR4_I 01000210 2a00781a - 1056870 ns MR4_I 010001f8 781aa326 - 1056890 ns MR4_I 010001fc d0062a00 - 1056890 ns IT 010001fa 781a LDRB r2,[r3,#0] - 1056930 ns MR1_D 010002af 304d2d78 - 1056930 ns R r2 00000030 - 1056930 ns IT 010001fc 2a00 CMP r2,#0 - 1056950 ns MR4_I 01000200 07c96841 - 1056950 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 1056970 ns R psr 21000200 - 1056970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1056990 ns MR4_I 01000204 6002d1fc - 1057050 ns MR4_D 40006004 00000001 - 1057050 ns R r1 00000001 - 1057050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1057070 ns R r1 80000000 - 1057070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1057090 ns R psr 81000200 - 1057090 ns MR4_I 01000208 2a001c5b - 1057110 ns MR4_I 01000200 07c96841 - 1057130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1057150 ns MR4_I 01000204 6002d1fc - 1057210 ns MR4_D 40006004 00000001 - 1057210 ns R r1 00000001 - 1057210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1057230 ns R r1 80000000 - 1057230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1057250 ns R psr 81000200 - 1057250 ns MR4_I 01000208 2a001c5b - 1057270 ns MR4_I 01000200 07c96841 - 1057290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1057310 ns MR4_I 01000204 6002d1fc - 1057370 ns MR4_D 40006004 00000001 - 1057370 ns R r1 00000001 - 1057370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1057390 ns R r1 80000000 - 1057390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1057410 ns R psr 81000200 - 1057410 ns MR4_I 01000208 2a001c5b - 1057430 ns MR4_I 01000200 07c96841 - 1057450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1057470 ns MR4_I 01000204 6002d1fc - 1057530 ns MR4_D 40006004 00000001 - 1057530 ns R r1 00000001 - 1057530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1057550 ns R r1 80000000 - 1057550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1057570 ns R psr 81000200 - 1057570 ns MR4_I 01000208 2a001c5b - 1057590 ns MR4_I 01000200 07c96841 - 1057610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1057630 ns MR4_I 01000204 6002d1fc - 1057690 ns MR4_D 40006004 00000001 - 1057690 ns R r1 00000001 - 1057690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1057710 ns R r1 80000000 - 1057710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1057730 ns R psr 81000200 - 1057730 ns MR4_I 01000208 2a001c5b - 1057750 ns MR4_I 01000200 07c96841 - 1057770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1057790 ns MR4_I 01000204 6002d1fc - 1057850 ns MR4_D 40006004 00000001 - 1057850 ns R r1 00000001 - 1057850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1057870 ns R r1 80000000 - 1057870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1057890 ns R psr 81000200 - 1057890 ns MR4_I 01000208 2a001c5b - 1057910 ns MR4_I 01000200 07c96841 - 1057930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1057950 ns MR4_I 01000204 6002d1fc - 1058010 ns MR4_D 40006004 00000001 - 1058010 ns R r1 00000001 - 1058010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1058030 ns R r1 80000000 - 1058030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1058050 ns R psr 81000200 - 1058050 ns MR4_I 01000208 2a001c5b - 1058070 ns MR4_I 01000200 07c96841 - 1058090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1058110 ns MR4_I 01000204 6002d1fc - 1058170 ns MR4_D 40006004 00000001 - 1058170 ns R r1 00000001 - 1058170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1058190 ns R r1 80000000 - 1058190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1058210 ns R psr 81000200 - 1058210 ns MR4_I 01000208 2a001c5b - 1058230 ns MR4_I 01000200 07c96841 - 1058250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1058270 ns MR4_I 01000204 6002d1fc - 1058330 ns MR4_D 40006004 00000001 - 1058330 ns R r1 00000001 - 1058330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1058350 ns R r1 80000000 - 1058350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1058370 ns R psr 81000200 - 1058370 ns MR4_I 01000208 2a001c5b - 1058390 ns MR4_I 01000200 07c96841 - 1058410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1058430 ns MR4_I 01000204 6002d1fc - 1058490 ns MR4_D 40006004 00000001 - 1058490 ns R r1 00000001 - 1058490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1058510 ns R r1 80000000 - 1058510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1058530 ns R psr 81000200 - 1058530 ns MR4_I 01000208 2a001c5b - 1058550 ns MR4_I 01000200 07c96841 - 1058570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1058590 ns MR4_I 01000204 6002d1fc - 1058650 ns MR4_D 40006004 00000001 - 1058650 ns R r1 00000001 - 1058650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1058670 ns R r1 80000000 - 1058670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1058690 ns R psr 81000200 - 1058690 ns MR4_I 01000208 2a001c5b - 1058710 ns MR4_I 01000200 07c96841 - 1058730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1058750 ns MR4_I 01000204 6002d1fc - 1058810 ns MR4_D 40006004 00000001 - 1058810 ns R r1 00000001 - 1058810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1058830 ns R r1 80000000 - 1058830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1058850 ns R psr 81000200 - 1058850 ns MR4_I 01000208 2a001c5b - 1058870 ns MR4_I 01000200 07c96841 - 1058890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1058910 ns MR4_I 01000204 6002d1fc - 1058970 ns MR4_D 40006004 00000001 - 1058970 ns R r1 00000001 - 1058970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1058990 ns R r1 80000000 - 1058990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1059010 ns R psr 81000200 - 1059010 ns MR4_I 01000208 2a001c5b - 1059030 ns MR4_I 01000200 07c96841 - 1059050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1059070 ns MR4_I 01000204 6002d1fc - 1059130 ns MR4_D 40006004 00000001 - 1059130 ns R r1 00000001 - 1059130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1059150 ns R r1 80000000 - 1059150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1059170 ns R psr 81000200 - 1059170 ns MR4_I 01000208 2a001c5b - 1059190 ns MR4_I 01000200 07c96841 - 1059210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1059230 ns MR4_I 01000204 6002d1fc - 1059290 ns MR4_D 40006004 00000001 - 1059290 ns R r1 00000001 - 1059290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1059310 ns R r1 80000000 - 1059310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1059330 ns R psr 81000200 - 1059330 ns MR4_I 01000208 2a001c5b - 1059350 ns MR4_I 01000200 07c96841 - 1059370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1059390 ns MR4_I 01000204 6002d1fc - 1059450 ns MR4_D 40006004 00000001 - 1059450 ns R r1 00000001 - 1059450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1059470 ns R r1 80000000 - 1059470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1059490 ns R psr 81000200 - 1059490 ns MR4_I 01000208 2a001c5b - 1059510 ns MR4_I 01000200 07c96841 - 1059530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1059550 ns MR4_I 01000204 6002d1fc - 1059610 ns MR4_D 40006004 00000001 - 1059610 ns R r1 00000001 - 1059610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1059630 ns R r1 80000000 - 1059630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1059650 ns R psr 81000200 - 1059650 ns MR4_I 01000208 2a001c5b - 1059670 ns MR4_I 01000200 07c96841 - 1059690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1059710 ns MR4_I 01000204 6002d1fc - 1059770 ns MR4_D 40006004 00000001 - 1059770 ns R r1 00000001 - 1059770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1059790 ns R r1 80000000 - 1059790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1059810 ns R psr 81000200 - 1059810 ns MR4_I 01000208 2a001c5b - 1059830 ns MR4_I 01000200 07c96841 - 1059850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1059870 ns MR4_I 01000204 6002d1fc - 1059930 ns MR4_D 40006004 00000001 - 1059930 ns R r1 00000001 - 1059930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1059950 ns R r1 80000000 - 1059950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1059970 ns R psr 81000200 - 1059970 ns MR4_I 01000208 2a001c5b - 1059990 ns MR4_I 01000200 07c96841 - 1060010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1060030 ns MR4_I 01000204 6002d1fc - 1060090 ns MR4_D 40006004 00000001 - 1060090 ns R r1 00000001 - 1060090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1060110 ns R r1 80000000 - 1060110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1060130 ns R psr 81000200 - 1060130 ns MR4_I 01000208 2a001c5b - 1060150 ns MR4_I 01000200 07c96841 - 1060170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1060190 ns MR4_I 01000204 6002d1fc - 1060250 ns MR4_D 40006004 00000001 - 1060250 ns R r1 00000001 - 1060250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1060270 ns R r1 80000000 - 1060270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1060290 ns R psr 81000200 - 1060290 ns MR4_I 01000208 2a001c5b - 1060310 ns MR4_I 01000200 07c96841 - 1060330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1060350 ns MR4_I 01000204 6002d1fc - 1060410 ns MR4_D 40006004 00000001 - 1060410 ns R r1 00000001 - 1060410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1060430 ns R r1 80000000 - 1060430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1060450 ns R psr 81000200 - 1060450 ns MR4_I 01000208 2a001c5b - 1060470 ns MR4_I 01000200 07c96841 - 1060490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1060510 ns MR4_I 01000204 6002d1fc - 1060570 ns MR4_D 40006004 00000001 - 1060570 ns R r1 00000001 - 1060570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1060590 ns R r1 80000000 - 1060590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1060610 ns R psr 81000200 - 1060610 ns MR4_I 01000208 2a001c5b - 1060630 ns MR4_I 01000200 07c96841 - 1060650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1060670 ns MR4_I 01000204 6002d1fc - 1060730 ns MR4_D 40006004 00000001 - 1060730 ns R r1 00000001 - 1060730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1060750 ns R r1 80000000 - 1060750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1060770 ns R psr 81000200 - 1060770 ns MR4_I 01000208 2a001c5b - 1060790 ns MR4_I 01000200 07c96841 - 1060810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1060830 ns MR4_I 01000204 6002d1fc - 1060890 ns MR4_D 40006004 00000001 - 1060890 ns R r1 00000001 - 1060890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1060910 ns R r1 80000000 - 1060910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1060930 ns R psr 81000200 - 1060930 ns MR4_I 01000208 2a001c5b - 1060950 ns MR4_I 01000200 07c96841 - 1060970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1060990 ns MR4_I 01000204 6002d1fc - 1061050 ns MR4_D 40006004 00000001 - 1061050 ns R r1 00000001 - 1061050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1061070 ns R r1 80000000 - 1061070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1061090 ns R psr 81000200 - 1061090 ns MR4_I 01000208 2a001c5b - 1061110 ns MR4_I 01000200 07c96841 - 1061130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1061150 ns MR4_I 01000204 6002d1fc - 1061210 ns MR4_D 40006004 00000001 - 1061210 ns R r1 00000001 - 1061210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1061230 ns R r1 80000000 - 1061230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1061250 ns R psr 81000200 - 1061250 ns MR4_I 01000208 2a001c5b - 1061270 ns MR4_I 01000200 07c96841 - 1061290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1061310 ns MR4_I 01000204 6002d1fc - 1061370 ns MR4_D 40006004 00000001 - 1061370 ns R r1 00000001 - 1061370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1061390 ns R r1 80000000 - 1061390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1061410 ns R psr 81000200 - 1061410 ns MR4_I 01000208 2a001c5b - 1061430 ns MR4_I 01000200 07c96841 - 1061450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1061470 ns MR4_I 01000204 6002d1fc - 1061530 ns MR4_D 40006004 00000001 - 1061530 ns R r1 00000001 - 1061530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1061550 ns R r1 80000000 - 1061550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1061570 ns R psr 81000200 - 1061570 ns MR4_I 01000208 2a001c5b - 1061590 ns MR4_I 01000200 07c96841 - 1061610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1061630 ns MR4_I 01000204 6002d1fc - 1061690 ns MR4_D 40006004 00000001 - 1061690 ns R r1 00000001 - 1061690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1061710 ns R r1 80000000 - 1061710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1061730 ns R psr 81000200 - 1061730 ns MR4_I 01000208 2a001c5b - 1061750 ns MR4_I 01000200 07c96841 - 1061770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1061790 ns MR4_I 01000204 6002d1fc - 1061850 ns MR4_D 40006004 00000001 - 1061850 ns R r1 00000001 - 1061850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1061870 ns R r1 80000000 - 1061870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1061890 ns R psr 81000200 - 1061890 ns MR4_I 01000208 2a001c5b - 1061910 ns MR4_I 01000200 07c96841 - 1061930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1061950 ns MR4_I 01000204 6002d1fc - 1062010 ns MR4_D 40006004 00000001 - 1062010 ns R r1 00000001 - 1062010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1062030 ns R r1 80000000 - 1062030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1062050 ns R psr 81000200 - 1062050 ns MR4_I 01000208 2a001c5b - 1062070 ns MR4_I 01000200 07c96841 - 1062090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1062110 ns MR4_I 01000204 6002d1fc - 1062170 ns MR4_D 40006004 00000001 - 1062170 ns R r1 00000001 - 1062170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1062190 ns R r1 80000000 - 1062190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1062210 ns R psr 81000200 - 1062210 ns MR4_I 01000208 2a001c5b - 1062230 ns MR4_I 01000200 07c96841 - 1062250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1062270 ns MR4_I 01000204 6002d1fc - 1062330 ns MR4_D 40006004 00000001 - 1062330 ns R r1 00000001 - 1062330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1062350 ns R r1 80000000 - 1062350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1062370 ns R psr 81000200 - 1062370 ns MR4_I 01000208 2a001c5b - 1062390 ns MR4_I 01000200 07c96841 - 1062410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1062430 ns MR4_I 01000204 6002d1fc - 1062490 ns MR4_D 40006004 00000001 - 1062490 ns R r1 00000001 - 1062490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1062510 ns R r1 80000000 - 1062510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1062530 ns R psr 81000200 - 1062530 ns MR4_I 01000208 2a001c5b - 1062550 ns MR4_I 01000200 07c96841 - 1062570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1062590 ns MR4_I 01000204 6002d1fc - 1062650 ns MR4_D 40006004 00000001 - 1062650 ns R r1 00000001 - 1062650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1062670 ns R r1 80000000 - 1062670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1062690 ns R psr 81000200 - 1062690 ns MR4_I 01000208 2a001c5b - 1062710 ns MR4_I 01000200 07c96841 - 1062730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1062750 ns MR4_I 01000204 6002d1fc - 1062810 ns MR4_D 40006004 00000001 - 1062810 ns R r1 00000001 - 1062810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1062830 ns R r1 80000000 - 1062830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1062850 ns R psr 81000200 - 1062850 ns MR4_I 01000208 2a001c5b - 1062870 ns MR4_I 01000200 07c96841 - 1062890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1062910 ns MR4_I 01000204 6002d1fc - 1062970 ns MR4_D 40006004 00000001 - 1062970 ns R r1 00000001 - 1062970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1062990 ns R r1 80000000 - 1062990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1063010 ns R psr 81000200 - 1063010 ns MR4_I 01000208 2a001c5b - 1063030 ns MR4_I 01000200 07c96841 - 1063050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1063070 ns MR4_I 01000204 6002d1fc - 1063130 ns MR4_D 40006004 00000001 - 1063130 ns R r1 00000001 - 1063130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1063150 ns R r1 80000000 - 1063150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1063170 ns R psr 81000200 - 1063170 ns MR4_I 01000208 2a001c5b - 1063190 ns MR4_I 01000200 07c96841 - 1063210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1063230 ns MR4_I 01000204 6002d1fc - 1063290 ns MR4_D 40006004 00000001 - 1063290 ns R r1 00000001 - 1063290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1063310 ns R r1 80000000 - 1063310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1063330 ns R psr 81000200 - 1063330 ns MR4_I 01000208 2a001c5b - 1063350 ns MR4_I 01000200 07c96841 - 1063370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1063390 ns MR4_I 01000204 6002d1fc - 1063450 ns MR4_D 40006004 00000001 - 1063450 ns R r1 00000001 - 1063450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1063470 ns R r1 80000000 - 1063470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1063490 ns R psr 81000200 - 1063490 ns MR4_I 01000208 2a001c5b - 1063510 ns MR4_I 01000200 07c96841 - 1063530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1063550 ns MR4_I 01000204 6002d1fc - 1063610 ns MR4_D 40006004 00000001 - 1063610 ns R r1 00000001 - 1063610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1063630 ns R r1 80000000 - 1063630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1063650 ns R psr 81000200 - 1063650 ns MR4_I 01000208 2a001c5b - 1063670 ns MR4_I 01000200 07c96841 - 1063690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1063710 ns MR4_I 01000204 6002d1fc - 1063770 ns MR4_D 40006004 00000001 - 1063770 ns R r1 00000001 - 1063770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1063790 ns R r1 80000000 - 1063790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1063810 ns R psr 81000200 - 1063810 ns MR4_I 01000208 2a001c5b - 1063830 ns MR4_I 01000200 07c96841 - 1063850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1063870 ns MR4_I 01000204 6002d1fc - 1063930 ns MR4_D 40006004 00000001 - 1063930 ns R r1 00000001 - 1063930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1063950 ns R r1 80000000 - 1063950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1063970 ns R psr 81000200 - 1063970 ns MR4_I 01000208 2a001c5b - 1063990 ns MR4_I 01000200 07c96841 - 1064010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1064030 ns MR4_I 01000204 6002d1fc - 1064090 ns MR4_D 40006004 00000001 - 1064090 ns R r1 00000001 - 1064090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1064110 ns R r1 80000000 - 1064110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1064130 ns R psr 81000200 - 1064130 ns MR4_I 01000208 2a001c5b - 1064150 ns MR4_I 01000200 07c96841 - 1064170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1064190 ns MR4_I 01000204 6002d1fc - 1064250 ns MR4_D 40006004 00000001 - 1064250 ns R r1 00000001 - 1064250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1064270 ns R r1 80000000 - 1064270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1064290 ns R psr 81000200 - 1064290 ns MR4_I 01000208 2a001c5b - 1064310 ns MR4_I 01000200 07c96841 - 1064330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1064350 ns MR4_I 01000204 6002d1fc - 1064410 ns MR4_D 40006004 00000001 - 1064410 ns R r1 00000001 - 1064410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1064430 ns R r1 80000000 - 1064430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1064450 ns R psr 81000200 - 1064450 ns MR4_I 01000208 2a001c5b - 1064470 ns MR4_I 01000200 07c96841 - 1064490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1064510 ns MR4_I 01000204 6002d1fc - 1064570 ns MR4_D 40006004 00000001 - 1064570 ns R r1 00000001 - 1064570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1064590 ns R r1 80000000 - 1064590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1064610 ns R psr 81000200 - 1064610 ns MR4_I 01000208 2a001c5b - 1064630 ns MR4_I 01000200 07c96841 - 1064650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1064670 ns MR4_I 01000204 6002d1fc - 1064730 ns MR4_D 40006004 00000001 - 1064730 ns R r1 00000001 - 1064730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1064750 ns R r1 80000000 - 1064750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1064770 ns R psr 81000200 - 1064770 ns MR4_I 01000208 2a001c5b - 1064790 ns MR4_I 01000200 07c96841 - 1064810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1064830 ns MR4_I 01000204 6002d1fc - 1064890 ns MR4_D 40006004 00000001 - 1064890 ns R r1 00000001 - 1064890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1064910 ns R r1 80000000 - 1064910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1064930 ns R psr 81000200 - 1064930 ns MR4_I 01000208 2a001c5b - 1064950 ns MR4_I 01000200 07c96841 - 1064970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1064990 ns MR4_I 01000204 6002d1fc - 1065050 ns MR4_D 40006004 00000001 - 1065050 ns R r1 00000001 - 1065050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1065070 ns R r1 80000000 - 1065070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1065090 ns R psr 81000200 - 1065090 ns MR4_I 01000208 2a001c5b - 1065110 ns MR4_I 01000200 07c96841 - 1065130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1065150 ns MR4_I 01000204 6002d1fc - 1065210 ns MR4_D 40006004 00000001 - 1065210 ns R r1 00000001 - 1065210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1065230 ns R r1 80000000 - 1065230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1065250 ns R psr 81000200 - 1065250 ns MR4_I 01000208 2a001c5b - 1065270 ns MR4_I 01000200 07c96841 - 1065290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1065310 ns MR4_I 01000204 6002d1fc - 1065370 ns MR4_D 40006004 00000001 - 1065370 ns R r1 00000001 - 1065370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1065390 ns R r1 80000000 - 1065390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1065410 ns R psr 81000200 - 1065410 ns MR4_I 01000208 2a001c5b - 1065430 ns MR4_I 01000200 07c96841 - 1065450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1065470 ns MR4_I 01000204 6002d1fc - 1065530 ns MR4_D 40006004 00000001 - 1065530 ns R r1 00000001 - 1065530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1065550 ns R r1 80000000 - 1065550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1065570 ns R psr 81000200 - 1065570 ns MR4_I 01000208 2a001c5b - 1065590 ns MR4_I 01000200 07c96841 - 1065610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1065630 ns MR4_I 01000204 6002d1fc - 1065690 ns MR4_D 40006004 00000001 - 1065690 ns R r1 00000001 - 1065690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1065710 ns R r1 80000000 - 1065710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1065730 ns R psr 81000200 - 1065730 ns MR4_I 01000208 2a001c5b - 1065750 ns MR4_I 01000200 07c96841 - 1065770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1065790 ns MR4_I 01000204 6002d1fc - 1065850 ns MR4_D 40006004 00000001 - 1065850 ns R r1 00000001 - 1065850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1065870 ns R r1 80000000 - 1065870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1065890 ns R psr 81000200 - 1065890 ns MR4_I 01000208 2a001c5b - 1065910 ns MR4_I 01000200 07c96841 - 1065930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1065950 ns MR4_I 01000204 6002d1fc - 1066010 ns MR4_D 40006004 00000001 - 1066010 ns R r1 00000001 - 1066010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1066030 ns R r1 80000000 - 1066030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1066050 ns R psr 81000200 - 1066050 ns MR4_I 01000208 2a001c5b - 1066070 ns MR4_I 01000200 07c96841 - 1066090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1066110 ns MR4_I 01000204 6002d1fc - 1066170 ns MR4_D 40006004 00000001 - 1066170 ns R r1 00000001 - 1066170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1066190 ns R r1 80000000 - 1066190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1066210 ns R psr 81000200 - 1066210 ns MR4_I 01000208 2a001c5b - 1066230 ns MR4_I 01000200 07c96841 - 1066250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1066270 ns MR4_I 01000204 6002d1fc - 1066330 ns MR4_D 40006004 00000001 - 1066330 ns R r1 00000001 - 1066330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1066350 ns R r1 80000000 - 1066350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1066370 ns R psr 81000200 - 1066370 ns MR4_I 01000208 2a001c5b - 1066390 ns MR4_I 01000200 07c96841 - 1066410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1066430 ns MR4_I 01000204 6002d1fc - 1066490 ns MR4_D 40006004 00000001 - 1066490 ns R r1 00000001 - 1066490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1066510 ns R r1 80000000 - 1066510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1066530 ns R psr 81000200 - 1066530 ns MR4_I 01000208 2a001c5b - 1066550 ns MR4_I 01000200 07c96841 - 1066570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1066590 ns MR4_I 01000204 6002d1fc - 1066650 ns MR4_D 40006004 00000001 - 1066650 ns R r1 00000001 - 1066650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1066670 ns R r1 80000000 - 1066670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1066690 ns R psr 81000200 - 1066690 ns MR4_I 01000208 2a001c5b - 1066710 ns MR4_I 01000200 07c96841 - 1066730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1066750 ns MR4_I 01000204 6002d1fc - 1066810 ns MR4_D 40006004 00000001 - 1066810 ns R r1 00000001 - 1066810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1066830 ns R r1 80000000 - 1066830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1066850 ns R psr 81000200 - 1066850 ns MR4_I 01000208 2a001c5b - 1066870 ns MR4_I 01000200 07c96841 - 1066890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1066910 ns MR4_I 01000204 6002d1fc - 1066970 ns MR4_D 40006004 00000001 - 1066970 ns R r1 00000001 - 1066970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1066990 ns R r1 80000000 - 1066990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1067010 ns R psr 81000200 - 1067010 ns MR4_I 01000208 2a001c5b - 1067030 ns MR4_I 01000200 07c96841 - 1067050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1067070 ns MR4_I 01000204 6002d1fc - 1067130 ns MR4_D 40006004 00000001 - 1067130 ns R r1 00000001 - 1067130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1067150 ns R r1 80000000 - 1067150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1067170 ns R psr 81000200 - 1067170 ns MR4_I 01000208 2a001c5b - 1067190 ns MR4_I 01000200 07c96841 - 1067210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1067230 ns MR4_I 01000204 6002d1fc - 1067290 ns MR4_D 40006004 00000001 - 1067290 ns R r1 00000001 - 1067290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1067310 ns R r1 80000000 - 1067310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1067330 ns R psr 81000200 - 1067330 ns MR4_I 01000208 2a001c5b - 1067350 ns MR4_I 01000200 07c96841 - 1067370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1067390 ns MR4_I 01000204 6002d1fc - 1067450 ns MR4_D 40006004 00000001 - 1067450 ns R r1 00000001 - 1067450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1067470 ns R r1 80000000 - 1067470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1067490 ns R psr 81000200 - 1067490 ns MR4_I 01000208 2a001c5b - 1067510 ns MR4_I 01000200 07c96841 - 1067530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1067550 ns MR4_I 01000204 6002d1fc - 1067610 ns MR4_D 40006004 00000001 - 1067610 ns R r1 00000001 - 1067610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1067630 ns R r1 80000000 - 1067630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1067650 ns R psr 81000200 - 1067650 ns MR4_I 01000208 2a001c5b - 1067670 ns MR4_I 01000200 07c96841 - 1067690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1067710 ns MR4_I 01000204 6002d1fc - 1067770 ns MR4_D 40006004 00000001 - 1067770 ns R r1 00000001 - 1067770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1067790 ns R r1 80000000 - 1067790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1067810 ns R psr 81000200 - 1067810 ns MR4_I 01000208 2a001c5b - 1067830 ns MR4_I 01000200 07c96841 - 1067850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1067870 ns MR4_I 01000204 6002d1fc - 1067930 ns MR4_D 40006004 00000001 - 1067930 ns R r1 00000001 - 1067930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1067950 ns R r1 80000000 - 1067950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1067970 ns R psr 81000200 - 1067970 ns MR4_I 01000208 2a001c5b - 1067990 ns MR4_I 01000200 07c96841 - 1068010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1068030 ns MR4_I 01000204 6002d1fc - 1068090 ns MR4_D 40006004 00000001 - 1068090 ns R r1 00000001 - 1068090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1068110 ns R r1 80000000 - 1068110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1068130 ns R psr 81000200 - 1068130 ns MR4_I 01000208 2a001c5b - 1068150 ns MR4_I 01000200 07c96841 - 1068170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1068190 ns MR4_I 01000204 6002d1fc - 1068250 ns MR4_D 40006004 00000001 - 1068250 ns R r1 00000001 - 1068250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1068270 ns R r1 80000000 - 1068270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1068290 ns R psr 81000200 - 1068290 ns MR4_I 01000208 2a001c5b - 1068310 ns MR4_I 01000200 07c96841 - 1068330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1068350 ns MR4_I 01000204 6002d1fc - 1068410 ns MR4_D 40006004 00000001 - 1068410 ns R r1 00000001 - 1068410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1068430 ns R r1 80000000 - 1068430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1068450 ns R psr 81000200 - 1068450 ns MR4_I 01000208 2a001c5b - 1068470 ns MR4_I 01000200 07c96841 - 1068490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1068510 ns MR4_I 01000204 6002d1fc - 1068570 ns MR4_D 40006004 00000001 - 1068570 ns R r1 00000001 - 1068570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1068590 ns R r1 80000000 - 1068590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1068610 ns R psr 81000200 - 1068610 ns MR4_I 01000208 2a001c5b - 1068630 ns MR4_I 01000200 07c96841 - 1068650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1068670 ns MR4_I 01000204 6002d1fc - 1068730 ns MR4_D 40006004 00000001 - 1068730 ns R r1 00000001 - 1068730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1068750 ns R r1 80000000 - 1068750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1068770 ns R psr 81000200 - 1068770 ns MR4_I 01000208 2a001c5b - 1068790 ns MR4_I 01000200 07c96841 - 1068810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1068830 ns MR4_I 01000204 6002d1fc - 1068890 ns MR4_D 40006004 00000001 - 1068890 ns R r1 00000001 - 1068890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1068910 ns R r1 80000000 - 1068910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1068930 ns R psr 81000200 - 1068930 ns MR4_I 01000208 2a001c5b - 1068950 ns MR4_I 01000200 07c96841 - 1068970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1068990 ns MR4_I 01000204 6002d1fc - 1069050 ns MR4_D 40006004 00000001 - 1069050 ns R r1 00000001 - 1069050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1069070 ns R r1 80000000 - 1069070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1069090 ns R psr 81000200 - 1069090 ns MR4_I 01000208 2a001c5b - 1069110 ns MR4_I 01000200 07c96841 - 1069130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1069150 ns MR4_I 01000204 6002d1fc - 1069210 ns MR4_D 40006004 00000001 - 1069210 ns R r1 00000001 - 1069210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1069230 ns R r1 80000000 - 1069230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1069250 ns R psr 81000200 - 1069250 ns MR4_I 01000208 2a001c5b - 1069270 ns MR4_I 01000200 07c96841 - 1069290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1069310 ns MR4_I 01000204 6002d1fc - 1069370 ns MR4_D 40006004 00000001 - 1069370 ns R r1 00000001 - 1069370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1069390 ns R r1 80000000 - 1069390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1069410 ns R psr 81000200 - 1069410 ns MR4_I 01000208 2a001c5b - 1069430 ns MR4_I 01000200 07c96841 - 1069450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1069470 ns MR4_I 01000204 6002d1fc - 1069530 ns MR4_D 40006004 00000001 - 1069530 ns R r1 00000001 - 1069530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1069550 ns R r1 80000000 - 1069550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1069570 ns R psr 81000200 - 1069570 ns MR4_I 01000208 2a001c5b - 1069590 ns MR4_I 01000200 07c96841 - 1069610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1069630 ns MR4_I 01000204 6002d1fc - 1069690 ns MR4_D 40006004 00000001 - 1069690 ns R r1 00000001 - 1069690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1069710 ns R r1 80000000 - 1069710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1069730 ns R psr 81000200 - 1069730 ns MR4_I 01000208 2a001c5b - 1069750 ns MR4_I 01000200 07c96841 - 1069770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1069790 ns MR4_I 01000204 6002d1fc - 1069850 ns MR4_D 40006004 00000001 - 1069850 ns R r1 00000001 - 1069850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1069870 ns R r1 80000000 - 1069870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1069890 ns R psr 81000200 - 1069890 ns MR4_I 01000208 2a001c5b - 1069910 ns MR4_I 01000200 07c96841 - 1069930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1069950 ns MR4_I 01000204 6002d1fc - 1070010 ns MR4_D 40006004 00000001 - 1070010 ns R r1 00000001 - 1070010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1070030 ns R r1 80000000 - 1070030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1070050 ns R psr 81000200 - 1070050 ns MR4_I 01000208 2a001c5b - 1070070 ns MR4_I 01000200 07c96841 - 1070090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1070110 ns MR4_I 01000204 6002d1fc - 1070170 ns MR4_D 40006004 00000001 - 1070170 ns R r1 00000001 - 1070170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1070190 ns R r1 80000000 - 1070190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1070210 ns R psr 81000200 - 1070210 ns MR4_I 01000208 2a001c5b - 1070230 ns MR4_I 01000200 07c96841 - 1070250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1070270 ns MR4_I 01000204 6002d1fc - 1070330 ns MR4_D 40006004 00000001 - 1070330 ns R r1 00000001 - 1070330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1070350 ns R r1 80000000 - 1070350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1070370 ns R psr 81000200 - 1070370 ns MR4_I 01000208 2a001c5b - 1070390 ns MR4_I 01000200 07c96841 - 1070410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1070430 ns MR4_I 01000204 6002d1fc - 1070490 ns MR4_D 40006004 00000001 - 1070490 ns R r1 00000001 - 1070490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1070510 ns R r1 80000000 - 1070510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1070530 ns R psr 81000200 - 1070530 ns MR4_I 01000208 2a001c5b - 1070550 ns MR4_I 01000200 07c96841 - 1070570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1070590 ns MR4_I 01000204 6002d1fc - 1070650 ns MR4_D 40006004 00000001 - 1070650 ns R r1 00000001 - 1070650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1070670 ns R r1 80000000 - 1070670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1070690 ns R psr 81000200 - 1070690 ns MR4_I 01000208 2a001c5b - 1070710 ns MR4_I 01000200 07c96841 - 1070730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1070750 ns MR4_I 01000204 6002d1fc - 1070810 ns MR4_D 40006004 00000001 - 1070810 ns R r1 00000001 - 1070810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1070830 ns R r1 80000000 - 1070830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1070850 ns R psr 81000200 - 1070850 ns MR4_I 01000208 2a001c5b - 1070870 ns MR4_I 01000200 07c96841 - 1070890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1070910 ns MR4_I 01000204 6002d1fc - 1070970 ns MR4_D 40006004 00000001 - 1070970 ns R r1 00000001 - 1070970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1070990 ns R r1 80000000 - 1070990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1071010 ns R psr 81000200 - 1071010 ns MR4_I 01000208 2a001c5b - 1071030 ns MR4_I 01000200 07c96841 - 1071050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1071070 ns MR4_I 01000204 6002d1fc - 1071130 ns MR4_D 40006004 00000001 - 1071130 ns R r1 00000001 - 1071130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1071150 ns R r1 80000000 - 1071150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1071170 ns R psr 81000200 - 1071170 ns MR4_I 01000208 2a001c5b - 1071190 ns MR4_I 01000200 07c96841 - 1071210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1071230 ns MR4_I 01000204 6002d1fc - 1071290 ns MR4_D 40006004 00000001 - 1071290 ns R r1 00000001 - 1071290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1071310 ns R r1 80000000 - 1071310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1071330 ns R psr 81000200 - 1071330 ns MR4_I 01000208 2a001c5b - 1071350 ns MR4_I 01000200 07c96841 - 1071370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1071390 ns MR4_I 01000204 6002d1fc - 1071450 ns MR4_D 40006004 00000001 - 1071450 ns R r1 00000001 - 1071450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1071470 ns R r1 80000000 - 1071470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1071490 ns R psr 81000200 - 1071490 ns MR4_I 01000208 2a001c5b - 1071510 ns MR4_I 01000200 07c96841 - 1071530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1071550 ns MR4_I 01000204 6002d1fc - 1071610 ns MR4_D 40006004 00000001 - 1071610 ns R r1 00000001 - 1071610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1071630 ns R r1 80000000 - 1071630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1071650 ns R psr 81000200 - 1071650 ns MR4_I 01000208 2a001c5b - 1071670 ns MR4_I 01000200 07c96841 - 1071690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1071710 ns MR4_I 01000204 6002d1fc - 1071770 ns MR4_D 40006004 00000001 - 1071770 ns R r1 00000001 - 1071770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1071790 ns R r1 80000000 - 1071790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1071810 ns R psr 81000200 - 1071810 ns MR4_I 01000208 2a001c5b - 1071830 ns MR4_I 01000200 07c96841 - 1071850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1071870 ns MR4_I 01000204 6002d1fc - 1071930 ns MR4_D 40006004 00000001 - 1071930 ns R r1 00000001 - 1071930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1071950 ns R r1 80000000 - 1071950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1071970 ns R psr 81000200 - 1071970 ns MR4_I 01000208 2a001c5b - 1071990 ns MR4_I 01000200 07c96841 - 1072010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1072030 ns MR4_I 01000204 6002d1fc - 1072090 ns MR4_D 40006004 00000001 - 1072090 ns R r1 00000001 - 1072090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1072110 ns R r1 80000000 - 1072110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1072130 ns R psr 81000200 - 1072130 ns MR4_I 01000208 2a001c5b - 1072150 ns MR4_I 01000200 07c96841 - 1072170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1072190 ns MR4_I 01000204 6002d1fc - 1072250 ns MR4_D 40006004 00000001 - 1072250 ns R r1 00000001 - 1072250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1072270 ns R r1 80000000 - 1072270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1072290 ns R psr 81000200 - 1072290 ns MR4_I 01000208 2a001c5b - 1072310 ns MR4_I 01000200 07c96841 - 1072330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1072350 ns MR4_I 01000204 6002d1fc - 1072410 ns MR4_D 40006004 00000001 - 1072410 ns R r1 00000001 - 1072410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1072430 ns R r1 80000000 - 1072430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1072450 ns R psr 81000200 - 1072450 ns MR4_I 01000208 2a001c5b - 1072470 ns MR4_I 01000200 07c96841 - 1072490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1072510 ns MR4_I 01000204 6002d1fc - 1072570 ns MR4_D 40006004 00000001 - 1072570 ns R r1 00000001 - 1072570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1072590 ns R r1 80000000 - 1072590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1072610 ns R psr 81000200 - 1072610 ns MR4_I 01000208 2a001c5b - 1072630 ns MR4_I 01000200 07c96841 - 1072650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1072670 ns MR4_I 01000204 6002d1fc - 1072730 ns MR4_D 40006004 00000001 - 1072730 ns R r1 00000001 - 1072730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1072750 ns R r1 80000000 - 1072750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1072770 ns R psr 81000200 - 1072770 ns MR4_I 01000208 2a001c5b - 1072790 ns MR4_I 01000200 07c96841 - 1072810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1072830 ns MR4_I 01000204 6002d1fc - 1072890 ns MR4_D 40006004 00000001 - 1072890 ns R r1 00000001 - 1072890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1072910 ns R r1 80000000 - 1072910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1072930 ns R psr 81000200 - 1072930 ns MR4_I 01000208 2a001c5b - 1072950 ns MR4_I 01000200 07c96841 - 1072970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1072990 ns MR4_I 01000204 6002d1fc - 1073050 ns MR4_D 40006004 00000001 - 1073050 ns R r1 00000001 - 1073050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1073070 ns R r1 80000000 - 1073070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1073090 ns R psr 81000200 - 1073090 ns MR4_I 01000208 2a001c5b - 1073110 ns MR4_I 01000200 07c96841 - 1073130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1073150 ns MR4_I 01000204 6002d1fc - 1073210 ns MR4_D 40006004 00000001 - 1073210 ns R r1 00000001 - 1073210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1073230 ns R r1 80000000 - 1073230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1073250 ns R psr 81000200 - 1073250 ns MR4_I 01000208 2a001c5b - 1073270 ns MR4_I 01000200 07c96841 - 1073290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1073310 ns MR4_I 01000204 6002d1fc - 1073370 ns MR4_D 40006004 00000001 - 1073370 ns R r1 00000001 - 1073370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1073390 ns R r1 80000000 - 1073390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1073410 ns R psr 81000200 - 1073410 ns MR4_I 01000208 2a001c5b - 1073430 ns MR4_I 01000200 07c96841 - 1073450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1073470 ns MR4_I 01000204 6002d1fc - 1073530 ns MR4_D 40006004 00000001 - 1073530 ns R r1 00000001 - 1073530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1073550 ns R r1 80000000 - 1073550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1073570 ns R psr 81000200 - 1073570 ns MR4_I 01000208 2a001c5b - 1073590 ns MR4_I 01000200 07c96841 - 1073610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1073630 ns MR4_I 01000204 6002d1fc - 1073690 ns MR4_D 40006004 00000001 - 1073690 ns R r1 00000001 - 1073690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1073710 ns R r1 80000000 - 1073710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1073730 ns R psr 81000200 - 1073730 ns MR4_I 01000208 2a001c5b - 1073750 ns MR4_I 01000200 07c96841 - 1073770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1073790 ns MR4_I 01000204 6002d1fc - 1073850 ns MR4_D 40006004 00000001 - 1073850 ns R r1 00000001 - 1073850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1073870 ns R r1 80000000 - 1073870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1073890 ns R psr 81000200 - 1073890 ns MR4_I 01000208 2a001c5b - 1073910 ns MR4_I 01000200 07c96841 - 1073930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1073950 ns MR4_I 01000204 6002d1fc - 1074010 ns MR4_D 40006004 00000001 - 1074010 ns R r1 00000001 - 1074010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1074030 ns R r1 80000000 - 1074030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1074050 ns R psr 81000200 - 1074050 ns MR4_I 01000208 2a001c5b - 1074070 ns MR4_I 01000200 07c96841 - 1074090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1074110 ns MR4_I 01000204 6002d1fc - 1074170 ns MR4_D 40006004 00000001 - 1074170 ns R r1 00000001 - 1074170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1074190 ns R r1 80000000 - 1074190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1074210 ns R psr 81000200 - 1074210 ns MR4_I 01000208 2a001c5b - 1074230 ns MR4_I 01000200 07c96841 - 1074250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1074270 ns MR4_I 01000204 6002d1fc - 1074330 ns MR4_D 40006004 00000001 - 1074330 ns R r1 00000001 - 1074330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1074350 ns R r1 80000000 - 1074350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1074370 ns R psr 81000200 - 1074370 ns MR4_I 01000208 2a001c5b - 1074390 ns MR4_I 01000200 07c96841 - 1074410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1074430 ns MR4_I 01000204 6002d1fc - 1074490 ns MR4_D 40006004 00000001 - 1074490 ns R r1 00000001 - 1074490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1074510 ns R r1 80000000 - 1074510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1074530 ns R psr 81000200 - 1074530 ns MR4_I 01000208 2a001c5b - 1074550 ns MR4_I 01000200 07c96841 - 1074570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1074590 ns MR4_I 01000204 6002d1fc - 1074650 ns MR4_D 40006004 00000001 - 1074650 ns R r1 00000001 - 1074650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1074670 ns R r1 80000000 - 1074670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1074690 ns R psr 81000200 - 1074690 ns MR4_I 01000208 2a001c5b - 1074710 ns MR4_I 01000200 07c96841 - 1074730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1074750 ns MR4_I 01000204 6002d1fc - 1074810 ns MR4_D 40006004 00000001 - 1074810 ns R r1 00000001 - 1074810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1074830 ns R r1 80000000 - 1074830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1074850 ns R psr 81000200 - 1074850 ns MR4_I 01000208 2a001c5b - 1074870 ns MR4_I 01000200 07c96841 - 1074890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1074910 ns MR4_I 01000204 6002d1fc - 1074970 ns MR4_D 40006004 00000001 - 1074970 ns R r1 00000001 - 1074970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1074990 ns R r1 80000000 - 1074990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1075010 ns R psr 81000200 - 1075010 ns MR4_I 01000208 2a001c5b - 1075030 ns MR4_I 01000200 07c96841 - 1075050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1075070 ns MR4_I 01000204 6002d1fc - 1075130 ns MR4_D 40006004 00000001 - 1075130 ns R r1 00000001 - 1075130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1075150 ns R r1 80000000 - 1075150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1075170 ns R psr 81000200 - 1075170 ns MR4_I 01000208 2a001c5b - 1075190 ns MR4_I 01000200 07c96841 - 1075210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1075230 ns MR4_I 01000204 6002d1fc - 1075290 ns MR4_D 40006004 00000001 - 1075290 ns R r1 00000001 - 1075290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1075310 ns R r1 80000000 - 1075310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1075330 ns R psr 81000200 - 1075330 ns MR4_I 01000208 2a001c5b - 1075350 ns MR4_I 01000200 07c96841 - 1075370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1075390 ns MR4_I 01000204 6002d1fc - 1075450 ns MR4_D 40006004 00000001 - 1075450 ns R r1 00000001 - 1075450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1075470 ns R r1 80000000 - 1075470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1075490 ns R psr 81000200 - 1075490 ns MR4_I 01000208 2a001c5b - 1075510 ns MR4_I 01000200 07c96841 - 1075530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1075550 ns MR4_I 01000204 6002d1fc - 1075610 ns MR4_D 40006004 00000001 - 1075610 ns R r1 00000001 - 1075610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1075630 ns R r1 80000000 - 1075630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1075650 ns R psr 81000200 - 1075650 ns MR4_I 01000208 2a001c5b - 1075670 ns MR4_I 01000200 07c96841 - 1075690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1075710 ns MR4_I 01000204 6002d1fc - 1075770 ns MR4_D 40006004 00000001 - 1075770 ns R r1 00000001 - 1075770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1075790 ns R r1 80000000 - 1075790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1075810 ns R psr 81000200 - 1075810 ns MR4_I 01000208 2a001c5b - 1075830 ns MR4_I 01000200 07c96841 - 1075850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1075870 ns MR4_I 01000204 6002d1fc - 1075930 ns MR4_D 40006004 00000001 - 1075930 ns R r1 00000001 - 1075930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1075950 ns R r1 80000000 - 1075950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1075970 ns R psr 81000200 - 1075970 ns MR4_I 01000208 2a001c5b - 1075990 ns MR4_I 01000200 07c96841 - 1076010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1076030 ns MR4_I 01000204 6002d1fc - 1076090 ns MR4_D 40006004 00000001 - 1076090 ns R r1 00000001 - 1076090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1076110 ns R r1 80000000 - 1076110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1076130 ns R psr 81000200 - 1076130 ns MR4_I 01000208 2a001c5b - 1076150 ns MR4_I 01000200 07c96841 - 1076170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1076190 ns MR4_I 01000204 6002d1fc - 1076250 ns MR4_D 40006004 00000001 - 1076250 ns R r1 00000001 - 1076250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1076270 ns R r1 80000000 - 1076270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1076290 ns R psr 81000200 - 1076290 ns MR4_I 01000208 2a001c5b - 1076310 ns MR4_I 01000200 07c96841 - 1076330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1076350 ns MR4_I 01000204 6002d1fc - 1076410 ns MR4_D 40006004 00000001 - 1076410 ns R r1 00000001 - 1076410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1076430 ns R r1 80000000 - 1076430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1076450 ns R psr 81000200 - 1076450 ns MR4_I 01000208 2a001c5b - 1076470 ns MR4_I 01000200 07c96841 - 1076490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1076510 ns MR4_I 01000204 6002d1fc - 1076570 ns MR4_D 40006004 00000001 - 1076570 ns R r1 00000001 - 1076570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1076590 ns R r1 80000000 - 1076590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1076610 ns R psr 81000200 - 1076610 ns MR4_I 01000208 2a001c5b - 1076630 ns MR4_I 01000200 07c96841 - 1076650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1076670 ns MR4_I 01000204 6002d1fc - 1076730 ns MR4_D 40006004 00000001 - 1076730 ns R r1 00000001 - 1076730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1076750 ns R r1 80000000 - 1076750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1076770 ns R psr 81000200 - 1076770 ns MR4_I 01000208 2a001c5b - 1076790 ns MR4_I 01000200 07c96841 - 1076810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1076830 ns MR4_I 01000204 6002d1fc - 1076890 ns MR4_D 40006004 00000001 - 1076890 ns R r1 00000001 - 1076890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1076910 ns R r1 80000000 - 1076910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1076930 ns R psr 81000200 - 1076930 ns MR4_I 01000208 2a001c5b - 1076950 ns MR4_I 01000200 07c96841 - 1076970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1076990 ns MR4_I 01000204 6002d1fc - 1077050 ns MR4_D 40006004 00000001 - 1077050 ns R r1 00000001 - 1077050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1077070 ns R r1 80000000 - 1077070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1077090 ns R psr 81000200 - 1077090 ns MR4_I 01000208 2a001c5b - 1077110 ns MR4_I 01000200 07c96841 - 1077130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1077150 ns MR4_I 01000204 6002d1fc - 1077210 ns MR4_D 40006004 00000001 - 1077210 ns R r1 00000001 - 1077210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1077230 ns R r1 80000000 - 1077230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1077250 ns R psr 81000200 - 1077250 ns MR4_I 01000208 2a001c5b - 1077270 ns MR4_I 01000200 07c96841 - 1077290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1077310 ns MR4_I 01000204 6002d1fc - 1077370 ns MR4_D 40006004 00000001 - 1077370 ns R r1 00000001 - 1077370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1077390 ns R r1 80000000 - 1077390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1077410 ns R psr 81000200 - 1077410 ns MR4_I 01000208 2a001c5b - 1077430 ns MR4_I 01000200 07c96841 - 1077450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1077470 ns MR4_I 01000204 6002d1fc - 1077530 ns MR4_D 40006004 00000001 - 1077530 ns R r1 00000001 - 1077530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1077550 ns R r1 80000000 - 1077550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1077570 ns R psr 81000200 - 1077570 ns MR4_I 01000208 2a001c5b - 1077590 ns MR4_I 01000200 07c96841 - 1077610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1077630 ns MR4_I 01000204 6002d1fc - 1077690 ns MR4_D 40006004 00000001 - 1077690 ns R r1 00000001 - 1077690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1077710 ns R r1 80000000 - 1077710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1077730 ns R psr 81000200 - 1077730 ns MR4_I 01000208 2a001c5b - 1077750 ns MR4_I 01000200 07c96841 - 1077770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1077790 ns MR4_I 01000204 6002d1fc - 1077850 ns MR4_D 40006004 00000001 - 1077850 ns R r1 00000001 - 1077850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1077870 ns R r1 80000000 - 1077870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1077890 ns R psr 81000200 - 1077890 ns MR4_I 01000208 2a001c5b - 1077910 ns MR4_I 01000200 07c96841 - 1077930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1077950 ns MR4_I 01000204 6002d1fc - 1078010 ns MR4_D 40006004 00000001 - 1078010 ns R r1 00000001 - 1078010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1078030 ns R r1 80000000 - 1078030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1078050 ns R psr 81000200 - 1078050 ns MR4_I 01000208 2a001c5b - 1078070 ns MR4_I 01000200 07c96841 - 1078090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1078110 ns MR4_I 01000204 6002d1fc - 1078170 ns MR4_D 40006004 00000001 - 1078170 ns R r1 00000001 - 1078170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1078190 ns R r1 80000000 - 1078190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1078210 ns R psr 81000200 - 1078210 ns MR4_I 01000208 2a001c5b - 1078230 ns MR4_I 01000200 07c96841 - 1078250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1078270 ns MR4_I 01000204 6002d1fc - 1078330 ns MR4_D 40006004 00000001 - 1078330 ns R r1 00000001 - 1078330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1078350 ns R r1 80000000 - 1078350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1078370 ns R psr 81000200 - 1078370 ns MR4_I 01000208 2a001c5b - 1078390 ns MR4_I 01000200 07c96841 - 1078410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1078430 ns MR4_I 01000204 6002d1fc - 1078490 ns MR4_D 40006004 00000001 - 1078490 ns R r1 00000001 - 1078490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1078510 ns R r1 80000000 - 1078510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1078530 ns R psr 81000200 - 1078530 ns MR4_I 01000208 2a001c5b - 1078550 ns MR4_I 01000200 07c96841 - 1078570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1078590 ns MR4_I 01000204 6002d1fc - 1078650 ns MR4_D 40006004 00000001 - 1078650 ns R r1 00000001 - 1078650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1078670 ns R r1 80000000 - 1078670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1078690 ns R psr 81000200 - 1078690 ns MR4_I 01000208 2a001c5b - 1078710 ns MR4_I 01000200 07c96841 - 1078730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1078750 ns MR4_I 01000204 6002d1fc - 1078810 ns MR4_D 40006004 00000001 - 1078810 ns R r1 00000001 - 1078810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1078830 ns R r1 80000000 - 1078830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1078850 ns R psr 81000200 - 1078850 ns MR4_I 01000208 2a001c5b - 1078870 ns MR4_I 01000200 07c96841 - 1078890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1078910 ns MR4_I 01000204 6002d1fc - 1078970 ns MR4_D 40006004 00000001 - 1078970 ns R r1 00000001 - 1078970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1078990 ns R r1 80000000 - 1078990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1079010 ns R psr 81000200 - 1079010 ns MR4_I 01000208 2a001c5b - 1079030 ns MR4_I 01000200 07c96841 - 1079050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1079070 ns MR4_I 01000204 6002d1fc - 1079130 ns MR4_D 40006004 00000001 - 1079130 ns R r1 00000001 - 1079130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1079150 ns R r1 80000000 - 1079150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1079170 ns R psr 81000200 - 1079170 ns MR4_I 01000208 2a001c5b - 1079190 ns MR4_I 01000200 07c96841 - 1079210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1079230 ns MR4_I 01000204 6002d1fc - 1079290 ns MR4_D 40006004 00000001 - 1079290 ns R r1 00000001 - 1079290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1079310 ns R r1 80000000 - 1079310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1079330 ns R psr 81000200 - 1079330 ns MR4_I 01000208 2a001c5b - 1079350 ns MR4_I 01000200 07c96841 - 1079370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1079390 ns MR4_I 01000204 6002d1fc - 1079450 ns MR4_D 40006004 00000001 - 1079450 ns R r1 00000001 - 1079450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1079470 ns R r1 80000000 - 1079470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1079490 ns R psr 81000200 - 1079490 ns MR4_I 01000208 2a001c5b - 1079510 ns MR4_I 01000200 07c96841 - 1079530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1079550 ns MR4_I 01000204 6002d1fc - 1079610 ns MR4_D 40006004 00000001 - 1079610 ns R r1 00000001 - 1079610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1079630 ns R r1 80000000 - 1079630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1079650 ns R psr 81000200 - 1079650 ns MR4_I 01000208 2a001c5b - 1079670 ns MR4_I 01000200 07c96841 - 1079690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1079710 ns MR4_I 01000204 6002d1fc - 1079770 ns MR4_D 40006004 00000001 - 1079770 ns R r1 00000001 - 1079770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1079790 ns R r1 80000000 - 1079790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1079810 ns R psr 81000200 - 1079810 ns MR4_I 01000208 2a001c5b - 1079830 ns MR4_I 01000200 07c96841 - 1079850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1079870 ns MR4_I 01000204 6002d1fc - 1079930 ns MR4_D 40006004 00000001 - 1079930 ns R r1 00000001 - 1079930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1079950 ns R r1 80000000 - 1079950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1079970 ns R psr 81000200 - 1079970 ns MR4_I 01000208 2a001c5b - 1079990 ns MR4_I 01000200 07c96841 - 1080010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1080030 ns MR4_I 01000204 6002d1fc - 1080090 ns MR4_D 40006004 00000001 - 1080090 ns R r1 00000001 - 1080090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1080110 ns R r1 80000000 - 1080110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1080130 ns R psr 81000200 - 1080130 ns MR4_I 01000208 2a001c5b - 1080150 ns MR4_I 01000200 07c96841 - 1080170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1080190 ns MR4_I 01000204 6002d1fc - 1080250 ns MR4_D 40006004 00000001 - 1080250 ns R r1 00000001 - 1080250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1080270 ns R r1 80000000 - 1080270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1080290 ns R psr 81000200 - 1080290 ns MR4_I 01000208 2a001c5b - 1080310 ns MR4_I 01000200 07c96841 - 1080330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1080350 ns MR4_I 01000204 6002d1fc - 1080410 ns MR4_D 40006004 00000001 - 1080410 ns R r1 00000001 - 1080410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1080430 ns R r1 80000000 - 1080430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1080450 ns R psr 81000200 - 1080450 ns MR4_I 01000208 2a001c5b - 1080470 ns MR4_I 01000200 07c96841 - 1080490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1080510 ns MR4_I 01000204 6002d1fc - 1080570 ns MR4_D 40006004 00000001 - 1080570 ns R r1 00000001 - 1080570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1080590 ns R r1 80000000 - 1080590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1080610 ns R psr 81000200 - 1080610 ns MR4_I 01000208 2a001c5b - 1080630 ns MR4_I 01000200 07c96841 - 1080650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1080670 ns MR4_I 01000204 6002d1fc - 1080730 ns MR4_D 40006004 00000001 - 1080730 ns R r1 00000001 - 1080730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1080750 ns R r1 80000000 - 1080750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1080770 ns R psr 81000200 - 1080770 ns MR4_I 01000208 2a001c5b - 1080790 ns MR4_I 01000200 07c96841 - 1080810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1080830 ns MR4_I 01000204 6002d1fc - 1080890 ns MR4_D 40006004 00000001 - 1080890 ns R r1 00000001 - 1080890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1080910 ns R r1 80000000 - 1080910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1080930 ns R psr 81000200 - 1080930 ns MR4_I 01000208 2a001c5b - 1080950 ns MR4_I 01000200 07c96841 - 1080970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1080990 ns MR4_I 01000204 6002d1fc - 1081050 ns MR4_D 40006004 00000001 - 1081050 ns R r1 00000001 - 1081050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1081070 ns R r1 80000000 - 1081070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1081090 ns R psr 81000200 - 1081090 ns MR4_I 01000208 2a001c5b - 1081110 ns MR4_I 01000200 07c96841 - 1081130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1081150 ns MR4_I 01000204 6002d1fc - 1081210 ns MR4_D 40006004 00000001 - 1081210 ns R r1 00000001 - 1081210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1081230 ns R r1 80000000 - 1081230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1081250 ns R psr 81000200 - 1081250 ns MR4_I 01000208 2a001c5b - 1081270 ns MR4_I 01000200 07c96841 - 1081290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1081310 ns MR4_I 01000204 6002d1fc - 1081370 ns MR4_D 40006004 00000001 - 1081370 ns R r1 00000001 - 1081370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1081390 ns R r1 80000000 - 1081390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1081410 ns R psr 81000200 - 1081410 ns MR4_I 01000208 2a001c5b - 1081430 ns MR4_I 01000200 07c96841 - 1081450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1081470 ns MR4_I 01000204 6002d1fc - 1081530 ns MR4_D 40006004 00000001 - 1081530 ns R r1 00000001 - 1081530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1081550 ns R r1 80000000 - 1081550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1081570 ns R psr 81000200 - 1081570 ns MR4_I 01000208 2a001c5b - 1081590 ns MR4_I 01000200 07c96841 - 1081610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1081630 ns MR4_I 01000204 6002d1fc - 1081690 ns MR4_D 40006004 00000001 - 1081690 ns R r1 00000001 - 1081690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1081710 ns R r1 80000000 - 1081710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1081730 ns R psr 81000200 - 1081730 ns MR4_I 01000208 2a001c5b - 1081750 ns MR4_I 01000200 07c96841 - 1081770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1081790 ns MR4_I 01000204 6002d1fc - 1081850 ns MR4_D 40006004 00000001 - 1081850 ns R r1 00000001 - 1081850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1081870 ns R r1 80000000 - 1081870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1081890 ns R psr 81000200 - 1081890 ns MR4_I 01000208 2a001c5b - 1081910 ns MR4_I 01000200 07c96841 - 1081930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1081950 ns MR4_I 01000204 6002d1fc - 1082010 ns MR4_D 40006004 00000001 - 1082010 ns R r1 00000001 - 1082010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1082030 ns R r1 80000000 - 1082030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1082050 ns R psr 81000200 - 1082050 ns MR4_I 01000208 2a001c5b - 1082070 ns MR4_I 01000200 07c96841 - 1082090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1082110 ns MR4_I 01000204 6002d1fc - 1082170 ns MR4_D 40006004 00000001 - 1082170 ns R r1 00000001 - 1082170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1082190 ns R r1 80000000 - 1082190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1082210 ns R psr 81000200 - 1082210 ns MR4_I 01000208 2a001c5b - 1082230 ns MR4_I 01000200 07c96841 - 1082250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1082270 ns MR4_I 01000204 6002d1fc - 1082330 ns MR4_D 40006004 00000001 - 1082330 ns R r1 00000001 - 1082330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1082350 ns R r1 80000000 - 1082350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1082370 ns R psr 81000200 - 1082370 ns MR4_I 01000208 2a001c5b - 1082390 ns MR4_I 01000200 07c96841 - 1082410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1082430 ns MR4_I 01000204 6002d1fc - 1082490 ns MR4_D 40006004 00000001 - 1082490 ns R r1 00000001 - 1082490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1082510 ns R r1 80000000 - 1082510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1082530 ns R psr 81000200 - 1082530 ns MR4_I 01000208 2a001c5b - 1082550 ns MR4_I 01000200 07c96841 - 1082570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1082590 ns MR4_I 01000204 6002d1fc - 1082650 ns MR4_D 40006004 00000001 - 1082650 ns R r1 00000001 - 1082650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1082670 ns R r1 80000000 - 1082670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1082690 ns R psr 81000200 - 1082690 ns MR4_I 01000208 2a001c5b - 1082710 ns MR4_I 01000200 07c96841 - 1082730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1082750 ns MR4_I 01000204 6002d1fc - 1082810 ns MR4_D 40006004 00000001 - 1082810 ns R r1 00000001 - 1082810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1082830 ns R r1 80000000 - 1082830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1082850 ns R psr 81000200 - 1082850 ns MR4_I 01000208 2a001c5b - 1082870 ns MR4_I 01000200 07c96841 - 1082890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1082910 ns MR4_I 01000204 6002d1fc - 1082970 ns MR4_D 40006004 00000001 - 1082970 ns R r1 00000001 - 1082970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1082990 ns R r1 80000000 - 1082990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1083010 ns R psr 81000200 - 1083010 ns MR4_I 01000208 2a001c5b - 1083030 ns MR4_I 01000200 07c96841 - 1083050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1083070 ns MR4_I 01000204 6002d1fc - 1083130 ns MR4_D 40006004 00000001 - 1083130 ns R r1 00000001 - 1083130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1083150 ns R r1 80000000 - 1083150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1083170 ns R psr 81000200 - 1083170 ns MR4_I 01000208 2a001c5b - 1083190 ns MR4_I 01000200 07c96841 - 1083210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1083230 ns MR4_I 01000204 6002d1fc - 1083290 ns MR4_D 40006004 00000001 - 1083290 ns R r1 00000001 - 1083290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1083310 ns R r1 80000000 - 1083310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1083330 ns R psr 81000200 - 1083330 ns MR4_I 01000208 2a001c5b - 1083350 ns MR4_I 01000200 07c96841 - 1083370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1083390 ns MR4_I 01000204 6002d1fc - 1083450 ns MR4_D 40006004 00000001 - 1083450 ns R r1 00000001 - 1083450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1083470 ns R r1 80000000 - 1083470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1083490 ns R psr 81000200 - 1083490 ns MR4_I 01000208 2a001c5b - 1083510 ns MR4_I 01000200 07c96841 - 1083530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1083550 ns MR4_I 01000204 6002d1fc - 1083610 ns MR4_D 40006004 00000001 - 1083610 ns R r1 00000001 - 1083610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1083630 ns R r1 80000000 - 1083630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1083650 ns R psr 81000200 - 1083650 ns MR4_I 01000208 2a001c5b - 1083670 ns MR4_I 01000200 07c96841 - 1083690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1083710 ns MR4_I 01000204 6002d1fc - 1083770 ns MR4_D 40006004 00000001 - 1083770 ns R r1 00000001 - 1083770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1083790 ns R r1 80000000 - 1083790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1083810 ns R psr 81000200 - 1083810 ns MR4_I 01000208 2a001c5b - 1083830 ns MR4_I 01000200 07c96841 - 1083850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1083870 ns MR4_I 01000204 6002d1fc - 1083930 ns MR4_D 40006004 00000001 - 1083930 ns R r1 00000001 - 1083930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1083950 ns R r1 80000000 - 1083950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1083970 ns R psr 81000200 - 1083970 ns MR4_I 01000208 2a001c5b - 1083990 ns MR4_I 01000200 07c96841 - 1084010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1084030 ns MR4_I 01000204 6002d1fc - 1084090 ns MR4_D 40006004 00000001 - 1084090 ns R r1 00000001 - 1084090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1084110 ns R r1 80000000 - 1084110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1084130 ns R psr 81000200 - 1084130 ns MR4_I 01000208 2a001c5b - 1084150 ns MR4_I 01000200 07c96841 - 1084170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1084190 ns MR4_I 01000204 6002d1fc - 1084250 ns MR4_D 40006004 00000001 - 1084250 ns R r1 00000001 - 1084250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1084270 ns R r1 80000000 - 1084270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1084290 ns R psr 81000200 - 1084290 ns MR4_I 01000208 2a001c5b - 1084310 ns MR4_I 01000200 07c96841 - 1084330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1084350 ns MR4_I 01000204 6002d1fc - 1084410 ns MR4_D 40006004 00000001 - 1084410 ns R r1 00000001 - 1084410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1084430 ns R r1 80000000 - 1084430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1084450 ns R psr 81000200 - 1084450 ns MR4_I 01000208 2a001c5b - 1084470 ns MR4_I 01000200 07c96841 - 1084490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1084510 ns MR4_I 01000204 6002d1fc - 1084570 ns MR4_D 40006004 00000001 - 1084570 ns R r1 00000001 - 1084570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1084590 ns R r1 80000000 - 1084590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1084610 ns R psr 81000200 - 1084610 ns MR4_I 01000208 2a001c5b - 1084630 ns MR4_I 01000200 07c96841 - 1084650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1084670 ns MR4_I 01000204 6002d1fc - 1084730 ns MR4_D 40006004 00000001 - 1084730 ns R r1 00000001 - 1084730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1084750 ns R r1 80000000 - 1084750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1084770 ns R psr 81000200 - 1084770 ns MR4_I 01000208 2a001c5b - 1084790 ns MR4_I 01000200 07c96841 - 1084810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1084830 ns MR4_I 01000204 6002d1fc - 1084890 ns MR4_D 40006004 00000001 - 1084890 ns R r1 00000001 - 1084890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1084910 ns R r1 80000000 - 1084910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1084930 ns R psr 81000200 - 1084930 ns MR4_I 01000208 2a001c5b - 1084950 ns MR4_I 01000200 07c96841 - 1084970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1084990 ns MR4_I 01000204 6002d1fc - 1085050 ns MR4_D 40006004 00000001 - 1085050 ns R r1 00000001 - 1085050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1085070 ns R r1 80000000 - 1085070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1085090 ns R psr 81000200 - 1085090 ns MR4_I 01000208 2a001c5b - 1085110 ns MR4_I 01000200 07c96841 - 1085130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1085150 ns MR4_I 01000204 6002d1fc - 1085210 ns MR4_D 40006004 00000001 - 1085210 ns R r1 00000001 - 1085210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1085230 ns R r1 80000000 - 1085230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1085250 ns R psr 81000200 - 1085250 ns MR4_I 01000208 2a001c5b - 1085270 ns MR4_I 01000200 07c96841 - 1085290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1085310 ns MR4_I 01000204 6002d1fc - 1085370 ns MR4_D 40006004 00000001 - 1085370 ns R r1 00000001 - 1085370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1085390 ns R r1 80000000 - 1085390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1085410 ns R psr 81000200 - 1085410 ns MR4_I 01000208 2a001c5b - 1085430 ns MR4_I 01000200 07c96841 - 1085450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1085470 ns MR4_I 01000204 6002d1fc - 1085530 ns MR4_D 40006004 00000001 - 1085530 ns R r1 00000001 - 1085530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1085550 ns R r1 80000000 - 1085550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1085570 ns R psr 81000200 - 1085570 ns MR4_I 01000208 2a001c5b - 1085590 ns MR4_I 01000200 07c96841 - 1085610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1085630 ns MR4_I 01000204 6002d1fc - 1085690 ns MR4_D 40006004 00000001 - 1085690 ns R r1 00000001 - 1085690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1085710 ns R r1 80000000 - 1085710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1085730 ns R psr 81000200 - 1085730 ns MR4_I 01000208 2a001c5b - 1085750 ns MR4_I 01000200 07c96841 - 1085770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1085790 ns MR4_I 01000204 6002d1fc - 1085850 ns MR4_D 40006004 00000001 - 1085850 ns R r1 00000001 - 1085850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1085870 ns R r1 80000000 - 1085870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1085890 ns R psr 81000200 - 1085890 ns MR4_I 01000208 2a001c5b - 1085910 ns MR4_I 01000200 07c96841 - 1085930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1085950 ns MR4_I 01000204 6002d1fc - 1086010 ns MR4_D 40006004 00000001 - 1086010 ns R r1 00000001 - 1086010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1086030 ns R r1 80000000 - 1086030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1086050 ns R psr 81000200 - 1086050 ns MR4_I 01000208 2a001c5b - 1086070 ns MR4_I 01000200 07c96841 - 1086090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1086110 ns MR4_I 01000204 6002d1fc - 1086170 ns MR4_D 40006004 00000001 - 1086170 ns R r1 00000001 - 1086170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1086190 ns R r1 80000000 - 1086190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1086210 ns R psr 81000200 - 1086210 ns MR4_I 01000208 2a001c5b - 1086230 ns MR4_I 01000200 07c96841 - 1086250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1086270 ns MR4_I 01000204 6002d1fc - 1086330 ns MR4_D 40006004 00000001 - 1086330 ns R r1 00000001 - 1086330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1086350 ns R r1 80000000 - 1086350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1086370 ns R psr 81000200 - 1086370 ns MR4_I 01000208 2a001c5b - 1086390 ns MR4_I 01000200 07c96841 - 1086410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1086430 ns MR4_I 01000204 6002d1fc - 1086490 ns MR4_D 40006004 00000001 - 1086490 ns R r1 00000001 - 1086490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1086510 ns R r1 80000000 - 1086510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1086530 ns R psr 81000200 - 1086530 ns MR4_I 01000208 2a001c5b - 1086550 ns MR4_I 01000200 07c96841 - 1086570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1086590 ns MR4_I 01000204 6002d1fc - 1086650 ns MR4_D 40006004 00000001 - 1086650 ns R r1 00000001 - 1086650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1086670 ns R r1 80000000 - 1086670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1086690 ns R psr 81000200 - 1086690 ns MR4_I 01000208 2a001c5b - 1086710 ns MR4_I 01000200 07c96841 - 1086730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1086750 ns MR4_I 01000204 6002d1fc - 1086810 ns MR4_D 40006004 00000001 - 1086810 ns R r1 00000001 - 1086810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1086830 ns R r1 80000000 - 1086830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1086850 ns R psr 81000200 - 1086850 ns MR4_I 01000208 2a001c5b - 1086870 ns MR4_I 01000200 07c96841 - 1086890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1086910 ns MR4_I 01000204 6002d1fc - 1086970 ns MR4_D 40006004 00000001 - 1086970 ns R r1 00000001 - 1086970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1086990 ns R r1 80000000 - 1086990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1087010 ns R psr 81000200 - 1087010 ns MR4_I 01000208 2a001c5b - 1087030 ns MR4_I 01000200 07c96841 - 1087050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1087070 ns MR4_I 01000204 6002d1fc - 1087130 ns MR4_D 40006004 00000001 - 1087130 ns R r1 00000001 - 1087130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1087150 ns R r1 80000000 - 1087150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1087170 ns R psr 81000200 - 1087170 ns MR4_I 01000208 2a001c5b - 1087190 ns MR4_I 01000200 07c96841 - 1087210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1087230 ns MR4_I 01000204 6002d1fc - 1087290 ns MR4_D 40006004 00000001 - 1087290 ns R r1 00000001 - 1087290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1087310 ns R r1 80000000 - 1087310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1087330 ns R psr 81000200 - 1087330 ns MR4_I 01000208 2a001c5b - 1087350 ns MR4_I 01000200 07c96841 - 1087370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1087390 ns MR4_I 01000204 6002d1fc - 1087450 ns MR4_D 40006004 00000001 - 1087450 ns R r1 00000001 - 1087450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1087470 ns R r1 80000000 - 1087470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1087490 ns R psr 81000200 - 1087490 ns MR4_I 01000208 2a001c5b - 1087510 ns MR4_I 01000200 07c96841 - 1087530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1087550 ns MR4_I 01000204 6002d1fc - 1087610 ns MR4_D 40006004 00000001 - 1087610 ns R r1 00000001 - 1087610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1087630 ns R r1 80000000 - 1087630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1087650 ns R psr 81000200 - 1087650 ns MR4_I 01000208 2a001c5b - 1087670 ns MR4_I 01000200 07c96841 - 1087690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1087710 ns MR4_I 01000204 6002d1fc - 1087770 ns MR4_D 40006004 00000001 - 1087770 ns R r1 00000001 - 1087770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1087790 ns R r1 80000000 - 1087790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1087810 ns R psr 81000200 - 1087810 ns MR4_I 01000208 2a001c5b - 1087830 ns MR4_I 01000200 07c96841 - 1087850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1087870 ns MR4_I 01000204 6002d1fc - 1087930 ns MR4_D 40006004 00000001 - 1087930 ns R r1 00000001 - 1087930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1087950 ns R r1 80000000 - 1087950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1087970 ns R psr 81000200 - 1087970 ns MR4_I 01000208 2a001c5b - 1087990 ns MR4_I 01000200 07c96841 - 1088010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1088030 ns MR4_I 01000204 6002d1fc - 1088090 ns MR4_D 40006004 00000001 - 1088090 ns R r1 00000001 - 1088090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1088110 ns R r1 80000000 - 1088110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1088130 ns R psr 81000200 - 1088130 ns MR4_I 01000208 2a001c5b - 1088150 ns MR4_I 01000200 07c96841 - 1088170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1088190 ns MR4_I 01000204 6002d1fc - 1088250 ns MR4_D 40006004 00000001 - 1088250 ns R r1 00000001 - 1088250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1088270 ns R r1 80000000 - 1088270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1088290 ns R psr 81000200 - 1088290 ns MR4_I 01000208 2a001c5b - 1088310 ns MR4_I 01000200 07c96841 - 1088330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1088350 ns MR4_I 01000204 6002d1fc - 1088410 ns MR4_D 40006004 00000001 - 1088410 ns R r1 00000001 - 1088410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1088430 ns R r1 80000000 - 1088430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1088450 ns R psr 81000200 - 1088450 ns MR4_I 01000208 2a001c5b - 1088470 ns MR4_I 01000200 07c96841 - 1088490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1088510 ns MR4_I 01000204 6002d1fc - 1088570 ns MR4_D 40006004 00000001 - 1088570 ns R r1 00000001 - 1088570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1088590 ns R r1 80000000 - 1088590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1088610 ns R psr 81000200 - 1088610 ns MR4_I 01000208 2a001c5b - 1088630 ns MR4_I 01000200 07c96841 - 1088650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1088670 ns MR4_I 01000204 6002d1fc - 1088730 ns MR4_D 40006004 00000001 - 1088730 ns R r1 00000001 - 1088730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1088750 ns R r1 80000000 - 1088750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1088770 ns R psr 81000200 - 1088770 ns MR4_I 01000208 2a001c5b - 1088790 ns MR4_I 01000200 07c96841 - 1088810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1088830 ns MR4_I 01000204 6002d1fc - 1088890 ns MR4_D 40006004 00000001 - 1088890 ns R r1 00000001 - 1088890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1088910 ns R r1 80000000 - 1088910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1088930 ns R psr 81000200 - 1088930 ns MR4_I 01000208 2a001c5b - 1088950 ns MR4_I 01000200 07c96841 - 1088970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1088990 ns MR4_I 01000204 6002d1fc - 1089050 ns MR4_D 40006004 00000001 - 1089050 ns R r1 00000001 - 1089050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1089070 ns R r1 80000000 - 1089070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1089090 ns R psr 81000200 - 1089090 ns MR4_I 01000208 2a001c5b - 1089110 ns MR4_I 01000200 07c96841 - 1089130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1089150 ns MR4_I 01000204 6002d1fc - 1089210 ns MR4_D 40006004 00000001 - 1089210 ns R r1 00000001 - 1089210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1089230 ns R r1 80000000 - 1089230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1089250 ns R psr 81000200 - 1089250 ns MR4_I 01000208 2a001c5b - 1089270 ns MR4_I 01000200 07c96841 - 1089290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1089310 ns MR4_I 01000204 6002d1fc - 1089370 ns MR4_D 40006004 00000001 - 1089370 ns R r1 00000001 - 1089370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1089390 ns R r1 80000000 - 1089390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1089410 ns R psr 81000200 - 1089410 ns MR4_I 01000208 2a001c5b - 1089430 ns MR4_I 01000200 07c96841 - 1089450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1089470 ns MR4_I 01000204 6002d1fc - 1089530 ns MR4_D 40006004 00000001 - 1089530 ns R r1 00000001 - 1089530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1089550 ns R r1 80000000 - 1089550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1089570 ns R psr 81000200 - 1089570 ns MR4_I 01000208 2a001c5b - 1089590 ns MR4_I 01000200 07c96841 - 1089610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1089630 ns MR4_I 01000204 6002d1fc - 1089690 ns MR4_D 40006004 00000001 - 1089690 ns R r1 00000001 - 1089690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1089710 ns R r1 80000000 - 1089710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1089730 ns R psr 81000200 - 1089730 ns MR4_I 01000208 2a001c5b - 1089750 ns MR4_I 01000200 07c96841 - 1089770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1089790 ns MR4_I 01000204 6002d1fc - 1089850 ns MR4_D 40006004 00000001 - 1089850 ns R r1 00000001 - 1089850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1089870 ns R r1 80000000 - 1089870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1089890 ns R psr 81000200 - 1089890 ns MR4_I 01000208 2a001c5b - 1089910 ns MR4_I 01000200 07c96841 - 1089930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1089950 ns MR4_I 01000204 6002d1fc - 1090010 ns MR4_D 40006004 00000001 - 1090010 ns R r1 00000001 - 1090010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1090030 ns R r1 80000000 - 1090030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1090050 ns R psr 81000200 - 1090050 ns MR4_I 01000208 2a001c5b - 1090070 ns MR4_I 01000200 07c96841 - 1090090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1090110 ns MR4_I 01000204 6002d1fc - 1090170 ns MR4_D 40006004 00000001 - 1090170 ns R r1 00000001 - 1090170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1090190 ns R r1 80000000 - 1090190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1090210 ns R psr 81000200 - 1090210 ns MR4_I 01000208 2a001c5b - 1090230 ns MR4_I 01000200 07c96841 - 1090250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1090270 ns MR4_I 01000204 6002d1fc - 1090330 ns MR4_D 40006004 00000001 - 1090330 ns R r1 00000001 - 1090330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1090350 ns R r1 80000000 - 1090350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1090370 ns R psr 81000200 - 1090370 ns MR4_I 01000208 2a001c5b - 1090390 ns MR4_I 01000200 07c96841 - 1090410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1090430 ns MR4_I 01000204 6002d1fc - 1090490 ns MR4_D 40006004 00000001 - 1090490 ns R r1 00000001 - 1090490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1090510 ns R r1 80000000 - 1090510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1090530 ns R psr 81000200 - 1090530 ns MR4_I 01000208 2a001c5b - 1090550 ns MR4_I 01000200 07c96841 - 1090570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1090590 ns MR4_I 01000204 6002d1fc - 1090650 ns MR4_D 40006004 00000001 - 1090650 ns R r1 00000001 - 1090650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1090670 ns R r1 80000000 - 1090670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1090690 ns R psr 81000200 - 1090690 ns MR4_I 01000208 2a001c5b - 1090710 ns MR4_I 01000200 07c96841 - 1090730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1090750 ns MR4_I 01000204 6002d1fc - 1090810 ns MR4_D 40006004 00000001 - 1090810 ns R r1 00000001 - 1090810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1090830 ns R r1 80000000 - 1090830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1090850 ns R psr 81000200 - 1090850 ns MR4_I 01000208 2a001c5b - 1090870 ns MR4_I 01000200 07c96841 - 1090890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1090910 ns MR4_I 01000204 6002d1fc - 1090970 ns MR4_D 40006004 00000001 - 1090970 ns R r1 00000001 - 1090970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1090990 ns R r1 80000000 - 1090990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1091010 ns R psr 81000200 - 1091010 ns MR4_I 01000208 2a001c5b - 1091030 ns MR4_I 01000200 07c96841 - 1091050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1091070 ns MR4_I 01000204 6002d1fc - 1091130 ns MR4_D 40006004 00000001 - 1091130 ns R r1 00000001 - 1091130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1091150 ns R r1 80000000 - 1091150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1091170 ns R psr 81000200 - 1091170 ns MR4_I 01000208 2a001c5b - 1091190 ns MR4_I 01000200 07c96841 - 1091210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1091230 ns MR4_I 01000204 6002d1fc - 1091290 ns MR4_D 40006004 00000001 - 1091290 ns R r1 00000001 - 1091290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1091310 ns R r1 80000000 - 1091310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1091330 ns R psr 81000200 - 1091330 ns MR4_I 01000208 2a001c5b - 1091350 ns MR4_I 01000200 07c96841 - 1091370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1091390 ns MR4_I 01000204 6002d1fc - 1091450 ns MR4_D 40006004 00000001 - 1091450 ns R r1 00000001 - 1091450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1091470 ns R r1 80000000 - 1091470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1091490 ns R psr 81000200 - 1091490 ns MR4_I 01000208 2a001c5b - 1091510 ns MR4_I 01000200 07c96841 - 1091530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1091550 ns MR4_I 01000204 6002d1fc - 1091610 ns MR4_D 40006004 00000001 - 1091610 ns R r1 00000001 - 1091610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1091630 ns R r1 80000000 - 1091630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1091650 ns R psr 81000200 - 1091650 ns MR4_I 01000208 2a001c5b - 1091670 ns MR4_I 01000200 07c96841 - 1091690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1091710 ns MR4_I 01000204 6002d1fc - 1091770 ns MR4_D 40006004 00000001 - 1091770 ns R r1 00000001 - 1091770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1091790 ns R r1 80000000 - 1091790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1091810 ns R psr 81000200 - 1091810 ns MR4_I 01000208 2a001c5b - 1091830 ns MR4_I 01000200 07c96841 - 1091850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1091870 ns MR4_I 01000204 6002d1fc - 1091930 ns MR4_D 40006004 00000001 - 1091930 ns R r1 00000001 - 1091930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1091950 ns R r1 80000000 - 1091950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1091970 ns R psr 81000200 - 1091970 ns MR4_I 01000208 2a001c5b - 1091990 ns MR4_I 01000200 07c96841 - 1092010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1092030 ns MR4_I 01000204 6002d1fc - 1092090 ns MR4_D 40006004 00000001 - 1092090 ns R r1 00000001 - 1092090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1092110 ns R r1 80000000 - 1092110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1092130 ns R psr 81000200 - 1092130 ns MR4_I 01000208 2a001c5b - 1092150 ns MR4_I 01000200 07c96841 - 1092170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1092190 ns MR4_I 01000204 6002d1fc - 1092250 ns MR4_D 40006004 00000001 - 1092250 ns R r1 00000001 - 1092250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1092270 ns R r1 80000000 - 1092270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1092290 ns R psr 81000200 - 1092290 ns MR4_I 01000208 2a001c5b - 1092310 ns MR4_I 01000200 07c96841 - 1092330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1092350 ns MR4_I 01000204 6002d1fc - 1092410 ns MR4_D 40006004 00000001 - 1092410 ns R r1 00000001 - 1092410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1092430 ns R r1 80000000 - 1092430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1092450 ns R psr 81000200 - 1092450 ns MR4_I 01000208 2a001c5b - 1092470 ns MR4_I 01000200 07c96841 - 1092490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1092510 ns MR4_I 01000204 6002d1fc - 1092570 ns MR4_D 40006004 00000001 - 1092570 ns R r1 00000001 - 1092570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1092590 ns R r1 80000000 - 1092590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1092610 ns R psr 81000200 - 1092610 ns MR4_I 01000208 2a001c5b - 1092630 ns MR4_I 01000200 07c96841 - 1092650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1092670 ns MR4_I 01000204 6002d1fc - 1092730 ns MR4_D 40006004 00000001 - 1092730 ns R r1 00000001 - 1092730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1092750 ns R r1 80000000 - 1092750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1092770 ns R psr 81000200 - 1092770 ns MR4_I 01000208 2a001c5b - 1092790 ns MR4_I 01000200 07c96841 - 1092810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1092830 ns MR4_I 01000204 6002d1fc - 1092890 ns MR4_D 40006004 00000001 - 1092890 ns R r1 00000001 - 1092890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1092910 ns R r1 80000000 - 1092910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1092930 ns R psr 81000200 - 1092930 ns MR4_I 01000208 2a001c5b - 1092950 ns MR4_I 01000200 07c96841 - 1092970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1092990 ns MR4_I 01000204 6002d1fc - 1093050 ns MR4_D 40006004 00000001 - 1093050 ns R r1 00000001 - 1093050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1093070 ns R r1 80000000 - 1093070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1093090 ns R psr 81000200 - 1093090 ns MR4_I 01000208 2a001c5b - 1093110 ns MR4_I 01000200 07c96841 - 1093130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1093150 ns MR4_I 01000204 6002d1fc - 1093210 ns MR4_D 40006004 00000001 - 1093210 ns R r1 00000001 - 1093210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1093230 ns R r1 80000000 - 1093230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1093250 ns R psr 81000200 - 1093250 ns MR4_I 01000208 2a001c5b - 1093270 ns MR4_I 01000200 07c96841 - 1093290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1093310 ns MR4_I 01000204 6002d1fc - 1093370 ns MR4_D 40006004 00000001 - 1093370 ns R r1 00000001 - 1093370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1093390 ns R r1 80000000 - 1093390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1093410 ns R psr 81000200 - 1093410 ns MR4_I 01000208 2a001c5b - 1093430 ns MR4_I 01000200 07c96841 - 1093450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1093470 ns MR4_I 01000204 6002d1fc - 1093530 ns MR4_D 40006004 00000001 - 1093530 ns R r1 00000001 - 1093530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1093550 ns R r1 80000000 - 1093550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1093570 ns R psr 81000200 - 1093570 ns MR4_I 01000208 2a001c5b - 1093590 ns MR4_I 01000200 07c96841 - 1093610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1093630 ns MR4_I 01000204 6002d1fc - 1093690 ns MR4_D 40006004 00000001 - 1093690 ns R r1 00000001 - 1093690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1093710 ns R r1 80000000 - 1093710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1093730 ns R psr 81000200 - 1093730 ns MR4_I 01000208 2a001c5b - 1093750 ns MR4_I 01000200 07c96841 - 1093770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1093790 ns MR4_I 01000204 6002d1fc - 1093850 ns MR4_D 40006004 00000001 - 1093850 ns R r1 00000001 - 1093850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1093870 ns R r1 80000000 - 1093870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1093890 ns R psr 81000200 - 1093890 ns MR4_I 01000208 2a001c5b - 1093910 ns MR4_I 01000200 07c96841 - 1093930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1093950 ns MR4_I 01000204 6002d1fc - 1094010 ns MR4_D 40006004 00000001 - 1094010 ns R r1 00000001 - 1094010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1094030 ns R r1 80000000 - 1094030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1094050 ns R psr 81000200 - 1094050 ns MR4_I 01000208 2a001c5b - 1094070 ns MR4_I 01000200 07c96841 - 1094090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1094110 ns MR4_I 01000204 6002d1fc - 1094170 ns MR4_D 40006004 00000001 - 1094170 ns R r1 00000001 - 1094170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1094190 ns R r1 80000000 - 1094190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1094210 ns R psr 81000200 - 1094210 ns MR4_I 01000208 2a001c5b - 1094230 ns MR4_I 01000200 07c96841 - 1094250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1094270 ns MR4_I 01000204 6002d1fc - 1094330 ns MR4_D 40006004 00000001 - 1094330 ns R r1 00000001 - 1094330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1094350 ns R r1 80000000 - 1094350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1094370 ns R psr 81000200 - 1094370 ns MR4_I 01000208 2a001c5b - 1094390 ns MR4_I 01000200 07c96841 - 1094410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1094430 ns MR4_I 01000204 6002d1fc - 1094490 ns MR4_D 40006004 00000001 - 1094490 ns R r1 00000001 - 1094490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1094510 ns R r1 80000000 - 1094510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1094530 ns R psr 81000200 - 1094530 ns MR4_I 01000208 2a001c5b - 1094550 ns MR4_I 01000200 07c96841 - 1094570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1094590 ns MR4_I 01000204 6002d1fc - 1094650 ns MR4_D 40006004 00000001 - 1094650 ns R r1 00000001 - 1094650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1094670 ns R r1 80000000 - 1094670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1094690 ns R psr 81000200 - 1094690 ns MR4_I 01000208 2a001c5b - 1094710 ns MR4_I 01000200 07c96841 - 1094730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1094750 ns MR4_I 01000204 6002d1fc - 1094810 ns MR4_D 40006004 00000001 - 1094810 ns R r1 00000001 - 1094810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1094830 ns R r1 80000000 - 1094830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1094850 ns R psr 81000200 - 1094850 ns MR4_I 01000208 2a001c5b - 1094870 ns MR4_I 01000200 07c96841 - 1094890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1094910 ns MR4_I 01000204 6002d1fc - 1094970 ns MR4_D 40006004 00000001 - 1094970 ns R r1 00000001 - 1094970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1094990 ns R r1 80000000 - 1094990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1095010 ns R psr 81000200 - 1095010 ns MR4_I 01000208 2a001c5b - 1095030 ns MR4_I 01000200 07c96841 - 1095050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1095070 ns MR4_I 01000204 6002d1fc - 1095130 ns MR4_D 40006004 00000001 - 1095130 ns R r1 00000001 - 1095130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1095150 ns R r1 80000000 - 1095150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1095170 ns R psr 81000200 - 1095170 ns MR4_I 01000208 2a001c5b - 1095190 ns MR4_I 01000200 07c96841 - 1095210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1095230 ns MR4_I 01000204 6002d1fc - 1095290 ns MR4_D 40006004 00000001 - 1095290 ns R r1 00000001 - 1095290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1095310 ns R r1 80000000 - 1095310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1095330 ns R psr 81000200 - 1095330 ns MR4_I 01000208 2a001c5b - 1095350 ns MR4_I 01000200 07c96841 - 1095370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1095390 ns MR4_I 01000204 6002d1fc - 1095450 ns MR4_D 40006004 00000001 - 1095450 ns R r1 00000001 - 1095450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1095470 ns R r1 80000000 - 1095470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1095490 ns R psr 81000200 - 1095490 ns MR4_I 01000208 2a001c5b - 1095510 ns MR4_I 01000200 07c96841 - 1095530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1095550 ns MR4_I 01000204 6002d1fc - 1095610 ns MR4_D 40006004 00000001 - 1095610 ns R r1 00000001 - 1095610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1095630 ns R r1 80000000 - 1095630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1095650 ns R psr 81000200 - 1095650 ns MR4_I 01000208 2a001c5b - 1095670 ns MR4_I 01000200 07c96841 - 1095690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1095710 ns MR4_I 01000204 6002d1fc - 1095770 ns MR4_D 40006004 00000001 - 1095770 ns R r1 00000001 - 1095770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1095790 ns R r1 80000000 - 1095790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1095810 ns R psr 81000200 - 1095810 ns MR4_I 01000208 2a001c5b - 1095830 ns MR4_I 01000200 07c96841 - 1095850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1095870 ns MR4_I 01000204 6002d1fc - 1095930 ns MR4_D 40006004 00000001 - 1095930 ns R r1 00000001 - 1095930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1095950 ns R r1 80000000 - 1095950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1095970 ns R psr 81000200 - 1095970 ns MR4_I 01000208 2a001c5b - 1095990 ns MR4_I 01000200 07c96841 - 1096010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1096030 ns MR4_I 01000204 6002d1fc - 1096090 ns MR4_D 40006004 00000001 - 1096090 ns R r1 00000001 - 1096090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1096110 ns R r1 80000000 - 1096110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1096130 ns R psr 81000200 - 1096130 ns MR4_I 01000208 2a001c5b - 1096150 ns MR4_I 01000200 07c96841 - 1096170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1096190 ns MR4_I 01000204 6002d1fc - 1096250 ns MR4_D 40006004 00000001 - 1096250 ns R r1 00000001 - 1096250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1096270 ns R r1 80000000 - 1096270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1096290 ns R psr 81000200 - 1096290 ns MR4_I 01000208 2a001c5b - 1096310 ns MR4_I 01000200 07c96841 - 1096330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1096350 ns MR4_I 01000204 6002d1fc - 1096410 ns MR4_D 40006004 00000001 - 1096410 ns R r1 00000001 - 1096410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1096430 ns R r1 80000000 - 1096430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1096450 ns R psr 81000200 - 1096450 ns MR4_I 01000208 2a001c5b - 1096470 ns MR4_I 01000200 07c96841 - 1096490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1096510 ns MR4_I 01000204 6002d1fc - 1096570 ns MR4_D 40006004 00000001 - 1096570 ns R r1 00000001 - 1096570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1096590 ns R r1 80000000 - 1096590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1096610 ns R psr 81000200 - 1096610 ns MR4_I 01000208 2a001c5b - 1096630 ns MR4_I 01000200 07c96841 - 1096650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1096670 ns MR4_I 01000204 6002d1fc - 1096730 ns MR4_D 40006004 00000001 - 1096730 ns R r1 00000001 - 1096730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1096750 ns R r1 80000000 - 1096750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1096770 ns R psr 81000200 - 1096770 ns MR4_I 01000208 2a001c5b - 1096790 ns MR4_I 01000200 07c96841 - 1096810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1096830 ns MR4_I 01000204 6002d1fc - 1096890 ns MR4_D 40006004 00000001 - 1096890 ns R r1 00000001 - 1096890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1096910 ns R r1 80000000 - 1096910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1096930 ns R psr 81000200 - 1096930 ns MR4_I 01000208 2a001c5b - 1096950 ns MR4_I 01000200 07c96841 - 1096970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1096990 ns MR4_I 01000204 6002d1fc - 1097050 ns MR4_D 40006004 00000001 - 1097050 ns R r1 00000001 - 1097050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1097070 ns R r1 80000000 - 1097070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1097090 ns R psr 81000200 - 1097090 ns MR4_I 01000208 2a001c5b - 1097110 ns MR4_I 01000200 07c96841 - 1097130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1097150 ns MR4_I 01000204 6002d1fc - 1097210 ns MR4_D 40006004 00000001 - 1097210 ns R r1 00000001 - 1097210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1097230 ns R r1 80000000 - 1097230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1097250 ns R psr 81000200 - 1097250 ns MR4_I 01000208 2a001c5b - 1097270 ns MR4_I 01000200 07c96841 - 1097290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1097310 ns MR4_I 01000204 6002d1fc - 1097370 ns MR4_D 40006004 00000001 - 1097370 ns R r1 00000001 - 1097370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1097390 ns R r1 80000000 - 1097390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1097410 ns R psr 81000200 - 1097410 ns MR4_I 01000208 2a001c5b - 1097430 ns MR4_I 01000200 07c96841 - 1097450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1097470 ns MR4_I 01000204 6002d1fc - 1097530 ns MR4_D 40006004 00000001 - 1097530 ns R r1 00000001 - 1097530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1097550 ns R r1 80000000 - 1097550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1097570 ns R psr 81000200 - 1097570 ns MR4_I 01000208 2a001c5b - 1097590 ns MR4_I 01000200 07c96841 - 1097610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1097630 ns MR4_I 01000204 6002d1fc - 1097690 ns MR4_D 40006004 00000001 - 1097690 ns R r1 00000001 - 1097690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1097710 ns R r1 80000000 - 1097710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1097730 ns R psr 81000200 - 1097730 ns MR4_I 01000208 2a001c5b - 1097750 ns MR4_I 01000200 07c96841 - 1097770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1097790 ns MR4_I 01000204 6002d1fc - 1097850 ns MR4_D 40006004 00000001 - 1097850 ns R r1 00000001 - 1097850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1097870 ns R r1 80000000 - 1097870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1097890 ns R psr 81000200 - 1097890 ns MR4_I 01000208 2a001c5b - 1097910 ns MR4_I 01000200 07c96841 - 1097930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1097950 ns MR4_I 01000204 6002d1fc - 1098010 ns MR4_D 40006004 00000001 - 1098010 ns R r1 00000001 - 1098010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1098030 ns R r1 80000000 - 1098030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1098050 ns R psr 81000200 - 1098050 ns MR4_I 01000208 2a001c5b - 1098070 ns MR4_I 01000200 07c96841 - 1098090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1098110 ns MR4_I 01000204 6002d1fc - 1098170 ns MR4_D 40006004 00000001 - 1098170 ns R r1 00000001 - 1098170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1098190 ns R r1 80000000 - 1098190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1098210 ns R psr 81000200 - 1098210 ns MR4_I 01000208 2a001c5b - 1098230 ns MR4_I 01000200 07c96841 - 1098250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1098270 ns MR4_I 01000204 6002d1fc - 1098330 ns MR4_D 40006004 00000000 - 1098330 ns R r1 00000000 - 1098330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1098350 ns R r1 00000000 - 1098350 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1098370 ns R psr 41000200 - 1098370 ns MR4_I 01000208 2a001c5b - 1098370 ns IT 01000206 6002 STR r2,[r0,#0] - 1098450 ns MW4_D 40006000 00000030 - 1098450 ns IT 01000208 1c5b ADDS r3,r3,#1 - 1098470 ns MR4_I 0100020c a32ad1f5 - 1098470 ns R r3 010002b0 - 1098470 ns IT 0100020a 2a00 CMP r2,#0 - 1098490 ns R psr 01000200 - 1098490 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 1098510 ns R psr 21000200 - 1098510 ns MR4_I 01000210 2a00781a - 1098530 ns MR4_I 010001f8 781aa326 - 1098550 ns MR4_I 010001fc d0062a00 - 1098550 ns IT 010001fa 781a LDRB r2,[r3,#0] - 1098590 ns MR1_D 010002b0 4b445320 - 1098590 ns R r2 00000020 - 1098590 ns IT 010001fc 2a00 CMP r2,#0 - 1098610 ns MR4_I 01000200 07c96841 - 1098610 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 1098630 ns R psr 21000200 - 1098630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1098650 ns MR4_I 01000204 6002d1fc - 1098710 ns MR4_D 40006004 00000001 - 1098710 ns R r1 00000001 - 1098710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1098730 ns R r1 80000000 - 1098730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1098750 ns R psr 81000200 - 1098750 ns MR4_I 01000208 2a001c5b - 1098770 ns MR4_I 01000200 07c96841 - 1098790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1098810 ns MR4_I 01000204 6002d1fc - 1098870 ns MR4_D 40006004 00000001 - 1098870 ns R r1 00000001 - 1098870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1098890 ns R r1 80000000 - 1098890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1098910 ns R psr 81000200 - 1098910 ns MR4_I 01000208 2a001c5b - 1098930 ns MR4_I 01000200 07c96841 - 1098950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1098970 ns MR4_I 01000204 6002d1fc - 1099030 ns MR4_D 40006004 00000001 - 1099030 ns R r1 00000001 - 1099030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1099050 ns R r1 80000000 - 1099050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1099070 ns R psr 81000200 - 1099070 ns MR4_I 01000208 2a001c5b - 1099090 ns MR4_I 01000200 07c96841 - 1099110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1099130 ns MR4_I 01000204 6002d1fc - 1099190 ns MR4_D 40006004 00000001 - 1099190 ns R r1 00000001 - 1099190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1099210 ns R r1 80000000 - 1099210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1099230 ns R psr 81000200 - 1099230 ns MR4_I 01000208 2a001c5b - 1099250 ns MR4_I 01000200 07c96841 - 1099270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1099290 ns MR4_I 01000204 6002d1fc - 1099350 ns MR4_D 40006004 00000001 - 1099350 ns R r1 00000001 - 1099350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1099370 ns R r1 80000000 - 1099370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1099390 ns R psr 81000200 - 1099390 ns MR4_I 01000208 2a001c5b - 1099410 ns MR4_I 01000200 07c96841 - 1099430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1099450 ns MR4_I 01000204 6002d1fc - 1099510 ns MR4_D 40006004 00000001 - 1099510 ns R r1 00000001 - 1099510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1099530 ns R r1 80000000 - 1099530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1099550 ns R psr 81000200 - 1099550 ns MR4_I 01000208 2a001c5b - 1099570 ns MR4_I 01000200 07c96841 - 1099590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1099610 ns MR4_I 01000204 6002d1fc - 1099670 ns MR4_D 40006004 00000001 - 1099670 ns R r1 00000001 - 1099670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1099690 ns R r1 80000000 - 1099690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1099710 ns R psr 81000200 - 1099710 ns MR4_I 01000208 2a001c5b - 1099730 ns MR4_I 01000200 07c96841 - 1099750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1099770 ns MR4_I 01000204 6002d1fc - 1099830 ns MR4_D 40006004 00000001 - 1099830 ns R r1 00000001 - 1099830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1099850 ns R r1 80000000 - 1099850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1099870 ns R psr 81000200 - 1099870 ns MR4_I 01000208 2a001c5b - 1099890 ns MR4_I 01000200 07c96841 - 1099910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1099930 ns MR4_I 01000204 6002d1fc - 1099990 ns MR4_D 40006004 00000001 - 1099990 ns R r1 00000001 - 1099990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1100010 ns R r1 80000000 - 1100010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1100030 ns R psr 81000200 - 1100030 ns MR4_I 01000208 2a001c5b - 1100050 ns MR4_I 01000200 07c96841 - 1100070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1100090 ns MR4_I 01000204 6002d1fc - 1100150 ns MR4_D 40006004 00000001 - 1100150 ns R r1 00000001 - 1100150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1100170 ns R r1 80000000 - 1100170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1100190 ns R psr 81000200 - 1100190 ns MR4_I 01000208 2a001c5b - 1100210 ns MR4_I 01000200 07c96841 - 1100230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1100250 ns MR4_I 01000204 6002d1fc - 1100310 ns MR4_D 40006004 00000001 - 1100310 ns R r1 00000001 - 1100310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1100330 ns R r1 80000000 - 1100330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1100350 ns R psr 81000200 - 1100350 ns MR4_I 01000208 2a001c5b - 1100370 ns MR4_I 01000200 07c96841 - 1100390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1100410 ns MR4_I 01000204 6002d1fc - 1100470 ns MR4_D 40006004 00000001 - 1100470 ns R r1 00000001 - 1100470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1100490 ns R r1 80000000 - 1100490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1100510 ns R psr 81000200 - 1100510 ns MR4_I 01000208 2a001c5b - 1100530 ns MR4_I 01000200 07c96841 - 1100550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1100570 ns MR4_I 01000204 6002d1fc - 1100630 ns MR4_D 40006004 00000001 - 1100630 ns R r1 00000001 - 1100630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1100650 ns R r1 80000000 - 1100650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1100670 ns R psr 81000200 - 1100670 ns MR4_I 01000208 2a001c5b - 1100690 ns MR4_I 01000200 07c96841 - 1100710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1100730 ns MR4_I 01000204 6002d1fc - 1100790 ns MR4_D 40006004 00000001 - 1100790 ns R r1 00000001 - 1100790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1100810 ns R r1 80000000 - 1100810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1100830 ns R psr 81000200 - 1100830 ns MR4_I 01000208 2a001c5b - 1100850 ns MR4_I 01000200 07c96841 - 1100870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1100890 ns MR4_I 01000204 6002d1fc - 1100950 ns MR4_D 40006004 00000001 - 1100950 ns R r1 00000001 - 1100950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1100970 ns R r1 80000000 - 1100970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1100990 ns R psr 81000200 - 1100990 ns MR4_I 01000208 2a001c5b - 1101010 ns MR4_I 01000200 07c96841 - 1101030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1101050 ns MR4_I 01000204 6002d1fc - 1101110 ns MR4_D 40006004 00000001 - 1101110 ns R r1 00000001 - 1101110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1101130 ns R r1 80000000 - 1101130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1101150 ns R psr 81000200 - 1101150 ns MR4_I 01000208 2a001c5b - 1101170 ns MR4_I 01000200 07c96841 - 1101190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1101210 ns MR4_I 01000204 6002d1fc - 1101270 ns MR4_D 40006004 00000001 - 1101270 ns R r1 00000001 - 1101270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1101290 ns R r1 80000000 - 1101290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1101310 ns R psr 81000200 - 1101310 ns MR4_I 01000208 2a001c5b - 1101330 ns MR4_I 01000200 07c96841 - 1101350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1101370 ns MR4_I 01000204 6002d1fc - 1101430 ns MR4_D 40006004 00000001 - 1101430 ns R r1 00000001 - 1101430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1101450 ns R r1 80000000 - 1101450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1101470 ns R psr 81000200 - 1101470 ns MR4_I 01000208 2a001c5b - 1101490 ns MR4_I 01000200 07c96841 - 1101510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1101530 ns MR4_I 01000204 6002d1fc - 1101590 ns MR4_D 40006004 00000001 - 1101590 ns R r1 00000001 - 1101590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1101610 ns R r1 80000000 - 1101610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1101630 ns R psr 81000200 - 1101630 ns MR4_I 01000208 2a001c5b - 1101650 ns MR4_I 01000200 07c96841 - 1101670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1101690 ns MR4_I 01000204 6002d1fc - 1101750 ns MR4_D 40006004 00000001 - 1101750 ns R r1 00000001 - 1101750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1101770 ns R r1 80000000 - 1101770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1101790 ns R psr 81000200 - 1101790 ns MR4_I 01000208 2a001c5b - 1101810 ns MR4_I 01000200 07c96841 - 1101830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1101850 ns MR4_I 01000204 6002d1fc - 1101910 ns MR4_D 40006004 00000001 - 1101910 ns R r1 00000001 - 1101910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1101930 ns R r1 80000000 - 1101930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1101950 ns R psr 81000200 - 1101950 ns MR4_I 01000208 2a001c5b - 1101970 ns MR4_I 01000200 07c96841 - 1101990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1102010 ns MR4_I 01000204 6002d1fc - 1102070 ns MR4_D 40006004 00000001 - 1102070 ns R r1 00000001 - 1102070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1102090 ns R r1 80000000 - 1102090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1102110 ns R psr 81000200 - 1102110 ns MR4_I 01000208 2a001c5b - 1102130 ns MR4_I 01000200 07c96841 - 1102150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1102170 ns MR4_I 01000204 6002d1fc - 1102230 ns MR4_D 40006004 00000001 - 1102230 ns R r1 00000001 - 1102230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1102250 ns R r1 80000000 - 1102250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1102270 ns R psr 81000200 - 1102270 ns MR4_I 01000208 2a001c5b - 1102290 ns MR4_I 01000200 07c96841 - 1102310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1102330 ns MR4_I 01000204 6002d1fc - 1102390 ns MR4_D 40006004 00000001 - 1102390 ns R r1 00000001 - 1102390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1102410 ns R r1 80000000 - 1102410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1102430 ns R psr 81000200 - 1102430 ns MR4_I 01000208 2a001c5b - 1102450 ns MR4_I 01000200 07c96841 - 1102470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1102490 ns MR4_I 01000204 6002d1fc - 1102550 ns MR4_D 40006004 00000001 - 1102550 ns R r1 00000001 - 1102550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1102570 ns R r1 80000000 - 1102570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1102590 ns R psr 81000200 - 1102590 ns MR4_I 01000208 2a001c5b - 1102610 ns MR4_I 01000200 07c96841 - 1102630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1102650 ns MR4_I 01000204 6002d1fc - 1102710 ns MR4_D 40006004 00000001 - 1102710 ns R r1 00000001 - 1102710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1102730 ns R r1 80000000 - 1102730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1102750 ns R psr 81000200 - 1102750 ns MR4_I 01000208 2a001c5b - 1102770 ns MR4_I 01000200 07c96841 - 1102790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1102810 ns MR4_I 01000204 6002d1fc - 1102870 ns MR4_D 40006004 00000001 - 1102870 ns R r1 00000001 - 1102870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1102890 ns R r1 80000000 - 1102890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1102910 ns R psr 81000200 - 1102910 ns MR4_I 01000208 2a001c5b - 1102930 ns MR4_I 01000200 07c96841 - 1102950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1102970 ns MR4_I 01000204 6002d1fc - 1103030 ns MR4_D 40006004 00000001 - 1103030 ns R r1 00000001 - 1103030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1103050 ns R r1 80000000 - 1103050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1103070 ns R psr 81000200 - 1103070 ns MR4_I 01000208 2a001c5b - 1103090 ns MR4_I 01000200 07c96841 - 1103110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1103130 ns MR4_I 01000204 6002d1fc - 1103190 ns MR4_D 40006004 00000001 - 1103190 ns R r1 00000001 - 1103190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1103210 ns R r1 80000000 - 1103210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1103230 ns R psr 81000200 - 1103230 ns MR4_I 01000208 2a001c5b - 1103250 ns MR4_I 01000200 07c96841 - 1103270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1103290 ns MR4_I 01000204 6002d1fc - 1103350 ns MR4_D 40006004 00000001 - 1103350 ns R r1 00000001 - 1103350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1103370 ns R r1 80000000 - 1103370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1103390 ns R psr 81000200 - 1103390 ns MR4_I 01000208 2a001c5b - 1103410 ns MR4_I 01000200 07c96841 - 1103430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1103450 ns MR4_I 01000204 6002d1fc - 1103510 ns MR4_D 40006004 00000001 - 1103510 ns R r1 00000001 - 1103510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1103530 ns R r1 80000000 - 1103530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1103550 ns R psr 81000200 - 1103550 ns MR4_I 01000208 2a001c5b - 1103570 ns MR4_I 01000200 07c96841 - 1103590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1103610 ns MR4_I 01000204 6002d1fc - 1103670 ns MR4_D 40006004 00000001 - 1103670 ns R r1 00000001 - 1103670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1103690 ns R r1 80000000 - 1103690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1103710 ns R psr 81000200 - 1103710 ns MR4_I 01000208 2a001c5b - 1103730 ns MR4_I 01000200 07c96841 - 1103750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1103770 ns MR4_I 01000204 6002d1fc - 1103830 ns MR4_D 40006004 00000001 - 1103830 ns R r1 00000001 - 1103830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1103850 ns R r1 80000000 - 1103850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1103870 ns R psr 81000200 - 1103870 ns MR4_I 01000208 2a001c5b - 1103890 ns MR4_I 01000200 07c96841 - 1103910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1103930 ns MR4_I 01000204 6002d1fc - 1103990 ns MR4_D 40006004 00000001 - 1103990 ns R r1 00000001 - 1103990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1104010 ns R r1 80000000 - 1104010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1104030 ns R psr 81000200 - 1104030 ns MR4_I 01000208 2a001c5b - 1104050 ns MR4_I 01000200 07c96841 - 1104070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1104090 ns MR4_I 01000204 6002d1fc - 1104150 ns MR4_D 40006004 00000001 - 1104150 ns R r1 00000001 - 1104150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1104170 ns R r1 80000000 - 1104170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1104190 ns R psr 81000200 - 1104190 ns MR4_I 01000208 2a001c5b - 1104210 ns MR4_I 01000200 07c96841 - 1104230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1104250 ns MR4_I 01000204 6002d1fc - 1104310 ns MR4_D 40006004 00000001 - 1104310 ns R r1 00000001 - 1104310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1104330 ns R r1 80000000 - 1104330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1104350 ns R psr 81000200 - 1104350 ns MR4_I 01000208 2a001c5b - 1104370 ns MR4_I 01000200 07c96841 - 1104390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1104410 ns MR4_I 01000204 6002d1fc - 1104470 ns MR4_D 40006004 00000001 - 1104470 ns R r1 00000001 - 1104470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1104490 ns R r1 80000000 - 1104490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1104510 ns R psr 81000200 - 1104510 ns MR4_I 01000208 2a001c5b - 1104530 ns MR4_I 01000200 07c96841 - 1104550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1104570 ns MR4_I 01000204 6002d1fc - 1104630 ns MR4_D 40006004 00000001 - 1104630 ns R r1 00000001 - 1104630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1104650 ns R r1 80000000 - 1104650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1104670 ns R psr 81000200 - 1104670 ns MR4_I 01000208 2a001c5b - 1104690 ns MR4_I 01000200 07c96841 - 1104710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1104730 ns MR4_I 01000204 6002d1fc - 1104790 ns MR4_D 40006004 00000001 - 1104790 ns R r1 00000001 - 1104790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1104810 ns R r1 80000000 - 1104810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1104830 ns R psr 81000200 - 1104830 ns MR4_I 01000208 2a001c5b - 1104850 ns MR4_I 01000200 07c96841 - 1104870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1104890 ns MR4_I 01000204 6002d1fc - 1104950 ns MR4_D 40006004 00000001 - 1104950 ns R r1 00000001 - 1104950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1104970 ns R r1 80000000 - 1104970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1104990 ns R psr 81000200 - 1104990 ns MR4_I 01000208 2a001c5b - 1105010 ns MR4_I 01000200 07c96841 - 1105030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1105050 ns MR4_I 01000204 6002d1fc - 1105110 ns MR4_D 40006004 00000001 - 1105110 ns R r1 00000001 - 1105110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1105130 ns R r1 80000000 - 1105130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1105150 ns R psr 81000200 - 1105150 ns MR4_I 01000208 2a001c5b - 1105170 ns MR4_I 01000200 07c96841 - 1105190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1105210 ns MR4_I 01000204 6002d1fc - 1105270 ns MR4_D 40006004 00000001 - 1105270 ns R r1 00000001 - 1105270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1105290 ns R r1 80000000 - 1105290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1105310 ns R psr 81000200 - 1105310 ns MR4_I 01000208 2a001c5b - 1105330 ns MR4_I 01000200 07c96841 - 1105350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1105370 ns MR4_I 01000204 6002d1fc - 1105430 ns MR4_D 40006004 00000001 - 1105430 ns R r1 00000001 - 1105430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1105450 ns R r1 80000000 - 1105450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1105470 ns R psr 81000200 - 1105470 ns MR4_I 01000208 2a001c5b - 1105490 ns MR4_I 01000200 07c96841 - 1105510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1105530 ns MR4_I 01000204 6002d1fc - 1105590 ns MR4_D 40006004 00000001 - 1105590 ns R r1 00000001 - 1105590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1105610 ns R r1 80000000 - 1105610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1105630 ns R psr 81000200 - 1105630 ns MR4_I 01000208 2a001c5b - 1105650 ns MR4_I 01000200 07c96841 - 1105670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1105690 ns MR4_I 01000204 6002d1fc - 1105750 ns MR4_D 40006004 00000001 - 1105750 ns R r1 00000001 - 1105750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1105770 ns R r1 80000000 - 1105770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1105790 ns R psr 81000200 - 1105790 ns MR4_I 01000208 2a001c5b - 1105810 ns MR4_I 01000200 07c96841 - 1105830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1105850 ns MR4_I 01000204 6002d1fc - 1105910 ns MR4_D 40006004 00000001 - 1105910 ns R r1 00000001 - 1105910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1105930 ns R r1 80000000 - 1105930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1105950 ns R psr 81000200 - 1105950 ns MR4_I 01000208 2a001c5b - 1105970 ns MR4_I 01000200 07c96841 - 1105990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1106010 ns MR4_I 01000204 6002d1fc - 1106070 ns MR4_D 40006004 00000001 - 1106070 ns R r1 00000001 - 1106070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1106090 ns R r1 80000000 - 1106090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1106110 ns R psr 81000200 - 1106110 ns MR4_I 01000208 2a001c5b - 1106130 ns MR4_I 01000200 07c96841 - 1106150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1106170 ns MR4_I 01000204 6002d1fc - 1106230 ns MR4_D 40006004 00000001 - 1106230 ns R r1 00000001 - 1106230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1106250 ns R r1 80000000 - 1106250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1106270 ns R psr 81000200 - 1106270 ns MR4_I 01000208 2a001c5b - 1106290 ns MR4_I 01000200 07c96841 - 1106310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1106330 ns MR4_I 01000204 6002d1fc - 1106390 ns MR4_D 40006004 00000001 - 1106390 ns R r1 00000001 - 1106390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1106410 ns R r1 80000000 - 1106410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1106430 ns R psr 81000200 - 1106430 ns MR4_I 01000208 2a001c5b - 1106450 ns MR4_I 01000200 07c96841 - 1106470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1106490 ns MR4_I 01000204 6002d1fc - 1106550 ns MR4_D 40006004 00000001 - 1106550 ns R r1 00000001 - 1106550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1106570 ns R r1 80000000 - 1106570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1106590 ns R psr 81000200 - 1106590 ns MR4_I 01000208 2a001c5b - 1106610 ns MR4_I 01000200 07c96841 - 1106630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1106650 ns MR4_I 01000204 6002d1fc - 1106710 ns MR4_D 40006004 00000001 - 1106710 ns R r1 00000001 - 1106710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1106730 ns R r1 80000000 - 1106730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1106750 ns R psr 81000200 - 1106750 ns MR4_I 01000208 2a001c5b - 1106770 ns MR4_I 01000200 07c96841 - 1106790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1106810 ns MR4_I 01000204 6002d1fc - 1106870 ns MR4_D 40006004 00000001 - 1106870 ns R r1 00000001 - 1106870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1106890 ns R r1 80000000 - 1106890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1106910 ns R psr 81000200 - 1106910 ns MR4_I 01000208 2a001c5b - 1106930 ns MR4_I 01000200 07c96841 - 1106950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1106970 ns MR4_I 01000204 6002d1fc - 1107030 ns MR4_D 40006004 00000001 - 1107030 ns R r1 00000001 - 1107030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1107050 ns R r1 80000000 - 1107050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1107070 ns R psr 81000200 - 1107070 ns MR4_I 01000208 2a001c5b - 1107090 ns MR4_I 01000200 07c96841 - 1107110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1107130 ns MR4_I 01000204 6002d1fc - 1107190 ns MR4_D 40006004 00000001 - 1107190 ns R r1 00000001 - 1107190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1107210 ns R r1 80000000 - 1107210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1107230 ns R psr 81000200 - 1107230 ns MR4_I 01000208 2a001c5b - 1107250 ns MR4_I 01000200 07c96841 - 1107270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1107290 ns MR4_I 01000204 6002d1fc - 1107350 ns MR4_D 40006004 00000001 - 1107350 ns R r1 00000001 - 1107350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1107370 ns R r1 80000000 - 1107370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1107390 ns R psr 81000200 - 1107390 ns MR4_I 01000208 2a001c5b - 1107410 ns MR4_I 01000200 07c96841 - 1107430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1107450 ns MR4_I 01000204 6002d1fc - 1107510 ns MR4_D 40006004 00000001 - 1107510 ns R r1 00000001 - 1107510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1107530 ns R r1 80000000 - 1107530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1107550 ns R psr 81000200 - 1107550 ns MR4_I 01000208 2a001c5b - 1107570 ns MR4_I 01000200 07c96841 - 1107590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1107610 ns MR4_I 01000204 6002d1fc - 1107670 ns MR4_D 40006004 00000001 - 1107670 ns R r1 00000001 - 1107670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1107690 ns R r1 80000000 - 1107690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1107710 ns R psr 81000200 - 1107710 ns MR4_I 01000208 2a001c5b - 1107730 ns MR4_I 01000200 07c96841 - 1107750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1107770 ns MR4_I 01000204 6002d1fc - 1107830 ns MR4_D 40006004 00000001 - 1107830 ns R r1 00000001 - 1107830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1107850 ns R r1 80000000 - 1107850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1107870 ns R psr 81000200 - 1107870 ns MR4_I 01000208 2a001c5b - 1107890 ns MR4_I 01000200 07c96841 - 1107910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1107930 ns MR4_I 01000204 6002d1fc - 1107990 ns MR4_D 40006004 00000001 - 1107990 ns R r1 00000001 - 1107990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1108010 ns R r1 80000000 - 1108010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1108030 ns R psr 81000200 - 1108030 ns MR4_I 01000208 2a001c5b - 1108050 ns MR4_I 01000200 07c96841 - 1108070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1108090 ns MR4_I 01000204 6002d1fc - 1108150 ns MR4_D 40006004 00000001 - 1108150 ns R r1 00000001 - 1108150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1108170 ns R r1 80000000 - 1108170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1108190 ns R psr 81000200 - 1108190 ns MR4_I 01000208 2a001c5b - 1108210 ns MR4_I 01000200 07c96841 - 1108230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1108250 ns MR4_I 01000204 6002d1fc - 1108310 ns MR4_D 40006004 00000001 - 1108310 ns R r1 00000001 - 1108310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1108330 ns R r1 80000000 - 1108330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1108350 ns R psr 81000200 - 1108350 ns MR4_I 01000208 2a001c5b - 1108370 ns MR4_I 01000200 07c96841 - 1108390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1108410 ns MR4_I 01000204 6002d1fc - 1108470 ns MR4_D 40006004 00000001 - 1108470 ns R r1 00000001 - 1108470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1108490 ns R r1 80000000 - 1108490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1108510 ns R psr 81000200 - 1108510 ns MR4_I 01000208 2a001c5b - 1108530 ns MR4_I 01000200 07c96841 - 1108550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1108570 ns MR4_I 01000204 6002d1fc - 1108630 ns MR4_D 40006004 00000001 - 1108630 ns R r1 00000001 - 1108630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1108650 ns R r1 80000000 - 1108650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1108670 ns R psr 81000200 - 1108670 ns MR4_I 01000208 2a001c5b - 1108690 ns MR4_I 01000200 07c96841 - 1108710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1108730 ns MR4_I 01000204 6002d1fc - 1108790 ns MR4_D 40006004 00000001 - 1108790 ns R r1 00000001 - 1108790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1108810 ns R r1 80000000 - 1108810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1108830 ns R psr 81000200 - 1108830 ns MR4_I 01000208 2a001c5b - 1108850 ns MR4_I 01000200 07c96841 - 1108870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1108890 ns MR4_I 01000204 6002d1fc - 1108950 ns MR4_D 40006004 00000001 - 1108950 ns R r1 00000001 - 1108950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1108970 ns R r1 80000000 - 1108970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1108990 ns R psr 81000200 - 1108990 ns MR4_I 01000208 2a001c5b - 1109010 ns MR4_I 01000200 07c96841 - 1109030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1109050 ns MR4_I 01000204 6002d1fc - 1109110 ns MR4_D 40006004 00000001 - 1109110 ns R r1 00000001 - 1109110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1109130 ns R r1 80000000 - 1109130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1109150 ns R psr 81000200 - 1109150 ns MR4_I 01000208 2a001c5b - 1109170 ns MR4_I 01000200 07c96841 - 1109190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1109210 ns MR4_I 01000204 6002d1fc - 1109270 ns MR4_D 40006004 00000001 - 1109270 ns R r1 00000001 - 1109270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1109290 ns R r1 80000000 - 1109290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1109310 ns R psr 81000200 - 1109310 ns MR4_I 01000208 2a001c5b - 1109330 ns MR4_I 01000200 07c96841 - 1109350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1109370 ns MR4_I 01000204 6002d1fc - 1109430 ns MR4_D 40006004 00000001 - 1109430 ns R r1 00000001 - 1109430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1109450 ns R r1 80000000 - 1109450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1109470 ns R psr 81000200 - 1109470 ns MR4_I 01000208 2a001c5b - 1109490 ns MR4_I 01000200 07c96841 - 1109510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1109530 ns MR4_I 01000204 6002d1fc - 1109590 ns MR4_D 40006004 00000001 - 1109590 ns R r1 00000001 - 1109590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1109610 ns R r1 80000000 - 1109610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1109630 ns R psr 81000200 - 1109630 ns MR4_I 01000208 2a001c5b - 1109650 ns MR4_I 01000200 07c96841 - 1109670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1109690 ns MR4_I 01000204 6002d1fc - 1109750 ns MR4_D 40006004 00000001 - 1109750 ns R r1 00000001 - 1109750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1109770 ns R r1 80000000 - 1109770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1109790 ns R psr 81000200 - 1109790 ns MR4_I 01000208 2a001c5b - 1109810 ns MR4_I 01000200 07c96841 - 1109830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1109850 ns MR4_I 01000204 6002d1fc - 1109910 ns MR4_D 40006004 00000001 - 1109910 ns R r1 00000001 - 1109910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1109930 ns R r1 80000000 - 1109930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1109950 ns R psr 81000200 - 1109950 ns MR4_I 01000208 2a001c5b - 1109970 ns MR4_I 01000200 07c96841 - 1109990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1110010 ns MR4_I 01000204 6002d1fc - 1110070 ns MR4_D 40006004 00000001 - 1110070 ns R r1 00000001 - 1110070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1110090 ns R r1 80000000 - 1110090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1110110 ns R psr 81000200 - 1110110 ns MR4_I 01000208 2a001c5b - 1110130 ns MR4_I 01000200 07c96841 - 1110150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1110170 ns MR4_I 01000204 6002d1fc - 1110230 ns MR4_D 40006004 00000001 - 1110230 ns R r1 00000001 - 1110230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1110250 ns R r1 80000000 - 1110250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1110270 ns R psr 81000200 - 1110270 ns MR4_I 01000208 2a001c5b - 1110290 ns MR4_I 01000200 07c96841 - 1110310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1110330 ns MR4_I 01000204 6002d1fc - 1110390 ns MR4_D 40006004 00000001 - 1110390 ns R r1 00000001 - 1110390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1110410 ns R r1 80000000 - 1110410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1110430 ns R psr 81000200 - 1110430 ns MR4_I 01000208 2a001c5b - 1110450 ns MR4_I 01000200 07c96841 - 1110470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1110490 ns MR4_I 01000204 6002d1fc - 1110550 ns MR4_D 40006004 00000001 - 1110550 ns R r1 00000001 - 1110550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1110570 ns R r1 80000000 - 1110570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1110590 ns R psr 81000200 - 1110590 ns MR4_I 01000208 2a001c5b - 1110610 ns MR4_I 01000200 07c96841 - 1110630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1110650 ns MR4_I 01000204 6002d1fc - 1110710 ns MR4_D 40006004 00000001 - 1110710 ns R r1 00000001 - 1110710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1110730 ns R r1 80000000 - 1110730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1110750 ns R psr 81000200 - 1110750 ns MR4_I 01000208 2a001c5b - 1110770 ns MR4_I 01000200 07c96841 - 1110790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1110810 ns MR4_I 01000204 6002d1fc - 1110870 ns MR4_D 40006004 00000001 - 1110870 ns R r1 00000001 - 1110870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1110890 ns R r1 80000000 - 1110890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1110910 ns R psr 81000200 - 1110910 ns MR4_I 01000208 2a001c5b - 1110930 ns MR4_I 01000200 07c96841 - 1110950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1110970 ns MR4_I 01000204 6002d1fc - 1111030 ns MR4_D 40006004 00000001 - 1111030 ns R r1 00000001 - 1111030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1111050 ns R r1 80000000 - 1111050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1111070 ns R psr 81000200 - 1111070 ns MR4_I 01000208 2a001c5b - 1111090 ns MR4_I 01000200 07c96841 - 1111110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1111130 ns MR4_I 01000204 6002d1fc - 1111190 ns MR4_D 40006004 00000001 - 1111190 ns R r1 00000001 - 1111190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1111210 ns R r1 80000000 - 1111210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1111230 ns R psr 81000200 - 1111230 ns MR4_I 01000208 2a001c5b - 1111250 ns MR4_I 01000200 07c96841 - 1111270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1111290 ns MR4_I 01000204 6002d1fc - 1111350 ns MR4_D 40006004 00000001 - 1111350 ns R r1 00000001 - 1111350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1111370 ns R r1 80000000 - 1111370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1111390 ns R psr 81000200 - 1111390 ns MR4_I 01000208 2a001c5b - 1111410 ns MR4_I 01000200 07c96841 - 1111430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1111450 ns MR4_I 01000204 6002d1fc - 1111510 ns MR4_D 40006004 00000001 - 1111510 ns R r1 00000001 - 1111510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1111530 ns R r1 80000000 - 1111530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1111550 ns R psr 81000200 - 1111550 ns MR4_I 01000208 2a001c5b - 1111570 ns MR4_I 01000200 07c96841 - 1111590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1111610 ns MR4_I 01000204 6002d1fc - 1111670 ns MR4_D 40006004 00000001 - 1111670 ns R r1 00000001 - 1111670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1111690 ns R r1 80000000 - 1111690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1111710 ns R psr 81000200 - 1111710 ns MR4_I 01000208 2a001c5b - 1111730 ns MR4_I 01000200 07c96841 - 1111750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1111770 ns MR4_I 01000204 6002d1fc - 1111830 ns MR4_D 40006004 00000001 - 1111830 ns R r1 00000001 - 1111830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1111850 ns R r1 80000000 - 1111850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1111870 ns R psr 81000200 - 1111870 ns MR4_I 01000208 2a001c5b - 1111890 ns MR4_I 01000200 07c96841 - 1111910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1111930 ns MR4_I 01000204 6002d1fc - 1111990 ns MR4_D 40006004 00000001 - 1111990 ns R r1 00000001 - 1111990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1112010 ns R r1 80000000 - 1112010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1112030 ns R psr 81000200 - 1112030 ns MR4_I 01000208 2a001c5b - 1112050 ns MR4_I 01000200 07c96841 - 1112070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1112090 ns MR4_I 01000204 6002d1fc - 1112150 ns MR4_D 40006004 00000001 - 1112150 ns R r1 00000001 - 1112150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1112170 ns R r1 80000000 - 1112170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1112190 ns R psr 81000200 - 1112190 ns MR4_I 01000208 2a001c5b - 1112210 ns MR4_I 01000200 07c96841 - 1112230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1112250 ns MR4_I 01000204 6002d1fc - 1112310 ns MR4_D 40006004 00000001 - 1112310 ns R r1 00000001 - 1112310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1112330 ns R r1 80000000 - 1112330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1112350 ns R psr 81000200 - 1112350 ns MR4_I 01000208 2a001c5b - 1112370 ns MR4_I 01000200 07c96841 - 1112390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1112410 ns MR4_I 01000204 6002d1fc - 1112470 ns MR4_D 40006004 00000001 - 1112470 ns R r1 00000001 - 1112470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1112490 ns R r1 80000000 - 1112490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1112510 ns R psr 81000200 - 1112510 ns MR4_I 01000208 2a001c5b - 1112530 ns MR4_I 01000200 07c96841 - 1112550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1112570 ns MR4_I 01000204 6002d1fc - 1112630 ns MR4_D 40006004 00000001 - 1112630 ns R r1 00000001 - 1112630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1112650 ns R r1 80000000 - 1112650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1112670 ns R psr 81000200 - 1112670 ns MR4_I 01000208 2a001c5b - 1112690 ns MR4_I 01000200 07c96841 - 1112710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1112730 ns MR4_I 01000204 6002d1fc - 1112790 ns MR4_D 40006004 00000001 - 1112790 ns R r1 00000001 - 1112790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1112810 ns R r1 80000000 - 1112810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1112830 ns R psr 81000200 - 1112830 ns MR4_I 01000208 2a001c5b - 1112850 ns MR4_I 01000200 07c96841 - 1112870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1112890 ns MR4_I 01000204 6002d1fc - 1112950 ns MR4_D 40006004 00000001 - 1112950 ns R r1 00000001 - 1112950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1112970 ns R r1 80000000 - 1112970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1112990 ns R psr 81000200 - 1112990 ns MR4_I 01000208 2a001c5b - 1113010 ns MR4_I 01000200 07c96841 - 1113030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1113050 ns MR4_I 01000204 6002d1fc - 1113110 ns MR4_D 40006004 00000001 - 1113110 ns R r1 00000001 - 1113110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1113130 ns R r1 80000000 - 1113130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1113150 ns R psr 81000200 - 1113150 ns MR4_I 01000208 2a001c5b - 1113170 ns MR4_I 01000200 07c96841 - 1113190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1113210 ns MR4_I 01000204 6002d1fc - 1113270 ns MR4_D 40006004 00000001 - 1113270 ns R r1 00000001 - 1113270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1113290 ns R r1 80000000 - 1113290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1113310 ns R psr 81000200 - 1113310 ns MR4_I 01000208 2a001c5b - 1113330 ns MR4_I 01000200 07c96841 - 1113350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1113370 ns MR4_I 01000204 6002d1fc - 1113430 ns MR4_D 40006004 00000001 - 1113430 ns R r1 00000001 - 1113430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1113450 ns R r1 80000000 - 1113450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1113470 ns R psr 81000200 - 1113470 ns MR4_I 01000208 2a001c5b - 1113490 ns MR4_I 01000200 07c96841 - 1113510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1113530 ns MR4_I 01000204 6002d1fc - 1113590 ns MR4_D 40006004 00000001 - 1113590 ns R r1 00000001 - 1113590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1113610 ns R r1 80000000 - 1113610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1113630 ns R psr 81000200 - 1113630 ns MR4_I 01000208 2a001c5b - 1113650 ns MR4_I 01000200 07c96841 - 1113670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1113690 ns MR4_I 01000204 6002d1fc - 1113750 ns MR4_D 40006004 00000001 - 1113750 ns R r1 00000001 - 1113750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1113770 ns R r1 80000000 - 1113770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1113790 ns R psr 81000200 - 1113790 ns MR4_I 01000208 2a001c5b - 1113810 ns MR4_I 01000200 07c96841 - 1113830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1113850 ns MR4_I 01000204 6002d1fc - 1113910 ns MR4_D 40006004 00000001 - 1113910 ns R r1 00000001 - 1113910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1113930 ns R r1 80000000 - 1113930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1113950 ns R psr 81000200 - 1113950 ns MR4_I 01000208 2a001c5b - 1113970 ns MR4_I 01000200 07c96841 - 1113990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1114010 ns MR4_I 01000204 6002d1fc - 1114070 ns MR4_D 40006004 00000001 - 1114070 ns R r1 00000001 - 1114070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1114090 ns R r1 80000000 - 1114090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1114110 ns R psr 81000200 - 1114110 ns MR4_I 01000208 2a001c5b - 1114130 ns MR4_I 01000200 07c96841 - 1114150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1114170 ns MR4_I 01000204 6002d1fc - 1114230 ns MR4_D 40006004 00000001 - 1114230 ns R r1 00000001 - 1114230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1114250 ns R r1 80000000 - 1114250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1114270 ns R psr 81000200 - 1114270 ns MR4_I 01000208 2a001c5b - 1114290 ns MR4_I 01000200 07c96841 - 1114310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1114330 ns MR4_I 01000204 6002d1fc - 1114390 ns MR4_D 40006004 00000001 - 1114390 ns R r1 00000001 - 1114390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1114410 ns R r1 80000000 - 1114410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1114430 ns R psr 81000200 - 1114430 ns MR4_I 01000208 2a001c5b - 1114450 ns MR4_I 01000200 07c96841 - 1114470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1114490 ns MR4_I 01000204 6002d1fc - 1114550 ns MR4_D 40006004 00000001 - 1114550 ns R r1 00000001 - 1114550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1114570 ns R r1 80000000 - 1114570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1114590 ns R psr 81000200 - 1114590 ns MR4_I 01000208 2a001c5b - 1114610 ns MR4_I 01000200 07c96841 - 1114630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1114650 ns MR4_I 01000204 6002d1fc - 1114710 ns MR4_D 40006004 00000001 - 1114710 ns R r1 00000001 - 1114710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1114730 ns R r1 80000000 - 1114730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1114750 ns R psr 81000200 - 1114750 ns MR4_I 01000208 2a001c5b - 1114770 ns MR4_I 01000200 07c96841 - 1114790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1114810 ns MR4_I 01000204 6002d1fc - 1114870 ns MR4_D 40006004 00000001 - 1114870 ns R r1 00000001 - 1114870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1114890 ns R r1 80000000 - 1114890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1114910 ns R psr 81000200 - 1114910 ns MR4_I 01000208 2a001c5b - 1114930 ns MR4_I 01000200 07c96841 - 1114950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1114970 ns MR4_I 01000204 6002d1fc - 1115030 ns MR4_D 40006004 00000001 - 1115030 ns R r1 00000001 - 1115030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1115050 ns R r1 80000000 - 1115050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1115070 ns R psr 81000200 - 1115070 ns MR4_I 01000208 2a001c5b - 1115090 ns MR4_I 01000200 07c96841 - 1115110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1115130 ns MR4_I 01000204 6002d1fc - 1115190 ns MR4_D 40006004 00000001 - 1115190 ns R r1 00000001 - 1115190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1115210 ns R r1 80000000 - 1115210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1115230 ns R psr 81000200 - 1115230 ns MR4_I 01000208 2a001c5b - 1115250 ns MR4_I 01000200 07c96841 - 1115270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1115290 ns MR4_I 01000204 6002d1fc - 1115350 ns MR4_D 40006004 00000001 - 1115350 ns R r1 00000001 - 1115350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1115370 ns R r1 80000000 - 1115370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1115390 ns R psr 81000200 - 1115390 ns MR4_I 01000208 2a001c5b - 1115410 ns MR4_I 01000200 07c96841 - 1115430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1115450 ns MR4_I 01000204 6002d1fc - 1115510 ns MR4_D 40006004 00000001 - 1115510 ns R r1 00000001 - 1115510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1115530 ns R r1 80000000 - 1115530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1115550 ns R psr 81000200 - 1115550 ns MR4_I 01000208 2a001c5b - 1115570 ns MR4_I 01000200 07c96841 - 1115590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1115610 ns MR4_I 01000204 6002d1fc - 1115670 ns MR4_D 40006004 00000001 - 1115670 ns R r1 00000001 - 1115670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1115690 ns R r1 80000000 - 1115690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1115710 ns R psr 81000200 - 1115710 ns MR4_I 01000208 2a001c5b - 1115730 ns MR4_I 01000200 07c96841 - 1115750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1115770 ns MR4_I 01000204 6002d1fc - 1115830 ns MR4_D 40006004 00000001 - 1115830 ns R r1 00000001 - 1115830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1115850 ns R r1 80000000 - 1115850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1115870 ns R psr 81000200 - 1115870 ns MR4_I 01000208 2a001c5b - 1115890 ns MR4_I 01000200 07c96841 - 1115910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1115930 ns MR4_I 01000204 6002d1fc - 1115990 ns MR4_D 40006004 00000001 - 1115990 ns R r1 00000001 - 1115990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1116010 ns R r1 80000000 - 1116010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1116030 ns R psr 81000200 - 1116030 ns MR4_I 01000208 2a001c5b - 1116050 ns MR4_I 01000200 07c96841 - 1116070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1116090 ns MR4_I 01000204 6002d1fc - 1116150 ns MR4_D 40006004 00000001 - 1116150 ns R r1 00000001 - 1116150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1116170 ns R r1 80000000 - 1116170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1116190 ns R psr 81000200 - 1116190 ns MR4_I 01000208 2a001c5b - 1116210 ns MR4_I 01000200 07c96841 - 1116230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1116250 ns MR4_I 01000204 6002d1fc - 1116310 ns MR4_D 40006004 00000001 - 1116310 ns R r1 00000001 - 1116310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1116330 ns R r1 80000000 - 1116330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1116350 ns R psr 81000200 - 1116350 ns MR4_I 01000208 2a001c5b - 1116370 ns MR4_I 01000200 07c96841 - 1116390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1116410 ns MR4_I 01000204 6002d1fc - 1116470 ns MR4_D 40006004 00000001 - 1116470 ns R r1 00000001 - 1116470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1116490 ns R r1 80000000 - 1116490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1116510 ns R psr 81000200 - 1116510 ns MR4_I 01000208 2a001c5b - 1116530 ns MR4_I 01000200 07c96841 - 1116550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1116570 ns MR4_I 01000204 6002d1fc - 1116630 ns MR4_D 40006004 00000001 - 1116630 ns R r1 00000001 - 1116630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1116650 ns R r1 80000000 - 1116650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1116670 ns R psr 81000200 - 1116670 ns MR4_I 01000208 2a001c5b - 1116690 ns MR4_I 01000200 07c96841 - 1116710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1116730 ns MR4_I 01000204 6002d1fc - 1116790 ns MR4_D 40006004 00000001 - 1116790 ns R r1 00000001 - 1116790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1116810 ns R r1 80000000 - 1116810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1116830 ns R psr 81000200 - 1116830 ns MR4_I 01000208 2a001c5b - 1116850 ns MR4_I 01000200 07c96841 - 1116870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1116890 ns MR4_I 01000204 6002d1fc - 1116950 ns MR4_D 40006004 00000001 - 1116950 ns R r1 00000001 - 1116950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1116970 ns R r1 80000000 - 1116970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1116990 ns R psr 81000200 - 1116990 ns MR4_I 01000208 2a001c5b - 1117010 ns MR4_I 01000200 07c96841 - 1117030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1117050 ns MR4_I 01000204 6002d1fc - 1117110 ns MR4_D 40006004 00000001 - 1117110 ns R r1 00000001 - 1117110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1117130 ns R r1 80000000 - 1117130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1117150 ns R psr 81000200 - 1117150 ns MR4_I 01000208 2a001c5b - 1117170 ns MR4_I 01000200 07c96841 - 1117190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1117210 ns MR4_I 01000204 6002d1fc - 1117270 ns MR4_D 40006004 00000001 - 1117270 ns R r1 00000001 - 1117270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1117290 ns R r1 80000000 - 1117290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1117310 ns R psr 81000200 - 1117310 ns MR4_I 01000208 2a001c5b - 1117330 ns MR4_I 01000200 07c96841 - 1117350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1117370 ns MR4_I 01000204 6002d1fc - 1117430 ns MR4_D 40006004 00000001 - 1117430 ns R r1 00000001 - 1117430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1117450 ns R r1 80000000 - 1117450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1117470 ns R psr 81000200 - 1117470 ns MR4_I 01000208 2a001c5b - 1117490 ns MR4_I 01000200 07c96841 - 1117510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1117530 ns MR4_I 01000204 6002d1fc - 1117590 ns MR4_D 40006004 00000001 - 1117590 ns R r1 00000001 - 1117590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1117610 ns R r1 80000000 - 1117610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1117630 ns R psr 81000200 - 1117630 ns MR4_I 01000208 2a001c5b - 1117650 ns MR4_I 01000200 07c96841 - 1117670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1117690 ns MR4_I 01000204 6002d1fc - 1117750 ns MR4_D 40006004 00000001 - 1117750 ns R r1 00000001 - 1117750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1117770 ns R r1 80000000 - 1117770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1117790 ns R psr 81000200 - 1117790 ns MR4_I 01000208 2a001c5b - 1117810 ns MR4_I 01000200 07c96841 - 1117830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1117850 ns MR4_I 01000204 6002d1fc - 1117910 ns MR4_D 40006004 00000001 - 1117910 ns R r1 00000001 - 1117910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1117930 ns R r1 80000000 - 1117930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1117950 ns R psr 81000200 - 1117950 ns MR4_I 01000208 2a001c5b - 1117970 ns MR4_I 01000200 07c96841 - 1117990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1118010 ns MR4_I 01000204 6002d1fc - 1118070 ns MR4_D 40006004 00000001 - 1118070 ns R r1 00000001 - 1118070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1118090 ns R r1 80000000 - 1118090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1118110 ns R psr 81000200 - 1118110 ns MR4_I 01000208 2a001c5b - 1118130 ns MR4_I 01000200 07c96841 - 1118150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1118170 ns MR4_I 01000204 6002d1fc - 1118230 ns MR4_D 40006004 00000001 - 1118230 ns R r1 00000001 - 1118230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1118250 ns R r1 80000000 - 1118250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1118270 ns R psr 81000200 - 1118270 ns MR4_I 01000208 2a001c5b - 1118290 ns MR4_I 01000200 07c96841 - 1118310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1118330 ns MR4_I 01000204 6002d1fc - 1118390 ns MR4_D 40006004 00000001 - 1118390 ns R r1 00000001 - 1118390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1118410 ns R r1 80000000 - 1118410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1118430 ns R psr 81000200 - 1118430 ns MR4_I 01000208 2a001c5b - 1118450 ns MR4_I 01000200 07c96841 - 1118470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1118490 ns MR4_I 01000204 6002d1fc - 1118550 ns MR4_D 40006004 00000001 - 1118550 ns R r1 00000001 - 1118550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1118570 ns R r1 80000000 - 1118570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1118590 ns R psr 81000200 - 1118590 ns MR4_I 01000208 2a001c5b - 1118610 ns MR4_I 01000200 07c96841 - 1118630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1118650 ns MR4_I 01000204 6002d1fc - 1118710 ns MR4_D 40006004 00000001 - 1118710 ns R r1 00000001 - 1118710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1118730 ns R r1 80000000 - 1118730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1118750 ns R psr 81000200 - 1118750 ns MR4_I 01000208 2a001c5b - 1118770 ns MR4_I 01000200 07c96841 - 1118790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1118810 ns MR4_I 01000204 6002d1fc - 1118870 ns MR4_D 40006004 00000001 - 1118870 ns R r1 00000001 - 1118870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1118890 ns R r1 80000000 - 1118890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1118910 ns R psr 81000200 - 1118910 ns MR4_I 01000208 2a001c5b - 1118930 ns MR4_I 01000200 07c96841 - 1118950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1118970 ns MR4_I 01000204 6002d1fc - 1119030 ns MR4_D 40006004 00000001 - 1119030 ns R r1 00000001 - 1119030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1119050 ns R r1 80000000 - 1119050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1119070 ns R psr 81000200 - 1119070 ns MR4_I 01000208 2a001c5b - 1119090 ns MR4_I 01000200 07c96841 - 1119110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1119130 ns MR4_I 01000204 6002d1fc - 1119190 ns MR4_D 40006004 00000001 - 1119190 ns R r1 00000001 - 1119190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1119210 ns R r1 80000000 - 1119210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1119230 ns R psr 81000200 - 1119230 ns MR4_I 01000208 2a001c5b - 1119250 ns MR4_I 01000200 07c96841 - 1119270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1119290 ns MR4_I 01000204 6002d1fc - 1119350 ns MR4_D 40006004 00000001 - 1119350 ns R r1 00000001 - 1119350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1119370 ns R r1 80000000 - 1119370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1119390 ns R psr 81000200 - 1119390 ns MR4_I 01000208 2a001c5b - 1119410 ns MR4_I 01000200 07c96841 - 1119430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1119450 ns MR4_I 01000204 6002d1fc - 1119510 ns MR4_D 40006004 00000001 - 1119510 ns R r1 00000001 - 1119510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1119530 ns R r1 80000000 - 1119530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1119550 ns R psr 81000200 - 1119550 ns MR4_I 01000208 2a001c5b - 1119570 ns MR4_I 01000200 07c96841 - 1119590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1119610 ns MR4_I 01000204 6002d1fc - 1119670 ns MR4_D 40006004 00000001 - 1119670 ns R r1 00000001 - 1119670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1119690 ns R r1 80000000 - 1119690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1119710 ns R psr 81000200 - 1119710 ns MR4_I 01000208 2a001c5b - 1119730 ns MR4_I 01000200 07c96841 - 1119750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1119770 ns MR4_I 01000204 6002d1fc - 1119830 ns MR4_D 40006004 00000001 - 1119830 ns R r1 00000001 - 1119830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1119850 ns R r1 80000000 - 1119850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1119870 ns R psr 81000200 - 1119870 ns MR4_I 01000208 2a001c5b - 1119890 ns MR4_I 01000200 07c96841 - 1119910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1119930 ns MR4_I 01000204 6002d1fc - 1119990 ns MR4_D 40006004 00000001 - 1119990 ns R r1 00000001 - 1119990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1120010 ns R r1 80000000 - 1120010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1120030 ns R psr 81000200 - 1120030 ns MR4_I 01000208 2a001c5b - 1120050 ns MR4_I 01000200 07c96841 - 1120070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1120090 ns MR4_I 01000204 6002d1fc - 1120150 ns MR4_D 40006004 00000001 - 1120150 ns R r1 00000001 - 1120150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1120170 ns R r1 80000000 - 1120170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1120190 ns R psr 81000200 - 1120190 ns MR4_I 01000208 2a001c5b - 1120210 ns MR4_I 01000200 07c96841 - 1120230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1120250 ns MR4_I 01000204 6002d1fc - 1120310 ns MR4_D 40006004 00000001 - 1120310 ns R r1 00000001 - 1120310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1120330 ns R r1 80000000 - 1120330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1120350 ns R psr 81000200 - 1120350 ns MR4_I 01000208 2a001c5b - 1120370 ns MR4_I 01000200 07c96841 - 1120390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1120410 ns MR4_I 01000204 6002d1fc - 1120470 ns MR4_D 40006004 00000001 - 1120470 ns R r1 00000001 - 1120470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1120490 ns R r1 80000000 - 1120490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1120510 ns R psr 81000200 - 1120510 ns MR4_I 01000208 2a001c5b - 1120530 ns MR4_I 01000200 07c96841 - 1120550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1120570 ns MR4_I 01000204 6002d1fc - 1120630 ns MR4_D 40006004 00000001 - 1120630 ns R r1 00000001 - 1120630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1120650 ns R r1 80000000 - 1120650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1120670 ns R psr 81000200 - 1120670 ns MR4_I 01000208 2a001c5b - 1120690 ns MR4_I 01000200 07c96841 - 1120710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1120730 ns MR4_I 01000204 6002d1fc - 1120790 ns MR4_D 40006004 00000001 - 1120790 ns R r1 00000001 - 1120790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1120810 ns R r1 80000000 - 1120810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1120830 ns R psr 81000200 - 1120830 ns MR4_I 01000208 2a001c5b - 1120850 ns MR4_I 01000200 07c96841 - 1120870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1120890 ns MR4_I 01000204 6002d1fc - 1120950 ns MR4_D 40006004 00000001 - 1120950 ns R r1 00000001 - 1120950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1120970 ns R r1 80000000 - 1120970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1120990 ns R psr 81000200 - 1120990 ns MR4_I 01000208 2a001c5b - 1121010 ns MR4_I 01000200 07c96841 - 1121030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1121050 ns MR4_I 01000204 6002d1fc - 1121110 ns MR4_D 40006004 00000001 - 1121110 ns R r1 00000001 - 1121110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1121130 ns R r1 80000000 - 1121130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1121150 ns R psr 81000200 - 1121150 ns MR4_I 01000208 2a001c5b - 1121170 ns MR4_I 01000200 07c96841 - 1121190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1121210 ns MR4_I 01000204 6002d1fc - 1121270 ns MR4_D 40006004 00000001 - 1121270 ns R r1 00000001 - 1121270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1121290 ns R r1 80000000 - 1121290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1121310 ns R psr 81000200 - 1121310 ns MR4_I 01000208 2a001c5b - 1121330 ns MR4_I 01000200 07c96841 - 1121350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1121370 ns MR4_I 01000204 6002d1fc - 1121430 ns MR4_D 40006004 00000001 - 1121430 ns R r1 00000001 - 1121430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1121450 ns R r1 80000000 - 1121450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1121470 ns R psr 81000200 - 1121470 ns MR4_I 01000208 2a001c5b - 1121490 ns MR4_I 01000200 07c96841 - 1121510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1121530 ns MR4_I 01000204 6002d1fc - 1121590 ns MR4_D 40006004 00000001 - 1121590 ns R r1 00000001 - 1121590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1121610 ns R r1 80000000 - 1121610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1121630 ns R psr 81000200 - 1121630 ns MR4_I 01000208 2a001c5b - 1121650 ns MR4_I 01000200 07c96841 - 1121670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1121690 ns MR4_I 01000204 6002d1fc - 1121750 ns MR4_D 40006004 00000001 - 1121750 ns R r1 00000001 - 1121750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1121770 ns R r1 80000000 - 1121770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1121790 ns R psr 81000200 - 1121790 ns MR4_I 01000208 2a001c5b - 1121810 ns MR4_I 01000200 07c96841 - 1121830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1121850 ns MR4_I 01000204 6002d1fc - 1121910 ns MR4_D 40006004 00000001 - 1121910 ns R r1 00000001 - 1121910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1121930 ns R r1 80000000 - 1121930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1121950 ns R psr 81000200 - 1121950 ns MR4_I 01000208 2a001c5b - 1121970 ns MR4_I 01000200 07c96841 - 1121990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1122010 ns MR4_I 01000204 6002d1fc - 1122070 ns MR4_D 40006004 00000001 - 1122070 ns R r1 00000001 - 1122070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1122090 ns R r1 80000000 - 1122090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1122110 ns R psr 81000200 - 1122110 ns MR4_I 01000208 2a001c5b - 1122130 ns MR4_I 01000200 07c96841 - 1122150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1122170 ns MR4_I 01000204 6002d1fc - 1122230 ns MR4_D 40006004 00000001 - 1122230 ns R r1 00000001 - 1122230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1122250 ns R r1 80000000 - 1122250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1122270 ns R psr 81000200 - 1122270 ns MR4_I 01000208 2a001c5b - 1122290 ns MR4_I 01000200 07c96841 - 1122310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1122330 ns MR4_I 01000204 6002d1fc - 1122390 ns MR4_D 40006004 00000001 - 1122390 ns R r1 00000001 - 1122390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1122410 ns R r1 80000000 - 1122410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1122430 ns R psr 81000200 - 1122430 ns MR4_I 01000208 2a001c5b - 1122450 ns MR4_I 01000200 07c96841 - 1122470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1122490 ns MR4_I 01000204 6002d1fc - 1122550 ns MR4_D 40006004 00000001 - 1122550 ns R r1 00000001 - 1122550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1122570 ns R r1 80000000 - 1122570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1122590 ns R psr 81000200 - 1122590 ns MR4_I 01000208 2a001c5b - 1122610 ns MR4_I 01000200 07c96841 - 1122630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1122650 ns MR4_I 01000204 6002d1fc - 1122710 ns MR4_D 40006004 00000001 - 1122710 ns R r1 00000001 - 1122710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1122730 ns R r1 80000000 - 1122730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1122750 ns R psr 81000200 - 1122750 ns MR4_I 01000208 2a001c5b - 1122770 ns MR4_I 01000200 07c96841 - 1122790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1122810 ns MR4_I 01000204 6002d1fc - 1122870 ns MR4_D 40006004 00000001 - 1122870 ns R r1 00000001 - 1122870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1122890 ns R r1 80000000 - 1122890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1122910 ns R psr 81000200 - 1122910 ns MR4_I 01000208 2a001c5b - 1122930 ns MR4_I 01000200 07c96841 - 1122950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1122970 ns MR4_I 01000204 6002d1fc - 1123030 ns MR4_D 40006004 00000001 - 1123030 ns R r1 00000001 - 1123030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1123050 ns R r1 80000000 - 1123050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1123070 ns R psr 81000200 - 1123070 ns MR4_I 01000208 2a001c5b - 1123090 ns MR4_I 01000200 07c96841 - 1123110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1123130 ns MR4_I 01000204 6002d1fc - 1123190 ns MR4_D 40006004 00000001 - 1123190 ns R r1 00000001 - 1123190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1123210 ns R r1 80000000 - 1123210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1123230 ns R psr 81000200 - 1123230 ns MR4_I 01000208 2a001c5b - 1123250 ns MR4_I 01000200 07c96841 - 1123270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1123290 ns MR4_I 01000204 6002d1fc - 1123350 ns MR4_D 40006004 00000001 - 1123350 ns R r1 00000001 - 1123350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1123370 ns R r1 80000000 - 1123370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1123390 ns R psr 81000200 - 1123390 ns MR4_I 01000208 2a001c5b - 1123410 ns MR4_I 01000200 07c96841 - 1123430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1123450 ns MR4_I 01000204 6002d1fc - 1123510 ns MR4_D 40006004 00000001 - 1123510 ns R r1 00000001 - 1123510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1123530 ns R r1 80000000 - 1123530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1123550 ns R psr 81000200 - 1123550 ns MR4_I 01000208 2a001c5b - 1123570 ns MR4_I 01000200 07c96841 - 1123590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1123610 ns MR4_I 01000204 6002d1fc - 1123670 ns MR4_D 40006004 00000001 - 1123670 ns R r1 00000001 - 1123670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1123690 ns R r1 80000000 - 1123690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1123710 ns R psr 81000200 - 1123710 ns MR4_I 01000208 2a001c5b - 1123730 ns MR4_I 01000200 07c96841 - 1123750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1123770 ns MR4_I 01000204 6002d1fc - 1123830 ns MR4_D 40006004 00000001 - 1123830 ns R r1 00000001 - 1123830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1123850 ns R r1 80000000 - 1123850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1123870 ns R psr 81000200 - 1123870 ns MR4_I 01000208 2a001c5b - 1123890 ns MR4_I 01000200 07c96841 - 1123910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1123930 ns MR4_I 01000204 6002d1fc - 1123990 ns MR4_D 40006004 00000001 - 1123990 ns R r1 00000001 - 1123990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1124010 ns R r1 80000000 - 1124010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1124030 ns R psr 81000200 - 1124030 ns MR4_I 01000208 2a001c5b - 1124050 ns MR4_I 01000200 07c96841 - 1124070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1124090 ns MR4_I 01000204 6002d1fc - 1124150 ns MR4_D 40006004 00000001 - 1124150 ns R r1 00000001 - 1124150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1124170 ns R r1 80000000 - 1124170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1124190 ns R psr 81000200 - 1124190 ns MR4_I 01000208 2a001c5b - 1124210 ns MR4_I 01000200 07c96841 - 1124230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1124250 ns MR4_I 01000204 6002d1fc - 1124310 ns MR4_D 40006004 00000001 - 1124310 ns R r1 00000001 - 1124310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1124330 ns R r1 80000000 - 1124330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1124350 ns R psr 81000200 - 1124350 ns MR4_I 01000208 2a001c5b - 1124370 ns MR4_I 01000200 07c96841 - 1124390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1124410 ns MR4_I 01000204 6002d1fc - 1124470 ns MR4_D 40006004 00000001 - 1124470 ns R r1 00000001 - 1124470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1124490 ns R r1 80000000 - 1124490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1124510 ns R psr 81000200 - 1124510 ns MR4_I 01000208 2a001c5b - 1124530 ns MR4_I 01000200 07c96841 - 1124550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1124570 ns MR4_I 01000204 6002d1fc - 1124630 ns MR4_D 40006004 00000001 - 1124630 ns R r1 00000001 - 1124630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1124650 ns R r1 80000000 - 1124650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1124670 ns R psr 81000200 - 1124670 ns MR4_I 01000208 2a001c5b - 1124690 ns MR4_I 01000200 07c96841 - 1124710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1124730 ns MR4_I 01000204 6002d1fc - 1124790 ns MR4_D 40006004 00000001 - 1124790 ns R r1 00000001 - 1124790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1124810 ns R r1 80000000 - 1124810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1124830 ns R psr 81000200 - 1124830 ns MR4_I 01000208 2a001c5b - 1124850 ns MR4_I 01000200 07c96841 - 1124870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1124890 ns MR4_I 01000204 6002d1fc - 1124950 ns MR4_D 40006004 00000001 - 1124950 ns R r1 00000001 - 1124950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1124970 ns R r1 80000000 - 1124970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1124990 ns R psr 81000200 - 1124990 ns MR4_I 01000208 2a001c5b - 1125010 ns MR4_I 01000200 07c96841 - 1125030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1125050 ns MR4_I 01000204 6002d1fc - 1125110 ns MR4_D 40006004 00000001 - 1125110 ns R r1 00000001 - 1125110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1125130 ns R r1 80000000 - 1125130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1125150 ns R psr 81000200 - 1125150 ns MR4_I 01000208 2a001c5b - 1125170 ns MR4_I 01000200 07c96841 - 1125190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1125210 ns MR4_I 01000204 6002d1fc - 1125270 ns MR4_D 40006004 00000001 - 1125270 ns R r1 00000001 - 1125270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1125290 ns R r1 80000000 - 1125290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1125310 ns R psr 81000200 - 1125310 ns MR4_I 01000208 2a001c5b - 1125330 ns MR4_I 01000200 07c96841 - 1125350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1125370 ns MR4_I 01000204 6002d1fc - 1125430 ns MR4_D 40006004 00000001 - 1125430 ns R r1 00000001 - 1125430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1125450 ns R r1 80000000 - 1125450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1125470 ns R psr 81000200 - 1125470 ns MR4_I 01000208 2a001c5b - 1125490 ns MR4_I 01000200 07c96841 - 1125510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1125530 ns MR4_I 01000204 6002d1fc - 1125590 ns MR4_D 40006004 00000001 - 1125590 ns R r1 00000001 - 1125590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1125610 ns R r1 80000000 - 1125610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1125630 ns R psr 81000200 - 1125630 ns MR4_I 01000208 2a001c5b - 1125650 ns MR4_I 01000200 07c96841 - 1125670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1125690 ns MR4_I 01000204 6002d1fc - 1125750 ns MR4_D 40006004 00000001 - 1125750 ns R r1 00000001 - 1125750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1125770 ns R r1 80000000 - 1125770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1125790 ns R psr 81000200 - 1125790 ns MR4_I 01000208 2a001c5b - 1125810 ns MR4_I 01000200 07c96841 - 1125830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1125850 ns MR4_I 01000204 6002d1fc - 1125910 ns MR4_D 40006004 00000001 - 1125910 ns R r1 00000001 - 1125910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1125930 ns R r1 80000000 - 1125930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1125950 ns R psr 81000200 - 1125950 ns MR4_I 01000208 2a001c5b - 1125970 ns MR4_I 01000200 07c96841 - 1125990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1126010 ns MR4_I 01000204 6002d1fc - 1126070 ns MR4_D 40006004 00000001 - 1126070 ns R r1 00000001 - 1126070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1126090 ns R r1 80000000 - 1126090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1126110 ns R psr 81000200 - 1126110 ns MR4_I 01000208 2a001c5b - 1126130 ns MR4_I 01000200 07c96841 - 1126150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1126170 ns MR4_I 01000204 6002d1fc - 1126230 ns MR4_D 40006004 00000001 - 1126230 ns R r1 00000001 - 1126230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1126250 ns R r1 80000000 - 1126250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1126270 ns R psr 81000200 - 1126270 ns MR4_I 01000208 2a001c5b - 1126290 ns MR4_I 01000200 07c96841 - 1126310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1126330 ns MR4_I 01000204 6002d1fc - 1126390 ns MR4_D 40006004 00000001 - 1126390 ns R r1 00000001 - 1126390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1126410 ns R r1 80000000 - 1126410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1126430 ns R psr 81000200 - 1126430 ns MR4_I 01000208 2a001c5b - 1126450 ns MR4_I 01000200 07c96841 - 1126470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1126490 ns MR4_I 01000204 6002d1fc - 1126550 ns MR4_D 40006004 00000001 - 1126550 ns R r1 00000001 - 1126550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1126570 ns R r1 80000000 - 1126570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1126590 ns R psr 81000200 - 1126590 ns MR4_I 01000208 2a001c5b - 1126610 ns MR4_I 01000200 07c96841 - 1126630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1126650 ns MR4_I 01000204 6002d1fc - 1126710 ns MR4_D 40006004 00000001 - 1126710 ns R r1 00000001 - 1126710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1126730 ns R r1 80000000 - 1126730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1126750 ns R psr 81000200 - 1126750 ns MR4_I 01000208 2a001c5b - 1126770 ns MR4_I 01000200 07c96841 - 1126790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1126810 ns MR4_I 01000204 6002d1fc - 1126870 ns MR4_D 40006004 00000001 - 1126870 ns R r1 00000001 - 1126870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1126890 ns R r1 80000000 - 1126890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1126910 ns R psr 81000200 - 1126910 ns MR4_I 01000208 2a001c5b - 1126930 ns MR4_I 01000200 07c96841 - 1126950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1126970 ns MR4_I 01000204 6002d1fc - 1127030 ns MR4_D 40006004 00000001 - 1127030 ns R r1 00000001 - 1127030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1127050 ns R r1 80000000 - 1127050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1127070 ns R psr 81000200 - 1127070 ns MR4_I 01000208 2a001c5b - 1127090 ns MR4_I 01000200 07c96841 - 1127110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1127130 ns MR4_I 01000204 6002d1fc - 1127190 ns MR4_D 40006004 00000001 - 1127190 ns R r1 00000001 - 1127190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1127210 ns R r1 80000000 - 1127210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1127230 ns R psr 81000200 - 1127230 ns MR4_I 01000208 2a001c5b - 1127250 ns MR4_I 01000200 07c96841 - 1127270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1127290 ns MR4_I 01000204 6002d1fc - 1127350 ns MR4_D 40006004 00000001 - 1127350 ns R r1 00000001 - 1127350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1127370 ns R r1 80000000 - 1127370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1127390 ns R psr 81000200 - 1127390 ns MR4_I 01000208 2a001c5b - 1127410 ns MR4_I 01000200 07c96841 - 1127430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1127450 ns MR4_I 01000204 6002d1fc - 1127510 ns MR4_D 40006004 00000001 - 1127510 ns R r1 00000001 - 1127510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1127530 ns R r1 80000000 - 1127530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1127550 ns R psr 81000200 - 1127550 ns MR4_I 01000208 2a001c5b - 1127570 ns MR4_I 01000200 07c96841 - 1127590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1127610 ns MR4_I 01000204 6002d1fc - 1127670 ns MR4_D 40006004 00000001 - 1127670 ns R r1 00000001 - 1127670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1127690 ns R r1 80000000 - 1127690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1127710 ns R psr 81000200 - 1127710 ns MR4_I 01000208 2a001c5b - 1127730 ns MR4_I 01000200 07c96841 - 1127750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1127770 ns MR4_I 01000204 6002d1fc - 1127830 ns MR4_D 40006004 00000001 - 1127830 ns R r1 00000001 - 1127830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1127850 ns R r1 80000000 - 1127850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1127870 ns R psr 81000200 - 1127870 ns MR4_I 01000208 2a001c5b - 1127890 ns MR4_I 01000200 07c96841 - 1127910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1127930 ns MR4_I 01000204 6002d1fc - 1127990 ns MR4_D 40006004 00000001 - 1127990 ns R r1 00000001 - 1127990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1128010 ns R r1 80000000 - 1128010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1128030 ns R psr 81000200 - 1128030 ns MR4_I 01000208 2a001c5b - 1128050 ns MR4_I 01000200 07c96841 - 1128070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1128090 ns MR4_I 01000204 6002d1fc - 1128150 ns MR4_D 40006004 00000001 - 1128150 ns R r1 00000001 - 1128150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1128170 ns R r1 80000000 - 1128170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1128190 ns R psr 81000200 - 1128190 ns MR4_I 01000208 2a001c5b - 1128210 ns MR4_I 01000200 07c96841 - 1128230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1128250 ns MR4_I 01000204 6002d1fc - 1128310 ns MR4_D 40006004 00000001 - 1128310 ns R r1 00000001 - 1128310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1128330 ns R r1 80000000 - 1128330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1128350 ns R psr 81000200 - 1128350 ns MR4_I 01000208 2a001c5b - 1128370 ns MR4_I 01000200 07c96841 - 1128390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1128410 ns MR4_I 01000204 6002d1fc - 1128470 ns MR4_D 40006004 00000001 - 1128470 ns R r1 00000001 - 1128470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1128490 ns R r1 80000000 - 1128490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1128510 ns R psr 81000200 - 1128510 ns MR4_I 01000208 2a001c5b - 1128530 ns MR4_I 01000200 07c96841 - 1128550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1128570 ns MR4_I 01000204 6002d1fc - 1128630 ns MR4_D 40006004 00000001 - 1128630 ns R r1 00000001 - 1128630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1128650 ns R r1 80000000 - 1128650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1128670 ns R psr 81000200 - 1128670 ns MR4_I 01000208 2a001c5b - 1128690 ns MR4_I 01000200 07c96841 - 1128710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1128730 ns MR4_I 01000204 6002d1fc - 1128790 ns MR4_D 40006004 00000001 - 1128790 ns R r1 00000001 - 1128790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1128810 ns R r1 80000000 - 1128810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1128830 ns R psr 81000200 - 1128830 ns MR4_I 01000208 2a001c5b - 1128850 ns MR4_I 01000200 07c96841 - 1128870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1128890 ns MR4_I 01000204 6002d1fc - 1128950 ns MR4_D 40006004 00000001 - 1128950 ns R r1 00000001 - 1128950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1128970 ns R r1 80000000 - 1128970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1128990 ns R psr 81000200 - 1128990 ns MR4_I 01000208 2a001c5b - 1129010 ns MR4_I 01000200 07c96841 - 1129030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1129050 ns MR4_I 01000204 6002d1fc - 1129110 ns MR4_D 40006004 00000001 - 1129110 ns R r1 00000001 - 1129110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1129130 ns R r1 80000000 - 1129130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1129150 ns R psr 81000200 - 1129150 ns MR4_I 01000208 2a001c5b - 1129170 ns MR4_I 01000200 07c96841 - 1129190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1129210 ns MR4_I 01000204 6002d1fc - 1129270 ns MR4_D 40006004 00000001 - 1129270 ns R r1 00000001 - 1129270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1129290 ns R r1 80000000 - 1129290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1129310 ns R psr 81000200 - 1129310 ns MR4_I 01000208 2a001c5b - 1129330 ns MR4_I 01000200 07c96841 - 1129350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1129370 ns MR4_I 01000204 6002d1fc - 1129430 ns MR4_D 40006004 00000001 - 1129430 ns R r1 00000001 - 1129430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1129450 ns R r1 80000000 - 1129450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1129470 ns R psr 81000200 - 1129470 ns MR4_I 01000208 2a001c5b - 1129490 ns MR4_I 01000200 07c96841 - 1129510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1129530 ns MR4_I 01000204 6002d1fc - 1129590 ns MR4_D 40006004 00000001 - 1129590 ns R r1 00000001 - 1129590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1129610 ns R r1 80000000 - 1129610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1129630 ns R psr 81000200 - 1129630 ns MR4_I 01000208 2a001c5b - 1129650 ns MR4_I 01000200 07c96841 - 1129670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1129690 ns MR4_I 01000204 6002d1fc - 1129750 ns MR4_D 40006004 00000001 - 1129750 ns R r1 00000001 - 1129750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1129770 ns R r1 80000000 - 1129770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1129790 ns R psr 81000200 - 1129790 ns MR4_I 01000208 2a001c5b - 1129810 ns MR4_I 01000200 07c96841 - 1129830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1129850 ns MR4_I 01000204 6002d1fc - 1129910 ns MR4_D 40006004 00000001 - 1129910 ns R r1 00000001 - 1129910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1129930 ns R r1 80000000 - 1129930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1129950 ns R psr 81000200 - 1129950 ns MR4_I 01000208 2a001c5b - 1129970 ns MR4_I 01000200 07c96841 - 1129990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1130010 ns MR4_I 01000204 6002d1fc - 1130070 ns MR4_D 40006004 00000001 - 1130070 ns R r1 00000001 - 1130070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1130090 ns R r1 80000000 - 1130090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1130110 ns R psr 81000200 - 1130110 ns MR4_I 01000208 2a001c5b - 1130130 ns MR4_I 01000200 07c96841 - 1130150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1130170 ns MR4_I 01000204 6002d1fc - 1130230 ns MR4_D 40006004 00000001 - 1130230 ns R r1 00000001 - 1130230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1130250 ns R r1 80000000 - 1130250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1130270 ns R psr 81000200 - 1130270 ns MR4_I 01000208 2a001c5b - 1130290 ns MR4_I 01000200 07c96841 - 1130310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1130330 ns MR4_I 01000204 6002d1fc - 1130390 ns MR4_D 40006004 00000001 - 1130390 ns R r1 00000001 - 1130390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1130410 ns R r1 80000000 - 1130410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1130430 ns R psr 81000200 - 1130430 ns MR4_I 01000208 2a001c5b - 1130450 ns MR4_I 01000200 07c96841 - 1130470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1130490 ns MR4_I 01000204 6002d1fc - 1130550 ns MR4_D 40006004 00000001 - 1130550 ns R r1 00000001 - 1130550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1130570 ns R r1 80000000 - 1130570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1130590 ns R psr 81000200 - 1130590 ns MR4_I 01000208 2a001c5b - 1130610 ns MR4_I 01000200 07c96841 - 1130630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1130650 ns MR4_I 01000204 6002d1fc - 1130710 ns MR4_D 40006004 00000001 - 1130710 ns R r1 00000001 - 1130710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1130730 ns R r1 80000000 - 1130730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1130750 ns R psr 81000200 - 1130750 ns MR4_I 01000208 2a001c5b - 1130770 ns MR4_I 01000200 07c96841 - 1130790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1130810 ns MR4_I 01000204 6002d1fc - 1130870 ns MR4_D 40006004 00000001 - 1130870 ns R r1 00000001 - 1130870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1130890 ns R r1 80000000 - 1130890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1130910 ns R psr 81000200 - 1130910 ns MR4_I 01000208 2a001c5b - 1130930 ns MR4_I 01000200 07c96841 - 1130950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1130970 ns MR4_I 01000204 6002d1fc - 1131030 ns MR4_D 40006004 00000001 - 1131030 ns R r1 00000001 - 1131030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1131050 ns R r1 80000000 - 1131050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1131070 ns R psr 81000200 - 1131070 ns MR4_I 01000208 2a001c5b - 1131090 ns MR4_I 01000200 07c96841 - 1131110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1131130 ns MR4_I 01000204 6002d1fc - 1131190 ns MR4_D 40006004 00000001 - 1131190 ns R r1 00000001 - 1131190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1131210 ns R r1 80000000 - 1131210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1131230 ns R psr 81000200 - 1131230 ns MR4_I 01000208 2a001c5b - 1131250 ns MR4_I 01000200 07c96841 - 1131270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1131290 ns MR4_I 01000204 6002d1fc - 1131350 ns MR4_D 40006004 00000001 - 1131350 ns R r1 00000001 - 1131350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1131370 ns R r1 80000000 - 1131370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1131390 ns R psr 81000200 - 1131390 ns MR4_I 01000208 2a001c5b - 1131410 ns MR4_I 01000200 07c96841 - 1131430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1131450 ns MR4_I 01000204 6002d1fc - 1131510 ns MR4_D 40006004 00000001 - 1131510 ns R r1 00000001 - 1131510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1131530 ns R r1 80000000 - 1131530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1131550 ns R psr 81000200 - 1131550 ns MR4_I 01000208 2a001c5b - 1131570 ns MR4_I 01000200 07c96841 - 1131590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1131610 ns MR4_I 01000204 6002d1fc - 1131670 ns MR4_D 40006004 00000001 - 1131670 ns R r1 00000001 - 1131670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1131690 ns R r1 80000000 - 1131690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1131710 ns R psr 81000200 - 1131710 ns MR4_I 01000208 2a001c5b - 1131730 ns MR4_I 01000200 07c96841 - 1131750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1131770 ns MR4_I 01000204 6002d1fc - 1131830 ns MR4_D 40006004 00000001 - 1131830 ns R r1 00000001 - 1131830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1131850 ns R r1 80000000 - 1131850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1131870 ns R psr 81000200 - 1131870 ns MR4_I 01000208 2a001c5b - 1131890 ns MR4_I 01000200 07c96841 - 1131910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1131930 ns MR4_I 01000204 6002d1fc - 1131990 ns MR4_D 40006004 00000001 - 1131990 ns R r1 00000001 - 1131990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1132010 ns R r1 80000000 - 1132010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1132030 ns R psr 81000200 - 1132030 ns MR4_I 01000208 2a001c5b - 1132050 ns MR4_I 01000200 07c96841 - 1132070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1132090 ns MR4_I 01000204 6002d1fc - 1132150 ns MR4_D 40006004 00000001 - 1132150 ns R r1 00000001 - 1132150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1132170 ns R r1 80000000 - 1132170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1132190 ns R psr 81000200 - 1132190 ns MR4_I 01000208 2a001c5b - 1132210 ns MR4_I 01000200 07c96841 - 1132230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1132250 ns MR4_I 01000204 6002d1fc - 1132310 ns MR4_D 40006004 00000001 - 1132310 ns R r1 00000001 - 1132310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1132330 ns R r1 80000000 - 1132330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1132350 ns R psr 81000200 - 1132350 ns MR4_I 01000208 2a001c5b - 1132370 ns MR4_I 01000200 07c96841 - 1132390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1132410 ns MR4_I 01000204 6002d1fc - 1132470 ns MR4_D 40006004 00000001 - 1132470 ns R r1 00000001 - 1132470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1132490 ns R r1 80000000 - 1132490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1132510 ns R psr 81000200 - 1132510 ns MR4_I 01000208 2a001c5b - 1132530 ns MR4_I 01000200 07c96841 - 1132550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1132570 ns MR4_I 01000204 6002d1fc - 1132630 ns MR4_D 40006004 00000001 - 1132630 ns R r1 00000001 - 1132630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1132650 ns R r1 80000000 - 1132650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1132670 ns R psr 81000200 - 1132670 ns MR4_I 01000208 2a001c5b - 1132690 ns MR4_I 01000200 07c96841 - 1132710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1132730 ns MR4_I 01000204 6002d1fc - 1132790 ns MR4_D 40006004 00000001 - 1132790 ns R r1 00000001 - 1132790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1132810 ns R r1 80000000 - 1132810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1132830 ns R psr 81000200 - 1132830 ns MR4_I 01000208 2a001c5b - 1132850 ns MR4_I 01000200 07c96841 - 1132870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1132890 ns MR4_I 01000204 6002d1fc - 1132950 ns MR4_D 40006004 00000001 - 1132950 ns R r1 00000001 - 1132950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1132970 ns R r1 80000000 - 1132970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1132990 ns R psr 81000200 - 1132990 ns MR4_I 01000208 2a001c5b - 1133010 ns MR4_I 01000200 07c96841 - 1133030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1133050 ns MR4_I 01000204 6002d1fc - 1133110 ns MR4_D 40006004 00000001 - 1133110 ns R r1 00000001 - 1133110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1133130 ns R r1 80000000 - 1133130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1133150 ns R psr 81000200 - 1133150 ns MR4_I 01000208 2a001c5b - 1133170 ns MR4_I 01000200 07c96841 - 1133190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1133210 ns MR4_I 01000204 6002d1fc - 1133270 ns MR4_D 40006004 00000001 - 1133270 ns R r1 00000001 - 1133270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1133290 ns R r1 80000000 - 1133290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1133310 ns R psr 81000200 - 1133310 ns MR4_I 01000208 2a001c5b - 1133330 ns MR4_I 01000200 07c96841 - 1133350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1133370 ns MR4_I 01000204 6002d1fc - 1133430 ns MR4_D 40006004 00000001 - 1133430 ns R r1 00000001 - 1133430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1133450 ns R r1 80000000 - 1133450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1133470 ns R psr 81000200 - 1133470 ns MR4_I 01000208 2a001c5b - 1133490 ns MR4_I 01000200 07c96841 - 1133510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1133530 ns MR4_I 01000204 6002d1fc - 1133590 ns MR4_D 40006004 00000001 - 1133590 ns R r1 00000001 - 1133590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1133610 ns R r1 80000000 - 1133610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1133630 ns R psr 81000200 - 1133630 ns MR4_I 01000208 2a001c5b - 1133650 ns MR4_I 01000200 07c96841 - 1133670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1133690 ns MR4_I 01000204 6002d1fc - 1133750 ns MR4_D 40006004 00000001 - 1133750 ns R r1 00000001 - 1133750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1133770 ns R r1 80000000 - 1133770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1133790 ns R psr 81000200 - 1133790 ns MR4_I 01000208 2a001c5b - 1133810 ns MR4_I 01000200 07c96841 - 1133830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1133850 ns MR4_I 01000204 6002d1fc - 1133910 ns MR4_D 40006004 00000001 - 1133910 ns R r1 00000001 - 1133910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1133930 ns R r1 80000000 - 1133930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1133950 ns R psr 81000200 - 1133950 ns MR4_I 01000208 2a001c5b - 1133970 ns MR4_I 01000200 07c96841 - 1133990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1134010 ns MR4_I 01000204 6002d1fc - 1134070 ns MR4_D 40006004 00000001 - 1134070 ns R r1 00000001 - 1134070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1134090 ns R r1 80000000 - 1134090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1134110 ns R psr 81000200 - 1134110 ns MR4_I 01000208 2a001c5b - 1134130 ns MR4_I 01000200 07c96841 - 1134150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1134170 ns MR4_I 01000204 6002d1fc - 1134230 ns MR4_D 40006004 00000001 - 1134230 ns R r1 00000001 - 1134230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1134250 ns R r1 80000000 - 1134250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1134270 ns R psr 81000200 - 1134270 ns MR4_I 01000208 2a001c5b - 1134290 ns MR4_I 01000200 07c96841 - 1134310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1134330 ns MR4_I 01000204 6002d1fc - 1134390 ns MR4_D 40006004 00000001 - 1134390 ns R r1 00000001 - 1134390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1134410 ns R r1 80000000 - 1134410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1134430 ns R psr 81000200 - 1134430 ns MR4_I 01000208 2a001c5b - 1134450 ns MR4_I 01000200 07c96841 - 1134470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1134490 ns MR4_I 01000204 6002d1fc - 1134550 ns MR4_D 40006004 00000001 - 1134550 ns R r1 00000001 - 1134550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1134570 ns R r1 80000000 - 1134570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1134590 ns R psr 81000200 - 1134590 ns MR4_I 01000208 2a001c5b - 1134610 ns MR4_I 01000200 07c96841 - 1134630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1134650 ns MR4_I 01000204 6002d1fc - 1134710 ns MR4_D 40006004 00000001 - 1134710 ns R r1 00000001 - 1134710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1134730 ns R r1 80000000 - 1134730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1134750 ns R psr 81000200 - 1134750 ns MR4_I 01000208 2a001c5b - 1134770 ns MR4_I 01000200 07c96841 - 1134790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1134810 ns MR4_I 01000204 6002d1fc - 1134870 ns MR4_D 40006004 00000001 - 1134870 ns R r1 00000001 - 1134870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1134890 ns R r1 80000000 - 1134890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1134910 ns R psr 81000200 - 1134910 ns MR4_I 01000208 2a001c5b - 1134930 ns MR4_I 01000200 07c96841 - 1134950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1134970 ns MR4_I 01000204 6002d1fc - 1135030 ns MR4_D 40006004 00000001 - 1135030 ns R r1 00000001 - 1135030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1135050 ns R r1 80000000 - 1135050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1135070 ns R psr 81000200 - 1135070 ns MR4_I 01000208 2a001c5b - 1135090 ns MR4_I 01000200 07c96841 - 1135110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1135130 ns MR4_I 01000204 6002d1fc - 1135190 ns MR4_D 40006004 00000001 - 1135190 ns R r1 00000001 - 1135190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1135210 ns R r1 80000000 - 1135210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1135230 ns R psr 81000200 - 1135230 ns MR4_I 01000208 2a001c5b - 1135250 ns MR4_I 01000200 07c96841 - 1135270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1135290 ns MR4_I 01000204 6002d1fc - 1135350 ns MR4_D 40006004 00000001 - 1135350 ns R r1 00000001 - 1135350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1135370 ns R r1 80000000 - 1135370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1135390 ns R psr 81000200 - 1135390 ns MR4_I 01000208 2a001c5b - 1135410 ns MR4_I 01000200 07c96841 - 1135430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1135450 ns MR4_I 01000204 6002d1fc - 1135510 ns MR4_D 40006004 00000001 - 1135510 ns R r1 00000001 - 1135510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1135530 ns R r1 80000000 - 1135530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1135550 ns R psr 81000200 - 1135550 ns MR4_I 01000208 2a001c5b - 1135570 ns MR4_I 01000200 07c96841 - 1135590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1135610 ns MR4_I 01000204 6002d1fc - 1135670 ns MR4_D 40006004 00000001 - 1135670 ns R r1 00000001 - 1135670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1135690 ns R r1 80000000 - 1135690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1135710 ns R psr 81000200 - 1135710 ns MR4_I 01000208 2a001c5b - 1135730 ns MR4_I 01000200 07c96841 - 1135750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1135770 ns MR4_I 01000204 6002d1fc - 1135830 ns MR4_D 40006004 00000001 - 1135830 ns R r1 00000001 - 1135830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1135850 ns R r1 80000000 - 1135850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1135870 ns R psr 81000200 - 1135870 ns MR4_I 01000208 2a001c5b - 1135890 ns MR4_I 01000200 07c96841 - 1135910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1135930 ns MR4_I 01000204 6002d1fc - 1135990 ns MR4_D 40006004 00000001 - 1135990 ns R r1 00000001 - 1135990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1136010 ns R r1 80000000 - 1136010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1136030 ns R psr 81000200 - 1136030 ns MR4_I 01000208 2a001c5b - 1136050 ns MR4_I 01000200 07c96841 - 1136070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1136090 ns MR4_I 01000204 6002d1fc - 1136150 ns MR4_D 40006004 00000001 - 1136150 ns R r1 00000001 - 1136150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1136170 ns R r1 80000000 - 1136170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1136190 ns R psr 81000200 - 1136190 ns MR4_I 01000208 2a001c5b - 1136210 ns MR4_I 01000200 07c96841 - 1136230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1136250 ns MR4_I 01000204 6002d1fc - 1136310 ns MR4_D 40006004 00000001 - 1136310 ns R r1 00000001 - 1136310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1136330 ns R r1 80000000 - 1136330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1136350 ns R psr 81000200 - 1136350 ns MR4_I 01000208 2a001c5b - 1136370 ns MR4_I 01000200 07c96841 - 1136390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1136410 ns MR4_I 01000204 6002d1fc - 1136470 ns MR4_D 40006004 00000001 - 1136470 ns R r1 00000001 - 1136470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1136490 ns R r1 80000000 - 1136490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1136510 ns R psr 81000200 - 1136510 ns MR4_I 01000208 2a001c5b - 1136530 ns MR4_I 01000200 07c96841 - 1136550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1136570 ns MR4_I 01000204 6002d1fc - 1136630 ns MR4_D 40006004 00000001 - 1136630 ns R r1 00000001 - 1136630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1136650 ns R r1 80000000 - 1136650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1136670 ns R psr 81000200 - 1136670 ns MR4_I 01000208 2a001c5b - 1136690 ns MR4_I 01000200 07c96841 - 1136710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1136730 ns MR4_I 01000204 6002d1fc - 1136790 ns MR4_D 40006004 00000001 - 1136790 ns R r1 00000001 - 1136790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1136810 ns R r1 80000000 - 1136810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1136830 ns R psr 81000200 - 1136830 ns MR4_I 01000208 2a001c5b - 1136850 ns MR4_I 01000200 07c96841 - 1136870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1136890 ns MR4_I 01000204 6002d1fc - 1136950 ns MR4_D 40006004 00000001 - 1136950 ns R r1 00000001 - 1136950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1136970 ns R r1 80000000 - 1136970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1136990 ns R psr 81000200 - 1136990 ns MR4_I 01000208 2a001c5b - 1137010 ns MR4_I 01000200 07c96841 - 1137030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1137050 ns MR4_I 01000204 6002d1fc - 1137110 ns MR4_D 40006004 00000001 - 1137110 ns R r1 00000001 - 1137110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1137130 ns R r1 80000000 - 1137130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1137150 ns R psr 81000200 - 1137150 ns MR4_I 01000208 2a001c5b - 1137170 ns MR4_I 01000200 07c96841 - 1137190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1137210 ns MR4_I 01000204 6002d1fc - 1137270 ns MR4_D 40006004 00000001 - 1137270 ns R r1 00000001 - 1137270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1137290 ns R r1 80000000 - 1137290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1137310 ns R psr 81000200 - 1137310 ns MR4_I 01000208 2a001c5b - 1137330 ns MR4_I 01000200 07c96841 - 1137350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1137370 ns MR4_I 01000204 6002d1fc - 1137430 ns MR4_D 40006004 00000001 - 1137430 ns R r1 00000001 - 1137430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1137450 ns R r1 80000000 - 1137450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1137470 ns R psr 81000200 - 1137470 ns MR4_I 01000208 2a001c5b - 1137490 ns MR4_I 01000200 07c96841 - 1137510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1137530 ns MR4_I 01000204 6002d1fc - 1137590 ns MR4_D 40006004 00000001 - 1137590 ns R r1 00000001 - 1137590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1137610 ns R r1 80000000 - 1137610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1137630 ns R psr 81000200 - 1137630 ns MR4_I 01000208 2a001c5b - 1137650 ns MR4_I 01000200 07c96841 - 1137670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1137690 ns MR4_I 01000204 6002d1fc - 1137750 ns MR4_D 40006004 00000001 - 1137750 ns R r1 00000001 - 1137750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1137770 ns R r1 80000000 - 1137770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1137790 ns R psr 81000200 - 1137790 ns MR4_I 01000208 2a001c5b - 1137810 ns MR4_I 01000200 07c96841 - 1137830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1137850 ns MR4_I 01000204 6002d1fc - 1137910 ns MR4_D 40006004 00000001 - 1137910 ns R r1 00000001 - 1137910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1137930 ns R r1 80000000 - 1137930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1137950 ns R psr 81000200 - 1137950 ns MR4_I 01000208 2a001c5b - 1137970 ns MR4_I 01000200 07c96841 - 1137990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1138010 ns MR4_I 01000204 6002d1fc - 1138070 ns MR4_D 40006004 00000001 - 1138070 ns R r1 00000001 - 1138070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1138090 ns R r1 80000000 - 1138090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1138110 ns R psr 81000200 - 1138110 ns MR4_I 01000208 2a001c5b - 1138130 ns MR4_I 01000200 07c96841 - 1138150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1138170 ns MR4_I 01000204 6002d1fc - 1138230 ns MR4_D 40006004 00000001 - 1138230 ns R r1 00000001 - 1138230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1138250 ns R r1 80000000 - 1138250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1138270 ns R psr 81000200 - 1138270 ns MR4_I 01000208 2a001c5b - 1138290 ns MR4_I 01000200 07c96841 - 1138310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1138330 ns MR4_I 01000204 6002d1fc - 1138390 ns MR4_D 40006004 00000001 - 1138390 ns R r1 00000001 - 1138390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1138410 ns R r1 80000000 - 1138410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1138430 ns R psr 81000200 - 1138430 ns MR4_I 01000208 2a001c5b - 1138450 ns MR4_I 01000200 07c96841 - 1138470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1138490 ns MR4_I 01000204 6002d1fc - 1138550 ns MR4_D 40006004 00000001 - 1138550 ns R r1 00000001 - 1138550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1138570 ns R r1 80000000 - 1138570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1138590 ns R psr 81000200 - 1138590 ns MR4_I 01000208 2a001c5b - 1138610 ns MR4_I 01000200 07c96841 - 1138630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1138650 ns MR4_I 01000204 6002d1fc - 1138710 ns MR4_D 40006004 00000001 - 1138710 ns R r1 00000001 - 1138710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1138730 ns R r1 80000000 - 1138730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1138750 ns R psr 81000200 - 1138750 ns MR4_I 01000208 2a001c5b - 1138770 ns MR4_I 01000200 07c96841 - 1138790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1138810 ns MR4_I 01000204 6002d1fc - 1138870 ns MR4_D 40006004 00000001 - 1138870 ns R r1 00000001 - 1138870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1138890 ns R r1 80000000 - 1138890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1138910 ns R psr 81000200 - 1138910 ns MR4_I 01000208 2a001c5b - 1138930 ns MR4_I 01000200 07c96841 - 1138950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1138970 ns MR4_I 01000204 6002d1fc - 1139030 ns MR4_D 40006004 00000001 - 1139030 ns R r1 00000001 - 1139030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1139050 ns R r1 80000000 - 1139050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1139070 ns R psr 81000200 - 1139070 ns MR4_I 01000208 2a001c5b - 1139090 ns MR4_I 01000200 07c96841 - 1139110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1139130 ns MR4_I 01000204 6002d1fc - 1139190 ns MR4_D 40006004 00000001 - 1139190 ns R r1 00000001 - 1139190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1139210 ns R r1 80000000 - 1139210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1139230 ns R psr 81000200 - 1139230 ns MR4_I 01000208 2a001c5b - 1139250 ns MR4_I 01000200 07c96841 - 1139270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1139290 ns MR4_I 01000204 6002d1fc - 1139350 ns MR4_D 40006004 00000001 - 1139350 ns R r1 00000001 - 1139350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1139370 ns R r1 80000000 - 1139370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1139390 ns R psr 81000200 - 1139390 ns MR4_I 01000208 2a001c5b - 1139410 ns MR4_I 01000200 07c96841 - 1139430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1139450 ns MR4_I 01000204 6002d1fc - 1139510 ns MR4_D 40006004 00000001 - 1139510 ns R r1 00000001 - 1139510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1139530 ns R r1 80000000 - 1139530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1139550 ns R psr 81000200 - 1139550 ns MR4_I 01000208 2a001c5b - 1139570 ns MR4_I 01000200 07c96841 - 1139590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1139610 ns MR4_I 01000204 6002d1fc - 1139670 ns MR4_D 40006004 00000001 - 1139670 ns R r1 00000001 - 1139670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1139690 ns R r1 80000000 - 1139690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1139710 ns R psr 81000200 - 1139710 ns MR4_I 01000208 2a001c5b - 1139730 ns MR4_I 01000200 07c96841 - 1139750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1139770 ns MR4_I 01000204 6002d1fc - 1139830 ns MR4_D 40006004 00000001 - 1139830 ns R r1 00000001 - 1139830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1139850 ns R r1 80000000 - 1139850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1139870 ns R psr 81000200 - 1139870 ns MR4_I 01000208 2a001c5b - 1139890 ns MR4_I 01000200 07c96841 - 1139910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1139930 ns MR4_I 01000204 6002d1fc - 1139990 ns MR4_D 40006004 00000000 - 1139990 ns R r1 00000000 - 1139990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1140010 ns R r1 00000000 - 1140010 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1140030 ns R psr 41000200 - 1140030 ns MR4_I 01000208 2a001c5b - 1140030 ns IT 01000206 6002 STR r2,[r0,#0] - 1140110 ns MW4_D 40006000 00000020 - 1140110 ns IT 01000208 1c5b ADDS r3,r3,#1 - 1140130 ns MR4_I 0100020c a32ad1f5 - 1140130 ns R r3 010002b1 - 1140130 ns IT 0100020a 2a00 CMP r2,#0 - 1140150 ns R psr 01000200 - 1140150 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 1140170 ns R psr 21000200 - 1140170 ns MR4_I 01000210 2a00781a - 1140190 ns MR4_I 010001f8 781aa326 - 1140210 ns MR4_I 010001fc d0062a00 - 1140210 ns IT 010001fa 781a LDRB r2,[r3,#0] - 1140250 ns MR1_D 010002b1 4b445320 - 1140250 ns R r2 00000053 - 1140250 ns IT 010001fc 2a00 CMP r2,#0 - 1140270 ns MR4_I 01000200 07c96841 - 1140270 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 1140290 ns R psr 21000200 - 1140290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1140310 ns MR4_I 01000204 6002d1fc - 1140370 ns MR4_D 40006004 00000001 - 1140370 ns R r1 00000001 - 1140370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1140390 ns R r1 80000000 - 1140390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1140410 ns R psr 81000200 - 1140410 ns MR4_I 01000208 2a001c5b - 1140430 ns MR4_I 01000200 07c96841 - 1140450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1140470 ns MR4_I 01000204 6002d1fc - 1140530 ns MR4_D 40006004 00000001 - 1140530 ns R r1 00000001 - 1140530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1140550 ns R r1 80000000 - 1140550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1140570 ns R psr 81000200 - 1140570 ns MR4_I 01000208 2a001c5b - 1140590 ns MR4_I 01000200 07c96841 - 1140610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1140630 ns MR4_I 01000204 6002d1fc - 1140690 ns MR4_D 40006004 00000001 - 1140690 ns R r1 00000001 - 1140690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1140710 ns R r1 80000000 - 1140710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1140730 ns R psr 81000200 - 1140730 ns MR4_I 01000208 2a001c5b - 1140750 ns MR4_I 01000200 07c96841 - 1140770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1140790 ns MR4_I 01000204 6002d1fc - 1140850 ns MR4_D 40006004 00000001 - 1140850 ns R r1 00000001 - 1140850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1140870 ns R r1 80000000 - 1140870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1140890 ns R psr 81000200 - 1140890 ns MR4_I 01000208 2a001c5b - 1140910 ns MR4_I 01000200 07c96841 - 1140930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1140950 ns MR4_I 01000204 6002d1fc - 1141010 ns MR4_D 40006004 00000001 - 1141010 ns R r1 00000001 - 1141010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1141030 ns R r1 80000000 - 1141030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1141050 ns R psr 81000200 - 1141050 ns MR4_I 01000208 2a001c5b - 1141070 ns MR4_I 01000200 07c96841 - 1141090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1141110 ns MR4_I 01000204 6002d1fc - 1141170 ns MR4_D 40006004 00000001 - 1141170 ns R r1 00000001 - 1141170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1141190 ns R r1 80000000 - 1141190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1141210 ns R psr 81000200 - 1141210 ns MR4_I 01000208 2a001c5b - 1141230 ns MR4_I 01000200 07c96841 - 1141250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1141270 ns MR4_I 01000204 6002d1fc - 1141330 ns MR4_D 40006004 00000001 - 1141330 ns R r1 00000001 - 1141330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1141350 ns R r1 80000000 - 1141350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1141370 ns R psr 81000200 - 1141370 ns MR4_I 01000208 2a001c5b - 1141390 ns MR4_I 01000200 07c96841 - 1141410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1141430 ns MR4_I 01000204 6002d1fc - 1141490 ns MR4_D 40006004 00000001 - 1141490 ns R r1 00000001 - 1141490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1141510 ns R r1 80000000 - 1141510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1141530 ns R psr 81000200 - 1141530 ns MR4_I 01000208 2a001c5b - 1141550 ns MR4_I 01000200 07c96841 - 1141570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1141590 ns MR4_I 01000204 6002d1fc - 1141650 ns MR4_D 40006004 00000001 - 1141650 ns R r1 00000001 - 1141650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1141670 ns R r1 80000000 - 1141670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1141690 ns R psr 81000200 - 1141690 ns MR4_I 01000208 2a001c5b - 1141710 ns MR4_I 01000200 07c96841 - 1141730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1141750 ns MR4_I 01000204 6002d1fc - 1141810 ns MR4_D 40006004 00000001 - 1141810 ns R r1 00000001 - 1141810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1141830 ns R r1 80000000 - 1141830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1141850 ns R psr 81000200 - 1141850 ns MR4_I 01000208 2a001c5b - 1141870 ns MR4_I 01000200 07c96841 - 1141890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1141910 ns MR4_I 01000204 6002d1fc - 1141970 ns MR4_D 40006004 00000001 - 1141970 ns R r1 00000001 - 1141970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1141990 ns R r1 80000000 - 1141990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1142010 ns R psr 81000200 - 1142010 ns MR4_I 01000208 2a001c5b - 1142030 ns MR4_I 01000200 07c96841 - 1142050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1142070 ns MR4_I 01000204 6002d1fc - 1142130 ns MR4_D 40006004 00000001 - 1142130 ns R r1 00000001 - 1142130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1142150 ns R r1 80000000 - 1142150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1142170 ns R psr 81000200 - 1142170 ns MR4_I 01000208 2a001c5b - 1142190 ns MR4_I 01000200 07c96841 - 1142210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1142230 ns MR4_I 01000204 6002d1fc - 1142290 ns MR4_D 40006004 00000001 - 1142290 ns R r1 00000001 - 1142290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1142310 ns R r1 80000000 - 1142310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1142330 ns R psr 81000200 - 1142330 ns MR4_I 01000208 2a001c5b - 1142350 ns MR4_I 01000200 07c96841 - 1142370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1142390 ns MR4_I 01000204 6002d1fc - 1142450 ns MR4_D 40006004 00000001 - 1142450 ns R r1 00000001 - 1142450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1142470 ns R r1 80000000 - 1142470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1142490 ns R psr 81000200 - 1142490 ns MR4_I 01000208 2a001c5b - 1142510 ns MR4_I 01000200 07c96841 - 1142530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1142550 ns MR4_I 01000204 6002d1fc - 1142610 ns MR4_D 40006004 00000001 - 1142610 ns R r1 00000001 - 1142610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1142630 ns R r1 80000000 - 1142630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1142650 ns R psr 81000200 - 1142650 ns MR4_I 01000208 2a001c5b - 1142670 ns MR4_I 01000200 07c96841 - 1142690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1142710 ns MR4_I 01000204 6002d1fc - 1142770 ns MR4_D 40006004 00000001 - 1142770 ns R r1 00000001 - 1142770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1142790 ns R r1 80000000 - 1142790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1142810 ns R psr 81000200 - 1142810 ns MR4_I 01000208 2a001c5b - 1142830 ns MR4_I 01000200 07c96841 - 1142850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1142870 ns MR4_I 01000204 6002d1fc - 1142930 ns MR4_D 40006004 00000001 - 1142930 ns R r1 00000001 - 1142930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1142950 ns R r1 80000000 - 1142950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1142970 ns R psr 81000200 - 1142970 ns MR4_I 01000208 2a001c5b - 1142990 ns MR4_I 01000200 07c96841 - 1143010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1143030 ns MR4_I 01000204 6002d1fc - 1143090 ns MR4_D 40006004 00000001 - 1143090 ns R r1 00000001 - 1143090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1143110 ns R r1 80000000 - 1143110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1143130 ns R psr 81000200 - 1143130 ns MR4_I 01000208 2a001c5b - 1143150 ns MR4_I 01000200 07c96841 - 1143170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1143190 ns MR4_I 01000204 6002d1fc - 1143250 ns MR4_D 40006004 00000001 - 1143250 ns R r1 00000001 - 1143250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1143270 ns R r1 80000000 - 1143270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1143290 ns R psr 81000200 - 1143290 ns MR4_I 01000208 2a001c5b - 1143310 ns MR4_I 01000200 07c96841 - 1143330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1143350 ns MR4_I 01000204 6002d1fc - 1143410 ns MR4_D 40006004 00000001 - 1143410 ns R r1 00000001 - 1143410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1143430 ns R r1 80000000 - 1143430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1143450 ns R psr 81000200 - 1143450 ns MR4_I 01000208 2a001c5b - 1143470 ns MR4_I 01000200 07c96841 - 1143490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1143510 ns MR4_I 01000204 6002d1fc - 1143570 ns MR4_D 40006004 00000001 - 1143570 ns R r1 00000001 - 1143570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1143590 ns R r1 80000000 - 1143590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1143610 ns R psr 81000200 - 1143610 ns MR4_I 01000208 2a001c5b - 1143630 ns MR4_I 01000200 07c96841 - 1143650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1143670 ns MR4_I 01000204 6002d1fc - 1143730 ns MR4_D 40006004 00000001 - 1143730 ns R r1 00000001 - 1143730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1143750 ns R r1 80000000 - 1143750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1143770 ns R psr 81000200 - 1143770 ns MR4_I 01000208 2a001c5b - 1143790 ns MR4_I 01000200 07c96841 - 1143810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1143830 ns MR4_I 01000204 6002d1fc - 1143890 ns MR4_D 40006004 00000001 - 1143890 ns R r1 00000001 - 1143890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1143910 ns R r1 80000000 - 1143910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1143930 ns R psr 81000200 - 1143930 ns MR4_I 01000208 2a001c5b - 1143950 ns MR4_I 01000200 07c96841 - 1143970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1143990 ns MR4_I 01000204 6002d1fc - 1144050 ns MR4_D 40006004 00000001 - 1144050 ns R r1 00000001 - 1144050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1144070 ns R r1 80000000 - 1144070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1144090 ns R psr 81000200 - 1144090 ns MR4_I 01000208 2a001c5b - 1144110 ns MR4_I 01000200 07c96841 - 1144130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1144150 ns MR4_I 01000204 6002d1fc - 1144210 ns MR4_D 40006004 00000001 - 1144210 ns R r1 00000001 - 1144210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1144230 ns R r1 80000000 - 1144230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1144250 ns R psr 81000200 - 1144250 ns MR4_I 01000208 2a001c5b - 1144270 ns MR4_I 01000200 07c96841 - 1144290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1144310 ns MR4_I 01000204 6002d1fc - 1144370 ns MR4_D 40006004 00000001 - 1144370 ns R r1 00000001 - 1144370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1144390 ns R r1 80000000 - 1144390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1144410 ns R psr 81000200 - 1144410 ns MR4_I 01000208 2a001c5b - 1144430 ns MR4_I 01000200 07c96841 - 1144450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1144470 ns MR4_I 01000204 6002d1fc - 1144530 ns MR4_D 40006004 00000001 - 1144530 ns R r1 00000001 - 1144530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1144550 ns R r1 80000000 - 1144550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1144570 ns R psr 81000200 - 1144570 ns MR4_I 01000208 2a001c5b - 1144590 ns MR4_I 01000200 07c96841 - 1144610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1144630 ns MR4_I 01000204 6002d1fc - 1144690 ns MR4_D 40006004 00000001 - 1144690 ns R r1 00000001 - 1144690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1144710 ns R r1 80000000 - 1144710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1144730 ns R psr 81000200 - 1144730 ns MR4_I 01000208 2a001c5b - 1144750 ns MR4_I 01000200 07c96841 - 1144770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1144790 ns MR4_I 01000204 6002d1fc - 1144850 ns MR4_D 40006004 00000001 - 1144850 ns R r1 00000001 - 1144850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1144870 ns R r1 80000000 - 1144870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1144890 ns R psr 81000200 - 1144890 ns MR4_I 01000208 2a001c5b - 1144910 ns MR4_I 01000200 07c96841 - 1144930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1144950 ns MR4_I 01000204 6002d1fc - 1145010 ns MR4_D 40006004 00000001 - 1145010 ns R r1 00000001 - 1145010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1145030 ns R r1 80000000 - 1145030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1145050 ns R psr 81000200 - 1145050 ns MR4_I 01000208 2a001c5b - 1145070 ns MR4_I 01000200 07c96841 - 1145090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1145110 ns MR4_I 01000204 6002d1fc - 1145170 ns MR4_D 40006004 00000001 - 1145170 ns R r1 00000001 - 1145170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1145190 ns R r1 80000000 - 1145190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1145210 ns R psr 81000200 - 1145210 ns MR4_I 01000208 2a001c5b - 1145230 ns MR4_I 01000200 07c96841 - 1145250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1145270 ns MR4_I 01000204 6002d1fc - 1145330 ns MR4_D 40006004 00000001 - 1145330 ns R r1 00000001 - 1145330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1145350 ns R r1 80000000 - 1145350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1145370 ns R psr 81000200 - 1145370 ns MR4_I 01000208 2a001c5b - 1145390 ns MR4_I 01000200 07c96841 - 1145410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1145430 ns MR4_I 01000204 6002d1fc - 1145490 ns MR4_D 40006004 00000001 - 1145490 ns R r1 00000001 - 1145490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1145510 ns R r1 80000000 - 1145510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1145530 ns R psr 81000200 - 1145530 ns MR4_I 01000208 2a001c5b - 1145550 ns MR4_I 01000200 07c96841 - 1145570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1145590 ns MR4_I 01000204 6002d1fc - 1145650 ns MR4_D 40006004 00000001 - 1145650 ns R r1 00000001 - 1145650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1145670 ns R r1 80000000 - 1145670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1145690 ns R psr 81000200 - 1145690 ns MR4_I 01000208 2a001c5b - 1145710 ns MR4_I 01000200 07c96841 - 1145730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1145750 ns MR4_I 01000204 6002d1fc - 1145810 ns MR4_D 40006004 00000001 - 1145810 ns R r1 00000001 - 1145810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1145830 ns R r1 80000000 - 1145830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1145850 ns R psr 81000200 - 1145850 ns MR4_I 01000208 2a001c5b - 1145870 ns MR4_I 01000200 07c96841 - 1145890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1145910 ns MR4_I 01000204 6002d1fc - 1145970 ns MR4_D 40006004 00000001 - 1145970 ns R r1 00000001 - 1145970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1145990 ns R r1 80000000 - 1145990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1146010 ns R psr 81000200 - 1146010 ns MR4_I 01000208 2a001c5b - 1146030 ns MR4_I 01000200 07c96841 - 1146050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1146070 ns MR4_I 01000204 6002d1fc - 1146130 ns MR4_D 40006004 00000001 - 1146130 ns R r1 00000001 - 1146130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1146150 ns R r1 80000000 - 1146150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1146170 ns R psr 81000200 - 1146170 ns MR4_I 01000208 2a001c5b - 1146190 ns MR4_I 01000200 07c96841 - 1146210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1146230 ns MR4_I 01000204 6002d1fc - 1146290 ns MR4_D 40006004 00000001 - 1146290 ns R r1 00000001 - 1146290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1146310 ns R r1 80000000 - 1146310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1146330 ns R psr 81000200 - 1146330 ns MR4_I 01000208 2a001c5b - 1146350 ns MR4_I 01000200 07c96841 - 1146370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1146390 ns MR4_I 01000204 6002d1fc - 1146450 ns MR4_D 40006004 00000001 - 1146450 ns R r1 00000001 - 1146450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1146470 ns R r1 80000000 - 1146470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1146490 ns R psr 81000200 - 1146490 ns MR4_I 01000208 2a001c5b - 1146510 ns MR4_I 01000200 07c96841 - 1146530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1146550 ns MR4_I 01000204 6002d1fc - 1146610 ns MR4_D 40006004 00000001 - 1146610 ns R r1 00000001 - 1146610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1146630 ns R r1 80000000 - 1146630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1146650 ns R psr 81000200 - 1146650 ns MR4_I 01000208 2a001c5b - 1146670 ns MR4_I 01000200 07c96841 - 1146690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1146710 ns MR4_I 01000204 6002d1fc - 1146770 ns MR4_D 40006004 00000001 - 1146770 ns R r1 00000001 - 1146770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1146790 ns R r1 80000000 - 1146790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1146810 ns R psr 81000200 - 1146810 ns MR4_I 01000208 2a001c5b - 1146830 ns MR4_I 01000200 07c96841 - 1146850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1146870 ns MR4_I 01000204 6002d1fc - 1146930 ns MR4_D 40006004 00000001 - 1146930 ns R r1 00000001 - 1146930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1146950 ns R r1 80000000 - 1146950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1146970 ns R psr 81000200 - 1146970 ns MR4_I 01000208 2a001c5b - 1146990 ns MR4_I 01000200 07c96841 - 1147010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1147030 ns MR4_I 01000204 6002d1fc - 1147090 ns MR4_D 40006004 00000001 - 1147090 ns R r1 00000001 - 1147090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1147110 ns R r1 80000000 - 1147110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1147130 ns R psr 81000200 - 1147130 ns MR4_I 01000208 2a001c5b - 1147150 ns MR4_I 01000200 07c96841 - 1147170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1147190 ns MR4_I 01000204 6002d1fc - 1147250 ns MR4_D 40006004 00000001 - 1147250 ns R r1 00000001 - 1147250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1147270 ns R r1 80000000 - 1147270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1147290 ns R psr 81000200 - 1147290 ns MR4_I 01000208 2a001c5b - 1147310 ns MR4_I 01000200 07c96841 - 1147330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1147350 ns MR4_I 01000204 6002d1fc - 1147410 ns MR4_D 40006004 00000001 - 1147410 ns R r1 00000001 - 1147410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1147430 ns R r1 80000000 - 1147430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1147450 ns R psr 81000200 - 1147450 ns MR4_I 01000208 2a001c5b - 1147470 ns MR4_I 01000200 07c96841 - 1147490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1147510 ns MR4_I 01000204 6002d1fc - 1147570 ns MR4_D 40006004 00000001 - 1147570 ns R r1 00000001 - 1147570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1147590 ns R r1 80000000 - 1147590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1147610 ns R psr 81000200 - 1147610 ns MR4_I 01000208 2a001c5b - 1147630 ns MR4_I 01000200 07c96841 - 1147650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1147670 ns MR4_I 01000204 6002d1fc - 1147730 ns MR4_D 40006004 00000001 - 1147730 ns R r1 00000001 - 1147730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1147750 ns R r1 80000000 - 1147750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1147770 ns R psr 81000200 - 1147770 ns MR4_I 01000208 2a001c5b - 1147790 ns MR4_I 01000200 07c96841 - 1147810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1147830 ns MR4_I 01000204 6002d1fc - 1147890 ns MR4_D 40006004 00000001 - 1147890 ns R r1 00000001 - 1147890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1147910 ns R r1 80000000 - 1147910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1147930 ns R psr 81000200 - 1147930 ns MR4_I 01000208 2a001c5b - 1147950 ns MR4_I 01000200 07c96841 - 1147970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1147990 ns MR4_I 01000204 6002d1fc - 1148050 ns MR4_D 40006004 00000001 - 1148050 ns R r1 00000001 - 1148050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1148070 ns R r1 80000000 - 1148070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1148090 ns R psr 81000200 - 1148090 ns MR4_I 01000208 2a001c5b - 1148110 ns MR4_I 01000200 07c96841 - 1148130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1148150 ns MR4_I 01000204 6002d1fc - 1148210 ns MR4_D 40006004 00000001 - 1148210 ns R r1 00000001 - 1148210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1148230 ns R r1 80000000 - 1148230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1148250 ns R psr 81000200 - 1148250 ns MR4_I 01000208 2a001c5b - 1148270 ns MR4_I 01000200 07c96841 - 1148290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1148310 ns MR4_I 01000204 6002d1fc - 1148370 ns MR4_D 40006004 00000001 - 1148370 ns R r1 00000001 - 1148370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1148390 ns R r1 80000000 - 1148390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1148410 ns R psr 81000200 - 1148410 ns MR4_I 01000208 2a001c5b - 1148430 ns MR4_I 01000200 07c96841 - 1148450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1148470 ns MR4_I 01000204 6002d1fc - 1148530 ns MR4_D 40006004 00000001 - 1148530 ns R r1 00000001 - 1148530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1148550 ns R r1 80000000 - 1148550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1148570 ns R psr 81000200 - 1148570 ns MR4_I 01000208 2a001c5b - 1148590 ns MR4_I 01000200 07c96841 - 1148610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1148630 ns MR4_I 01000204 6002d1fc - 1148690 ns MR4_D 40006004 00000001 - 1148690 ns R r1 00000001 - 1148690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1148710 ns R r1 80000000 - 1148710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1148730 ns R psr 81000200 - 1148730 ns MR4_I 01000208 2a001c5b - 1148750 ns MR4_I 01000200 07c96841 - 1148770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1148790 ns MR4_I 01000204 6002d1fc - 1148850 ns MR4_D 40006004 00000001 - 1148850 ns R r1 00000001 - 1148850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1148870 ns R r1 80000000 - 1148870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1148890 ns R psr 81000200 - 1148890 ns MR4_I 01000208 2a001c5b - 1148910 ns MR4_I 01000200 07c96841 - 1148930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1148950 ns MR4_I 01000204 6002d1fc - 1149010 ns MR4_D 40006004 00000001 - 1149010 ns R r1 00000001 - 1149010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1149030 ns R r1 80000000 - 1149030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1149050 ns R psr 81000200 - 1149050 ns MR4_I 01000208 2a001c5b - 1149070 ns MR4_I 01000200 07c96841 - 1149090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1149110 ns MR4_I 01000204 6002d1fc - 1149170 ns MR4_D 40006004 00000001 - 1149170 ns R r1 00000001 - 1149170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1149190 ns R r1 80000000 - 1149190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1149210 ns R psr 81000200 - 1149210 ns MR4_I 01000208 2a001c5b - 1149230 ns MR4_I 01000200 07c96841 - 1149250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1149270 ns MR4_I 01000204 6002d1fc - 1149330 ns MR4_D 40006004 00000001 - 1149330 ns R r1 00000001 - 1149330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1149350 ns R r1 80000000 - 1149350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1149370 ns R psr 81000200 - 1149370 ns MR4_I 01000208 2a001c5b - 1149390 ns MR4_I 01000200 07c96841 - 1149410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1149430 ns MR4_I 01000204 6002d1fc - 1149490 ns MR4_D 40006004 00000001 - 1149490 ns R r1 00000001 - 1149490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1149510 ns R r1 80000000 - 1149510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1149530 ns R psr 81000200 - 1149530 ns MR4_I 01000208 2a001c5b - 1149550 ns MR4_I 01000200 07c96841 - 1149570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1149590 ns MR4_I 01000204 6002d1fc - 1149650 ns MR4_D 40006004 00000001 - 1149650 ns R r1 00000001 - 1149650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1149670 ns R r1 80000000 - 1149670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1149690 ns R psr 81000200 - 1149690 ns MR4_I 01000208 2a001c5b - 1149710 ns MR4_I 01000200 07c96841 - 1149730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1149750 ns MR4_I 01000204 6002d1fc - 1149810 ns MR4_D 40006004 00000001 - 1149810 ns R r1 00000001 - 1149810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1149830 ns R r1 80000000 - 1149830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1149850 ns R psr 81000200 - 1149850 ns MR4_I 01000208 2a001c5b - 1149870 ns MR4_I 01000200 07c96841 - 1149890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1149910 ns MR4_I 01000204 6002d1fc - 1149970 ns MR4_D 40006004 00000001 - 1149970 ns R r1 00000001 - 1149970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1149990 ns R r1 80000000 - 1149990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1150010 ns R psr 81000200 - 1150010 ns MR4_I 01000208 2a001c5b - 1150030 ns MR4_I 01000200 07c96841 - 1150050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1150070 ns MR4_I 01000204 6002d1fc - 1150130 ns MR4_D 40006004 00000001 - 1150130 ns R r1 00000001 - 1150130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1150150 ns R r1 80000000 - 1150150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1150170 ns R psr 81000200 - 1150170 ns MR4_I 01000208 2a001c5b - 1150190 ns MR4_I 01000200 07c96841 - 1150210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1150230 ns MR4_I 01000204 6002d1fc - 1150290 ns MR4_D 40006004 00000001 - 1150290 ns R r1 00000001 - 1150290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1150310 ns R r1 80000000 - 1150310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1150330 ns R psr 81000200 - 1150330 ns MR4_I 01000208 2a001c5b - 1150350 ns MR4_I 01000200 07c96841 - 1150370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1150390 ns MR4_I 01000204 6002d1fc - 1150450 ns MR4_D 40006004 00000001 - 1150450 ns R r1 00000001 - 1150450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1150470 ns R r1 80000000 - 1150470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1150490 ns R psr 81000200 - 1150490 ns MR4_I 01000208 2a001c5b - 1150510 ns MR4_I 01000200 07c96841 - 1150530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1150550 ns MR4_I 01000204 6002d1fc - 1150610 ns MR4_D 40006004 00000001 - 1150610 ns R r1 00000001 - 1150610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1150630 ns R r1 80000000 - 1150630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1150650 ns R psr 81000200 - 1150650 ns MR4_I 01000208 2a001c5b - 1150670 ns MR4_I 01000200 07c96841 - 1150690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1150710 ns MR4_I 01000204 6002d1fc - 1150770 ns MR4_D 40006004 00000001 - 1150770 ns R r1 00000001 - 1150770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1150790 ns R r1 80000000 - 1150790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1150810 ns R psr 81000200 - 1150810 ns MR4_I 01000208 2a001c5b - 1150830 ns MR4_I 01000200 07c96841 - 1150850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1150870 ns MR4_I 01000204 6002d1fc - 1150930 ns MR4_D 40006004 00000001 - 1150930 ns R r1 00000001 - 1150930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1150950 ns R r1 80000000 - 1150950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1150970 ns R psr 81000200 - 1150970 ns MR4_I 01000208 2a001c5b - 1150990 ns MR4_I 01000200 07c96841 - 1151010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1151030 ns MR4_I 01000204 6002d1fc - 1151090 ns MR4_D 40006004 00000001 - 1151090 ns R r1 00000001 - 1151090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1151110 ns R r1 80000000 - 1151110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1151130 ns R psr 81000200 - 1151130 ns MR4_I 01000208 2a001c5b - 1151150 ns MR4_I 01000200 07c96841 - 1151170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1151190 ns MR4_I 01000204 6002d1fc - 1151250 ns MR4_D 40006004 00000001 - 1151250 ns R r1 00000001 - 1151250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1151270 ns R r1 80000000 - 1151270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1151290 ns R psr 81000200 - 1151290 ns MR4_I 01000208 2a001c5b - 1151310 ns MR4_I 01000200 07c96841 - 1151330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1151350 ns MR4_I 01000204 6002d1fc - 1151410 ns MR4_D 40006004 00000001 - 1151410 ns R r1 00000001 - 1151410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1151430 ns R r1 80000000 - 1151430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1151450 ns R psr 81000200 - 1151450 ns MR4_I 01000208 2a001c5b - 1151470 ns MR4_I 01000200 07c96841 - 1151490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1151510 ns MR4_I 01000204 6002d1fc - 1151570 ns MR4_D 40006004 00000001 - 1151570 ns R r1 00000001 - 1151570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1151590 ns R r1 80000000 - 1151590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1151610 ns R psr 81000200 - 1151610 ns MR4_I 01000208 2a001c5b - 1151630 ns MR4_I 01000200 07c96841 - 1151650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1151670 ns MR4_I 01000204 6002d1fc - 1151730 ns MR4_D 40006004 00000001 - 1151730 ns R r1 00000001 - 1151730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1151750 ns R r1 80000000 - 1151750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1151770 ns R psr 81000200 - 1151770 ns MR4_I 01000208 2a001c5b - 1151790 ns MR4_I 01000200 07c96841 - 1151810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1151830 ns MR4_I 01000204 6002d1fc - 1151890 ns MR4_D 40006004 00000001 - 1151890 ns R r1 00000001 - 1151890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1151910 ns R r1 80000000 - 1151910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1151930 ns R psr 81000200 - 1151930 ns MR4_I 01000208 2a001c5b - 1151950 ns MR4_I 01000200 07c96841 - 1151970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1151990 ns MR4_I 01000204 6002d1fc - 1152050 ns MR4_D 40006004 00000001 - 1152050 ns R r1 00000001 - 1152050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1152070 ns R r1 80000000 - 1152070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1152090 ns R psr 81000200 - 1152090 ns MR4_I 01000208 2a001c5b - 1152110 ns MR4_I 01000200 07c96841 - 1152130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1152150 ns MR4_I 01000204 6002d1fc - 1152210 ns MR4_D 40006004 00000001 - 1152210 ns R r1 00000001 - 1152210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1152230 ns R r1 80000000 - 1152230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1152250 ns R psr 81000200 - 1152250 ns MR4_I 01000208 2a001c5b - 1152270 ns MR4_I 01000200 07c96841 - 1152290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1152310 ns MR4_I 01000204 6002d1fc - 1152370 ns MR4_D 40006004 00000001 - 1152370 ns R r1 00000001 - 1152370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1152390 ns R r1 80000000 - 1152390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1152410 ns R psr 81000200 - 1152410 ns MR4_I 01000208 2a001c5b - 1152430 ns MR4_I 01000200 07c96841 - 1152450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1152470 ns MR4_I 01000204 6002d1fc - 1152530 ns MR4_D 40006004 00000001 - 1152530 ns R r1 00000001 - 1152530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1152550 ns R r1 80000000 - 1152550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1152570 ns R psr 81000200 - 1152570 ns MR4_I 01000208 2a001c5b - 1152590 ns MR4_I 01000200 07c96841 - 1152610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1152630 ns MR4_I 01000204 6002d1fc - 1152690 ns MR4_D 40006004 00000001 - 1152690 ns R r1 00000001 - 1152690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1152710 ns R r1 80000000 - 1152710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1152730 ns R psr 81000200 - 1152730 ns MR4_I 01000208 2a001c5b - 1152750 ns MR4_I 01000200 07c96841 - 1152770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1152790 ns MR4_I 01000204 6002d1fc - 1152850 ns MR4_D 40006004 00000001 - 1152850 ns R r1 00000001 - 1152850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1152870 ns R r1 80000000 - 1152870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1152890 ns R psr 81000200 - 1152890 ns MR4_I 01000208 2a001c5b - 1152910 ns MR4_I 01000200 07c96841 - 1152930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1152950 ns MR4_I 01000204 6002d1fc - 1153010 ns MR4_D 40006004 00000001 - 1153010 ns R r1 00000001 - 1153010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1153030 ns R r1 80000000 - 1153030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1153050 ns R psr 81000200 - 1153050 ns MR4_I 01000208 2a001c5b - 1153070 ns MR4_I 01000200 07c96841 - 1153090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1153110 ns MR4_I 01000204 6002d1fc - 1153170 ns MR4_D 40006004 00000001 - 1153170 ns R r1 00000001 - 1153170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1153190 ns R r1 80000000 - 1153190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1153210 ns R psr 81000200 - 1153210 ns MR4_I 01000208 2a001c5b - 1153230 ns MR4_I 01000200 07c96841 - 1153250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1153270 ns MR4_I 01000204 6002d1fc - 1153330 ns MR4_D 40006004 00000001 - 1153330 ns R r1 00000001 - 1153330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1153350 ns R r1 80000000 - 1153350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1153370 ns R psr 81000200 - 1153370 ns MR4_I 01000208 2a001c5b - 1153390 ns MR4_I 01000200 07c96841 - 1153410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1153430 ns MR4_I 01000204 6002d1fc - 1153490 ns MR4_D 40006004 00000001 - 1153490 ns R r1 00000001 - 1153490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1153510 ns R r1 80000000 - 1153510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1153530 ns R psr 81000200 - 1153530 ns MR4_I 01000208 2a001c5b - 1153550 ns MR4_I 01000200 07c96841 - 1153570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1153590 ns MR4_I 01000204 6002d1fc - 1153650 ns MR4_D 40006004 00000001 - 1153650 ns R r1 00000001 - 1153650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1153670 ns R r1 80000000 - 1153670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1153690 ns R psr 81000200 - 1153690 ns MR4_I 01000208 2a001c5b - 1153710 ns MR4_I 01000200 07c96841 - 1153730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1153750 ns MR4_I 01000204 6002d1fc - 1153810 ns MR4_D 40006004 00000001 - 1153810 ns R r1 00000001 - 1153810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1153830 ns R r1 80000000 - 1153830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1153850 ns R psr 81000200 - 1153850 ns MR4_I 01000208 2a001c5b - 1153870 ns MR4_I 01000200 07c96841 - 1153890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1153910 ns MR4_I 01000204 6002d1fc - 1153970 ns MR4_D 40006004 00000001 - 1153970 ns R r1 00000001 - 1153970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1153990 ns R r1 80000000 - 1153990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1154010 ns R psr 81000200 - 1154010 ns MR4_I 01000208 2a001c5b - 1154030 ns MR4_I 01000200 07c96841 - 1154050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1154070 ns MR4_I 01000204 6002d1fc - 1154130 ns MR4_D 40006004 00000001 - 1154130 ns R r1 00000001 - 1154130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1154150 ns R r1 80000000 - 1154150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1154170 ns R psr 81000200 - 1154170 ns MR4_I 01000208 2a001c5b - 1154190 ns MR4_I 01000200 07c96841 - 1154210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1154230 ns MR4_I 01000204 6002d1fc - 1154290 ns MR4_D 40006004 00000001 - 1154290 ns R r1 00000001 - 1154290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1154310 ns R r1 80000000 - 1154310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1154330 ns R psr 81000200 - 1154330 ns MR4_I 01000208 2a001c5b - 1154350 ns MR4_I 01000200 07c96841 - 1154370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1154390 ns MR4_I 01000204 6002d1fc - 1154450 ns MR4_D 40006004 00000001 - 1154450 ns R r1 00000001 - 1154450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1154470 ns R r1 80000000 - 1154470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1154490 ns R psr 81000200 - 1154490 ns MR4_I 01000208 2a001c5b - 1154510 ns MR4_I 01000200 07c96841 - 1154530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1154550 ns MR4_I 01000204 6002d1fc - 1154610 ns MR4_D 40006004 00000001 - 1154610 ns R r1 00000001 - 1154610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1154630 ns R r1 80000000 - 1154630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1154650 ns R psr 81000200 - 1154650 ns MR4_I 01000208 2a001c5b - 1154670 ns MR4_I 01000200 07c96841 - 1154690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1154710 ns MR4_I 01000204 6002d1fc - 1154770 ns MR4_D 40006004 00000001 - 1154770 ns R r1 00000001 - 1154770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1154790 ns R r1 80000000 - 1154790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1154810 ns R psr 81000200 - 1154810 ns MR4_I 01000208 2a001c5b - 1154830 ns MR4_I 01000200 07c96841 - 1154850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1154870 ns MR4_I 01000204 6002d1fc - 1154930 ns MR4_D 40006004 00000001 - 1154930 ns R r1 00000001 - 1154930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1154950 ns R r1 80000000 - 1154950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1154970 ns R psr 81000200 - 1154970 ns MR4_I 01000208 2a001c5b - 1154990 ns MR4_I 01000200 07c96841 - 1155010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1155030 ns MR4_I 01000204 6002d1fc - 1155090 ns MR4_D 40006004 00000001 - 1155090 ns R r1 00000001 - 1155090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1155110 ns R r1 80000000 - 1155110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1155130 ns R psr 81000200 - 1155130 ns MR4_I 01000208 2a001c5b - 1155150 ns MR4_I 01000200 07c96841 - 1155170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1155190 ns MR4_I 01000204 6002d1fc - 1155250 ns MR4_D 40006004 00000001 - 1155250 ns R r1 00000001 - 1155250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1155270 ns R r1 80000000 - 1155270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1155290 ns R psr 81000200 - 1155290 ns MR4_I 01000208 2a001c5b - 1155310 ns MR4_I 01000200 07c96841 - 1155330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1155350 ns MR4_I 01000204 6002d1fc - 1155410 ns MR4_D 40006004 00000001 - 1155410 ns R r1 00000001 - 1155410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1155430 ns R r1 80000000 - 1155430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1155450 ns R psr 81000200 - 1155450 ns MR4_I 01000208 2a001c5b - 1155470 ns MR4_I 01000200 07c96841 - 1155490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1155510 ns MR4_I 01000204 6002d1fc - 1155570 ns MR4_D 40006004 00000001 - 1155570 ns R r1 00000001 - 1155570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1155590 ns R r1 80000000 - 1155590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1155610 ns R psr 81000200 - 1155610 ns MR4_I 01000208 2a001c5b - 1155630 ns MR4_I 01000200 07c96841 - 1155650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1155670 ns MR4_I 01000204 6002d1fc - 1155730 ns MR4_D 40006004 00000001 - 1155730 ns R r1 00000001 - 1155730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1155750 ns R r1 80000000 - 1155750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1155770 ns R psr 81000200 - 1155770 ns MR4_I 01000208 2a001c5b - 1155790 ns MR4_I 01000200 07c96841 - 1155810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1155830 ns MR4_I 01000204 6002d1fc - 1155890 ns MR4_D 40006004 00000001 - 1155890 ns R r1 00000001 - 1155890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1155910 ns R r1 80000000 - 1155910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1155930 ns R psr 81000200 - 1155930 ns MR4_I 01000208 2a001c5b - 1155950 ns MR4_I 01000200 07c96841 - 1155970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1155990 ns MR4_I 01000204 6002d1fc - 1156050 ns MR4_D 40006004 00000001 - 1156050 ns R r1 00000001 - 1156050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1156070 ns R r1 80000000 - 1156070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1156090 ns R psr 81000200 - 1156090 ns MR4_I 01000208 2a001c5b - 1156110 ns MR4_I 01000200 07c96841 - 1156130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1156150 ns MR4_I 01000204 6002d1fc - 1156210 ns MR4_D 40006004 00000001 - 1156210 ns R r1 00000001 - 1156210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1156230 ns R r1 80000000 - 1156230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1156250 ns R psr 81000200 - 1156250 ns MR4_I 01000208 2a001c5b - 1156270 ns MR4_I 01000200 07c96841 - 1156290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1156310 ns MR4_I 01000204 6002d1fc - 1156370 ns MR4_D 40006004 00000001 - 1156370 ns R r1 00000001 - 1156370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1156390 ns R r1 80000000 - 1156390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1156410 ns R psr 81000200 - 1156410 ns MR4_I 01000208 2a001c5b - 1156430 ns MR4_I 01000200 07c96841 - 1156450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1156470 ns MR4_I 01000204 6002d1fc - 1156530 ns MR4_D 40006004 00000001 - 1156530 ns R r1 00000001 - 1156530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1156550 ns R r1 80000000 - 1156550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1156570 ns R psr 81000200 - 1156570 ns MR4_I 01000208 2a001c5b - 1156590 ns MR4_I 01000200 07c96841 - 1156610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1156630 ns MR4_I 01000204 6002d1fc - 1156690 ns MR4_D 40006004 00000001 - 1156690 ns R r1 00000001 - 1156690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1156710 ns R r1 80000000 - 1156710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1156730 ns R psr 81000200 - 1156730 ns MR4_I 01000208 2a001c5b - 1156750 ns MR4_I 01000200 07c96841 - 1156770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1156790 ns MR4_I 01000204 6002d1fc - 1156850 ns MR4_D 40006004 00000001 - 1156850 ns R r1 00000001 - 1156850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1156870 ns R r1 80000000 - 1156870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1156890 ns R psr 81000200 - 1156890 ns MR4_I 01000208 2a001c5b - 1156910 ns MR4_I 01000200 07c96841 - 1156930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1156950 ns MR4_I 01000204 6002d1fc - 1157010 ns MR4_D 40006004 00000001 - 1157010 ns R r1 00000001 - 1157010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1157030 ns R r1 80000000 - 1157030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1157050 ns R psr 81000200 - 1157050 ns MR4_I 01000208 2a001c5b - 1157070 ns MR4_I 01000200 07c96841 - 1157090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1157110 ns MR4_I 01000204 6002d1fc - 1157170 ns MR4_D 40006004 00000001 - 1157170 ns R r1 00000001 - 1157170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1157190 ns R r1 80000000 - 1157190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1157210 ns R psr 81000200 - 1157210 ns MR4_I 01000208 2a001c5b - 1157230 ns MR4_I 01000200 07c96841 - 1157250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1157270 ns MR4_I 01000204 6002d1fc - 1157330 ns MR4_D 40006004 00000001 - 1157330 ns R r1 00000001 - 1157330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1157350 ns R r1 80000000 - 1157350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1157370 ns R psr 81000200 - 1157370 ns MR4_I 01000208 2a001c5b - 1157390 ns MR4_I 01000200 07c96841 - 1157410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1157430 ns MR4_I 01000204 6002d1fc - 1157490 ns MR4_D 40006004 00000001 - 1157490 ns R r1 00000001 - 1157490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1157510 ns R r1 80000000 - 1157510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1157530 ns R psr 81000200 - 1157530 ns MR4_I 01000208 2a001c5b - 1157550 ns MR4_I 01000200 07c96841 - 1157570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1157590 ns MR4_I 01000204 6002d1fc - 1157650 ns MR4_D 40006004 00000001 - 1157650 ns R r1 00000001 - 1157650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1157670 ns R r1 80000000 - 1157670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1157690 ns R psr 81000200 - 1157690 ns MR4_I 01000208 2a001c5b - 1157710 ns MR4_I 01000200 07c96841 - 1157730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1157750 ns MR4_I 01000204 6002d1fc - 1157810 ns MR4_D 40006004 00000001 - 1157810 ns R r1 00000001 - 1157810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1157830 ns R r1 80000000 - 1157830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1157850 ns R psr 81000200 - 1157850 ns MR4_I 01000208 2a001c5b - 1157870 ns MR4_I 01000200 07c96841 - 1157890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1157910 ns MR4_I 01000204 6002d1fc - 1157970 ns MR4_D 40006004 00000001 - 1157970 ns R r1 00000001 - 1157970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1157990 ns R r1 80000000 - 1157990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1158010 ns R psr 81000200 - 1158010 ns MR4_I 01000208 2a001c5b - 1158030 ns MR4_I 01000200 07c96841 - 1158050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1158070 ns MR4_I 01000204 6002d1fc - 1158130 ns MR4_D 40006004 00000001 - 1158130 ns R r1 00000001 - 1158130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1158150 ns R r1 80000000 - 1158150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1158170 ns R psr 81000200 - 1158170 ns MR4_I 01000208 2a001c5b - 1158190 ns MR4_I 01000200 07c96841 - 1158210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1158230 ns MR4_I 01000204 6002d1fc - 1158290 ns MR4_D 40006004 00000001 - 1158290 ns R r1 00000001 - 1158290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1158310 ns R r1 80000000 - 1158310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1158330 ns R psr 81000200 - 1158330 ns MR4_I 01000208 2a001c5b - 1158350 ns MR4_I 01000200 07c96841 - 1158370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1158390 ns MR4_I 01000204 6002d1fc - 1158450 ns MR4_D 40006004 00000001 - 1158450 ns R r1 00000001 - 1158450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1158470 ns R r1 80000000 - 1158470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1158490 ns R psr 81000200 - 1158490 ns MR4_I 01000208 2a001c5b - 1158510 ns MR4_I 01000200 07c96841 - 1158530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1158550 ns MR4_I 01000204 6002d1fc - 1158610 ns MR4_D 40006004 00000001 - 1158610 ns R r1 00000001 - 1158610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1158630 ns R r1 80000000 - 1158630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1158650 ns R psr 81000200 - 1158650 ns MR4_I 01000208 2a001c5b - 1158670 ns MR4_I 01000200 07c96841 - 1158690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1158710 ns MR4_I 01000204 6002d1fc - 1158770 ns MR4_D 40006004 00000001 - 1158770 ns R r1 00000001 - 1158770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1158790 ns R r1 80000000 - 1158790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1158810 ns R psr 81000200 - 1158810 ns MR4_I 01000208 2a001c5b - 1158830 ns MR4_I 01000200 07c96841 - 1158850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1158870 ns MR4_I 01000204 6002d1fc - 1158930 ns MR4_D 40006004 00000001 - 1158930 ns R r1 00000001 - 1158930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1158950 ns R r1 80000000 - 1158950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1158970 ns R psr 81000200 - 1158970 ns MR4_I 01000208 2a001c5b - 1158990 ns MR4_I 01000200 07c96841 - 1159010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1159030 ns MR4_I 01000204 6002d1fc - 1159090 ns MR4_D 40006004 00000001 - 1159090 ns R r1 00000001 - 1159090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1159110 ns R r1 80000000 - 1159110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1159130 ns R psr 81000200 - 1159130 ns MR4_I 01000208 2a001c5b - 1159150 ns MR4_I 01000200 07c96841 - 1159170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1159190 ns MR4_I 01000204 6002d1fc - 1159250 ns MR4_D 40006004 00000001 - 1159250 ns R r1 00000001 - 1159250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1159270 ns R r1 80000000 - 1159270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1159290 ns R psr 81000200 - 1159290 ns MR4_I 01000208 2a001c5b - 1159310 ns MR4_I 01000200 07c96841 - 1159330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1159350 ns MR4_I 01000204 6002d1fc - 1159410 ns MR4_D 40006004 00000001 - 1159410 ns R r1 00000001 - 1159410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1159430 ns R r1 80000000 - 1159430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1159450 ns R psr 81000200 - 1159450 ns MR4_I 01000208 2a001c5b - 1159470 ns MR4_I 01000200 07c96841 - 1159490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1159510 ns MR4_I 01000204 6002d1fc - 1159570 ns MR4_D 40006004 00000001 - 1159570 ns R r1 00000001 - 1159570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1159590 ns R r1 80000000 - 1159590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1159610 ns R psr 81000200 - 1159610 ns MR4_I 01000208 2a001c5b - 1159630 ns MR4_I 01000200 07c96841 - 1159650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1159670 ns MR4_I 01000204 6002d1fc - 1159730 ns MR4_D 40006004 00000001 - 1159730 ns R r1 00000001 - 1159730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1159750 ns R r1 80000000 - 1159750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1159770 ns R psr 81000200 - 1159770 ns MR4_I 01000208 2a001c5b - 1159790 ns MR4_I 01000200 07c96841 - 1159810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1159830 ns MR4_I 01000204 6002d1fc - 1159890 ns MR4_D 40006004 00000001 - 1159890 ns R r1 00000001 - 1159890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1159910 ns R r1 80000000 - 1159910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1159930 ns R psr 81000200 - 1159930 ns MR4_I 01000208 2a001c5b - 1159950 ns MR4_I 01000200 07c96841 - 1159970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1159990 ns MR4_I 01000204 6002d1fc - 1160050 ns MR4_D 40006004 00000001 - 1160050 ns R r1 00000001 - 1160050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1160070 ns R r1 80000000 - 1160070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1160090 ns R psr 81000200 - 1160090 ns MR4_I 01000208 2a001c5b - 1160110 ns MR4_I 01000200 07c96841 - 1160130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1160150 ns MR4_I 01000204 6002d1fc - 1160210 ns MR4_D 40006004 00000001 - 1160210 ns R r1 00000001 - 1160210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1160230 ns R r1 80000000 - 1160230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1160250 ns R psr 81000200 - 1160250 ns MR4_I 01000208 2a001c5b - 1160270 ns MR4_I 01000200 07c96841 - 1160290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1160310 ns MR4_I 01000204 6002d1fc - 1160370 ns MR4_D 40006004 00000001 - 1160370 ns R r1 00000001 - 1160370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1160390 ns R r1 80000000 - 1160390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1160410 ns R psr 81000200 - 1160410 ns MR4_I 01000208 2a001c5b - 1160430 ns MR4_I 01000200 07c96841 - 1160450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1160470 ns MR4_I 01000204 6002d1fc - 1160530 ns MR4_D 40006004 00000001 - 1160530 ns R r1 00000001 - 1160530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1160550 ns R r1 80000000 - 1160550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1160570 ns R psr 81000200 - 1160570 ns MR4_I 01000208 2a001c5b - 1160590 ns MR4_I 01000200 07c96841 - 1160610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1160630 ns MR4_I 01000204 6002d1fc - 1160690 ns MR4_D 40006004 00000001 - 1160690 ns R r1 00000001 - 1160690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1160710 ns R r1 80000000 - 1160710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1160730 ns R psr 81000200 - 1160730 ns MR4_I 01000208 2a001c5b - 1160750 ns MR4_I 01000200 07c96841 - 1160770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1160790 ns MR4_I 01000204 6002d1fc - 1160850 ns MR4_D 40006004 00000001 - 1160850 ns R r1 00000001 - 1160850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1160870 ns R r1 80000000 - 1160870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1160890 ns R psr 81000200 - 1160890 ns MR4_I 01000208 2a001c5b - 1160910 ns MR4_I 01000200 07c96841 - 1160930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1160950 ns MR4_I 01000204 6002d1fc - 1161010 ns MR4_D 40006004 00000001 - 1161010 ns R r1 00000001 - 1161010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1161030 ns R r1 80000000 - 1161030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1161050 ns R psr 81000200 - 1161050 ns MR4_I 01000208 2a001c5b - 1161070 ns MR4_I 01000200 07c96841 - 1161090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1161110 ns MR4_I 01000204 6002d1fc - 1161170 ns MR4_D 40006004 00000001 - 1161170 ns R r1 00000001 - 1161170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1161190 ns R r1 80000000 - 1161190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1161210 ns R psr 81000200 - 1161210 ns MR4_I 01000208 2a001c5b - 1161230 ns MR4_I 01000200 07c96841 - 1161250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1161270 ns MR4_I 01000204 6002d1fc - 1161330 ns MR4_D 40006004 00000001 - 1161330 ns R r1 00000001 - 1161330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1161350 ns R r1 80000000 - 1161350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1161370 ns R psr 81000200 - 1161370 ns MR4_I 01000208 2a001c5b - 1161390 ns MR4_I 01000200 07c96841 - 1161410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1161430 ns MR4_I 01000204 6002d1fc - 1161490 ns MR4_D 40006004 00000001 - 1161490 ns R r1 00000001 - 1161490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1161510 ns R r1 80000000 - 1161510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1161530 ns R psr 81000200 - 1161530 ns MR4_I 01000208 2a001c5b - 1161550 ns MR4_I 01000200 07c96841 - 1161570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1161590 ns MR4_I 01000204 6002d1fc - 1161650 ns MR4_D 40006004 00000001 - 1161650 ns R r1 00000001 - 1161650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1161670 ns R r1 80000000 - 1161670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1161690 ns R psr 81000200 - 1161690 ns MR4_I 01000208 2a001c5b - 1161710 ns MR4_I 01000200 07c96841 - 1161730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1161750 ns MR4_I 01000204 6002d1fc - 1161810 ns MR4_D 40006004 00000001 - 1161810 ns R r1 00000001 - 1161810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1161830 ns R r1 80000000 - 1161830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1161850 ns R psr 81000200 - 1161850 ns MR4_I 01000208 2a001c5b - 1161870 ns MR4_I 01000200 07c96841 - 1161890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1161910 ns MR4_I 01000204 6002d1fc - 1161970 ns MR4_D 40006004 00000001 - 1161970 ns R r1 00000001 - 1161970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1161990 ns R r1 80000000 - 1161990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1162010 ns R psr 81000200 - 1162010 ns MR4_I 01000208 2a001c5b - 1162030 ns MR4_I 01000200 07c96841 - 1162050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1162070 ns MR4_I 01000204 6002d1fc - 1162130 ns MR4_D 40006004 00000001 - 1162130 ns R r1 00000001 - 1162130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1162150 ns R r1 80000000 - 1162150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1162170 ns R psr 81000200 - 1162170 ns MR4_I 01000208 2a001c5b - 1162190 ns MR4_I 01000200 07c96841 - 1162210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1162230 ns MR4_I 01000204 6002d1fc - 1162290 ns MR4_D 40006004 00000001 - 1162290 ns R r1 00000001 - 1162290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1162310 ns R r1 80000000 - 1162310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1162330 ns R psr 81000200 - 1162330 ns MR4_I 01000208 2a001c5b - 1162350 ns MR4_I 01000200 07c96841 - 1162370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1162390 ns MR4_I 01000204 6002d1fc - 1162450 ns MR4_D 40006004 00000001 - 1162450 ns R r1 00000001 - 1162450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1162470 ns R r1 80000000 - 1162470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1162490 ns R psr 81000200 - 1162490 ns MR4_I 01000208 2a001c5b - 1162510 ns MR4_I 01000200 07c96841 - 1162530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1162550 ns MR4_I 01000204 6002d1fc - 1162610 ns MR4_D 40006004 00000001 - 1162610 ns R r1 00000001 - 1162610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1162630 ns R r1 80000000 - 1162630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1162650 ns R psr 81000200 - 1162650 ns MR4_I 01000208 2a001c5b - 1162670 ns MR4_I 01000200 07c96841 - 1162690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1162710 ns MR4_I 01000204 6002d1fc - 1162770 ns MR4_D 40006004 00000001 - 1162770 ns R r1 00000001 - 1162770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1162790 ns R r1 80000000 - 1162790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1162810 ns R psr 81000200 - 1162810 ns MR4_I 01000208 2a001c5b - 1162830 ns MR4_I 01000200 07c96841 - 1162850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1162870 ns MR4_I 01000204 6002d1fc - 1162930 ns MR4_D 40006004 00000001 - 1162930 ns R r1 00000001 - 1162930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1162950 ns R r1 80000000 - 1162950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1162970 ns R psr 81000200 - 1162970 ns MR4_I 01000208 2a001c5b - 1162990 ns MR4_I 01000200 07c96841 - 1163010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1163030 ns MR4_I 01000204 6002d1fc - 1163090 ns MR4_D 40006004 00000001 - 1163090 ns R r1 00000001 - 1163090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1163110 ns R r1 80000000 - 1163110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1163130 ns R psr 81000200 - 1163130 ns MR4_I 01000208 2a001c5b - 1163150 ns MR4_I 01000200 07c96841 - 1163170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1163190 ns MR4_I 01000204 6002d1fc - 1163250 ns MR4_D 40006004 00000001 - 1163250 ns R r1 00000001 - 1163250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1163270 ns R r1 80000000 - 1163270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1163290 ns R psr 81000200 - 1163290 ns MR4_I 01000208 2a001c5b - 1163310 ns MR4_I 01000200 07c96841 - 1163330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1163350 ns MR4_I 01000204 6002d1fc - 1163410 ns MR4_D 40006004 00000001 - 1163410 ns R r1 00000001 - 1163410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1163430 ns R r1 80000000 - 1163430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1163450 ns R psr 81000200 - 1163450 ns MR4_I 01000208 2a001c5b - 1163470 ns MR4_I 01000200 07c96841 - 1163490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1163510 ns MR4_I 01000204 6002d1fc - 1163570 ns MR4_D 40006004 00000001 - 1163570 ns R r1 00000001 - 1163570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1163590 ns R r1 80000000 - 1163590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1163610 ns R psr 81000200 - 1163610 ns MR4_I 01000208 2a001c5b - 1163630 ns MR4_I 01000200 07c96841 - 1163650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1163670 ns MR4_I 01000204 6002d1fc - 1163730 ns MR4_D 40006004 00000001 - 1163730 ns R r1 00000001 - 1163730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1163750 ns R r1 80000000 - 1163750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1163770 ns R psr 81000200 - 1163770 ns MR4_I 01000208 2a001c5b - 1163790 ns MR4_I 01000200 07c96841 - 1163810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1163830 ns MR4_I 01000204 6002d1fc - 1163890 ns MR4_D 40006004 00000001 - 1163890 ns R r1 00000001 - 1163890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1163910 ns R r1 80000000 - 1163910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1163930 ns R psr 81000200 - 1163930 ns MR4_I 01000208 2a001c5b - 1163950 ns MR4_I 01000200 07c96841 - 1163970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1163990 ns MR4_I 01000204 6002d1fc - 1164050 ns MR4_D 40006004 00000001 - 1164050 ns R r1 00000001 - 1164050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1164070 ns R r1 80000000 - 1164070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1164090 ns R psr 81000200 - 1164090 ns MR4_I 01000208 2a001c5b - 1164110 ns MR4_I 01000200 07c96841 - 1164130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1164150 ns MR4_I 01000204 6002d1fc - 1164210 ns MR4_D 40006004 00000001 - 1164210 ns R r1 00000001 - 1164210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1164230 ns R r1 80000000 - 1164230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1164250 ns R psr 81000200 - 1164250 ns MR4_I 01000208 2a001c5b - 1164270 ns MR4_I 01000200 07c96841 - 1164290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1164310 ns MR4_I 01000204 6002d1fc - 1164370 ns MR4_D 40006004 00000001 - 1164370 ns R r1 00000001 - 1164370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1164390 ns R r1 80000000 - 1164390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1164410 ns R psr 81000200 - 1164410 ns MR4_I 01000208 2a001c5b - 1164430 ns MR4_I 01000200 07c96841 - 1164450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1164470 ns MR4_I 01000204 6002d1fc - 1164530 ns MR4_D 40006004 00000001 - 1164530 ns R r1 00000001 - 1164530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1164550 ns R r1 80000000 - 1164550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1164570 ns R psr 81000200 - 1164570 ns MR4_I 01000208 2a001c5b - 1164590 ns MR4_I 01000200 07c96841 - 1164610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1164630 ns MR4_I 01000204 6002d1fc - 1164690 ns MR4_D 40006004 00000001 - 1164690 ns R r1 00000001 - 1164690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1164710 ns R r1 80000000 - 1164710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1164730 ns R psr 81000200 - 1164730 ns MR4_I 01000208 2a001c5b - 1164750 ns MR4_I 01000200 07c96841 - 1164770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1164790 ns MR4_I 01000204 6002d1fc - 1164850 ns MR4_D 40006004 00000001 - 1164850 ns R r1 00000001 - 1164850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1164870 ns R r1 80000000 - 1164870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1164890 ns R psr 81000200 - 1164890 ns MR4_I 01000208 2a001c5b - 1164910 ns MR4_I 01000200 07c96841 - 1164930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1164950 ns MR4_I 01000204 6002d1fc - 1165010 ns MR4_D 40006004 00000001 - 1165010 ns R r1 00000001 - 1165010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1165030 ns R r1 80000000 - 1165030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1165050 ns R psr 81000200 - 1165050 ns MR4_I 01000208 2a001c5b - 1165070 ns MR4_I 01000200 07c96841 - 1165090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1165110 ns MR4_I 01000204 6002d1fc - 1165170 ns MR4_D 40006004 00000001 - 1165170 ns R r1 00000001 - 1165170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1165190 ns R r1 80000000 - 1165190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1165210 ns R psr 81000200 - 1165210 ns MR4_I 01000208 2a001c5b - 1165230 ns MR4_I 01000200 07c96841 - 1165250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1165270 ns MR4_I 01000204 6002d1fc - 1165330 ns MR4_D 40006004 00000001 - 1165330 ns R r1 00000001 - 1165330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1165350 ns R r1 80000000 - 1165350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1165370 ns R psr 81000200 - 1165370 ns MR4_I 01000208 2a001c5b - 1165390 ns MR4_I 01000200 07c96841 - 1165410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1165430 ns MR4_I 01000204 6002d1fc - 1165490 ns MR4_D 40006004 00000001 - 1165490 ns R r1 00000001 - 1165490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1165510 ns R r1 80000000 - 1165510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1165530 ns R psr 81000200 - 1165530 ns MR4_I 01000208 2a001c5b - 1165550 ns MR4_I 01000200 07c96841 - 1165570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1165590 ns MR4_I 01000204 6002d1fc - 1165650 ns MR4_D 40006004 00000001 - 1165650 ns R r1 00000001 - 1165650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1165670 ns R r1 80000000 - 1165670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1165690 ns R psr 81000200 - 1165690 ns MR4_I 01000208 2a001c5b - 1165710 ns MR4_I 01000200 07c96841 - 1165730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1165750 ns MR4_I 01000204 6002d1fc - 1165810 ns MR4_D 40006004 00000001 - 1165810 ns R r1 00000001 - 1165810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1165830 ns R r1 80000000 - 1165830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1165850 ns R psr 81000200 - 1165850 ns MR4_I 01000208 2a001c5b - 1165870 ns MR4_I 01000200 07c96841 - 1165890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1165910 ns MR4_I 01000204 6002d1fc - 1165970 ns MR4_D 40006004 00000001 - 1165970 ns R r1 00000001 - 1165970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1165990 ns R r1 80000000 - 1165990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1166010 ns R psr 81000200 - 1166010 ns MR4_I 01000208 2a001c5b - 1166030 ns MR4_I 01000200 07c96841 - 1166050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1166070 ns MR4_I 01000204 6002d1fc - 1166130 ns MR4_D 40006004 00000001 - 1166130 ns R r1 00000001 - 1166130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1166150 ns R r1 80000000 - 1166150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1166170 ns R psr 81000200 - 1166170 ns MR4_I 01000208 2a001c5b - 1166190 ns MR4_I 01000200 07c96841 - 1166210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1166230 ns MR4_I 01000204 6002d1fc - 1166290 ns MR4_D 40006004 00000001 - 1166290 ns R r1 00000001 - 1166290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1166310 ns R r1 80000000 - 1166310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1166330 ns R psr 81000200 - 1166330 ns MR4_I 01000208 2a001c5b - 1166350 ns MR4_I 01000200 07c96841 - 1166370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1166390 ns MR4_I 01000204 6002d1fc - 1166450 ns MR4_D 40006004 00000001 - 1166450 ns R r1 00000001 - 1166450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1166470 ns R r1 80000000 - 1166470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1166490 ns R psr 81000200 - 1166490 ns MR4_I 01000208 2a001c5b - 1166510 ns MR4_I 01000200 07c96841 - 1166530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1166550 ns MR4_I 01000204 6002d1fc - 1166610 ns MR4_D 40006004 00000001 - 1166610 ns R r1 00000001 - 1166610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1166630 ns R r1 80000000 - 1166630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1166650 ns R psr 81000200 - 1166650 ns MR4_I 01000208 2a001c5b - 1166670 ns MR4_I 01000200 07c96841 - 1166690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1166710 ns MR4_I 01000204 6002d1fc - 1166770 ns MR4_D 40006004 00000001 - 1166770 ns R r1 00000001 - 1166770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1166790 ns R r1 80000000 - 1166790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1166810 ns R psr 81000200 - 1166810 ns MR4_I 01000208 2a001c5b - 1166830 ns MR4_I 01000200 07c96841 - 1166850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1166870 ns MR4_I 01000204 6002d1fc - 1166930 ns MR4_D 40006004 00000001 - 1166930 ns R r1 00000001 - 1166930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1166950 ns R r1 80000000 - 1166950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1166970 ns R psr 81000200 - 1166970 ns MR4_I 01000208 2a001c5b - 1166990 ns MR4_I 01000200 07c96841 - 1167010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1167030 ns MR4_I 01000204 6002d1fc - 1167090 ns MR4_D 40006004 00000001 - 1167090 ns R r1 00000001 - 1167090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1167110 ns R r1 80000000 - 1167110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1167130 ns R psr 81000200 - 1167130 ns MR4_I 01000208 2a001c5b - 1167150 ns MR4_I 01000200 07c96841 - 1167170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1167190 ns MR4_I 01000204 6002d1fc - 1167250 ns MR4_D 40006004 00000001 - 1167250 ns R r1 00000001 - 1167250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1167270 ns R r1 80000000 - 1167270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1167290 ns R psr 81000200 - 1167290 ns MR4_I 01000208 2a001c5b - 1167310 ns MR4_I 01000200 07c96841 - 1167330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1167350 ns MR4_I 01000204 6002d1fc - 1167410 ns MR4_D 40006004 00000001 - 1167410 ns R r1 00000001 - 1167410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1167430 ns R r1 80000000 - 1167430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1167450 ns R psr 81000200 - 1167450 ns MR4_I 01000208 2a001c5b - 1167470 ns MR4_I 01000200 07c96841 - 1167490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1167510 ns MR4_I 01000204 6002d1fc - 1167570 ns MR4_D 40006004 00000001 - 1167570 ns R r1 00000001 - 1167570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1167590 ns R r1 80000000 - 1167590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1167610 ns R psr 81000200 - 1167610 ns MR4_I 01000208 2a001c5b - 1167630 ns MR4_I 01000200 07c96841 - 1167650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1167670 ns MR4_I 01000204 6002d1fc - 1167730 ns MR4_D 40006004 00000001 - 1167730 ns R r1 00000001 - 1167730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1167750 ns R r1 80000000 - 1167750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1167770 ns R psr 81000200 - 1167770 ns MR4_I 01000208 2a001c5b - 1167790 ns MR4_I 01000200 07c96841 - 1167810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1167830 ns MR4_I 01000204 6002d1fc - 1167890 ns MR4_D 40006004 00000001 - 1167890 ns R r1 00000001 - 1167890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1167910 ns R r1 80000000 - 1167910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1167930 ns R psr 81000200 - 1167930 ns MR4_I 01000208 2a001c5b - 1167950 ns MR4_I 01000200 07c96841 - 1167970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1167990 ns MR4_I 01000204 6002d1fc - 1168050 ns MR4_D 40006004 00000001 - 1168050 ns R r1 00000001 - 1168050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1168070 ns R r1 80000000 - 1168070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1168090 ns R psr 81000200 - 1168090 ns MR4_I 01000208 2a001c5b - 1168110 ns MR4_I 01000200 07c96841 - 1168130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1168150 ns MR4_I 01000204 6002d1fc - 1168210 ns MR4_D 40006004 00000001 - 1168210 ns R r1 00000001 - 1168210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1168230 ns R r1 80000000 - 1168230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1168250 ns R psr 81000200 - 1168250 ns MR4_I 01000208 2a001c5b - 1168270 ns MR4_I 01000200 07c96841 - 1168290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1168310 ns MR4_I 01000204 6002d1fc - 1168370 ns MR4_D 40006004 00000001 - 1168370 ns R r1 00000001 - 1168370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1168390 ns R r1 80000000 - 1168390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1168410 ns R psr 81000200 - 1168410 ns MR4_I 01000208 2a001c5b - 1168430 ns MR4_I 01000200 07c96841 - 1168450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1168470 ns MR4_I 01000204 6002d1fc - 1168530 ns MR4_D 40006004 00000001 - 1168530 ns R r1 00000001 - 1168530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1168550 ns R r1 80000000 - 1168550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1168570 ns R psr 81000200 - 1168570 ns MR4_I 01000208 2a001c5b - 1168590 ns MR4_I 01000200 07c96841 - 1168610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1168630 ns MR4_I 01000204 6002d1fc - 1168690 ns MR4_D 40006004 00000001 - 1168690 ns R r1 00000001 - 1168690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1168710 ns R r1 80000000 - 1168710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1168730 ns R psr 81000200 - 1168730 ns MR4_I 01000208 2a001c5b - 1168750 ns MR4_I 01000200 07c96841 - 1168770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1168790 ns MR4_I 01000204 6002d1fc - 1168850 ns MR4_D 40006004 00000001 - 1168850 ns R r1 00000001 - 1168850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1168870 ns R r1 80000000 - 1168870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1168890 ns R psr 81000200 - 1168890 ns MR4_I 01000208 2a001c5b - 1168910 ns MR4_I 01000200 07c96841 - 1168930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1168950 ns MR4_I 01000204 6002d1fc - 1169010 ns MR4_D 40006004 00000001 - 1169010 ns R r1 00000001 - 1169010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1169030 ns R r1 80000000 - 1169030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1169050 ns R psr 81000200 - 1169050 ns MR4_I 01000208 2a001c5b - 1169070 ns MR4_I 01000200 07c96841 - 1169090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1169110 ns MR4_I 01000204 6002d1fc - 1169170 ns MR4_D 40006004 00000001 - 1169170 ns R r1 00000001 - 1169170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1169190 ns R r1 80000000 - 1169190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1169210 ns R psr 81000200 - 1169210 ns MR4_I 01000208 2a001c5b - 1169230 ns MR4_I 01000200 07c96841 - 1169250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1169270 ns MR4_I 01000204 6002d1fc - 1169330 ns MR4_D 40006004 00000001 - 1169330 ns R r1 00000001 - 1169330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1169350 ns R r1 80000000 - 1169350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1169370 ns R psr 81000200 - 1169370 ns MR4_I 01000208 2a001c5b - 1169390 ns MR4_I 01000200 07c96841 - 1169410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1169430 ns MR4_I 01000204 6002d1fc - 1169490 ns MR4_D 40006004 00000001 - 1169490 ns R r1 00000001 - 1169490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1169510 ns R r1 80000000 - 1169510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1169530 ns R psr 81000200 - 1169530 ns MR4_I 01000208 2a001c5b - 1169550 ns MR4_I 01000200 07c96841 - 1169570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1169590 ns MR4_I 01000204 6002d1fc - 1169650 ns MR4_D 40006004 00000001 - 1169650 ns R r1 00000001 - 1169650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1169670 ns R r1 80000000 - 1169670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1169690 ns R psr 81000200 - 1169690 ns MR4_I 01000208 2a001c5b - 1169710 ns MR4_I 01000200 07c96841 - 1169730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1169750 ns MR4_I 01000204 6002d1fc - 1169810 ns MR4_D 40006004 00000001 - 1169810 ns R r1 00000001 - 1169810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1169830 ns R r1 80000000 - 1169830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1169850 ns R psr 81000200 - 1169850 ns MR4_I 01000208 2a001c5b - 1169870 ns MR4_I 01000200 07c96841 - 1169890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1169910 ns MR4_I 01000204 6002d1fc - 1169970 ns MR4_D 40006004 00000001 - 1169970 ns R r1 00000001 - 1169970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1169990 ns R r1 80000000 - 1169990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1170010 ns R psr 81000200 - 1170010 ns MR4_I 01000208 2a001c5b - 1170030 ns MR4_I 01000200 07c96841 - 1170050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1170070 ns MR4_I 01000204 6002d1fc - 1170130 ns MR4_D 40006004 00000001 - 1170130 ns R r1 00000001 - 1170130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1170150 ns R r1 80000000 - 1170150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1170170 ns R psr 81000200 - 1170170 ns MR4_I 01000208 2a001c5b - 1170190 ns MR4_I 01000200 07c96841 - 1170210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1170230 ns MR4_I 01000204 6002d1fc - 1170290 ns MR4_D 40006004 00000001 - 1170290 ns R r1 00000001 - 1170290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1170310 ns R r1 80000000 - 1170310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1170330 ns R psr 81000200 - 1170330 ns MR4_I 01000208 2a001c5b - 1170350 ns MR4_I 01000200 07c96841 - 1170370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1170390 ns MR4_I 01000204 6002d1fc - 1170450 ns MR4_D 40006004 00000001 - 1170450 ns R r1 00000001 - 1170450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1170470 ns R r1 80000000 - 1170470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1170490 ns R psr 81000200 - 1170490 ns MR4_I 01000208 2a001c5b - 1170510 ns MR4_I 01000200 07c96841 - 1170530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1170550 ns MR4_I 01000204 6002d1fc - 1170610 ns MR4_D 40006004 00000001 - 1170610 ns R r1 00000001 - 1170610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1170630 ns R r1 80000000 - 1170630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1170650 ns R psr 81000200 - 1170650 ns MR4_I 01000208 2a001c5b - 1170670 ns MR4_I 01000200 07c96841 - 1170690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1170710 ns MR4_I 01000204 6002d1fc - 1170770 ns MR4_D 40006004 00000001 - 1170770 ns R r1 00000001 - 1170770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1170790 ns R r1 80000000 - 1170790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1170810 ns R psr 81000200 - 1170810 ns MR4_I 01000208 2a001c5b - 1170830 ns MR4_I 01000200 07c96841 - 1170850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1170870 ns MR4_I 01000204 6002d1fc - 1170930 ns MR4_D 40006004 00000001 - 1170930 ns R r1 00000001 - 1170930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1170950 ns R r1 80000000 - 1170950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1170970 ns R psr 81000200 - 1170970 ns MR4_I 01000208 2a001c5b - 1170990 ns MR4_I 01000200 07c96841 - 1171010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1171030 ns MR4_I 01000204 6002d1fc - 1171090 ns MR4_D 40006004 00000001 - 1171090 ns R r1 00000001 - 1171090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1171110 ns R r1 80000000 - 1171110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1171130 ns R psr 81000200 - 1171130 ns MR4_I 01000208 2a001c5b - 1171150 ns MR4_I 01000200 07c96841 - 1171170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1171190 ns MR4_I 01000204 6002d1fc - 1171250 ns MR4_D 40006004 00000001 - 1171250 ns R r1 00000001 - 1171250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1171270 ns R r1 80000000 - 1171270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1171290 ns R psr 81000200 - 1171290 ns MR4_I 01000208 2a001c5b - 1171310 ns MR4_I 01000200 07c96841 - 1171330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1171350 ns MR4_I 01000204 6002d1fc - 1171410 ns MR4_D 40006004 00000001 - 1171410 ns R r1 00000001 - 1171410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1171430 ns R r1 80000000 - 1171430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1171450 ns R psr 81000200 - 1171450 ns MR4_I 01000208 2a001c5b - 1171470 ns MR4_I 01000200 07c96841 - 1171490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1171510 ns MR4_I 01000204 6002d1fc - 1171570 ns MR4_D 40006004 00000001 - 1171570 ns R r1 00000001 - 1171570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1171590 ns R r1 80000000 - 1171590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1171610 ns R psr 81000200 - 1171610 ns MR4_I 01000208 2a001c5b - 1171630 ns MR4_I 01000200 07c96841 - 1171650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1171670 ns MR4_I 01000204 6002d1fc - 1171730 ns MR4_D 40006004 00000001 - 1171730 ns R r1 00000001 - 1171730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1171750 ns R r1 80000000 - 1171750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1171770 ns R psr 81000200 - 1171770 ns MR4_I 01000208 2a001c5b - 1171790 ns MR4_I 01000200 07c96841 - 1171810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1171830 ns MR4_I 01000204 6002d1fc - 1171890 ns MR4_D 40006004 00000001 - 1171890 ns R r1 00000001 - 1171890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1171910 ns R r1 80000000 - 1171910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1171930 ns R psr 81000200 - 1171930 ns MR4_I 01000208 2a001c5b - 1171950 ns MR4_I 01000200 07c96841 - 1171970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1171990 ns MR4_I 01000204 6002d1fc - 1172050 ns MR4_D 40006004 00000001 - 1172050 ns R r1 00000001 - 1172050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1172070 ns R r1 80000000 - 1172070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1172090 ns R psr 81000200 - 1172090 ns MR4_I 01000208 2a001c5b - 1172110 ns MR4_I 01000200 07c96841 - 1172130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1172150 ns MR4_I 01000204 6002d1fc - 1172210 ns MR4_D 40006004 00000001 - 1172210 ns R r1 00000001 - 1172210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1172230 ns R r1 80000000 - 1172230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1172250 ns R psr 81000200 - 1172250 ns MR4_I 01000208 2a001c5b - 1172270 ns MR4_I 01000200 07c96841 - 1172290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1172310 ns MR4_I 01000204 6002d1fc - 1172370 ns MR4_D 40006004 00000001 - 1172370 ns R r1 00000001 - 1172370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1172390 ns R r1 80000000 - 1172390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1172410 ns R psr 81000200 - 1172410 ns MR4_I 01000208 2a001c5b - 1172430 ns MR4_I 01000200 07c96841 - 1172450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1172470 ns MR4_I 01000204 6002d1fc - 1172530 ns MR4_D 40006004 00000001 - 1172530 ns R r1 00000001 - 1172530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1172550 ns R r1 80000000 - 1172550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1172570 ns R psr 81000200 - 1172570 ns MR4_I 01000208 2a001c5b - 1172590 ns MR4_I 01000200 07c96841 - 1172610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1172630 ns MR4_I 01000204 6002d1fc - 1172690 ns MR4_D 40006004 00000001 - 1172690 ns R r1 00000001 - 1172690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1172710 ns R r1 80000000 - 1172710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1172730 ns R psr 81000200 - 1172730 ns MR4_I 01000208 2a001c5b - 1172750 ns MR4_I 01000200 07c96841 - 1172770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1172790 ns MR4_I 01000204 6002d1fc - 1172850 ns MR4_D 40006004 00000001 - 1172850 ns R r1 00000001 - 1172850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1172870 ns R r1 80000000 - 1172870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1172890 ns R psr 81000200 - 1172890 ns MR4_I 01000208 2a001c5b - 1172910 ns MR4_I 01000200 07c96841 - 1172930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1172950 ns MR4_I 01000204 6002d1fc - 1173010 ns MR4_D 40006004 00000001 - 1173010 ns R r1 00000001 - 1173010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1173030 ns R r1 80000000 - 1173030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1173050 ns R psr 81000200 - 1173050 ns MR4_I 01000208 2a001c5b - 1173070 ns MR4_I 01000200 07c96841 - 1173090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1173110 ns MR4_I 01000204 6002d1fc - 1173170 ns MR4_D 40006004 00000001 - 1173170 ns R r1 00000001 - 1173170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1173190 ns R r1 80000000 - 1173190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1173210 ns R psr 81000200 - 1173210 ns MR4_I 01000208 2a001c5b - 1173230 ns MR4_I 01000200 07c96841 - 1173250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1173270 ns MR4_I 01000204 6002d1fc - 1173330 ns MR4_D 40006004 00000001 - 1173330 ns R r1 00000001 - 1173330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1173350 ns R r1 80000000 - 1173350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1173370 ns R psr 81000200 - 1173370 ns MR4_I 01000208 2a001c5b - 1173390 ns MR4_I 01000200 07c96841 - 1173410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1173430 ns MR4_I 01000204 6002d1fc - 1173490 ns MR4_D 40006004 00000001 - 1173490 ns R r1 00000001 - 1173490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1173510 ns R r1 80000000 - 1173510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1173530 ns R psr 81000200 - 1173530 ns MR4_I 01000208 2a001c5b - 1173550 ns MR4_I 01000200 07c96841 - 1173570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1173590 ns MR4_I 01000204 6002d1fc - 1173650 ns MR4_D 40006004 00000001 - 1173650 ns R r1 00000001 - 1173650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1173670 ns R r1 80000000 - 1173670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1173690 ns R psr 81000200 - 1173690 ns MR4_I 01000208 2a001c5b - 1173710 ns MR4_I 01000200 07c96841 - 1173730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1173750 ns MR4_I 01000204 6002d1fc - 1173810 ns MR4_D 40006004 00000001 - 1173810 ns R r1 00000001 - 1173810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1173830 ns R r1 80000000 - 1173830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1173850 ns R psr 81000200 - 1173850 ns MR4_I 01000208 2a001c5b - 1173870 ns MR4_I 01000200 07c96841 - 1173890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1173910 ns MR4_I 01000204 6002d1fc - 1173970 ns MR4_D 40006004 00000001 - 1173970 ns R r1 00000001 - 1173970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1173990 ns R r1 80000000 - 1173990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1174010 ns R psr 81000200 - 1174010 ns MR4_I 01000208 2a001c5b - 1174030 ns MR4_I 01000200 07c96841 - 1174050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1174070 ns MR4_I 01000204 6002d1fc - 1174130 ns MR4_D 40006004 00000001 - 1174130 ns R r1 00000001 - 1174130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1174150 ns R r1 80000000 - 1174150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1174170 ns R psr 81000200 - 1174170 ns MR4_I 01000208 2a001c5b - 1174190 ns MR4_I 01000200 07c96841 - 1174210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1174230 ns MR4_I 01000204 6002d1fc - 1174290 ns MR4_D 40006004 00000001 - 1174290 ns R r1 00000001 - 1174290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1174310 ns R r1 80000000 - 1174310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1174330 ns R psr 81000200 - 1174330 ns MR4_I 01000208 2a001c5b - 1174350 ns MR4_I 01000200 07c96841 - 1174370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1174390 ns MR4_I 01000204 6002d1fc - 1174450 ns MR4_D 40006004 00000001 - 1174450 ns R r1 00000001 - 1174450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1174470 ns R r1 80000000 - 1174470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1174490 ns R psr 81000200 - 1174490 ns MR4_I 01000208 2a001c5b - 1174510 ns MR4_I 01000200 07c96841 - 1174530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1174550 ns MR4_I 01000204 6002d1fc - 1174610 ns MR4_D 40006004 00000001 - 1174610 ns R r1 00000001 - 1174610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1174630 ns R r1 80000000 - 1174630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1174650 ns R psr 81000200 - 1174650 ns MR4_I 01000208 2a001c5b - 1174670 ns MR4_I 01000200 07c96841 - 1174690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1174710 ns MR4_I 01000204 6002d1fc - 1174770 ns MR4_D 40006004 00000001 - 1174770 ns R r1 00000001 - 1174770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1174790 ns R r1 80000000 - 1174790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1174810 ns R psr 81000200 - 1174810 ns MR4_I 01000208 2a001c5b - 1174830 ns MR4_I 01000200 07c96841 - 1174850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1174870 ns MR4_I 01000204 6002d1fc - 1174930 ns MR4_D 40006004 00000001 - 1174930 ns R r1 00000001 - 1174930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1174950 ns R r1 80000000 - 1174950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1174970 ns R psr 81000200 - 1174970 ns MR4_I 01000208 2a001c5b - 1174990 ns MR4_I 01000200 07c96841 - 1175010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1175030 ns MR4_I 01000204 6002d1fc - 1175090 ns MR4_D 40006004 00000001 - 1175090 ns R r1 00000001 - 1175090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1175110 ns R r1 80000000 - 1175110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1175130 ns R psr 81000200 - 1175130 ns MR4_I 01000208 2a001c5b - 1175150 ns MR4_I 01000200 07c96841 - 1175170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1175190 ns MR4_I 01000204 6002d1fc - 1175250 ns MR4_D 40006004 00000001 - 1175250 ns R r1 00000001 - 1175250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1175270 ns R r1 80000000 - 1175270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1175290 ns R psr 81000200 - 1175290 ns MR4_I 01000208 2a001c5b - 1175310 ns MR4_I 01000200 07c96841 - 1175330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1175350 ns MR4_I 01000204 6002d1fc - 1175410 ns MR4_D 40006004 00000001 - 1175410 ns R r1 00000001 - 1175410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1175430 ns R r1 80000000 - 1175430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1175450 ns R psr 81000200 - 1175450 ns MR4_I 01000208 2a001c5b - 1175470 ns MR4_I 01000200 07c96841 - 1175490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1175510 ns MR4_I 01000204 6002d1fc - 1175570 ns MR4_D 40006004 00000001 - 1175570 ns R r1 00000001 - 1175570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1175590 ns R r1 80000000 - 1175590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1175610 ns R psr 81000200 - 1175610 ns MR4_I 01000208 2a001c5b - 1175630 ns MR4_I 01000200 07c96841 - 1175650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1175670 ns MR4_I 01000204 6002d1fc - 1175730 ns MR4_D 40006004 00000001 - 1175730 ns R r1 00000001 - 1175730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1175750 ns R r1 80000000 - 1175750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1175770 ns R psr 81000200 - 1175770 ns MR4_I 01000208 2a001c5b - 1175790 ns MR4_I 01000200 07c96841 - 1175810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1175830 ns MR4_I 01000204 6002d1fc - 1175890 ns MR4_D 40006004 00000001 - 1175890 ns R r1 00000001 - 1175890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1175910 ns R r1 80000000 - 1175910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1175930 ns R psr 81000200 - 1175930 ns MR4_I 01000208 2a001c5b - 1175950 ns MR4_I 01000200 07c96841 - 1175970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1175990 ns MR4_I 01000204 6002d1fc - 1176050 ns MR4_D 40006004 00000001 - 1176050 ns R r1 00000001 - 1176050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1176070 ns R r1 80000000 - 1176070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1176090 ns R psr 81000200 - 1176090 ns MR4_I 01000208 2a001c5b - 1176110 ns MR4_I 01000200 07c96841 - 1176130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1176150 ns MR4_I 01000204 6002d1fc - 1176210 ns MR4_D 40006004 00000001 - 1176210 ns R r1 00000001 - 1176210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1176230 ns R r1 80000000 - 1176230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1176250 ns R psr 81000200 - 1176250 ns MR4_I 01000208 2a001c5b - 1176270 ns MR4_I 01000200 07c96841 - 1176290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1176310 ns MR4_I 01000204 6002d1fc - 1176370 ns MR4_D 40006004 00000001 - 1176370 ns R r1 00000001 - 1176370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1176390 ns R r1 80000000 - 1176390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1176410 ns R psr 81000200 - 1176410 ns MR4_I 01000208 2a001c5b - 1176430 ns MR4_I 01000200 07c96841 - 1176450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1176470 ns MR4_I 01000204 6002d1fc - 1176530 ns MR4_D 40006004 00000001 - 1176530 ns R r1 00000001 - 1176530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1176550 ns R r1 80000000 - 1176550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1176570 ns R psr 81000200 - 1176570 ns MR4_I 01000208 2a001c5b - 1176590 ns MR4_I 01000200 07c96841 - 1176610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1176630 ns MR4_I 01000204 6002d1fc - 1176690 ns MR4_D 40006004 00000001 - 1176690 ns R r1 00000001 - 1176690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1176710 ns R r1 80000000 - 1176710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1176730 ns R psr 81000200 - 1176730 ns MR4_I 01000208 2a001c5b - 1176750 ns MR4_I 01000200 07c96841 - 1176770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1176790 ns MR4_I 01000204 6002d1fc - 1176850 ns MR4_D 40006004 00000001 - 1176850 ns R r1 00000001 - 1176850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1176870 ns R r1 80000000 - 1176870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1176890 ns R psr 81000200 - 1176890 ns MR4_I 01000208 2a001c5b - 1176910 ns MR4_I 01000200 07c96841 - 1176930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1176950 ns MR4_I 01000204 6002d1fc - 1177010 ns MR4_D 40006004 00000001 - 1177010 ns R r1 00000001 - 1177010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1177030 ns R r1 80000000 - 1177030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1177050 ns R psr 81000200 - 1177050 ns MR4_I 01000208 2a001c5b - 1177070 ns MR4_I 01000200 07c96841 - 1177090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1177110 ns MR4_I 01000204 6002d1fc - 1177170 ns MR4_D 40006004 00000001 - 1177170 ns R r1 00000001 - 1177170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1177190 ns R r1 80000000 - 1177190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1177210 ns R psr 81000200 - 1177210 ns MR4_I 01000208 2a001c5b - 1177230 ns MR4_I 01000200 07c96841 - 1177250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1177270 ns MR4_I 01000204 6002d1fc - 1177330 ns MR4_D 40006004 00000001 - 1177330 ns R r1 00000001 - 1177330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1177350 ns R r1 80000000 - 1177350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1177370 ns R psr 81000200 - 1177370 ns MR4_I 01000208 2a001c5b - 1177390 ns MR4_I 01000200 07c96841 - 1177410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1177430 ns MR4_I 01000204 6002d1fc - 1177490 ns MR4_D 40006004 00000001 - 1177490 ns R r1 00000001 - 1177490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1177510 ns R r1 80000000 - 1177510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1177530 ns R psr 81000200 - 1177530 ns MR4_I 01000208 2a001c5b - 1177550 ns MR4_I 01000200 07c96841 - 1177570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1177590 ns MR4_I 01000204 6002d1fc - 1177650 ns MR4_D 40006004 00000001 - 1177650 ns R r1 00000001 - 1177650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1177670 ns R r1 80000000 - 1177670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1177690 ns R psr 81000200 - 1177690 ns MR4_I 01000208 2a001c5b - 1177710 ns MR4_I 01000200 07c96841 - 1177730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1177750 ns MR4_I 01000204 6002d1fc - 1177810 ns MR4_D 40006004 00000001 - 1177810 ns R r1 00000001 - 1177810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1177830 ns R r1 80000000 - 1177830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1177850 ns R psr 81000200 - 1177850 ns MR4_I 01000208 2a001c5b - 1177870 ns MR4_I 01000200 07c96841 - 1177890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1177910 ns MR4_I 01000204 6002d1fc - 1177970 ns MR4_D 40006004 00000001 - 1177970 ns R r1 00000001 - 1177970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1177990 ns R r1 80000000 - 1177990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1178010 ns R psr 81000200 - 1178010 ns MR4_I 01000208 2a001c5b - 1178030 ns MR4_I 01000200 07c96841 - 1178050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1178070 ns MR4_I 01000204 6002d1fc - 1178130 ns MR4_D 40006004 00000001 - 1178130 ns R r1 00000001 - 1178130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1178150 ns R r1 80000000 - 1178150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1178170 ns R psr 81000200 - 1178170 ns MR4_I 01000208 2a001c5b - 1178190 ns MR4_I 01000200 07c96841 - 1178210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1178230 ns MR4_I 01000204 6002d1fc - 1178290 ns MR4_D 40006004 00000001 - 1178290 ns R r1 00000001 - 1178290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1178310 ns R r1 80000000 - 1178310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1178330 ns R psr 81000200 - 1178330 ns MR4_I 01000208 2a001c5b - 1178350 ns MR4_I 01000200 07c96841 - 1178370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1178390 ns MR4_I 01000204 6002d1fc - 1178450 ns MR4_D 40006004 00000001 - 1178450 ns R r1 00000001 - 1178450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1178470 ns R r1 80000000 - 1178470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1178490 ns R psr 81000200 - 1178490 ns MR4_I 01000208 2a001c5b - 1178510 ns MR4_I 01000200 07c96841 - 1178530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1178550 ns MR4_I 01000204 6002d1fc - 1178610 ns MR4_D 40006004 00000001 - 1178610 ns R r1 00000001 - 1178610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1178630 ns R r1 80000000 - 1178630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1178650 ns R psr 81000200 - 1178650 ns MR4_I 01000208 2a001c5b - 1178670 ns MR4_I 01000200 07c96841 - 1178690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1178710 ns MR4_I 01000204 6002d1fc - 1178770 ns MR4_D 40006004 00000001 - 1178770 ns R r1 00000001 - 1178770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1178790 ns R r1 80000000 - 1178790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1178810 ns R psr 81000200 - 1178810 ns MR4_I 01000208 2a001c5b - 1178830 ns MR4_I 01000200 07c96841 - 1178850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1178870 ns MR4_I 01000204 6002d1fc - 1178930 ns MR4_D 40006004 00000001 - 1178930 ns R r1 00000001 - 1178930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1178950 ns R r1 80000000 - 1178950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1178970 ns R psr 81000200 - 1178970 ns MR4_I 01000208 2a001c5b - 1178990 ns MR4_I 01000200 07c96841 - 1179010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1179030 ns MR4_I 01000204 6002d1fc - 1179090 ns MR4_D 40006004 00000001 - 1179090 ns R r1 00000001 - 1179090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1179110 ns R r1 80000000 - 1179110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1179130 ns R psr 81000200 - 1179130 ns MR4_I 01000208 2a001c5b - 1179150 ns MR4_I 01000200 07c96841 - 1179170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1179190 ns MR4_I 01000204 6002d1fc - 1179250 ns MR4_D 40006004 00000001 - 1179250 ns R r1 00000001 - 1179250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1179270 ns R r1 80000000 - 1179270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1179290 ns R psr 81000200 - 1179290 ns MR4_I 01000208 2a001c5b - 1179310 ns MR4_I 01000200 07c96841 - 1179330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1179350 ns MR4_I 01000204 6002d1fc - 1179410 ns MR4_D 40006004 00000001 - 1179410 ns R r1 00000001 - 1179410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1179430 ns R r1 80000000 - 1179430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1179450 ns R psr 81000200 - 1179450 ns MR4_I 01000208 2a001c5b - 1179470 ns MR4_I 01000200 07c96841 - 1179490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1179510 ns MR4_I 01000204 6002d1fc - 1179570 ns MR4_D 40006004 00000001 - 1179570 ns R r1 00000001 - 1179570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1179590 ns R r1 80000000 - 1179590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1179610 ns R psr 81000200 - 1179610 ns MR4_I 01000208 2a001c5b - 1179630 ns MR4_I 01000200 07c96841 - 1179650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1179670 ns MR4_I 01000204 6002d1fc - 1179730 ns MR4_D 40006004 00000001 - 1179730 ns R r1 00000001 - 1179730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1179750 ns R r1 80000000 - 1179750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1179770 ns R psr 81000200 - 1179770 ns MR4_I 01000208 2a001c5b - 1179790 ns MR4_I 01000200 07c96841 - 1179810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1179830 ns MR4_I 01000204 6002d1fc - 1179890 ns MR4_D 40006004 00000001 - 1179890 ns R r1 00000001 - 1179890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1179910 ns R r1 80000000 - 1179910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1179930 ns R psr 81000200 - 1179930 ns MR4_I 01000208 2a001c5b - 1179950 ns MR4_I 01000200 07c96841 - 1179970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1179990 ns MR4_I 01000204 6002d1fc - 1180050 ns MR4_D 40006004 00000001 - 1180050 ns R r1 00000001 - 1180050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1180070 ns R r1 80000000 - 1180070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1180090 ns R psr 81000200 - 1180090 ns MR4_I 01000208 2a001c5b - 1180110 ns MR4_I 01000200 07c96841 - 1180130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1180150 ns MR4_I 01000204 6002d1fc - 1180210 ns MR4_D 40006004 00000001 - 1180210 ns R r1 00000001 - 1180210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1180230 ns R r1 80000000 - 1180230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1180250 ns R psr 81000200 - 1180250 ns MR4_I 01000208 2a001c5b - 1180270 ns MR4_I 01000200 07c96841 - 1180290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1180310 ns MR4_I 01000204 6002d1fc - 1180370 ns MR4_D 40006004 00000001 - 1180370 ns R r1 00000001 - 1180370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1180390 ns R r1 80000000 - 1180390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1180410 ns R psr 81000200 - 1180410 ns MR4_I 01000208 2a001c5b - 1180430 ns MR4_I 01000200 07c96841 - 1180450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1180470 ns MR4_I 01000204 6002d1fc - 1180530 ns MR4_D 40006004 00000001 - 1180530 ns R r1 00000001 - 1180530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1180550 ns R r1 80000000 - 1180550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1180570 ns R psr 81000200 - 1180570 ns MR4_I 01000208 2a001c5b - 1180590 ns MR4_I 01000200 07c96841 - 1180610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1180630 ns MR4_I 01000204 6002d1fc - 1180690 ns MR4_D 40006004 00000001 - 1180690 ns R r1 00000001 - 1180690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1180710 ns R r1 80000000 - 1180710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1180730 ns R psr 81000200 - 1180730 ns MR4_I 01000208 2a001c5b - 1180750 ns MR4_I 01000200 07c96841 - 1180770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1180790 ns MR4_I 01000204 6002d1fc - 1180850 ns MR4_D 40006004 00000001 - 1180850 ns R r1 00000001 - 1180850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1180870 ns R r1 80000000 - 1180870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1180890 ns R psr 81000200 - 1180890 ns MR4_I 01000208 2a001c5b - 1180910 ns MR4_I 01000200 07c96841 - 1180930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1180950 ns MR4_I 01000204 6002d1fc - 1181010 ns MR4_D 40006004 00000001 - 1181010 ns R r1 00000001 - 1181010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1181030 ns R r1 80000000 - 1181030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1181050 ns R psr 81000200 - 1181050 ns MR4_I 01000208 2a001c5b - 1181070 ns MR4_I 01000200 07c96841 - 1181090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1181110 ns MR4_I 01000204 6002d1fc - 1181170 ns MR4_D 40006004 00000001 - 1181170 ns R r1 00000001 - 1181170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1181190 ns R r1 80000000 - 1181190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1181210 ns R psr 81000200 - 1181210 ns MR4_I 01000208 2a001c5b - 1181230 ns MR4_I 01000200 07c96841 - 1181250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1181270 ns MR4_I 01000204 6002d1fc - 1181330 ns MR4_D 40006004 00000001 - 1181330 ns R r1 00000001 - 1181330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1181350 ns R r1 80000000 - 1181350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1181370 ns R psr 81000200 - 1181370 ns MR4_I 01000208 2a001c5b - 1181390 ns MR4_I 01000200 07c96841 - 1181410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1181430 ns MR4_I 01000204 6002d1fc - 1181490 ns MR4_D 40006004 00000000 - 1181490 ns R r1 00000000 - 1181490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1181510 ns R r1 00000000 - 1181510 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1181530 ns R psr 41000200 - 1181530 ns MR4_I 01000208 2a001c5b - 1181530 ns IT 01000206 6002 STR r2,[r0,#0] - 1181610 ns MW4_D 40006000 00000053 - 1181610 ns IT 01000208 1c5b ADDS r3,r3,#1 - 1181630 ns MR4_I 0100020c a32ad1f5 - 1181630 ns R r3 010002b2 - 1181630 ns IT 0100020a 2a00 CMP r2,#0 - 1181650 ns R psr 01000200 - 1181650 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 1181670 ns R psr 21000200 - 1181670 ns MR4_I 01000210 2a00781a - 1181690 ns MR4_I 010001f8 781aa326 - 1181710 ns MR4_I 010001fc d0062a00 - 1181710 ns IT 010001fa 781a LDRB r2,[r3,#0] - 1181750 ns MR1_D 010002b2 4b445320 - 1181750 ns R r2 00000044 - 1181750 ns IT 010001fc 2a00 CMP r2,#0 - 1181770 ns MR4_I 01000200 07c96841 - 1181770 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 1181790 ns R psr 21000200 - 1181790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1181810 ns MR4_I 01000204 6002d1fc - 1181870 ns MR4_D 40006004 00000001 - 1181870 ns R r1 00000001 - 1181870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1181890 ns R r1 80000000 - 1181890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1181910 ns R psr 81000200 - 1181910 ns MR4_I 01000208 2a001c5b - 1181930 ns MR4_I 01000200 07c96841 - 1181950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1181970 ns MR4_I 01000204 6002d1fc - 1182030 ns MR4_D 40006004 00000001 - 1182030 ns R r1 00000001 - 1182030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1182050 ns R r1 80000000 - 1182050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1182070 ns R psr 81000200 - 1182070 ns MR4_I 01000208 2a001c5b - 1182090 ns MR4_I 01000200 07c96841 - 1182110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1182130 ns MR4_I 01000204 6002d1fc - 1182190 ns MR4_D 40006004 00000001 - 1182190 ns R r1 00000001 - 1182190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1182210 ns R r1 80000000 - 1182210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1182230 ns R psr 81000200 - 1182230 ns MR4_I 01000208 2a001c5b - 1182250 ns MR4_I 01000200 07c96841 - 1182270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1182290 ns MR4_I 01000204 6002d1fc - 1182350 ns MR4_D 40006004 00000001 - 1182350 ns R r1 00000001 - 1182350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1182370 ns R r1 80000000 - 1182370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1182390 ns R psr 81000200 - 1182390 ns MR4_I 01000208 2a001c5b - 1182410 ns MR4_I 01000200 07c96841 - 1182430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1182450 ns MR4_I 01000204 6002d1fc - 1182510 ns MR4_D 40006004 00000001 - 1182510 ns R r1 00000001 - 1182510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1182530 ns R r1 80000000 - 1182530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1182550 ns R psr 81000200 - 1182550 ns MR4_I 01000208 2a001c5b - 1182570 ns MR4_I 01000200 07c96841 - 1182590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1182610 ns MR4_I 01000204 6002d1fc - 1182670 ns MR4_D 40006004 00000001 - 1182670 ns R r1 00000001 - 1182670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1182690 ns R r1 80000000 - 1182690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1182710 ns R psr 81000200 - 1182710 ns MR4_I 01000208 2a001c5b - 1182730 ns MR4_I 01000200 07c96841 - 1182750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1182770 ns MR4_I 01000204 6002d1fc - 1182830 ns MR4_D 40006004 00000001 - 1182830 ns R r1 00000001 - 1182830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1182850 ns R r1 80000000 - 1182850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1182870 ns R psr 81000200 - 1182870 ns MR4_I 01000208 2a001c5b - 1182890 ns MR4_I 01000200 07c96841 - 1182910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1182930 ns MR4_I 01000204 6002d1fc - 1182990 ns MR4_D 40006004 00000001 - 1182990 ns R r1 00000001 - 1182990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1183010 ns R r1 80000000 - 1183010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1183030 ns R psr 81000200 - 1183030 ns MR4_I 01000208 2a001c5b - 1183050 ns MR4_I 01000200 07c96841 - 1183070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1183090 ns MR4_I 01000204 6002d1fc - 1183150 ns MR4_D 40006004 00000001 - 1183150 ns R r1 00000001 - 1183150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1183170 ns R r1 80000000 - 1183170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1183190 ns R psr 81000200 - 1183190 ns MR4_I 01000208 2a001c5b - 1183210 ns MR4_I 01000200 07c96841 - 1183230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1183250 ns MR4_I 01000204 6002d1fc - 1183310 ns MR4_D 40006004 00000001 - 1183310 ns R r1 00000001 - 1183310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1183330 ns R r1 80000000 - 1183330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1183350 ns R psr 81000200 - 1183350 ns MR4_I 01000208 2a001c5b - 1183370 ns MR4_I 01000200 07c96841 - 1183390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1183410 ns MR4_I 01000204 6002d1fc - 1183470 ns MR4_D 40006004 00000001 - 1183470 ns R r1 00000001 - 1183470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1183490 ns R r1 80000000 - 1183490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1183510 ns R psr 81000200 - 1183510 ns MR4_I 01000208 2a001c5b - 1183530 ns MR4_I 01000200 07c96841 - 1183550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1183570 ns MR4_I 01000204 6002d1fc - 1183630 ns MR4_D 40006004 00000001 - 1183630 ns R r1 00000001 - 1183630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1183650 ns R r1 80000000 - 1183650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1183670 ns R psr 81000200 - 1183670 ns MR4_I 01000208 2a001c5b - 1183690 ns MR4_I 01000200 07c96841 - 1183710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1183730 ns MR4_I 01000204 6002d1fc - 1183790 ns MR4_D 40006004 00000001 - 1183790 ns R r1 00000001 - 1183790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1183810 ns R r1 80000000 - 1183810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1183830 ns R psr 81000200 - 1183830 ns MR4_I 01000208 2a001c5b - 1183850 ns MR4_I 01000200 07c96841 - 1183870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1183890 ns MR4_I 01000204 6002d1fc - 1183950 ns MR4_D 40006004 00000001 - 1183950 ns R r1 00000001 - 1183950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1183970 ns R r1 80000000 - 1183970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1183990 ns R psr 81000200 - 1183990 ns MR4_I 01000208 2a001c5b - 1184010 ns MR4_I 01000200 07c96841 - 1184030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1184050 ns MR4_I 01000204 6002d1fc - 1184110 ns MR4_D 40006004 00000001 - 1184110 ns R r1 00000001 - 1184110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1184130 ns R r1 80000000 - 1184130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1184150 ns R psr 81000200 - 1184150 ns MR4_I 01000208 2a001c5b - 1184170 ns MR4_I 01000200 07c96841 - 1184190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1184210 ns MR4_I 01000204 6002d1fc - 1184270 ns MR4_D 40006004 00000001 - 1184270 ns R r1 00000001 - 1184270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1184290 ns R r1 80000000 - 1184290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1184310 ns R psr 81000200 - 1184310 ns MR4_I 01000208 2a001c5b - 1184330 ns MR4_I 01000200 07c96841 - 1184350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1184370 ns MR4_I 01000204 6002d1fc - 1184430 ns MR4_D 40006004 00000001 - 1184430 ns R r1 00000001 - 1184430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1184450 ns R r1 80000000 - 1184450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1184470 ns R psr 81000200 - 1184470 ns MR4_I 01000208 2a001c5b - 1184490 ns MR4_I 01000200 07c96841 - 1184510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1184530 ns MR4_I 01000204 6002d1fc - 1184590 ns MR4_D 40006004 00000001 - 1184590 ns R r1 00000001 - 1184590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1184610 ns R r1 80000000 - 1184610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1184630 ns R psr 81000200 - 1184630 ns MR4_I 01000208 2a001c5b - 1184650 ns MR4_I 01000200 07c96841 - 1184670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1184690 ns MR4_I 01000204 6002d1fc - 1184750 ns MR4_D 40006004 00000001 - 1184750 ns R r1 00000001 - 1184750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1184770 ns R r1 80000000 - 1184770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1184790 ns R psr 81000200 - 1184790 ns MR4_I 01000208 2a001c5b - 1184810 ns MR4_I 01000200 07c96841 - 1184830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1184850 ns MR4_I 01000204 6002d1fc - 1184910 ns MR4_D 40006004 00000001 - 1184910 ns R r1 00000001 - 1184910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1184930 ns R r1 80000000 - 1184930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1184950 ns R psr 81000200 - 1184950 ns MR4_I 01000208 2a001c5b - 1184970 ns MR4_I 01000200 07c96841 - 1184990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1185010 ns MR4_I 01000204 6002d1fc - 1185070 ns MR4_D 40006004 00000001 - 1185070 ns R r1 00000001 - 1185070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1185090 ns R r1 80000000 - 1185090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1185110 ns R psr 81000200 - 1185110 ns MR4_I 01000208 2a001c5b - 1185130 ns MR4_I 01000200 07c96841 - 1185150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1185170 ns MR4_I 01000204 6002d1fc - 1185230 ns MR4_D 40006004 00000001 - 1185230 ns R r1 00000001 - 1185230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1185250 ns R r1 80000000 - 1185250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1185270 ns R psr 81000200 - 1185270 ns MR4_I 01000208 2a001c5b - 1185290 ns MR4_I 01000200 07c96841 - 1185310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1185330 ns MR4_I 01000204 6002d1fc - 1185390 ns MR4_D 40006004 00000001 - 1185390 ns R r1 00000001 - 1185390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1185410 ns R r1 80000000 - 1185410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1185430 ns R psr 81000200 - 1185430 ns MR4_I 01000208 2a001c5b - 1185450 ns MR4_I 01000200 07c96841 - 1185470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1185490 ns MR4_I 01000204 6002d1fc - 1185550 ns MR4_D 40006004 00000001 - 1185550 ns R r1 00000001 - 1185550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1185570 ns R r1 80000000 - 1185570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1185590 ns R psr 81000200 - 1185590 ns MR4_I 01000208 2a001c5b - 1185610 ns MR4_I 01000200 07c96841 - 1185630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1185650 ns MR4_I 01000204 6002d1fc - 1185710 ns MR4_D 40006004 00000001 - 1185710 ns R r1 00000001 - 1185710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1185730 ns R r1 80000000 - 1185730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1185750 ns R psr 81000200 - 1185750 ns MR4_I 01000208 2a001c5b - 1185770 ns MR4_I 01000200 07c96841 - 1185790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1185810 ns MR4_I 01000204 6002d1fc - 1185870 ns MR4_D 40006004 00000001 - 1185870 ns R r1 00000001 - 1185870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1185890 ns R r1 80000000 - 1185890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1185910 ns R psr 81000200 - 1185910 ns MR4_I 01000208 2a001c5b - 1185930 ns MR4_I 01000200 07c96841 - 1185950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1185970 ns MR4_I 01000204 6002d1fc - 1186030 ns MR4_D 40006004 00000001 - 1186030 ns R r1 00000001 - 1186030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1186050 ns R r1 80000000 - 1186050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1186070 ns R psr 81000200 - 1186070 ns MR4_I 01000208 2a001c5b - 1186090 ns MR4_I 01000200 07c96841 - 1186110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1186130 ns MR4_I 01000204 6002d1fc - 1186190 ns MR4_D 40006004 00000001 - 1186190 ns R r1 00000001 - 1186190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1186210 ns R r1 80000000 - 1186210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1186230 ns R psr 81000200 - 1186230 ns MR4_I 01000208 2a001c5b - 1186250 ns MR4_I 01000200 07c96841 - 1186270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1186290 ns MR4_I 01000204 6002d1fc - 1186350 ns MR4_D 40006004 00000001 - 1186350 ns R r1 00000001 - 1186350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1186370 ns R r1 80000000 - 1186370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1186390 ns R psr 81000200 - 1186390 ns MR4_I 01000208 2a001c5b - 1186410 ns MR4_I 01000200 07c96841 - 1186430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1186450 ns MR4_I 01000204 6002d1fc - 1186510 ns MR4_D 40006004 00000001 - 1186510 ns R r1 00000001 - 1186510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1186530 ns R r1 80000000 - 1186530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1186550 ns R psr 81000200 - 1186550 ns MR4_I 01000208 2a001c5b - 1186570 ns MR4_I 01000200 07c96841 - 1186590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1186610 ns MR4_I 01000204 6002d1fc - 1186670 ns MR4_D 40006004 00000001 - 1186670 ns R r1 00000001 - 1186670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1186690 ns R r1 80000000 - 1186690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1186710 ns R psr 81000200 - 1186710 ns MR4_I 01000208 2a001c5b - 1186730 ns MR4_I 01000200 07c96841 - 1186750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1186770 ns MR4_I 01000204 6002d1fc - 1186830 ns MR4_D 40006004 00000001 - 1186830 ns R r1 00000001 - 1186830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1186850 ns R r1 80000000 - 1186850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1186870 ns R psr 81000200 - 1186870 ns MR4_I 01000208 2a001c5b - 1186890 ns MR4_I 01000200 07c96841 - 1186910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1186930 ns MR4_I 01000204 6002d1fc - 1186990 ns MR4_D 40006004 00000001 - 1186990 ns R r1 00000001 - 1186990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1187010 ns R r1 80000000 - 1187010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1187030 ns R psr 81000200 - 1187030 ns MR4_I 01000208 2a001c5b - 1187050 ns MR4_I 01000200 07c96841 - 1187070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1187090 ns MR4_I 01000204 6002d1fc - 1187150 ns MR4_D 40006004 00000001 - 1187150 ns R r1 00000001 - 1187150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1187170 ns R r1 80000000 - 1187170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1187190 ns R psr 81000200 - 1187190 ns MR4_I 01000208 2a001c5b - 1187210 ns MR4_I 01000200 07c96841 - 1187230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1187250 ns MR4_I 01000204 6002d1fc - 1187310 ns MR4_D 40006004 00000001 - 1187310 ns R r1 00000001 - 1187310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1187330 ns R r1 80000000 - 1187330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1187350 ns R psr 81000200 - 1187350 ns MR4_I 01000208 2a001c5b - 1187370 ns MR4_I 01000200 07c96841 - 1187390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1187410 ns MR4_I 01000204 6002d1fc - 1187470 ns MR4_D 40006004 00000001 - 1187470 ns R r1 00000001 - 1187470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1187490 ns R r1 80000000 - 1187490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1187510 ns R psr 81000200 - 1187510 ns MR4_I 01000208 2a001c5b - 1187530 ns MR4_I 01000200 07c96841 - 1187550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1187570 ns MR4_I 01000204 6002d1fc - 1187630 ns MR4_D 40006004 00000001 - 1187630 ns R r1 00000001 - 1187630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1187650 ns R r1 80000000 - 1187650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1187670 ns R psr 81000200 - 1187670 ns MR4_I 01000208 2a001c5b - 1187690 ns MR4_I 01000200 07c96841 - 1187710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1187730 ns MR4_I 01000204 6002d1fc - 1187790 ns MR4_D 40006004 00000001 - 1187790 ns R r1 00000001 - 1187790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1187810 ns R r1 80000000 - 1187810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1187830 ns R psr 81000200 - 1187830 ns MR4_I 01000208 2a001c5b - 1187850 ns MR4_I 01000200 07c96841 - 1187870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1187890 ns MR4_I 01000204 6002d1fc - 1187950 ns MR4_D 40006004 00000001 - 1187950 ns R r1 00000001 - 1187950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1187970 ns R r1 80000000 - 1187970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1187990 ns R psr 81000200 - 1187990 ns MR4_I 01000208 2a001c5b - 1188010 ns MR4_I 01000200 07c96841 - 1188030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1188050 ns MR4_I 01000204 6002d1fc - 1188110 ns MR4_D 40006004 00000001 - 1188110 ns R r1 00000001 - 1188110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1188130 ns R r1 80000000 - 1188130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1188150 ns R psr 81000200 - 1188150 ns MR4_I 01000208 2a001c5b - 1188170 ns MR4_I 01000200 07c96841 - 1188190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1188210 ns MR4_I 01000204 6002d1fc - 1188270 ns MR4_D 40006004 00000001 - 1188270 ns R r1 00000001 - 1188270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1188290 ns R r1 80000000 - 1188290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1188310 ns R psr 81000200 - 1188310 ns MR4_I 01000208 2a001c5b - 1188330 ns MR4_I 01000200 07c96841 - 1188350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1188370 ns MR4_I 01000204 6002d1fc - 1188430 ns MR4_D 40006004 00000001 - 1188430 ns R r1 00000001 - 1188430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1188450 ns R r1 80000000 - 1188450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1188470 ns R psr 81000200 - 1188470 ns MR4_I 01000208 2a001c5b - 1188490 ns MR4_I 01000200 07c96841 - 1188510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1188530 ns MR4_I 01000204 6002d1fc - 1188590 ns MR4_D 40006004 00000001 - 1188590 ns R r1 00000001 - 1188590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1188610 ns R r1 80000000 - 1188610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1188630 ns R psr 81000200 - 1188630 ns MR4_I 01000208 2a001c5b - 1188650 ns MR4_I 01000200 07c96841 - 1188670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1188690 ns MR4_I 01000204 6002d1fc - 1188750 ns MR4_D 40006004 00000001 - 1188750 ns R r1 00000001 - 1188750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1188770 ns R r1 80000000 - 1188770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1188790 ns R psr 81000200 - 1188790 ns MR4_I 01000208 2a001c5b - 1188810 ns MR4_I 01000200 07c96841 - 1188830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1188850 ns MR4_I 01000204 6002d1fc - 1188910 ns MR4_D 40006004 00000001 - 1188910 ns R r1 00000001 - 1188910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1188930 ns R r1 80000000 - 1188930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1188950 ns R psr 81000200 - 1188950 ns MR4_I 01000208 2a001c5b - 1188970 ns MR4_I 01000200 07c96841 - 1188990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1189010 ns MR4_I 01000204 6002d1fc - 1189070 ns MR4_D 40006004 00000001 - 1189070 ns R r1 00000001 - 1189070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1189090 ns R r1 80000000 - 1189090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1189110 ns R psr 81000200 - 1189110 ns MR4_I 01000208 2a001c5b - 1189130 ns MR4_I 01000200 07c96841 - 1189150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1189170 ns MR4_I 01000204 6002d1fc - 1189230 ns MR4_D 40006004 00000001 - 1189230 ns R r1 00000001 - 1189230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1189250 ns R r1 80000000 - 1189250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1189270 ns R psr 81000200 - 1189270 ns MR4_I 01000208 2a001c5b - 1189290 ns MR4_I 01000200 07c96841 - 1189310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1189330 ns MR4_I 01000204 6002d1fc - 1189390 ns MR4_D 40006004 00000001 - 1189390 ns R r1 00000001 - 1189390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1189410 ns R r1 80000000 - 1189410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1189430 ns R psr 81000200 - 1189430 ns MR4_I 01000208 2a001c5b - 1189450 ns MR4_I 01000200 07c96841 - 1189470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1189490 ns MR4_I 01000204 6002d1fc - 1189550 ns MR4_D 40006004 00000001 - 1189550 ns R r1 00000001 - 1189550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1189570 ns R r1 80000000 - 1189570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1189590 ns R psr 81000200 - 1189590 ns MR4_I 01000208 2a001c5b - 1189610 ns MR4_I 01000200 07c96841 - 1189630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1189650 ns MR4_I 01000204 6002d1fc - 1189710 ns MR4_D 40006004 00000001 - 1189710 ns R r1 00000001 - 1189710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1189730 ns R r1 80000000 - 1189730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1189750 ns R psr 81000200 - 1189750 ns MR4_I 01000208 2a001c5b - 1189770 ns MR4_I 01000200 07c96841 - 1189790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1189810 ns MR4_I 01000204 6002d1fc - 1189870 ns MR4_D 40006004 00000001 - 1189870 ns R r1 00000001 - 1189870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1189890 ns R r1 80000000 - 1189890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1189910 ns R psr 81000200 - 1189910 ns MR4_I 01000208 2a001c5b - 1189930 ns MR4_I 01000200 07c96841 - 1189950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1189970 ns MR4_I 01000204 6002d1fc - 1190030 ns MR4_D 40006004 00000001 - 1190030 ns R r1 00000001 - 1190030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1190050 ns R r1 80000000 - 1190050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1190070 ns R psr 81000200 - 1190070 ns MR4_I 01000208 2a001c5b - 1190090 ns MR4_I 01000200 07c96841 - 1190110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1190130 ns MR4_I 01000204 6002d1fc - 1190190 ns MR4_D 40006004 00000001 - 1190190 ns R r1 00000001 - 1190190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1190210 ns R r1 80000000 - 1190210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1190230 ns R psr 81000200 - 1190230 ns MR4_I 01000208 2a001c5b - 1190250 ns MR4_I 01000200 07c96841 - 1190270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1190290 ns MR4_I 01000204 6002d1fc - 1190350 ns MR4_D 40006004 00000001 - 1190350 ns R r1 00000001 - 1190350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1190370 ns R r1 80000000 - 1190370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1190390 ns R psr 81000200 - 1190390 ns MR4_I 01000208 2a001c5b - 1190410 ns MR4_I 01000200 07c96841 - 1190430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1190450 ns MR4_I 01000204 6002d1fc - 1190510 ns MR4_D 40006004 00000001 - 1190510 ns R r1 00000001 - 1190510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1190530 ns R r1 80000000 - 1190530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1190550 ns R psr 81000200 - 1190550 ns MR4_I 01000208 2a001c5b - 1190570 ns MR4_I 01000200 07c96841 - 1190590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1190610 ns MR4_I 01000204 6002d1fc - 1190670 ns MR4_D 40006004 00000001 - 1190670 ns R r1 00000001 - 1190670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1190690 ns R r1 80000000 - 1190690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1190710 ns R psr 81000200 - 1190710 ns MR4_I 01000208 2a001c5b - 1190730 ns MR4_I 01000200 07c96841 - 1190750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1190770 ns MR4_I 01000204 6002d1fc - 1190830 ns MR4_D 40006004 00000001 - 1190830 ns R r1 00000001 - 1190830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1190850 ns R r1 80000000 - 1190850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1190870 ns R psr 81000200 - 1190870 ns MR4_I 01000208 2a001c5b - 1190890 ns MR4_I 01000200 07c96841 - 1190910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1190930 ns MR4_I 01000204 6002d1fc - 1190990 ns MR4_D 40006004 00000001 - 1190990 ns R r1 00000001 - 1190990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1191010 ns R r1 80000000 - 1191010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1191030 ns R psr 81000200 - 1191030 ns MR4_I 01000208 2a001c5b - 1191050 ns MR4_I 01000200 07c96841 - 1191070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1191090 ns MR4_I 01000204 6002d1fc - 1191150 ns MR4_D 40006004 00000001 - 1191150 ns R r1 00000001 - 1191150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1191170 ns R r1 80000000 - 1191170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1191190 ns R psr 81000200 - 1191190 ns MR4_I 01000208 2a001c5b - 1191210 ns MR4_I 01000200 07c96841 - 1191230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1191250 ns MR4_I 01000204 6002d1fc - 1191310 ns MR4_D 40006004 00000001 - 1191310 ns R r1 00000001 - 1191310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1191330 ns R r1 80000000 - 1191330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1191350 ns R psr 81000200 - 1191350 ns MR4_I 01000208 2a001c5b - 1191370 ns MR4_I 01000200 07c96841 - 1191390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1191410 ns MR4_I 01000204 6002d1fc - 1191470 ns MR4_D 40006004 00000001 - 1191470 ns R r1 00000001 - 1191470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1191490 ns R r1 80000000 - 1191490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1191510 ns R psr 81000200 - 1191510 ns MR4_I 01000208 2a001c5b - 1191530 ns MR4_I 01000200 07c96841 - 1191550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1191570 ns MR4_I 01000204 6002d1fc - 1191630 ns MR4_D 40006004 00000001 - 1191630 ns R r1 00000001 - 1191630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1191650 ns R r1 80000000 - 1191650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1191670 ns R psr 81000200 - 1191670 ns MR4_I 01000208 2a001c5b - 1191690 ns MR4_I 01000200 07c96841 - 1191710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1191730 ns MR4_I 01000204 6002d1fc - 1191790 ns MR4_D 40006004 00000001 - 1191790 ns R r1 00000001 - 1191790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1191810 ns R r1 80000000 - 1191810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1191830 ns R psr 81000200 - 1191830 ns MR4_I 01000208 2a001c5b - 1191850 ns MR4_I 01000200 07c96841 - 1191870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1191890 ns MR4_I 01000204 6002d1fc - 1191950 ns MR4_D 40006004 00000001 - 1191950 ns R r1 00000001 - 1191950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1191970 ns R r1 80000000 - 1191970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1191990 ns R psr 81000200 - 1191990 ns MR4_I 01000208 2a001c5b - 1192010 ns MR4_I 01000200 07c96841 - 1192030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1192050 ns MR4_I 01000204 6002d1fc - 1192110 ns MR4_D 40006004 00000001 - 1192110 ns R r1 00000001 - 1192110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1192130 ns R r1 80000000 - 1192130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1192150 ns R psr 81000200 - 1192150 ns MR4_I 01000208 2a001c5b - 1192170 ns MR4_I 01000200 07c96841 - 1192190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1192210 ns MR4_I 01000204 6002d1fc - 1192270 ns MR4_D 40006004 00000001 - 1192270 ns R r1 00000001 - 1192270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1192290 ns R r1 80000000 - 1192290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1192310 ns R psr 81000200 - 1192310 ns MR4_I 01000208 2a001c5b - 1192330 ns MR4_I 01000200 07c96841 - 1192350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1192370 ns MR4_I 01000204 6002d1fc - 1192430 ns MR4_D 40006004 00000001 - 1192430 ns R r1 00000001 - 1192430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1192450 ns R r1 80000000 - 1192450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1192470 ns R psr 81000200 - 1192470 ns MR4_I 01000208 2a001c5b - 1192490 ns MR4_I 01000200 07c96841 - 1192510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1192530 ns MR4_I 01000204 6002d1fc - 1192590 ns MR4_D 40006004 00000001 - 1192590 ns R r1 00000001 - 1192590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1192610 ns R r1 80000000 - 1192610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1192630 ns R psr 81000200 - 1192630 ns MR4_I 01000208 2a001c5b - 1192650 ns MR4_I 01000200 07c96841 - 1192670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1192690 ns MR4_I 01000204 6002d1fc - 1192750 ns MR4_D 40006004 00000001 - 1192750 ns R r1 00000001 - 1192750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1192770 ns R r1 80000000 - 1192770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1192790 ns R psr 81000200 - 1192790 ns MR4_I 01000208 2a001c5b - 1192810 ns MR4_I 01000200 07c96841 - 1192830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1192850 ns MR4_I 01000204 6002d1fc - 1192910 ns MR4_D 40006004 00000001 - 1192910 ns R r1 00000001 - 1192910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1192930 ns R r1 80000000 - 1192930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1192950 ns R psr 81000200 - 1192950 ns MR4_I 01000208 2a001c5b - 1192970 ns MR4_I 01000200 07c96841 - 1192990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1193010 ns MR4_I 01000204 6002d1fc - 1193070 ns MR4_D 40006004 00000001 - 1193070 ns R r1 00000001 - 1193070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1193090 ns R r1 80000000 - 1193090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1193110 ns R psr 81000200 - 1193110 ns MR4_I 01000208 2a001c5b - 1193130 ns MR4_I 01000200 07c96841 - 1193150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1193170 ns MR4_I 01000204 6002d1fc - 1193230 ns MR4_D 40006004 00000001 - 1193230 ns R r1 00000001 - 1193230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1193250 ns R r1 80000000 - 1193250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1193270 ns R psr 81000200 - 1193270 ns MR4_I 01000208 2a001c5b - 1193290 ns MR4_I 01000200 07c96841 - 1193310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1193330 ns MR4_I 01000204 6002d1fc - 1193390 ns MR4_D 40006004 00000001 - 1193390 ns R r1 00000001 - 1193390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1193410 ns R r1 80000000 - 1193410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1193430 ns R psr 81000200 - 1193430 ns MR4_I 01000208 2a001c5b - 1193450 ns MR4_I 01000200 07c96841 - 1193470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1193490 ns MR4_I 01000204 6002d1fc - 1193550 ns MR4_D 40006004 00000001 - 1193550 ns R r1 00000001 - 1193550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1193570 ns R r1 80000000 - 1193570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1193590 ns R psr 81000200 - 1193590 ns MR4_I 01000208 2a001c5b - 1193610 ns MR4_I 01000200 07c96841 - 1193630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1193650 ns MR4_I 01000204 6002d1fc - 1193710 ns MR4_D 40006004 00000001 - 1193710 ns R r1 00000001 - 1193710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1193730 ns R r1 80000000 - 1193730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1193750 ns R psr 81000200 - 1193750 ns MR4_I 01000208 2a001c5b - 1193770 ns MR4_I 01000200 07c96841 - 1193790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1193810 ns MR4_I 01000204 6002d1fc - 1193870 ns MR4_D 40006004 00000001 - 1193870 ns R r1 00000001 - 1193870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1193890 ns R r1 80000000 - 1193890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1193910 ns R psr 81000200 - 1193910 ns MR4_I 01000208 2a001c5b - 1193930 ns MR4_I 01000200 07c96841 - 1193950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1193970 ns MR4_I 01000204 6002d1fc - 1194030 ns MR4_D 40006004 00000001 - 1194030 ns R r1 00000001 - 1194030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1194050 ns R r1 80000000 - 1194050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1194070 ns R psr 81000200 - 1194070 ns MR4_I 01000208 2a001c5b - 1194090 ns MR4_I 01000200 07c96841 - 1194110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1194130 ns MR4_I 01000204 6002d1fc - 1194190 ns MR4_D 40006004 00000001 - 1194190 ns R r1 00000001 - 1194190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1194210 ns R r1 80000000 - 1194210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1194230 ns R psr 81000200 - 1194230 ns MR4_I 01000208 2a001c5b - 1194250 ns MR4_I 01000200 07c96841 - 1194270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1194290 ns MR4_I 01000204 6002d1fc - 1194350 ns MR4_D 40006004 00000001 - 1194350 ns R r1 00000001 - 1194350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1194370 ns R r1 80000000 - 1194370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1194390 ns R psr 81000200 - 1194390 ns MR4_I 01000208 2a001c5b - 1194410 ns MR4_I 01000200 07c96841 - 1194430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1194450 ns MR4_I 01000204 6002d1fc - 1194510 ns MR4_D 40006004 00000001 - 1194510 ns R r1 00000001 - 1194510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1194530 ns R r1 80000000 - 1194530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1194550 ns R psr 81000200 - 1194550 ns MR4_I 01000208 2a001c5b - 1194570 ns MR4_I 01000200 07c96841 - 1194590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1194610 ns MR4_I 01000204 6002d1fc - 1194670 ns MR4_D 40006004 00000001 - 1194670 ns R r1 00000001 - 1194670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1194690 ns R r1 80000000 - 1194690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1194710 ns R psr 81000200 - 1194710 ns MR4_I 01000208 2a001c5b - 1194730 ns MR4_I 01000200 07c96841 - 1194750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1194770 ns MR4_I 01000204 6002d1fc - 1194830 ns MR4_D 40006004 00000001 - 1194830 ns R r1 00000001 - 1194830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1194850 ns R r1 80000000 - 1194850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1194870 ns R psr 81000200 - 1194870 ns MR4_I 01000208 2a001c5b - 1194890 ns MR4_I 01000200 07c96841 - 1194910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1194930 ns MR4_I 01000204 6002d1fc - 1194990 ns MR4_D 40006004 00000001 - 1194990 ns R r1 00000001 - 1194990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1195010 ns R r1 80000000 - 1195010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1195030 ns R psr 81000200 - 1195030 ns MR4_I 01000208 2a001c5b - 1195050 ns MR4_I 01000200 07c96841 - 1195070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1195090 ns MR4_I 01000204 6002d1fc - 1195150 ns MR4_D 40006004 00000001 - 1195150 ns R r1 00000001 - 1195150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1195170 ns R r1 80000000 - 1195170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1195190 ns R psr 81000200 - 1195190 ns MR4_I 01000208 2a001c5b - 1195210 ns MR4_I 01000200 07c96841 - 1195230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1195250 ns MR4_I 01000204 6002d1fc - 1195310 ns MR4_D 40006004 00000001 - 1195310 ns R r1 00000001 - 1195310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1195330 ns R r1 80000000 - 1195330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1195350 ns R psr 81000200 - 1195350 ns MR4_I 01000208 2a001c5b - 1195370 ns MR4_I 01000200 07c96841 - 1195390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1195410 ns MR4_I 01000204 6002d1fc - 1195470 ns MR4_D 40006004 00000001 - 1195470 ns R r1 00000001 - 1195470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1195490 ns R r1 80000000 - 1195490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1195510 ns R psr 81000200 - 1195510 ns MR4_I 01000208 2a001c5b - 1195530 ns MR4_I 01000200 07c96841 - 1195550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1195570 ns MR4_I 01000204 6002d1fc - 1195630 ns MR4_D 40006004 00000001 - 1195630 ns R r1 00000001 - 1195630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1195650 ns R r1 80000000 - 1195650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1195670 ns R psr 81000200 - 1195670 ns MR4_I 01000208 2a001c5b - 1195690 ns MR4_I 01000200 07c96841 - 1195710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1195730 ns MR4_I 01000204 6002d1fc - 1195790 ns MR4_D 40006004 00000001 - 1195790 ns R r1 00000001 - 1195790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1195810 ns R r1 80000000 - 1195810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1195830 ns R psr 81000200 - 1195830 ns MR4_I 01000208 2a001c5b - 1195850 ns MR4_I 01000200 07c96841 - 1195870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1195890 ns MR4_I 01000204 6002d1fc - 1195950 ns MR4_D 40006004 00000001 - 1195950 ns R r1 00000001 - 1195950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1195970 ns R r1 80000000 - 1195970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1195990 ns R psr 81000200 - 1195990 ns MR4_I 01000208 2a001c5b - 1196010 ns MR4_I 01000200 07c96841 - 1196030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1196050 ns MR4_I 01000204 6002d1fc - 1196110 ns MR4_D 40006004 00000001 - 1196110 ns R r1 00000001 - 1196110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1196130 ns R r1 80000000 - 1196130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1196150 ns R psr 81000200 - 1196150 ns MR4_I 01000208 2a001c5b - 1196170 ns MR4_I 01000200 07c96841 - 1196190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1196210 ns MR4_I 01000204 6002d1fc - 1196270 ns MR4_D 40006004 00000001 - 1196270 ns R r1 00000001 - 1196270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1196290 ns R r1 80000000 - 1196290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1196310 ns R psr 81000200 - 1196310 ns MR4_I 01000208 2a001c5b - 1196330 ns MR4_I 01000200 07c96841 - 1196350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1196370 ns MR4_I 01000204 6002d1fc - 1196430 ns MR4_D 40006004 00000001 - 1196430 ns R r1 00000001 - 1196430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1196450 ns R r1 80000000 - 1196450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1196470 ns R psr 81000200 - 1196470 ns MR4_I 01000208 2a001c5b - 1196490 ns MR4_I 01000200 07c96841 - 1196510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1196530 ns MR4_I 01000204 6002d1fc - 1196590 ns MR4_D 40006004 00000001 - 1196590 ns R r1 00000001 - 1196590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1196610 ns R r1 80000000 - 1196610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1196630 ns R psr 81000200 - 1196630 ns MR4_I 01000208 2a001c5b - 1196650 ns MR4_I 01000200 07c96841 - 1196670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1196690 ns MR4_I 01000204 6002d1fc - 1196750 ns MR4_D 40006004 00000001 - 1196750 ns R r1 00000001 - 1196750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1196770 ns R r1 80000000 - 1196770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1196790 ns R psr 81000200 - 1196790 ns MR4_I 01000208 2a001c5b - 1196810 ns MR4_I 01000200 07c96841 - 1196830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1196850 ns MR4_I 01000204 6002d1fc - 1196910 ns MR4_D 40006004 00000001 - 1196910 ns R r1 00000001 - 1196910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1196930 ns R r1 80000000 - 1196930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1196950 ns R psr 81000200 - 1196950 ns MR4_I 01000208 2a001c5b - 1196970 ns MR4_I 01000200 07c96841 - 1196990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1197010 ns MR4_I 01000204 6002d1fc - 1197070 ns MR4_D 40006004 00000001 - 1197070 ns R r1 00000001 - 1197070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1197090 ns R r1 80000000 - 1197090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1197110 ns R psr 81000200 - 1197110 ns MR4_I 01000208 2a001c5b - 1197130 ns MR4_I 01000200 07c96841 - 1197150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1197170 ns MR4_I 01000204 6002d1fc - 1197230 ns MR4_D 40006004 00000001 - 1197230 ns R r1 00000001 - 1197230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1197250 ns R r1 80000000 - 1197250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1197270 ns R psr 81000200 - 1197270 ns MR4_I 01000208 2a001c5b - 1197290 ns MR4_I 01000200 07c96841 - 1197310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1197330 ns MR4_I 01000204 6002d1fc - 1197390 ns MR4_D 40006004 00000001 - 1197390 ns R r1 00000001 - 1197390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1197410 ns R r1 80000000 - 1197410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1197430 ns R psr 81000200 - 1197430 ns MR4_I 01000208 2a001c5b - 1197450 ns MR4_I 01000200 07c96841 - 1197470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1197490 ns MR4_I 01000204 6002d1fc - 1197550 ns MR4_D 40006004 00000001 - 1197550 ns R r1 00000001 - 1197550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1197570 ns R r1 80000000 - 1197570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1197590 ns R psr 81000200 - 1197590 ns MR4_I 01000208 2a001c5b - 1197610 ns MR4_I 01000200 07c96841 - 1197630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1197650 ns MR4_I 01000204 6002d1fc - 1197710 ns MR4_D 40006004 00000001 - 1197710 ns R r1 00000001 - 1197710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1197730 ns R r1 80000000 - 1197730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1197750 ns R psr 81000200 - 1197750 ns MR4_I 01000208 2a001c5b - 1197770 ns MR4_I 01000200 07c96841 - 1197790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1197810 ns MR4_I 01000204 6002d1fc - 1197870 ns MR4_D 40006004 00000001 - 1197870 ns R r1 00000001 - 1197870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1197890 ns R r1 80000000 - 1197890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1197910 ns R psr 81000200 - 1197910 ns MR4_I 01000208 2a001c5b - 1197930 ns MR4_I 01000200 07c96841 - 1197950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1197970 ns MR4_I 01000204 6002d1fc - 1198030 ns MR4_D 40006004 00000001 - 1198030 ns R r1 00000001 - 1198030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1198050 ns R r1 80000000 - 1198050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1198070 ns R psr 81000200 - 1198070 ns MR4_I 01000208 2a001c5b - 1198090 ns MR4_I 01000200 07c96841 - 1198110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1198130 ns MR4_I 01000204 6002d1fc - 1198190 ns MR4_D 40006004 00000001 - 1198190 ns R r1 00000001 - 1198190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1198210 ns R r1 80000000 - 1198210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1198230 ns R psr 81000200 - 1198230 ns MR4_I 01000208 2a001c5b - 1198250 ns MR4_I 01000200 07c96841 - 1198270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1198290 ns MR4_I 01000204 6002d1fc - 1198350 ns MR4_D 40006004 00000001 - 1198350 ns R r1 00000001 - 1198350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1198370 ns R r1 80000000 - 1198370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1198390 ns R psr 81000200 - 1198390 ns MR4_I 01000208 2a001c5b - 1198410 ns MR4_I 01000200 07c96841 - 1198430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1198450 ns MR4_I 01000204 6002d1fc - 1198510 ns MR4_D 40006004 00000001 - 1198510 ns R r1 00000001 - 1198510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1198530 ns R r1 80000000 - 1198530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1198550 ns R psr 81000200 - 1198550 ns MR4_I 01000208 2a001c5b - 1198570 ns MR4_I 01000200 07c96841 - 1198590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1198610 ns MR4_I 01000204 6002d1fc - 1198670 ns MR4_D 40006004 00000001 - 1198670 ns R r1 00000001 - 1198670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1198690 ns R r1 80000000 - 1198690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1198710 ns R psr 81000200 - 1198710 ns MR4_I 01000208 2a001c5b - 1198730 ns MR4_I 01000200 07c96841 - 1198750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1198770 ns MR4_I 01000204 6002d1fc - 1198830 ns MR4_D 40006004 00000001 - 1198830 ns R r1 00000001 - 1198830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1198850 ns R r1 80000000 - 1198850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1198870 ns R psr 81000200 - 1198870 ns MR4_I 01000208 2a001c5b - 1198890 ns MR4_I 01000200 07c96841 - 1198910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1198930 ns MR4_I 01000204 6002d1fc - 1198990 ns MR4_D 40006004 00000001 - 1198990 ns R r1 00000001 - 1198990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1199010 ns R r1 80000000 - 1199010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1199030 ns R psr 81000200 - 1199030 ns MR4_I 01000208 2a001c5b - 1199050 ns MR4_I 01000200 07c96841 - 1199070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1199090 ns MR4_I 01000204 6002d1fc - 1199150 ns MR4_D 40006004 00000001 - 1199150 ns R r1 00000001 - 1199150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1199170 ns R r1 80000000 - 1199170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1199190 ns R psr 81000200 - 1199190 ns MR4_I 01000208 2a001c5b - 1199210 ns MR4_I 01000200 07c96841 - 1199230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1199250 ns MR4_I 01000204 6002d1fc - 1199310 ns MR4_D 40006004 00000001 - 1199310 ns R r1 00000001 - 1199310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1199330 ns R r1 80000000 - 1199330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1199350 ns R psr 81000200 - 1199350 ns MR4_I 01000208 2a001c5b - 1199370 ns MR4_I 01000200 07c96841 - 1199390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1199410 ns MR4_I 01000204 6002d1fc - 1199470 ns MR4_D 40006004 00000001 - 1199470 ns R r1 00000001 - 1199470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1199490 ns R r1 80000000 - 1199490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1199510 ns R psr 81000200 - 1199510 ns MR4_I 01000208 2a001c5b - 1199530 ns MR4_I 01000200 07c96841 - 1199550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1199570 ns MR4_I 01000204 6002d1fc - 1199630 ns MR4_D 40006004 00000001 - 1199630 ns R r1 00000001 - 1199630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1199650 ns R r1 80000000 - 1199650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1199670 ns R psr 81000200 - 1199670 ns MR4_I 01000208 2a001c5b - 1199690 ns MR4_I 01000200 07c96841 - 1199710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1199730 ns MR4_I 01000204 6002d1fc - 1199790 ns MR4_D 40006004 00000001 - 1199790 ns R r1 00000001 - 1199790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1199810 ns R r1 80000000 - 1199810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1199830 ns R psr 81000200 - 1199830 ns MR4_I 01000208 2a001c5b - 1199850 ns MR4_I 01000200 07c96841 - 1199870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1199890 ns MR4_I 01000204 6002d1fc - 1199950 ns MR4_D 40006004 00000001 - 1199950 ns R r1 00000001 - 1199950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1199970 ns R r1 80000000 - 1199970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1199990 ns R psr 81000200 - 1199990 ns MR4_I 01000208 2a001c5b - 1200010 ns MR4_I 01000200 07c96841 - 1200030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1200050 ns MR4_I 01000204 6002d1fc - 1200110 ns MR4_D 40006004 00000001 - 1200110 ns R r1 00000001 - 1200110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1200130 ns R r1 80000000 - 1200130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1200150 ns R psr 81000200 - 1200150 ns MR4_I 01000208 2a001c5b - 1200170 ns MR4_I 01000200 07c96841 - 1200190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1200210 ns MR4_I 01000204 6002d1fc - 1200270 ns MR4_D 40006004 00000001 - 1200270 ns R r1 00000001 - 1200270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1200290 ns R r1 80000000 - 1200290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1200310 ns R psr 81000200 - 1200310 ns MR4_I 01000208 2a001c5b - 1200330 ns MR4_I 01000200 07c96841 - 1200350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1200370 ns MR4_I 01000204 6002d1fc - 1200430 ns MR4_D 40006004 00000001 - 1200430 ns R r1 00000001 - 1200430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1200450 ns R r1 80000000 - 1200450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1200470 ns R psr 81000200 - 1200470 ns MR4_I 01000208 2a001c5b - 1200490 ns MR4_I 01000200 07c96841 - 1200510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1200530 ns MR4_I 01000204 6002d1fc - 1200590 ns MR4_D 40006004 00000001 - 1200590 ns R r1 00000001 - 1200590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1200610 ns R r1 80000000 - 1200610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1200630 ns R psr 81000200 - 1200630 ns MR4_I 01000208 2a001c5b - 1200650 ns MR4_I 01000200 07c96841 - 1200670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1200690 ns MR4_I 01000204 6002d1fc - 1200750 ns MR4_D 40006004 00000001 - 1200750 ns R r1 00000001 - 1200750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1200770 ns R r1 80000000 - 1200770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1200790 ns R psr 81000200 - 1200790 ns MR4_I 01000208 2a001c5b - 1200810 ns MR4_I 01000200 07c96841 - 1200830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1200850 ns MR4_I 01000204 6002d1fc - 1200910 ns MR4_D 40006004 00000001 - 1200910 ns R r1 00000001 - 1200910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1200930 ns R r1 80000000 - 1200930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1200950 ns R psr 81000200 - 1200950 ns MR4_I 01000208 2a001c5b - 1200970 ns MR4_I 01000200 07c96841 - 1200990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1201010 ns MR4_I 01000204 6002d1fc - 1201070 ns MR4_D 40006004 00000001 - 1201070 ns R r1 00000001 - 1201070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1201090 ns R r1 80000000 - 1201090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1201110 ns R psr 81000200 - 1201110 ns MR4_I 01000208 2a001c5b - 1201130 ns MR4_I 01000200 07c96841 - 1201150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1201170 ns MR4_I 01000204 6002d1fc - 1201230 ns MR4_D 40006004 00000001 - 1201230 ns R r1 00000001 - 1201230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1201250 ns R r1 80000000 - 1201250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1201270 ns R psr 81000200 - 1201270 ns MR4_I 01000208 2a001c5b - 1201290 ns MR4_I 01000200 07c96841 - 1201310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1201330 ns MR4_I 01000204 6002d1fc - 1201390 ns MR4_D 40006004 00000001 - 1201390 ns R r1 00000001 - 1201390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1201410 ns R r1 80000000 - 1201410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1201430 ns R psr 81000200 - 1201430 ns MR4_I 01000208 2a001c5b - 1201450 ns MR4_I 01000200 07c96841 - 1201470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1201490 ns MR4_I 01000204 6002d1fc - 1201550 ns MR4_D 40006004 00000001 - 1201550 ns R r1 00000001 - 1201550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1201570 ns R r1 80000000 - 1201570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1201590 ns R psr 81000200 - 1201590 ns MR4_I 01000208 2a001c5b - 1201610 ns MR4_I 01000200 07c96841 - 1201630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1201650 ns MR4_I 01000204 6002d1fc - 1201710 ns MR4_D 40006004 00000001 - 1201710 ns R r1 00000001 - 1201710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1201730 ns R r1 80000000 - 1201730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1201750 ns R psr 81000200 - 1201750 ns MR4_I 01000208 2a001c5b - 1201770 ns MR4_I 01000200 07c96841 - 1201790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1201810 ns MR4_I 01000204 6002d1fc - 1201870 ns MR4_D 40006004 00000001 - 1201870 ns R r1 00000001 - 1201870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1201890 ns R r1 80000000 - 1201890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1201910 ns R psr 81000200 - 1201910 ns MR4_I 01000208 2a001c5b - 1201930 ns MR4_I 01000200 07c96841 - 1201950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1201970 ns MR4_I 01000204 6002d1fc - 1202030 ns MR4_D 40006004 00000001 - 1202030 ns R r1 00000001 - 1202030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1202050 ns R r1 80000000 - 1202050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1202070 ns R psr 81000200 - 1202070 ns MR4_I 01000208 2a001c5b - 1202090 ns MR4_I 01000200 07c96841 - 1202110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1202130 ns MR4_I 01000204 6002d1fc - 1202190 ns MR4_D 40006004 00000001 - 1202190 ns R r1 00000001 - 1202190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1202210 ns R r1 80000000 - 1202210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1202230 ns R psr 81000200 - 1202230 ns MR4_I 01000208 2a001c5b - 1202250 ns MR4_I 01000200 07c96841 - 1202270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1202290 ns MR4_I 01000204 6002d1fc - 1202350 ns MR4_D 40006004 00000001 - 1202350 ns R r1 00000001 - 1202350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1202370 ns R r1 80000000 - 1202370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1202390 ns R psr 81000200 - 1202390 ns MR4_I 01000208 2a001c5b - 1202410 ns MR4_I 01000200 07c96841 - 1202430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1202450 ns MR4_I 01000204 6002d1fc - 1202510 ns MR4_D 40006004 00000001 - 1202510 ns R r1 00000001 - 1202510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1202530 ns R r1 80000000 - 1202530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1202550 ns R psr 81000200 - 1202550 ns MR4_I 01000208 2a001c5b - 1202570 ns MR4_I 01000200 07c96841 - 1202590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1202610 ns MR4_I 01000204 6002d1fc - 1202670 ns MR4_D 40006004 00000001 - 1202670 ns R r1 00000001 - 1202670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1202690 ns R r1 80000000 - 1202690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1202710 ns R psr 81000200 - 1202710 ns MR4_I 01000208 2a001c5b - 1202730 ns MR4_I 01000200 07c96841 - 1202750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1202770 ns MR4_I 01000204 6002d1fc - 1202830 ns MR4_D 40006004 00000001 - 1202830 ns R r1 00000001 - 1202830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1202850 ns R r1 80000000 - 1202850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1202870 ns R psr 81000200 - 1202870 ns MR4_I 01000208 2a001c5b - 1202890 ns MR4_I 01000200 07c96841 - 1202910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1202930 ns MR4_I 01000204 6002d1fc - 1202990 ns MR4_D 40006004 00000001 - 1202990 ns R r1 00000001 - 1202990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1203010 ns R r1 80000000 - 1203010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1203030 ns R psr 81000200 - 1203030 ns MR4_I 01000208 2a001c5b - 1203050 ns MR4_I 01000200 07c96841 - 1203070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1203090 ns MR4_I 01000204 6002d1fc - 1203150 ns MR4_D 40006004 00000001 - 1203150 ns R r1 00000001 - 1203150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1203170 ns R r1 80000000 - 1203170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1203190 ns R psr 81000200 - 1203190 ns MR4_I 01000208 2a001c5b - 1203210 ns MR4_I 01000200 07c96841 - 1203230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1203250 ns MR4_I 01000204 6002d1fc - 1203310 ns MR4_D 40006004 00000001 - 1203310 ns R r1 00000001 - 1203310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1203330 ns R r1 80000000 - 1203330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1203350 ns R psr 81000200 - 1203350 ns MR4_I 01000208 2a001c5b - 1203370 ns MR4_I 01000200 07c96841 - 1203390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1203410 ns MR4_I 01000204 6002d1fc - 1203470 ns MR4_D 40006004 00000001 - 1203470 ns R r1 00000001 - 1203470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1203490 ns R r1 80000000 - 1203490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1203510 ns R psr 81000200 - 1203510 ns MR4_I 01000208 2a001c5b - 1203530 ns MR4_I 01000200 07c96841 - 1203550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1203570 ns MR4_I 01000204 6002d1fc - 1203630 ns MR4_D 40006004 00000001 - 1203630 ns R r1 00000001 - 1203630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1203650 ns R r1 80000000 - 1203650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1203670 ns R psr 81000200 - 1203670 ns MR4_I 01000208 2a001c5b - 1203690 ns MR4_I 01000200 07c96841 - 1203710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1203730 ns MR4_I 01000204 6002d1fc - 1203790 ns MR4_D 40006004 00000001 - 1203790 ns R r1 00000001 - 1203790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1203810 ns R r1 80000000 - 1203810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1203830 ns R psr 81000200 - 1203830 ns MR4_I 01000208 2a001c5b - 1203850 ns MR4_I 01000200 07c96841 - 1203870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1203890 ns MR4_I 01000204 6002d1fc - 1203950 ns MR4_D 40006004 00000001 - 1203950 ns R r1 00000001 - 1203950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1203970 ns R r1 80000000 - 1203970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1203990 ns R psr 81000200 - 1203990 ns MR4_I 01000208 2a001c5b - 1204010 ns MR4_I 01000200 07c96841 - 1204030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1204050 ns MR4_I 01000204 6002d1fc - 1204110 ns MR4_D 40006004 00000001 - 1204110 ns R r1 00000001 - 1204110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1204130 ns R r1 80000000 - 1204130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1204150 ns R psr 81000200 - 1204150 ns MR4_I 01000208 2a001c5b - 1204170 ns MR4_I 01000200 07c96841 - 1204190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1204210 ns MR4_I 01000204 6002d1fc - 1204270 ns MR4_D 40006004 00000001 - 1204270 ns R r1 00000001 - 1204270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1204290 ns R r1 80000000 - 1204290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1204310 ns R psr 81000200 - 1204310 ns MR4_I 01000208 2a001c5b - 1204330 ns MR4_I 01000200 07c96841 - 1204350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1204370 ns MR4_I 01000204 6002d1fc - 1204430 ns MR4_D 40006004 00000001 - 1204430 ns R r1 00000001 - 1204430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1204450 ns R r1 80000000 - 1204450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1204470 ns R psr 81000200 - 1204470 ns MR4_I 01000208 2a001c5b - 1204490 ns MR4_I 01000200 07c96841 - 1204510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1204530 ns MR4_I 01000204 6002d1fc - 1204590 ns MR4_D 40006004 00000001 - 1204590 ns R r1 00000001 - 1204590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1204610 ns R r1 80000000 - 1204610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1204630 ns R psr 81000200 - 1204630 ns MR4_I 01000208 2a001c5b - 1204650 ns MR4_I 01000200 07c96841 - 1204670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1204690 ns MR4_I 01000204 6002d1fc - 1204750 ns MR4_D 40006004 00000001 - 1204750 ns R r1 00000001 - 1204750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1204770 ns R r1 80000000 - 1204770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1204790 ns R psr 81000200 - 1204790 ns MR4_I 01000208 2a001c5b - 1204810 ns MR4_I 01000200 07c96841 - 1204830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1204850 ns MR4_I 01000204 6002d1fc - 1204910 ns MR4_D 40006004 00000001 - 1204910 ns R r1 00000001 - 1204910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1204930 ns R r1 80000000 - 1204930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1204950 ns R psr 81000200 - 1204950 ns MR4_I 01000208 2a001c5b - 1204970 ns MR4_I 01000200 07c96841 - 1204990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1205010 ns MR4_I 01000204 6002d1fc - 1205070 ns MR4_D 40006004 00000001 - 1205070 ns R r1 00000001 - 1205070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1205090 ns R r1 80000000 - 1205090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1205110 ns R psr 81000200 - 1205110 ns MR4_I 01000208 2a001c5b - 1205130 ns MR4_I 01000200 07c96841 - 1205150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1205170 ns MR4_I 01000204 6002d1fc - 1205230 ns MR4_D 40006004 00000001 - 1205230 ns R r1 00000001 - 1205230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1205250 ns R r1 80000000 - 1205250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1205270 ns R psr 81000200 - 1205270 ns MR4_I 01000208 2a001c5b - 1205290 ns MR4_I 01000200 07c96841 - 1205310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1205330 ns MR4_I 01000204 6002d1fc - 1205390 ns MR4_D 40006004 00000001 - 1205390 ns R r1 00000001 - 1205390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1205410 ns R r1 80000000 - 1205410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1205430 ns R psr 81000200 - 1205430 ns MR4_I 01000208 2a001c5b - 1205450 ns MR4_I 01000200 07c96841 - 1205470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1205490 ns MR4_I 01000204 6002d1fc - 1205550 ns MR4_D 40006004 00000001 - 1205550 ns R r1 00000001 - 1205550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1205570 ns R r1 80000000 - 1205570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1205590 ns R psr 81000200 - 1205590 ns MR4_I 01000208 2a001c5b - 1205610 ns MR4_I 01000200 07c96841 - 1205630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1205650 ns MR4_I 01000204 6002d1fc - 1205710 ns MR4_D 40006004 00000001 - 1205710 ns R r1 00000001 - 1205710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1205730 ns R r1 80000000 - 1205730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1205750 ns R psr 81000200 - 1205750 ns MR4_I 01000208 2a001c5b - 1205770 ns MR4_I 01000200 07c96841 - 1205790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1205810 ns MR4_I 01000204 6002d1fc - 1205870 ns MR4_D 40006004 00000001 - 1205870 ns R r1 00000001 - 1205870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1205890 ns R r1 80000000 - 1205890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1205910 ns R psr 81000200 - 1205910 ns MR4_I 01000208 2a001c5b - 1205930 ns MR4_I 01000200 07c96841 - 1205950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1205970 ns MR4_I 01000204 6002d1fc - 1206030 ns MR4_D 40006004 00000001 - 1206030 ns R r1 00000001 - 1206030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1206050 ns R r1 80000000 - 1206050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1206070 ns R psr 81000200 - 1206070 ns MR4_I 01000208 2a001c5b - 1206090 ns MR4_I 01000200 07c96841 - 1206110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1206130 ns MR4_I 01000204 6002d1fc - 1206190 ns MR4_D 40006004 00000001 - 1206190 ns R r1 00000001 - 1206190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1206210 ns R r1 80000000 - 1206210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1206230 ns R psr 81000200 - 1206230 ns MR4_I 01000208 2a001c5b - 1206250 ns MR4_I 01000200 07c96841 - 1206270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1206290 ns MR4_I 01000204 6002d1fc - 1206350 ns MR4_D 40006004 00000001 - 1206350 ns R r1 00000001 - 1206350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1206370 ns R r1 80000000 - 1206370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1206390 ns R psr 81000200 - 1206390 ns MR4_I 01000208 2a001c5b - 1206410 ns MR4_I 01000200 07c96841 - 1206430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1206450 ns MR4_I 01000204 6002d1fc - 1206510 ns MR4_D 40006004 00000001 - 1206510 ns R r1 00000001 - 1206510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1206530 ns R r1 80000000 - 1206530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1206550 ns R psr 81000200 - 1206550 ns MR4_I 01000208 2a001c5b - 1206570 ns MR4_I 01000200 07c96841 - 1206590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1206610 ns MR4_I 01000204 6002d1fc - 1206670 ns MR4_D 40006004 00000001 - 1206670 ns R r1 00000001 - 1206670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1206690 ns R r1 80000000 - 1206690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1206710 ns R psr 81000200 - 1206710 ns MR4_I 01000208 2a001c5b - 1206730 ns MR4_I 01000200 07c96841 - 1206750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1206770 ns MR4_I 01000204 6002d1fc - 1206830 ns MR4_D 40006004 00000001 - 1206830 ns R r1 00000001 - 1206830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1206850 ns R r1 80000000 - 1206850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1206870 ns R psr 81000200 - 1206870 ns MR4_I 01000208 2a001c5b - 1206890 ns MR4_I 01000200 07c96841 - 1206910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1206930 ns MR4_I 01000204 6002d1fc - 1206990 ns MR4_D 40006004 00000001 - 1206990 ns R r1 00000001 - 1206990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1207010 ns R r1 80000000 - 1207010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1207030 ns R psr 81000200 - 1207030 ns MR4_I 01000208 2a001c5b - 1207050 ns MR4_I 01000200 07c96841 - 1207070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1207090 ns MR4_I 01000204 6002d1fc - 1207150 ns MR4_D 40006004 00000001 - 1207150 ns R r1 00000001 - 1207150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1207170 ns R r1 80000000 - 1207170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1207190 ns R psr 81000200 - 1207190 ns MR4_I 01000208 2a001c5b - 1207210 ns MR4_I 01000200 07c96841 - 1207230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1207250 ns MR4_I 01000204 6002d1fc - 1207310 ns MR4_D 40006004 00000001 - 1207310 ns R r1 00000001 - 1207310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1207330 ns R r1 80000000 - 1207330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1207350 ns R psr 81000200 - 1207350 ns MR4_I 01000208 2a001c5b - 1207370 ns MR4_I 01000200 07c96841 - 1207390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1207410 ns MR4_I 01000204 6002d1fc - 1207470 ns MR4_D 40006004 00000001 - 1207470 ns R r1 00000001 - 1207470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1207490 ns R r1 80000000 - 1207490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1207510 ns R psr 81000200 - 1207510 ns MR4_I 01000208 2a001c5b - 1207530 ns MR4_I 01000200 07c96841 - 1207550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1207570 ns MR4_I 01000204 6002d1fc - 1207630 ns MR4_D 40006004 00000001 - 1207630 ns R r1 00000001 - 1207630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1207650 ns R r1 80000000 - 1207650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1207670 ns R psr 81000200 - 1207670 ns MR4_I 01000208 2a001c5b - 1207690 ns MR4_I 01000200 07c96841 - 1207710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1207730 ns MR4_I 01000204 6002d1fc - 1207790 ns MR4_D 40006004 00000001 - 1207790 ns R r1 00000001 - 1207790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1207810 ns R r1 80000000 - 1207810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1207830 ns R psr 81000200 - 1207830 ns MR4_I 01000208 2a001c5b - 1207850 ns MR4_I 01000200 07c96841 - 1207870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1207890 ns MR4_I 01000204 6002d1fc - 1207950 ns MR4_D 40006004 00000001 - 1207950 ns R r1 00000001 - 1207950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1207970 ns R r1 80000000 - 1207970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1207990 ns R psr 81000200 - 1207990 ns MR4_I 01000208 2a001c5b - 1208010 ns MR4_I 01000200 07c96841 - 1208030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1208050 ns MR4_I 01000204 6002d1fc - 1208110 ns MR4_D 40006004 00000001 - 1208110 ns R r1 00000001 - 1208110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1208130 ns R r1 80000000 - 1208130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1208150 ns R psr 81000200 - 1208150 ns MR4_I 01000208 2a001c5b - 1208170 ns MR4_I 01000200 07c96841 - 1208190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1208210 ns MR4_I 01000204 6002d1fc - 1208270 ns MR4_D 40006004 00000001 - 1208270 ns R r1 00000001 - 1208270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1208290 ns R r1 80000000 - 1208290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1208310 ns R psr 81000200 - 1208310 ns MR4_I 01000208 2a001c5b - 1208330 ns MR4_I 01000200 07c96841 - 1208350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1208370 ns MR4_I 01000204 6002d1fc - 1208430 ns MR4_D 40006004 00000001 - 1208430 ns R r1 00000001 - 1208430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1208450 ns R r1 80000000 - 1208450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1208470 ns R psr 81000200 - 1208470 ns MR4_I 01000208 2a001c5b - 1208490 ns MR4_I 01000200 07c96841 - 1208510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1208530 ns MR4_I 01000204 6002d1fc - 1208590 ns MR4_D 40006004 00000001 - 1208590 ns R r1 00000001 - 1208590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1208610 ns R r1 80000000 - 1208610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1208630 ns R psr 81000200 - 1208630 ns MR4_I 01000208 2a001c5b - 1208650 ns MR4_I 01000200 07c96841 - 1208670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1208690 ns MR4_I 01000204 6002d1fc - 1208750 ns MR4_D 40006004 00000001 - 1208750 ns R r1 00000001 - 1208750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1208770 ns R r1 80000000 - 1208770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1208790 ns R psr 81000200 - 1208790 ns MR4_I 01000208 2a001c5b - 1208810 ns MR4_I 01000200 07c96841 - 1208830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1208850 ns MR4_I 01000204 6002d1fc - 1208910 ns MR4_D 40006004 00000001 - 1208910 ns R r1 00000001 - 1208910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1208930 ns R r1 80000000 - 1208930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1208950 ns R psr 81000200 - 1208950 ns MR4_I 01000208 2a001c5b - 1208970 ns MR4_I 01000200 07c96841 - 1208990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1209010 ns MR4_I 01000204 6002d1fc - 1209070 ns MR4_D 40006004 00000001 - 1209070 ns R r1 00000001 - 1209070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1209090 ns R r1 80000000 - 1209090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1209110 ns R psr 81000200 - 1209110 ns MR4_I 01000208 2a001c5b - 1209130 ns MR4_I 01000200 07c96841 - 1209150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1209170 ns MR4_I 01000204 6002d1fc - 1209230 ns MR4_D 40006004 00000001 - 1209230 ns R r1 00000001 - 1209230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1209250 ns R r1 80000000 - 1209250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1209270 ns R psr 81000200 - 1209270 ns MR4_I 01000208 2a001c5b - 1209290 ns MR4_I 01000200 07c96841 - 1209310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1209330 ns MR4_I 01000204 6002d1fc - 1209390 ns MR4_D 40006004 00000001 - 1209390 ns R r1 00000001 - 1209390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1209410 ns R r1 80000000 - 1209410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1209430 ns R psr 81000200 - 1209430 ns MR4_I 01000208 2a001c5b - 1209450 ns MR4_I 01000200 07c96841 - 1209470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1209490 ns MR4_I 01000204 6002d1fc - 1209550 ns MR4_D 40006004 00000001 - 1209550 ns R r1 00000001 - 1209550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1209570 ns R r1 80000000 - 1209570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1209590 ns R psr 81000200 - 1209590 ns MR4_I 01000208 2a001c5b - 1209610 ns MR4_I 01000200 07c96841 - 1209630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1209650 ns MR4_I 01000204 6002d1fc - 1209710 ns MR4_D 40006004 00000001 - 1209710 ns R r1 00000001 - 1209710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1209730 ns R r1 80000000 - 1209730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1209750 ns R psr 81000200 - 1209750 ns MR4_I 01000208 2a001c5b - 1209770 ns MR4_I 01000200 07c96841 - 1209790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1209810 ns MR4_I 01000204 6002d1fc - 1209870 ns MR4_D 40006004 00000001 - 1209870 ns R r1 00000001 - 1209870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1209890 ns R r1 80000000 - 1209890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1209910 ns R psr 81000200 - 1209910 ns MR4_I 01000208 2a001c5b - 1209930 ns MR4_I 01000200 07c96841 - 1209950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1209970 ns MR4_I 01000204 6002d1fc - 1210030 ns MR4_D 40006004 00000001 - 1210030 ns R r1 00000001 - 1210030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1210050 ns R r1 80000000 - 1210050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1210070 ns R psr 81000200 - 1210070 ns MR4_I 01000208 2a001c5b - 1210090 ns MR4_I 01000200 07c96841 - 1210110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1210130 ns MR4_I 01000204 6002d1fc - 1210190 ns MR4_D 40006004 00000001 - 1210190 ns R r1 00000001 - 1210190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1210210 ns R r1 80000000 - 1210210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1210230 ns R psr 81000200 - 1210230 ns MR4_I 01000208 2a001c5b - 1210250 ns MR4_I 01000200 07c96841 - 1210270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1210290 ns MR4_I 01000204 6002d1fc - 1210350 ns MR4_D 40006004 00000001 - 1210350 ns R r1 00000001 - 1210350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1210370 ns R r1 80000000 - 1210370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1210390 ns R psr 81000200 - 1210390 ns MR4_I 01000208 2a001c5b - 1210410 ns MR4_I 01000200 07c96841 - 1210430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1210450 ns MR4_I 01000204 6002d1fc - 1210510 ns MR4_D 40006004 00000001 - 1210510 ns R r1 00000001 - 1210510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1210530 ns R r1 80000000 - 1210530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1210550 ns R psr 81000200 - 1210550 ns MR4_I 01000208 2a001c5b - 1210570 ns MR4_I 01000200 07c96841 - 1210590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1210610 ns MR4_I 01000204 6002d1fc - 1210670 ns MR4_D 40006004 00000001 - 1210670 ns R r1 00000001 - 1210670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1210690 ns R r1 80000000 - 1210690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1210710 ns R psr 81000200 - 1210710 ns MR4_I 01000208 2a001c5b - 1210730 ns MR4_I 01000200 07c96841 - 1210750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1210770 ns MR4_I 01000204 6002d1fc - 1210830 ns MR4_D 40006004 00000001 - 1210830 ns R r1 00000001 - 1210830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1210850 ns R r1 80000000 - 1210850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1210870 ns R psr 81000200 - 1210870 ns MR4_I 01000208 2a001c5b - 1210890 ns MR4_I 01000200 07c96841 - 1210910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1210930 ns MR4_I 01000204 6002d1fc - 1210990 ns MR4_D 40006004 00000001 - 1210990 ns R r1 00000001 - 1210990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1211010 ns R r1 80000000 - 1211010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1211030 ns R psr 81000200 - 1211030 ns MR4_I 01000208 2a001c5b - 1211050 ns MR4_I 01000200 07c96841 - 1211070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1211090 ns MR4_I 01000204 6002d1fc - 1211150 ns MR4_D 40006004 00000001 - 1211150 ns R r1 00000001 - 1211150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1211170 ns R r1 80000000 - 1211170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1211190 ns R psr 81000200 - 1211190 ns MR4_I 01000208 2a001c5b - 1211210 ns MR4_I 01000200 07c96841 - 1211230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1211250 ns MR4_I 01000204 6002d1fc - 1211310 ns MR4_D 40006004 00000001 - 1211310 ns R r1 00000001 - 1211310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1211330 ns R r1 80000000 - 1211330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1211350 ns R psr 81000200 - 1211350 ns MR4_I 01000208 2a001c5b - 1211370 ns MR4_I 01000200 07c96841 - 1211390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1211410 ns MR4_I 01000204 6002d1fc - 1211470 ns MR4_D 40006004 00000001 - 1211470 ns R r1 00000001 - 1211470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1211490 ns R r1 80000000 - 1211490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1211510 ns R psr 81000200 - 1211510 ns MR4_I 01000208 2a001c5b - 1211530 ns MR4_I 01000200 07c96841 - 1211550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1211570 ns MR4_I 01000204 6002d1fc - 1211630 ns MR4_D 40006004 00000001 - 1211630 ns R r1 00000001 - 1211630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1211650 ns R r1 80000000 - 1211650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1211670 ns R psr 81000200 - 1211670 ns MR4_I 01000208 2a001c5b - 1211690 ns MR4_I 01000200 07c96841 - 1211710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1211730 ns MR4_I 01000204 6002d1fc - 1211790 ns MR4_D 40006004 00000001 - 1211790 ns R r1 00000001 - 1211790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1211810 ns R r1 80000000 - 1211810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1211830 ns R psr 81000200 - 1211830 ns MR4_I 01000208 2a001c5b - 1211850 ns MR4_I 01000200 07c96841 - 1211870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1211890 ns MR4_I 01000204 6002d1fc - 1211950 ns MR4_D 40006004 00000001 - 1211950 ns R r1 00000001 - 1211950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1211970 ns R r1 80000000 - 1211970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1211990 ns R psr 81000200 - 1211990 ns MR4_I 01000208 2a001c5b - 1212010 ns MR4_I 01000200 07c96841 - 1212030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1212050 ns MR4_I 01000204 6002d1fc - 1212110 ns MR4_D 40006004 00000001 - 1212110 ns R r1 00000001 - 1212110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1212130 ns R r1 80000000 - 1212130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1212150 ns R psr 81000200 - 1212150 ns MR4_I 01000208 2a001c5b - 1212170 ns MR4_I 01000200 07c96841 - 1212190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1212210 ns MR4_I 01000204 6002d1fc - 1212270 ns MR4_D 40006004 00000001 - 1212270 ns R r1 00000001 - 1212270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1212290 ns R r1 80000000 - 1212290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1212310 ns R psr 81000200 - 1212310 ns MR4_I 01000208 2a001c5b - 1212330 ns MR4_I 01000200 07c96841 - 1212350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1212370 ns MR4_I 01000204 6002d1fc - 1212430 ns MR4_D 40006004 00000001 - 1212430 ns R r1 00000001 - 1212430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1212450 ns R r1 80000000 - 1212450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1212470 ns R psr 81000200 - 1212470 ns MR4_I 01000208 2a001c5b - 1212490 ns MR4_I 01000200 07c96841 - 1212510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1212530 ns MR4_I 01000204 6002d1fc - 1212590 ns MR4_D 40006004 00000001 - 1212590 ns R r1 00000001 - 1212590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1212610 ns R r1 80000000 - 1212610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1212630 ns R psr 81000200 - 1212630 ns MR4_I 01000208 2a001c5b - 1212650 ns MR4_I 01000200 07c96841 - 1212670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1212690 ns MR4_I 01000204 6002d1fc - 1212750 ns MR4_D 40006004 00000001 - 1212750 ns R r1 00000001 - 1212750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1212770 ns R r1 80000000 - 1212770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1212790 ns R psr 81000200 - 1212790 ns MR4_I 01000208 2a001c5b - 1212810 ns MR4_I 01000200 07c96841 - 1212830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1212850 ns MR4_I 01000204 6002d1fc - 1212910 ns MR4_D 40006004 00000001 - 1212910 ns R r1 00000001 - 1212910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1212930 ns R r1 80000000 - 1212930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1212950 ns R psr 81000200 - 1212950 ns MR4_I 01000208 2a001c5b - 1212970 ns MR4_I 01000200 07c96841 - 1212990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1213010 ns MR4_I 01000204 6002d1fc - 1213070 ns MR4_D 40006004 00000001 - 1213070 ns R r1 00000001 - 1213070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1213090 ns R r1 80000000 - 1213090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1213110 ns R psr 81000200 - 1213110 ns MR4_I 01000208 2a001c5b - 1213130 ns MR4_I 01000200 07c96841 - 1213150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1213170 ns MR4_I 01000204 6002d1fc - 1213230 ns MR4_D 40006004 00000001 - 1213230 ns R r1 00000001 - 1213230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1213250 ns R r1 80000000 - 1213250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1213270 ns R psr 81000200 - 1213270 ns MR4_I 01000208 2a001c5b - 1213290 ns MR4_I 01000200 07c96841 - 1213310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1213330 ns MR4_I 01000204 6002d1fc - 1213390 ns MR4_D 40006004 00000001 - 1213390 ns R r1 00000001 - 1213390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1213410 ns R r1 80000000 - 1213410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1213430 ns R psr 81000200 - 1213430 ns MR4_I 01000208 2a001c5b - 1213450 ns MR4_I 01000200 07c96841 - 1213470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1213490 ns MR4_I 01000204 6002d1fc - 1213550 ns MR4_D 40006004 00000001 - 1213550 ns R r1 00000001 - 1213550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1213570 ns R r1 80000000 - 1213570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1213590 ns R psr 81000200 - 1213590 ns MR4_I 01000208 2a001c5b - 1213610 ns MR4_I 01000200 07c96841 - 1213630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1213650 ns MR4_I 01000204 6002d1fc - 1213710 ns MR4_D 40006004 00000001 - 1213710 ns R r1 00000001 - 1213710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1213730 ns R r1 80000000 - 1213730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1213750 ns R psr 81000200 - 1213750 ns MR4_I 01000208 2a001c5b - 1213770 ns MR4_I 01000200 07c96841 - 1213790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1213810 ns MR4_I 01000204 6002d1fc - 1213870 ns MR4_D 40006004 00000001 - 1213870 ns R r1 00000001 - 1213870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1213890 ns R r1 80000000 - 1213890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1213910 ns R psr 81000200 - 1213910 ns MR4_I 01000208 2a001c5b - 1213930 ns MR4_I 01000200 07c96841 - 1213950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1213970 ns MR4_I 01000204 6002d1fc - 1214030 ns MR4_D 40006004 00000001 - 1214030 ns R r1 00000001 - 1214030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1214050 ns R r1 80000000 - 1214050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1214070 ns R psr 81000200 - 1214070 ns MR4_I 01000208 2a001c5b - 1214090 ns MR4_I 01000200 07c96841 - 1214110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1214130 ns MR4_I 01000204 6002d1fc - 1214190 ns MR4_D 40006004 00000001 - 1214190 ns R r1 00000001 - 1214190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1214210 ns R r1 80000000 - 1214210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1214230 ns R psr 81000200 - 1214230 ns MR4_I 01000208 2a001c5b - 1214250 ns MR4_I 01000200 07c96841 - 1214270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1214290 ns MR4_I 01000204 6002d1fc - 1214350 ns MR4_D 40006004 00000001 - 1214350 ns R r1 00000001 - 1214350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1214370 ns R r1 80000000 - 1214370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1214390 ns R psr 81000200 - 1214390 ns MR4_I 01000208 2a001c5b - 1214410 ns MR4_I 01000200 07c96841 - 1214430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1214450 ns MR4_I 01000204 6002d1fc - 1214510 ns MR4_D 40006004 00000001 - 1214510 ns R r1 00000001 - 1214510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1214530 ns R r1 80000000 - 1214530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1214550 ns R psr 81000200 - 1214550 ns MR4_I 01000208 2a001c5b - 1214570 ns MR4_I 01000200 07c96841 - 1214590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1214610 ns MR4_I 01000204 6002d1fc - 1214670 ns MR4_D 40006004 00000001 - 1214670 ns R r1 00000001 - 1214670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1214690 ns R r1 80000000 - 1214690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1214710 ns R psr 81000200 - 1214710 ns MR4_I 01000208 2a001c5b - 1214730 ns MR4_I 01000200 07c96841 - 1214750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1214770 ns MR4_I 01000204 6002d1fc - 1214830 ns MR4_D 40006004 00000001 - 1214830 ns R r1 00000001 - 1214830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1214850 ns R r1 80000000 - 1214850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1214870 ns R psr 81000200 - 1214870 ns MR4_I 01000208 2a001c5b - 1214890 ns MR4_I 01000200 07c96841 - 1214910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1214930 ns MR4_I 01000204 6002d1fc - 1214990 ns MR4_D 40006004 00000001 - 1214990 ns R r1 00000001 - 1214990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1215010 ns R r1 80000000 - 1215010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1215030 ns R psr 81000200 - 1215030 ns MR4_I 01000208 2a001c5b - 1215050 ns MR4_I 01000200 07c96841 - 1215070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1215090 ns MR4_I 01000204 6002d1fc - 1215150 ns MR4_D 40006004 00000001 - 1215150 ns R r1 00000001 - 1215150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1215170 ns R r1 80000000 - 1215170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1215190 ns R psr 81000200 - 1215190 ns MR4_I 01000208 2a001c5b - 1215210 ns MR4_I 01000200 07c96841 - 1215230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1215250 ns MR4_I 01000204 6002d1fc - 1215310 ns MR4_D 40006004 00000001 - 1215310 ns R r1 00000001 - 1215310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1215330 ns R r1 80000000 - 1215330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1215350 ns R psr 81000200 - 1215350 ns MR4_I 01000208 2a001c5b - 1215370 ns MR4_I 01000200 07c96841 - 1215390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1215410 ns MR4_I 01000204 6002d1fc - 1215470 ns MR4_D 40006004 00000001 - 1215470 ns R r1 00000001 - 1215470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1215490 ns R r1 80000000 - 1215490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1215510 ns R psr 81000200 - 1215510 ns MR4_I 01000208 2a001c5b - 1215530 ns MR4_I 01000200 07c96841 - 1215550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1215570 ns MR4_I 01000204 6002d1fc - 1215630 ns MR4_D 40006004 00000001 - 1215630 ns R r1 00000001 - 1215630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1215650 ns R r1 80000000 - 1215650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1215670 ns R psr 81000200 - 1215670 ns MR4_I 01000208 2a001c5b - 1215690 ns MR4_I 01000200 07c96841 - 1215710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1215730 ns MR4_I 01000204 6002d1fc - 1215790 ns MR4_D 40006004 00000001 - 1215790 ns R r1 00000001 - 1215790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1215810 ns R r1 80000000 - 1215810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1215830 ns R psr 81000200 - 1215830 ns MR4_I 01000208 2a001c5b - 1215850 ns MR4_I 01000200 07c96841 - 1215870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1215890 ns MR4_I 01000204 6002d1fc - 1215950 ns MR4_D 40006004 00000001 - 1215950 ns R r1 00000001 - 1215950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1215970 ns R r1 80000000 - 1215970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1215990 ns R psr 81000200 - 1215990 ns MR4_I 01000208 2a001c5b - 1216010 ns MR4_I 01000200 07c96841 - 1216030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1216050 ns MR4_I 01000204 6002d1fc - 1216110 ns MR4_D 40006004 00000001 - 1216110 ns R r1 00000001 - 1216110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1216130 ns R r1 80000000 - 1216130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1216150 ns R psr 81000200 - 1216150 ns MR4_I 01000208 2a001c5b - 1216170 ns MR4_I 01000200 07c96841 - 1216190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1216210 ns MR4_I 01000204 6002d1fc - 1216270 ns MR4_D 40006004 00000001 - 1216270 ns R r1 00000001 - 1216270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1216290 ns R r1 80000000 - 1216290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1216310 ns R psr 81000200 - 1216310 ns MR4_I 01000208 2a001c5b - 1216330 ns MR4_I 01000200 07c96841 - 1216350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1216370 ns MR4_I 01000204 6002d1fc - 1216430 ns MR4_D 40006004 00000001 - 1216430 ns R r1 00000001 - 1216430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1216450 ns R r1 80000000 - 1216450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1216470 ns R psr 81000200 - 1216470 ns MR4_I 01000208 2a001c5b - 1216490 ns MR4_I 01000200 07c96841 - 1216510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1216530 ns MR4_I 01000204 6002d1fc - 1216590 ns MR4_D 40006004 00000001 - 1216590 ns R r1 00000001 - 1216590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1216610 ns R r1 80000000 - 1216610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1216630 ns R psr 81000200 - 1216630 ns MR4_I 01000208 2a001c5b - 1216650 ns MR4_I 01000200 07c96841 - 1216670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1216690 ns MR4_I 01000204 6002d1fc - 1216750 ns MR4_D 40006004 00000001 - 1216750 ns R r1 00000001 - 1216750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1216770 ns R r1 80000000 - 1216770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1216790 ns R psr 81000200 - 1216790 ns MR4_I 01000208 2a001c5b - 1216810 ns MR4_I 01000200 07c96841 - 1216830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1216850 ns MR4_I 01000204 6002d1fc - 1216910 ns MR4_D 40006004 00000001 - 1216910 ns R r1 00000001 - 1216910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1216930 ns R r1 80000000 - 1216930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1216950 ns R psr 81000200 - 1216950 ns MR4_I 01000208 2a001c5b - 1216970 ns MR4_I 01000200 07c96841 - 1216990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1217010 ns MR4_I 01000204 6002d1fc - 1217070 ns MR4_D 40006004 00000001 - 1217070 ns R r1 00000001 - 1217070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1217090 ns R r1 80000000 - 1217090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1217110 ns R psr 81000200 - 1217110 ns MR4_I 01000208 2a001c5b - 1217130 ns MR4_I 01000200 07c96841 - 1217150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1217170 ns MR4_I 01000204 6002d1fc - 1217230 ns MR4_D 40006004 00000001 - 1217230 ns R r1 00000001 - 1217230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1217250 ns R r1 80000000 - 1217250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1217270 ns R psr 81000200 - 1217270 ns MR4_I 01000208 2a001c5b - 1217290 ns MR4_I 01000200 07c96841 - 1217310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1217330 ns MR4_I 01000204 6002d1fc - 1217390 ns MR4_D 40006004 00000001 - 1217390 ns R r1 00000001 - 1217390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1217410 ns R r1 80000000 - 1217410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1217430 ns R psr 81000200 - 1217430 ns MR4_I 01000208 2a001c5b - 1217450 ns MR4_I 01000200 07c96841 - 1217470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1217490 ns MR4_I 01000204 6002d1fc - 1217550 ns MR4_D 40006004 00000001 - 1217550 ns R r1 00000001 - 1217550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1217570 ns R r1 80000000 - 1217570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1217590 ns R psr 81000200 - 1217590 ns MR4_I 01000208 2a001c5b - 1217610 ns MR4_I 01000200 07c96841 - 1217630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1217650 ns MR4_I 01000204 6002d1fc - 1217710 ns MR4_D 40006004 00000001 - 1217710 ns R r1 00000001 - 1217710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1217730 ns R r1 80000000 - 1217730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1217750 ns R psr 81000200 - 1217750 ns MR4_I 01000208 2a001c5b - 1217770 ns MR4_I 01000200 07c96841 - 1217790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1217810 ns MR4_I 01000204 6002d1fc - 1217870 ns MR4_D 40006004 00000001 - 1217870 ns R r1 00000001 - 1217870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1217890 ns R r1 80000000 - 1217890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1217910 ns R psr 81000200 - 1217910 ns MR4_I 01000208 2a001c5b - 1217930 ns MR4_I 01000200 07c96841 - 1217950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1217970 ns MR4_I 01000204 6002d1fc - 1218030 ns MR4_D 40006004 00000001 - 1218030 ns R r1 00000001 - 1218030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1218050 ns R r1 80000000 - 1218050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1218070 ns R psr 81000200 - 1218070 ns MR4_I 01000208 2a001c5b - 1218090 ns MR4_I 01000200 07c96841 - 1218110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1218130 ns MR4_I 01000204 6002d1fc - 1218190 ns MR4_D 40006004 00000001 - 1218190 ns R r1 00000001 - 1218190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1218210 ns R r1 80000000 - 1218210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1218230 ns R psr 81000200 - 1218230 ns MR4_I 01000208 2a001c5b - 1218250 ns MR4_I 01000200 07c96841 - 1218270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1218290 ns MR4_I 01000204 6002d1fc - 1218350 ns MR4_D 40006004 00000001 - 1218350 ns R r1 00000001 - 1218350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1218370 ns R r1 80000000 - 1218370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1218390 ns R psr 81000200 - 1218390 ns MR4_I 01000208 2a001c5b - 1218410 ns MR4_I 01000200 07c96841 - 1218430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1218450 ns MR4_I 01000204 6002d1fc - 1218510 ns MR4_D 40006004 00000001 - 1218510 ns R r1 00000001 - 1218510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1218530 ns R r1 80000000 - 1218530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1218550 ns R psr 81000200 - 1218550 ns MR4_I 01000208 2a001c5b - 1218570 ns MR4_I 01000200 07c96841 - 1218590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1218610 ns MR4_I 01000204 6002d1fc - 1218670 ns MR4_D 40006004 00000001 - 1218670 ns R r1 00000001 - 1218670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1218690 ns R r1 80000000 - 1218690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1218710 ns R psr 81000200 - 1218710 ns MR4_I 01000208 2a001c5b - 1218730 ns MR4_I 01000200 07c96841 - 1218750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1218770 ns MR4_I 01000204 6002d1fc - 1218830 ns MR4_D 40006004 00000001 - 1218830 ns R r1 00000001 - 1218830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1218850 ns R r1 80000000 - 1218850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1218870 ns R psr 81000200 - 1218870 ns MR4_I 01000208 2a001c5b - 1218890 ns MR4_I 01000200 07c96841 - 1218910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1218930 ns MR4_I 01000204 6002d1fc - 1218990 ns MR4_D 40006004 00000001 - 1218990 ns R r1 00000001 - 1218990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1219010 ns R r1 80000000 - 1219010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1219030 ns R psr 81000200 - 1219030 ns MR4_I 01000208 2a001c5b - 1219050 ns MR4_I 01000200 07c96841 - 1219070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1219090 ns MR4_I 01000204 6002d1fc - 1219150 ns MR4_D 40006004 00000001 - 1219150 ns R r1 00000001 - 1219150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1219170 ns R r1 80000000 - 1219170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1219190 ns R psr 81000200 - 1219190 ns MR4_I 01000208 2a001c5b - 1219210 ns MR4_I 01000200 07c96841 - 1219230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1219250 ns MR4_I 01000204 6002d1fc - 1219310 ns MR4_D 40006004 00000001 - 1219310 ns R r1 00000001 - 1219310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1219330 ns R r1 80000000 - 1219330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1219350 ns R psr 81000200 - 1219350 ns MR4_I 01000208 2a001c5b - 1219370 ns MR4_I 01000200 07c96841 - 1219390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1219410 ns MR4_I 01000204 6002d1fc - 1219470 ns MR4_D 40006004 00000001 - 1219470 ns R r1 00000001 - 1219470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1219490 ns R r1 80000000 - 1219490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1219510 ns R psr 81000200 - 1219510 ns MR4_I 01000208 2a001c5b - 1219530 ns MR4_I 01000200 07c96841 - 1219550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1219570 ns MR4_I 01000204 6002d1fc - 1219630 ns MR4_D 40006004 00000001 - 1219630 ns R r1 00000001 - 1219630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1219650 ns R r1 80000000 - 1219650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1219670 ns R psr 81000200 - 1219670 ns MR4_I 01000208 2a001c5b - 1219690 ns MR4_I 01000200 07c96841 - 1219710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1219730 ns MR4_I 01000204 6002d1fc - 1219790 ns MR4_D 40006004 00000001 - 1219790 ns R r1 00000001 - 1219790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1219810 ns R r1 80000000 - 1219810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1219830 ns R psr 81000200 - 1219830 ns MR4_I 01000208 2a001c5b - 1219850 ns MR4_I 01000200 07c96841 - 1219870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1219890 ns MR4_I 01000204 6002d1fc - 1219950 ns MR4_D 40006004 00000001 - 1219950 ns R r1 00000001 - 1219950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1219970 ns R r1 80000000 - 1219970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1219990 ns R psr 81000200 - 1219990 ns MR4_I 01000208 2a001c5b - 1220010 ns MR4_I 01000200 07c96841 - 1220030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1220050 ns MR4_I 01000204 6002d1fc - 1220110 ns MR4_D 40006004 00000001 - 1220110 ns R r1 00000001 - 1220110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1220130 ns R r1 80000000 - 1220130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1220150 ns R psr 81000200 - 1220150 ns MR4_I 01000208 2a001c5b - 1220170 ns MR4_I 01000200 07c96841 - 1220190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1220210 ns MR4_I 01000204 6002d1fc - 1220270 ns MR4_D 40006004 00000001 - 1220270 ns R r1 00000001 - 1220270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1220290 ns R r1 80000000 - 1220290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1220310 ns R psr 81000200 - 1220310 ns MR4_I 01000208 2a001c5b - 1220330 ns MR4_I 01000200 07c96841 - 1220350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1220370 ns MR4_I 01000204 6002d1fc - 1220430 ns MR4_D 40006004 00000001 - 1220430 ns R r1 00000001 - 1220430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1220450 ns R r1 80000000 - 1220450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1220470 ns R psr 81000200 - 1220470 ns MR4_I 01000208 2a001c5b - 1220490 ns MR4_I 01000200 07c96841 - 1220510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1220530 ns MR4_I 01000204 6002d1fc - 1220590 ns MR4_D 40006004 00000001 - 1220590 ns R r1 00000001 - 1220590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1220610 ns R r1 80000000 - 1220610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1220630 ns R psr 81000200 - 1220630 ns MR4_I 01000208 2a001c5b - 1220650 ns MR4_I 01000200 07c96841 - 1220670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1220690 ns MR4_I 01000204 6002d1fc - 1220750 ns MR4_D 40006004 00000001 - 1220750 ns R r1 00000001 - 1220750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1220770 ns R r1 80000000 - 1220770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1220790 ns R psr 81000200 - 1220790 ns MR4_I 01000208 2a001c5b - 1220810 ns MR4_I 01000200 07c96841 - 1220830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1220850 ns MR4_I 01000204 6002d1fc - 1220910 ns MR4_D 40006004 00000001 - 1220910 ns R r1 00000001 - 1220910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1220930 ns R r1 80000000 - 1220930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1220950 ns R psr 81000200 - 1220950 ns MR4_I 01000208 2a001c5b - 1220970 ns MR4_I 01000200 07c96841 - 1220990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1221010 ns MR4_I 01000204 6002d1fc - 1221070 ns MR4_D 40006004 00000001 - 1221070 ns R r1 00000001 - 1221070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1221090 ns R r1 80000000 - 1221090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1221110 ns R psr 81000200 - 1221110 ns MR4_I 01000208 2a001c5b - 1221130 ns MR4_I 01000200 07c96841 - 1221150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1221170 ns MR4_I 01000204 6002d1fc - 1221230 ns MR4_D 40006004 00000001 - 1221230 ns R r1 00000001 - 1221230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1221250 ns R r1 80000000 - 1221250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1221270 ns R psr 81000200 - 1221270 ns MR4_I 01000208 2a001c5b - 1221290 ns MR4_I 01000200 07c96841 - 1221310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1221330 ns MR4_I 01000204 6002d1fc - 1221390 ns MR4_D 40006004 00000001 - 1221390 ns R r1 00000001 - 1221390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1221410 ns R r1 80000000 - 1221410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1221430 ns R psr 81000200 - 1221430 ns MR4_I 01000208 2a001c5b - 1221450 ns MR4_I 01000200 07c96841 - 1221470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1221490 ns MR4_I 01000204 6002d1fc - 1221550 ns MR4_D 40006004 00000001 - 1221550 ns R r1 00000001 - 1221550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1221570 ns R r1 80000000 - 1221570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1221590 ns R psr 81000200 - 1221590 ns MR4_I 01000208 2a001c5b - 1221610 ns MR4_I 01000200 07c96841 - 1221630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1221650 ns MR4_I 01000204 6002d1fc - 1221710 ns MR4_D 40006004 00000001 - 1221710 ns R r1 00000001 - 1221710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1221730 ns R r1 80000000 - 1221730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1221750 ns R psr 81000200 - 1221750 ns MR4_I 01000208 2a001c5b - 1221770 ns MR4_I 01000200 07c96841 - 1221790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1221810 ns MR4_I 01000204 6002d1fc - 1221870 ns MR4_D 40006004 00000001 - 1221870 ns R r1 00000001 - 1221870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1221890 ns R r1 80000000 - 1221890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1221910 ns R psr 81000200 - 1221910 ns MR4_I 01000208 2a001c5b - 1221930 ns MR4_I 01000200 07c96841 - 1221950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1221970 ns MR4_I 01000204 6002d1fc - 1222030 ns MR4_D 40006004 00000001 - 1222030 ns R r1 00000001 - 1222030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1222050 ns R r1 80000000 - 1222050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1222070 ns R psr 81000200 - 1222070 ns MR4_I 01000208 2a001c5b - 1222090 ns MR4_I 01000200 07c96841 - 1222110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1222130 ns MR4_I 01000204 6002d1fc - 1222190 ns MR4_D 40006004 00000001 - 1222190 ns R r1 00000001 - 1222190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1222210 ns R r1 80000000 - 1222210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1222230 ns R psr 81000200 - 1222230 ns MR4_I 01000208 2a001c5b - 1222250 ns MR4_I 01000200 07c96841 - 1222270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1222290 ns MR4_I 01000204 6002d1fc - 1222350 ns MR4_D 40006004 00000001 - 1222350 ns R r1 00000001 - 1222350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1222370 ns R r1 80000000 - 1222370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1222390 ns R psr 81000200 - 1222390 ns MR4_I 01000208 2a001c5b - 1222410 ns MR4_I 01000200 07c96841 - 1222430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1222450 ns MR4_I 01000204 6002d1fc - 1222510 ns MR4_D 40006004 00000001 - 1222510 ns R r1 00000001 - 1222510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1222530 ns R r1 80000000 - 1222530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1222550 ns R psr 81000200 - 1222550 ns MR4_I 01000208 2a001c5b - 1222570 ns MR4_I 01000200 07c96841 - 1222590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1222610 ns MR4_I 01000204 6002d1fc - 1222670 ns MR4_D 40006004 00000001 - 1222670 ns R r1 00000001 - 1222670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1222690 ns R r1 80000000 - 1222690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1222710 ns R psr 81000200 - 1222710 ns MR4_I 01000208 2a001c5b - 1222730 ns MR4_I 01000200 07c96841 - 1222750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1222770 ns MR4_I 01000204 6002d1fc - 1222830 ns MR4_D 40006004 00000001 - 1222830 ns R r1 00000001 - 1222830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1222850 ns R r1 80000000 - 1222850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1222870 ns R psr 81000200 - 1222870 ns MR4_I 01000208 2a001c5b - 1222890 ns MR4_I 01000200 07c96841 - 1222910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1222930 ns MR4_I 01000204 6002d1fc - 1222990 ns MR4_D 40006004 00000001 - 1222990 ns R r1 00000001 - 1222990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1223010 ns R r1 80000000 - 1223010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1223030 ns R psr 81000200 - 1223030 ns MR4_I 01000208 2a001c5b - 1223050 ns MR4_I 01000200 07c96841 - 1223070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1223090 ns MR4_I 01000204 6002d1fc - 1223150 ns MR4_D 40006004 00000000 - 1223150 ns R r1 00000000 - 1223150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1223170 ns R r1 00000000 - 1223170 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1223190 ns R psr 41000200 - 1223190 ns MR4_I 01000208 2a001c5b - 1223190 ns IT 01000206 6002 STR r2,[r0,#0] - 1223270 ns MW4_D 40006000 00000044 - 1223270 ns IT 01000208 1c5b ADDS r3,r3,#1 - 1223290 ns MR4_I 0100020c a32ad1f5 - 1223290 ns R r3 010002b3 - 1223290 ns IT 0100020a 2a00 CMP r2,#0 - 1223310 ns R psr 01000200 - 1223310 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 1223330 ns R psr 21000200 - 1223330 ns MR4_I 01000210 2a00781a - 1223350 ns MR4_I 010001f8 781aa326 - 1223370 ns MR4_I 010001fc d0062a00 - 1223370 ns IT 010001fa 781a LDRB r2,[r3,#0] - 1223410 ns MR1_D 010002b3 4b445320 - 1223410 ns R r2 0000004b - 1223410 ns IT 010001fc 2a00 CMP r2,#0 - 1223430 ns MR4_I 01000200 07c96841 - 1223430 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 1223450 ns R psr 21000200 - 1223450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1223470 ns MR4_I 01000204 6002d1fc - 1223530 ns MR4_D 40006004 00000001 - 1223530 ns R r1 00000001 - 1223530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1223550 ns R r1 80000000 - 1223550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1223570 ns R psr 81000200 - 1223570 ns MR4_I 01000208 2a001c5b - 1223590 ns MR4_I 01000200 07c96841 - 1223610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1223630 ns MR4_I 01000204 6002d1fc - 1223690 ns MR4_D 40006004 00000001 - 1223690 ns R r1 00000001 - 1223690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1223710 ns R r1 80000000 - 1223710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1223730 ns R psr 81000200 - 1223730 ns MR4_I 01000208 2a001c5b - 1223750 ns MR4_I 01000200 07c96841 - 1223770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1223790 ns MR4_I 01000204 6002d1fc - 1223850 ns MR4_D 40006004 00000001 - 1223850 ns R r1 00000001 - 1223850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1223870 ns R r1 80000000 - 1223870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1223890 ns R psr 81000200 - 1223890 ns MR4_I 01000208 2a001c5b - 1223910 ns MR4_I 01000200 07c96841 - 1223930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1223950 ns MR4_I 01000204 6002d1fc - 1224010 ns MR4_D 40006004 00000001 - 1224010 ns R r1 00000001 - 1224010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1224030 ns R r1 80000000 - 1224030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1224050 ns R psr 81000200 - 1224050 ns MR4_I 01000208 2a001c5b - 1224070 ns MR4_I 01000200 07c96841 - 1224090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1224110 ns MR4_I 01000204 6002d1fc - 1224170 ns MR4_D 40006004 00000001 - 1224170 ns R r1 00000001 - 1224170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1224190 ns R r1 80000000 - 1224190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1224210 ns R psr 81000200 - 1224210 ns MR4_I 01000208 2a001c5b - 1224230 ns MR4_I 01000200 07c96841 - 1224250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1224270 ns MR4_I 01000204 6002d1fc - 1224330 ns MR4_D 40006004 00000001 - 1224330 ns R r1 00000001 - 1224330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1224350 ns R r1 80000000 - 1224350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1224370 ns R psr 81000200 - 1224370 ns MR4_I 01000208 2a001c5b - 1224390 ns MR4_I 01000200 07c96841 - 1224410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1224430 ns MR4_I 01000204 6002d1fc - 1224490 ns MR4_D 40006004 00000001 - 1224490 ns R r1 00000001 - 1224490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1224510 ns R r1 80000000 - 1224510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1224530 ns R psr 81000200 - 1224530 ns MR4_I 01000208 2a001c5b - 1224550 ns MR4_I 01000200 07c96841 - 1224570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1224590 ns MR4_I 01000204 6002d1fc - 1224650 ns MR4_D 40006004 00000001 - 1224650 ns R r1 00000001 - 1224650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1224670 ns R r1 80000000 - 1224670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1224690 ns R psr 81000200 - 1224690 ns MR4_I 01000208 2a001c5b - 1224710 ns MR4_I 01000200 07c96841 - 1224730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1224750 ns MR4_I 01000204 6002d1fc - 1224810 ns MR4_D 40006004 00000001 - 1224810 ns R r1 00000001 - 1224810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1224830 ns R r1 80000000 - 1224830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1224850 ns R psr 81000200 - 1224850 ns MR4_I 01000208 2a001c5b - 1224870 ns MR4_I 01000200 07c96841 - 1224890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1224910 ns MR4_I 01000204 6002d1fc - 1224970 ns MR4_D 40006004 00000001 - 1224970 ns R r1 00000001 - 1224970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1224990 ns R r1 80000000 - 1224990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1225010 ns R psr 81000200 - 1225010 ns MR4_I 01000208 2a001c5b - 1225030 ns MR4_I 01000200 07c96841 - 1225050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1225070 ns MR4_I 01000204 6002d1fc - 1225130 ns MR4_D 40006004 00000001 - 1225130 ns R r1 00000001 - 1225130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1225150 ns R r1 80000000 - 1225150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1225170 ns R psr 81000200 - 1225170 ns MR4_I 01000208 2a001c5b - 1225190 ns MR4_I 01000200 07c96841 - 1225210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1225230 ns MR4_I 01000204 6002d1fc - 1225290 ns MR4_D 40006004 00000001 - 1225290 ns R r1 00000001 - 1225290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1225310 ns R r1 80000000 - 1225310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1225330 ns R psr 81000200 - 1225330 ns MR4_I 01000208 2a001c5b - 1225350 ns MR4_I 01000200 07c96841 - 1225370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1225390 ns MR4_I 01000204 6002d1fc - 1225450 ns MR4_D 40006004 00000001 - 1225450 ns R r1 00000001 - 1225450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1225470 ns R r1 80000000 - 1225470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1225490 ns R psr 81000200 - 1225490 ns MR4_I 01000208 2a001c5b - 1225510 ns MR4_I 01000200 07c96841 - 1225530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1225550 ns MR4_I 01000204 6002d1fc - 1225610 ns MR4_D 40006004 00000001 - 1225610 ns R r1 00000001 - 1225610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1225630 ns R r1 80000000 - 1225630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1225650 ns R psr 81000200 - 1225650 ns MR4_I 01000208 2a001c5b - 1225670 ns MR4_I 01000200 07c96841 - 1225690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1225710 ns MR4_I 01000204 6002d1fc - 1225770 ns MR4_D 40006004 00000001 - 1225770 ns R r1 00000001 - 1225770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1225790 ns R r1 80000000 - 1225790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1225810 ns R psr 81000200 - 1225810 ns MR4_I 01000208 2a001c5b - 1225830 ns MR4_I 01000200 07c96841 - 1225850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1225870 ns MR4_I 01000204 6002d1fc - 1225930 ns MR4_D 40006004 00000001 - 1225930 ns R r1 00000001 - 1225930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1225950 ns R r1 80000000 - 1225950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1225970 ns R psr 81000200 - 1225970 ns MR4_I 01000208 2a001c5b - 1225990 ns MR4_I 01000200 07c96841 - 1226010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1226030 ns MR4_I 01000204 6002d1fc - 1226090 ns MR4_D 40006004 00000001 - 1226090 ns R r1 00000001 - 1226090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1226110 ns R r1 80000000 - 1226110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1226130 ns R psr 81000200 - 1226130 ns MR4_I 01000208 2a001c5b - 1226150 ns MR4_I 01000200 07c96841 - 1226170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1226190 ns MR4_I 01000204 6002d1fc - 1226250 ns MR4_D 40006004 00000001 - 1226250 ns R r1 00000001 - 1226250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1226270 ns R r1 80000000 - 1226270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1226290 ns R psr 81000200 - 1226290 ns MR4_I 01000208 2a001c5b - 1226310 ns MR4_I 01000200 07c96841 - 1226330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1226350 ns MR4_I 01000204 6002d1fc - 1226410 ns MR4_D 40006004 00000001 - 1226410 ns R r1 00000001 - 1226410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1226430 ns R r1 80000000 - 1226430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1226450 ns R psr 81000200 - 1226450 ns MR4_I 01000208 2a001c5b - 1226470 ns MR4_I 01000200 07c96841 - 1226490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1226510 ns MR4_I 01000204 6002d1fc - 1226570 ns MR4_D 40006004 00000001 - 1226570 ns R r1 00000001 - 1226570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1226590 ns R r1 80000000 - 1226590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1226610 ns R psr 81000200 - 1226610 ns MR4_I 01000208 2a001c5b - 1226630 ns MR4_I 01000200 07c96841 - 1226650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1226670 ns MR4_I 01000204 6002d1fc - 1226730 ns MR4_D 40006004 00000001 - 1226730 ns R r1 00000001 - 1226730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1226750 ns R r1 80000000 - 1226750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1226770 ns R psr 81000200 - 1226770 ns MR4_I 01000208 2a001c5b - 1226790 ns MR4_I 01000200 07c96841 - 1226810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1226830 ns MR4_I 01000204 6002d1fc - 1226890 ns MR4_D 40006004 00000001 - 1226890 ns R r1 00000001 - 1226890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1226910 ns R r1 80000000 - 1226910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1226930 ns R psr 81000200 - 1226930 ns MR4_I 01000208 2a001c5b - 1226950 ns MR4_I 01000200 07c96841 - 1226970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1226990 ns MR4_I 01000204 6002d1fc - 1227050 ns MR4_D 40006004 00000001 - 1227050 ns R r1 00000001 - 1227050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1227070 ns R r1 80000000 - 1227070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1227090 ns R psr 81000200 - 1227090 ns MR4_I 01000208 2a001c5b - 1227110 ns MR4_I 01000200 07c96841 - 1227130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1227150 ns MR4_I 01000204 6002d1fc - 1227210 ns MR4_D 40006004 00000001 - 1227210 ns R r1 00000001 - 1227210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1227230 ns R r1 80000000 - 1227230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1227250 ns R psr 81000200 - 1227250 ns MR4_I 01000208 2a001c5b - 1227270 ns MR4_I 01000200 07c96841 - 1227290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1227310 ns MR4_I 01000204 6002d1fc - 1227370 ns MR4_D 40006004 00000001 - 1227370 ns R r1 00000001 - 1227370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1227390 ns R r1 80000000 - 1227390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1227410 ns R psr 81000200 - 1227410 ns MR4_I 01000208 2a001c5b - 1227430 ns MR4_I 01000200 07c96841 - 1227450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1227470 ns MR4_I 01000204 6002d1fc - 1227530 ns MR4_D 40006004 00000001 - 1227530 ns R r1 00000001 - 1227530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1227550 ns R r1 80000000 - 1227550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1227570 ns R psr 81000200 - 1227570 ns MR4_I 01000208 2a001c5b - 1227590 ns MR4_I 01000200 07c96841 - 1227610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1227630 ns MR4_I 01000204 6002d1fc - 1227690 ns MR4_D 40006004 00000001 - 1227690 ns R r1 00000001 - 1227690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1227710 ns R r1 80000000 - 1227710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1227730 ns R psr 81000200 - 1227730 ns MR4_I 01000208 2a001c5b - 1227750 ns MR4_I 01000200 07c96841 - 1227770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1227790 ns MR4_I 01000204 6002d1fc - 1227850 ns MR4_D 40006004 00000001 - 1227850 ns R r1 00000001 - 1227850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1227870 ns R r1 80000000 - 1227870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1227890 ns R psr 81000200 - 1227890 ns MR4_I 01000208 2a001c5b - 1227910 ns MR4_I 01000200 07c96841 - 1227930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1227950 ns MR4_I 01000204 6002d1fc - 1228010 ns MR4_D 40006004 00000001 - 1228010 ns R r1 00000001 - 1228010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1228030 ns R r1 80000000 - 1228030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1228050 ns R psr 81000200 - 1228050 ns MR4_I 01000208 2a001c5b - 1228070 ns MR4_I 01000200 07c96841 - 1228090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1228110 ns MR4_I 01000204 6002d1fc - 1228170 ns MR4_D 40006004 00000001 - 1228170 ns R r1 00000001 - 1228170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1228190 ns R r1 80000000 - 1228190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1228210 ns R psr 81000200 - 1228210 ns MR4_I 01000208 2a001c5b - 1228230 ns MR4_I 01000200 07c96841 - 1228250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1228270 ns MR4_I 01000204 6002d1fc - 1228330 ns MR4_D 40006004 00000001 - 1228330 ns R r1 00000001 - 1228330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1228350 ns R r1 80000000 - 1228350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1228370 ns R psr 81000200 - 1228370 ns MR4_I 01000208 2a001c5b - 1228390 ns MR4_I 01000200 07c96841 - 1228410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1228430 ns MR4_I 01000204 6002d1fc - 1228490 ns MR4_D 40006004 00000001 - 1228490 ns R r1 00000001 - 1228490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1228510 ns R r1 80000000 - 1228510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1228530 ns R psr 81000200 - 1228530 ns MR4_I 01000208 2a001c5b - 1228550 ns MR4_I 01000200 07c96841 - 1228570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1228590 ns MR4_I 01000204 6002d1fc - 1228650 ns MR4_D 40006004 00000001 - 1228650 ns R r1 00000001 - 1228650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1228670 ns R r1 80000000 - 1228670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1228690 ns R psr 81000200 - 1228690 ns MR4_I 01000208 2a001c5b - 1228710 ns MR4_I 01000200 07c96841 - 1228730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1228750 ns MR4_I 01000204 6002d1fc - 1228810 ns MR4_D 40006004 00000001 - 1228810 ns R r1 00000001 - 1228810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1228830 ns R r1 80000000 - 1228830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1228850 ns R psr 81000200 - 1228850 ns MR4_I 01000208 2a001c5b - 1228870 ns MR4_I 01000200 07c96841 - 1228890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1228910 ns MR4_I 01000204 6002d1fc - 1228970 ns MR4_D 40006004 00000001 - 1228970 ns R r1 00000001 - 1228970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1228990 ns R r1 80000000 - 1228990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1229010 ns R psr 81000200 - 1229010 ns MR4_I 01000208 2a001c5b - 1229030 ns MR4_I 01000200 07c96841 - 1229050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1229070 ns MR4_I 01000204 6002d1fc - 1229130 ns MR4_D 40006004 00000001 - 1229130 ns R r1 00000001 - 1229130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1229150 ns R r1 80000000 - 1229150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1229170 ns R psr 81000200 - 1229170 ns MR4_I 01000208 2a001c5b - 1229190 ns MR4_I 01000200 07c96841 - 1229210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1229230 ns MR4_I 01000204 6002d1fc - 1229290 ns MR4_D 40006004 00000001 - 1229290 ns R r1 00000001 - 1229290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1229310 ns R r1 80000000 - 1229310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1229330 ns R psr 81000200 - 1229330 ns MR4_I 01000208 2a001c5b - 1229350 ns MR4_I 01000200 07c96841 - 1229370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1229390 ns MR4_I 01000204 6002d1fc - 1229450 ns MR4_D 40006004 00000001 - 1229450 ns R r1 00000001 - 1229450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1229470 ns R r1 80000000 - 1229470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1229490 ns R psr 81000200 - 1229490 ns MR4_I 01000208 2a001c5b - 1229510 ns MR4_I 01000200 07c96841 - 1229530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1229550 ns MR4_I 01000204 6002d1fc - 1229610 ns MR4_D 40006004 00000001 - 1229610 ns R r1 00000001 - 1229610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1229630 ns R r1 80000000 - 1229630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1229650 ns R psr 81000200 - 1229650 ns MR4_I 01000208 2a001c5b - 1229670 ns MR4_I 01000200 07c96841 - 1229690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1229710 ns MR4_I 01000204 6002d1fc - 1229770 ns MR4_D 40006004 00000001 - 1229770 ns R r1 00000001 - 1229770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1229790 ns R r1 80000000 - 1229790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1229810 ns R psr 81000200 - 1229810 ns MR4_I 01000208 2a001c5b - 1229830 ns MR4_I 01000200 07c96841 - 1229850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1229870 ns MR4_I 01000204 6002d1fc - 1229930 ns MR4_D 40006004 00000001 - 1229930 ns R r1 00000001 - 1229930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1229950 ns R r1 80000000 - 1229950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1229970 ns R psr 81000200 - 1229970 ns MR4_I 01000208 2a001c5b - 1229990 ns MR4_I 01000200 07c96841 - 1230010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1230030 ns MR4_I 01000204 6002d1fc - 1230090 ns MR4_D 40006004 00000001 - 1230090 ns R r1 00000001 - 1230090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1230110 ns R r1 80000000 - 1230110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1230130 ns R psr 81000200 - 1230130 ns MR4_I 01000208 2a001c5b - 1230150 ns MR4_I 01000200 07c96841 - 1230170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1230190 ns MR4_I 01000204 6002d1fc - 1230250 ns MR4_D 40006004 00000001 - 1230250 ns R r1 00000001 - 1230250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1230270 ns R r1 80000000 - 1230270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1230290 ns R psr 81000200 - 1230290 ns MR4_I 01000208 2a001c5b - 1230310 ns MR4_I 01000200 07c96841 - 1230330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1230350 ns MR4_I 01000204 6002d1fc - 1230410 ns MR4_D 40006004 00000001 - 1230410 ns R r1 00000001 - 1230410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1230430 ns R r1 80000000 - 1230430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1230450 ns R psr 81000200 - 1230450 ns MR4_I 01000208 2a001c5b - 1230470 ns MR4_I 01000200 07c96841 - 1230490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1230510 ns MR4_I 01000204 6002d1fc - 1230570 ns MR4_D 40006004 00000001 - 1230570 ns R r1 00000001 - 1230570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1230590 ns R r1 80000000 - 1230590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1230610 ns R psr 81000200 - 1230610 ns MR4_I 01000208 2a001c5b - 1230630 ns MR4_I 01000200 07c96841 - 1230650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1230670 ns MR4_I 01000204 6002d1fc - 1230730 ns MR4_D 40006004 00000001 - 1230730 ns R r1 00000001 - 1230730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1230750 ns R r1 80000000 - 1230750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1230770 ns R psr 81000200 - 1230770 ns MR4_I 01000208 2a001c5b - 1230790 ns MR4_I 01000200 07c96841 - 1230810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1230830 ns MR4_I 01000204 6002d1fc - 1230890 ns MR4_D 40006004 00000001 - 1230890 ns R r1 00000001 - 1230890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1230910 ns R r1 80000000 - 1230910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1230930 ns R psr 81000200 - 1230930 ns MR4_I 01000208 2a001c5b - 1230950 ns MR4_I 01000200 07c96841 - 1230970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1230990 ns MR4_I 01000204 6002d1fc - 1231050 ns MR4_D 40006004 00000001 - 1231050 ns R r1 00000001 - 1231050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1231070 ns R r1 80000000 - 1231070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1231090 ns R psr 81000200 - 1231090 ns MR4_I 01000208 2a001c5b - 1231110 ns MR4_I 01000200 07c96841 - 1231130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1231150 ns MR4_I 01000204 6002d1fc - 1231210 ns MR4_D 40006004 00000001 - 1231210 ns R r1 00000001 - 1231210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1231230 ns R r1 80000000 - 1231230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1231250 ns R psr 81000200 - 1231250 ns MR4_I 01000208 2a001c5b - 1231270 ns MR4_I 01000200 07c96841 - 1231290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1231310 ns MR4_I 01000204 6002d1fc - 1231370 ns MR4_D 40006004 00000001 - 1231370 ns R r1 00000001 - 1231370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1231390 ns R r1 80000000 - 1231390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1231410 ns R psr 81000200 - 1231410 ns MR4_I 01000208 2a001c5b - 1231430 ns MR4_I 01000200 07c96841 - 1231450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1231470 ns MR4_I 01000204 6002d1fc - 1231530 ns MR4_D 40006004 00000001 - 1231530 ns R r1 00000001 - 1231530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1231550 ns R r1 80000000 - 1231550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1231570 ns R psr 81000200 - 1231570 ns MR4_I 01000208 2a001c5b - 1231590 ns MR4_I 01000200 07c96841 - 1231610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1231630 ns MR4_I 01000204 6002d1fc - 1231690 ns MR4_D 40006004 00000001 - 1231690 ns R r1 00000001 - 1231690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1231710 ns R r1 80000000 - 1231710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1231730 ns R psr 81000200 - 1231730 ns MR4_I 01000208 2a001c5b - 1231750 ns MR4_I 01000200 07c96841 - 1231770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1231790 ns MR4_I 01000204 6002d1fc - 1231850 ns MR4_D 40006004 00000001 - 1231850 ns R r1 00000001 - 1231850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1231870 ns R r1 80000000 - 1231870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1231890 ns R psr 81000200 - 1231890 ns MR4_I 01000208 2a001c5b - 1231910 ns MR4_I 01000200 07c96841 - 1231930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1231950 ns MR4_I 01000204 6002d1fc - 1232010 ns MR4_D 40006004 00000001 - 1232010 ns R r1 00000001 - 1232010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1232030 ns R r1 80000000 - 1232030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1232050 ns R psr 81000200 - 1232050 ns MR4_I 01000208 2a001c5b - 1232070 ns MR4_I 01000200 07c96841 - 1232090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1232110 ns MR4_I 01000204 6002d1fc - 1232170 ns MR4_D 40006004 00000001 - 1232170 ns R r1 00000001 - 1232170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1232190 ns R r1 80000000 - 1232190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1232210 ns R psr 81000200 - 1232210 ns MR4_I 01000208 2a001c5b - 1232230 ns MR4_I 01000200 07c96841 - 1232250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1232270 ns MR4_I 01000204 6002d1fc - 1232330 ns MR4_D 40006004 00000001 - 1232330 ns R r1 00000001 - 1232330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1232350 ns R r1 80000000 - 1232350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1232370 ns R psr 81000200 - 1232370 ns MR4_I 01000208 2a001c5b - 1232390 ns MR4_I 01000200 07c96841 - 1232410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1232430 ns MR4_I 01000204 6002d1fc - 1232490 ns MR4_D 40006004 00000001 - 1232490 ns R r1 00000001 - 1232490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1232510 ns R r1 80000000 - 1232510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1232530 ns R psr 81000200 - 1232530 ns MR4_I 01000208 2a001c5b - 1232550 ns MR4_I 01000200 07c96841 - 1232570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1232590 ns MR4_I 01000204 6002d1fc - 1232650 ns MR4_D 40006004 00000001 - 1232650 ns R r1 00000001 - 1232650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1232670 ns R r1 80000000 - 1232670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1232690 ns R psr 81000200 - 1232690 ns MR4_I 01000208 2a001c5b - 1232710 ns MR4_I 01000200 07c96841 - 1232730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1232750 ns MR4_I 01000204 6002d1fc - 1232810 ns MR4_D 40006004 00000001 - 1232810 ns R r1 00000001 - 1232810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1232830 ns R r1 80000000 - 1232830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1232850 ns R psr 81000200 - 1232850 ns MR4_I 01000208 2a001c5b - 1232870 ns MR4_I 01000200 07c96841 - 1232890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1232910 ns MR4_I 01000204 6002d1fc - 1232970 ns MR4_D 40006004 00000001 - 1232970 ns R r1 00000001 - 1232970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1232990 ns R r1 80000000 - 1232990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1233010 ns R psr 81000200 - 1233010 ns MR4_I 01000208 2a001c5b - 1233030 ns MR4_I 01000200 07c96841 - 1233050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1233070 ns MR4_I 01000204 6002d1fc - 1233130 ns MR4_D 40006004 00000001 - 1233130 ns R r1 00000001 - 1233130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1233150 ns R r1 80000000 - 1233150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1233170 ns R psr 81000200 - 1233170 ns MR4_I 01000208 2a001c5b - 1233190 ns MR4_I 01000200 07c96841 - 1233210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1233230 ns MR4_I 01000204 6002d1fc - 1233290 ns MR4_D 40006004 00000001 - 1233290 ns R r1 00000001 - 1233290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1233310 ns R r1 80000000 - 1233310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1233330 ns R psr 81000200 - 1233330 ns MR4_I 01000208 2a001c5b - 1233350 ns MR4_I 01000200 07c96841 - 1233370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1233390 ns MR4_I 01000204 6002d1fc - 1233450 ns MR4_D 40006004 00000001 - 1233450 ns R r1 00000001 - 1233450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1233470 ns R r1 80000000 - 1233470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1233490 ns R psr 81000200 - 1233490 ns MR4_I 01000208 2a001c5b - 1233510 ns MR4_I 01000200 07c96841 - 1233530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1233550 ns MR4_I 01000204 6002d1fc - 1233610 ns MR4_D 40006004 00000001 - 1233610 ns R r1 00000001 - 1233610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1233630 ns R r1 80000000 - 1233630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1233650 ns R psr 81000200 - 1233650 ns MR4_I 01000208 2a001c5b - 1233670 ns MR4_I 01000200 07c96841 - 1233690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1233710 ns MR4_I 01000204 6002d1fc - 1233770 ns MR4_D 40006004 00000001 - 1233770 ns R r1 00000001 - 1233770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1233790 ns R r1 80000000 - 1233790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1233810 ns R psr 81000200 - 1233810 ns MR4_I 01000208 2a001c5b - 1233830 ns MR4_I 01000200 07c96841 - 1233850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1233870 ns MR4_I 01000204 6002d1fc - 1233930 ns MR4_D 40006004 00000001 - 1233930 ns R r1 00000001 - 1233930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1233950 ns R r1 80000000 - 1233950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1233970 ns R psr 81000200 - 1233970 ns MR4_I 01000208 2a001c5b - 1233990 ns MR4_I 01000200 07c96841 - 1234010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1234030 ns MR4_I 01000204 6002d1fc - 1234090 ns MR4_D 40006004 00000001 - 1234090 ns R r1 00000001 - 1234090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1234110 ns R r1 80000000 - 1234110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1234130 ns R psr 81000200 - 1234130 ns MR4_I 01000208 2a001c5b - 1234150 ns MR4_I 01000200 07c96841 - 1234170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1234190 ns MR4_I 01000204 6002d1fc - 1234250 ns MR4_D 40006004 00000001 - 1234250 ns R r1 00000001 - 1234250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1234270 ns R r1 80000000 - 1234270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1234290 ns R psr 81000200 - 1234290 ns MR4_I 01000208 2a001c5b - 1234310 ns MR4_I 01000200 07c96841 - 1234330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1234350 ns MR4_I 01000204 6002d1fc - 1234410 ns MR4_D 40006004 00000001 - 1234410 ns R r1 00000001 - 1234410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1234430 ns R r1 80000000 - 1234430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1234450 ns R psr 81000200 - 1234450 ns MR4_I 01000208 2a001c5b - 1234470 ns MR4_I 01000200 07c96841 - 1234490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1234510 ns MR4_I 01000204 6002d1fc - 1234570 ns MR4_D 40006004 00000001 - 1234570 ns R r1 00000001 - 1234570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1234590 ns R r1 80000000 - 1234590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1234610 ns R psr 81000200 - 1234610 ns MR4_I 01000208 2a001c5b - 1234630 ns MR4_I 01000200 07c96841 - 1234650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1234670 ns MR4_I 01000204 6002d1fc - 1234730 ns MR4_D 40006004 00000001 - 1234730 ns R r1 00000001 - 1234730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1234750 ns R r1 80000000 - 1234750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1234770 ns R psr 81000200 - 1234770 ns MR4_I 01000208 2a001c5b - 1234790 ns MR4_I 01000200 07c96841 - 1234810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1234830 ns MR4_I 01000204 6002d1fc - 1234890 ns MR4_D 40006004 00000001 - 1234890 ns R r1 00000001 - 1234890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1234910 ns R r1 80000000 - 1234910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1234930 ns R psr 81000200 - 1234930 ns MR4_I 01000208 2a001c5b - 1234950 ns MR4_I 01000200 07c96841 - 1234970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1234990 ns MR4_I 01000204 6002d1fc - 1235050 ns MR4_D 40006004 00000001 - 1235050 ns R r1 00000001 - 1235050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1235070 ns R r1 80000000 - 1235070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1235090 ns R psr 81000200 - 1235090 ns MR4_I 01000208 2a001c5b - 1235110 ns MR4_I 01000200 07c96841 - 1235130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1235150 ns MR4_I 01000204 6002d1fc - 1235210 ns MR4_D 40006004 00000001 - 1235210 ns R r1 00000001 - 1235210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1235230 ns R r1 80000000 - 1235230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1235250 ns R psr 81000200 - 1235250 ns MR4_I 01000208 2a001c5b - 1235270 ns MR4_I 01000200 07c96841 - 1235290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1235310 ns MR4_I 01000204 6002d1fc - 1235370 ns MR4_D 40006004 00000001 - 1235370 ns R r1 00000001 - 1235370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1235390 ns R r1 80000000 - 1235390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1235410 ns R psr 81000200 - 1235410 ns MR4_I 01000208 2a001c5b - 1235430 ns MR4_I 01000200 07c96841 - 1235450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1235470 ns MR4_I 01000204 6002d1fc - 1235530 ns MR4_D 40006004 00000001 - 1235530 ns R r1 00000001 - 1235530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1235550 ns R r1 80000000 - 1235550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1235570 ns R psr 81000200 - 1235570 ns MR4_I 01000208 2a001c5b - 1235590 ns MR4_I 01000200 07c96841 - 1235610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1235630 ns MR4_I 01000204 6002d1fc - 1235690 ns MR4_D 40006004 00000001 - 1235690 ns R r1 00000001 - 1235690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1235710 ns R r1 80000000 - 1235710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1235730 ns R psr 81000200 - 1235730 ns MR4_I 01000208 2a001c5b - 1235750 ns MR4_I 01000200 07c96841 - 1235770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1235790 ns MR4_I 01000204 6002d1fc - 1235850 ns MR4_D 40006004 00000001 - 1235850 ns R r1 00000001 - 1235850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1235870 ns R r1 80000000 - 1235870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1235890 ns R psr 81000200 - 1235890 ns MR4_I 01000208 2a001c5b - 1235910 ns MR4_I 01000200 07c96841 - 1235930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1235950 ns MR4_I 01000204 6002d1fc - 1236010 ns MR4_D 40006004 00000001 - 1236010 ns R r1 00000001 - 1236010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1236030 ns R r1 80000000 - 1236030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1236050 ns R psr 81000200 - 1236050 ns MR4_I 01000208 2a001c5b - 1236070 ns MR4_I 01000200 07c96841 - 1236090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1236110 ns MR4_I 01000204 6002d1fc - 1236170 ns MR4_D 40006004 00000001 - 1236170 ns R r1 00000001 - 1236170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1236190 ns R r1 80000000 - 1236190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1236210 ns R psr 81000200 - 1236210 ns MR4_I 01000208 2a001c5b - 1236230 ns MR4_I 01000200 07c96841 - 1236250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1236270 ns MR4_I 01000204 6002d1fc - 1236330 ns MR4_D 40006004 00000001 - 1236330 ns R r1 00000001 - 1236330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1236350 ns R r1 80000000 - 1236350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1236370 ns R psr 81000200 - 1236370 ns MR4_I 01000208 2a001c5b - 1236390 ns MR4_I 01000200 07c96841 - 1236410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1236430 ns MR4_I 01000204 6002d1fc - 1236490 ns MR4_D 40006004 00000001 - 1236490 ns R r1 00000001 - 1236490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1236510 ns R r1 80000000 - 1236510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1236530 ns R psr 81000200 - 1236530 ns MR4_I 01000208 2a001c5b - 1236550 ns MR4_I 01000200 07c96841 - 1236570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1236590 ns MR4_I 01000204 6002d1fc - 1236650 ns MR4_D 40006004 00000001 - 1236650 ns R r1 00000001 - 1236650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1236670 ns R r1 80000000 - 1236670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1236690 ns R psr 81000200 - 1236690 ns MR4_I 01000208 2a001c5b - 1236710 ns MR4_I 01000200 07c96841 - 1236730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1236750 ns MR4_I 01000204 6002d1fc - 1236810 ns MR4_D 40006004 00000001 - 1236810 ns R r1 00000001 - 1236810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1236830 ns R r1 80000000 - 1236830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1236850 ns R psr 81000200 - 1236850 ns MR4_I 01000208 2a001c5b - 1236870 ns MR4_I 01000200 07c96841 - 1236890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1236910 ns MR4_I 01000204 6002d1fc - 1236970 ns MR4_D 40006004 00000001 - 1236970 ns R r1 00000001 - 1236970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1236990 ns R r1 80000000 - 1236990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1237010 ns R psr 81000200 - 1237010 ns MR4_I 01000208 2a001c5b - 1237030 ns MR4_I 01000200 07c96841 - 1237050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1237070 ns MR4_I 01000204 6002d1fc - 1237130 ns MR4_D 40006004 00000001 - 1237130 ns R r1 00000001 - 1237130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1237150 ns R r1 80000000 - 1237150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1237170 ns R psr 81000200 - 1237170 ns MR4_I 01000208 2a001c5b - 1237190 ns MR4_I 01000200 07c96841 - 1237210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1237230 ns MR4_I 01000204 6002d1fc - 1237290 ns MR4_D 40006004 00000001 - 1237290 ns R r1 00000001 - 1237290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1237310 ns R r1 80000000 - 1237310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1237330 ns R psr 81000200 - 1237330 ns MR4_I 01000208 2a001c5b - 1237350 ns MR4_I 01000200 07c96841 - 1237370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1237390 ns MR4_I 01000204 6002d1fc - 1237450 ns MR4_D 40006004 00000001 - 1237450 ns R r1 00000001 - 1237450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1237470 ns R r1 80000000 - 1237470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1237490 ns R psr 81000200 - 1237490 ns MR4_I 01000208 2a001c5b - 1237510 ns MR4_I 01000200 07c96841 - 1237530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1237550 ns MR4_I 01000204 6002d1fc - 1237610 ns MR4_D 40006004 00000001 - 1237610 ns R r1 00000001 - 1237610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1237630 ns R r1 80000000 - 1237630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1237650 ns R psr 81000200 - 1237650 ns MR4_I 01000208 2a001c5b - 1237670 ns MR4_I 01000200 07c96841 - 1237690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1237710 ns MR4_I 01000204 6002d1fc - 1237770 ns MR4_D 40006004 00000001 - 1237770 ns R r1 00000001 - 1237770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1237790 ns R r1 80000000 - 1237790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1237810 ns R psr 81000200 - 1237810 ns MR4_I 01000208 2a001c5b - 1237830 ns MR4_I 01000200 07c96841 - 1237850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1237870 ns MR4_I 01000204 6002d1fc - 1237930 ns MR4_D 40006004 00000001 - 1237930 ns R r1 00000001 - 1237930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1237950 ns R r1 80000000 - 1237950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1237970 ns R psr 81000200 - 1237970 ns MR4_I 01000208 2a001c5b - 1237990 ns MR4_I 01000200 07c96841 - 1238010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1238030 ns MR4_I 01000204 6002d1fc - 1238090 ns MR4_D 40006004 00000001 - 1238090 ns R r1 00000001 - 1238090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1238110 ns R r1 80000000 - 1238110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1238130 ns R psr 81000200 - 1238130 ns MR4_I 01000208 2a001c5b - 1238150 ns MR4_I 01000200 07c96841 - 1238170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1238190 ns MR4_I 01000204 6002d1fc - 1238250 ns MR4_D 40006004 00000001 - 1238250 ns R r1 00000001 - 1238250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1238270 ns R r1 80000000 - 1238270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1238290 ns R psr 81000200 - 1238290 ns MR4_I 01000208 2a001c5b - 1238310 ns MR4_I 01000200 07c96841 - 1238330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1238350 ns MR4_I 01000204 6002d1fc - 1238410 ns MR4_D 40006004 00000001 - 1238410 ns R r1 00000001 - 1238410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1238430 ns R r1 80000000 - 1238430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1238450 ns R psr 81000200 - 1238450 ns MR4_I 01000208 2a001c5b - 1238470 ns MR4_I 01000200 07c96841 - 1238490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1238510 ns MR4_I 01000204 6002d1fc - 1238570 ns MR4_D 40006004 00000001 - 1238570 ns R r1 00000001 - 1238570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1238590 ns R r1 80000000 - 1238590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1238610 ns R psr 81000200 - 1238610 ns MR4_I 01000208 2a001c5b - 1238630 ns MR4_I 01000200 07c96841 - 1238650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1238670 ns MR4_I 01000204 6002d1fc - 1238730 ns MR4_D 40006004 00000001 - 1238730 ns R r1 00000001 - 1238730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1238750 ns R r1 80000000 - 1238750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1238770 ns R psr 81000200 - 1238770 ns MR4_I 01000208 2a001c5b - 1238790 ns MR4_I 01000200 07c96841 - 1238810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1238830 ns MR4_I 01000204 6002d1fc - 1238890 ns MR4_D 40006004 00000001 - 1238890 ns R r1 00000001 - 1238890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1238910 ns R r1 80000000 - 1238910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1238930 ns R psr 81000200 - 1238930 ns MR4_I 01000208 2a001c5b - 1238950 ns MR4_I 01000200 07c96841 - 1238970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1238990 ns MR4_I 01000204 6002d1fc - 1239050 ns MR4_D 40006004 00000001 - 1239050 ns R r1 00000001 - 1239050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1239070 ns R r1 80000000 - 1239070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1239090 ns R psr 81000200 - 1239090 ns MR4_I 01000208 2a001c5b - 1239110 ns MR4_I 01000200 07c96841 - 1239130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1239150 ns MR4_I 01000204 6002d1fc - 1239210 ns MR4_D 40006004 00000001 - 1239210 ns R r1 00000001 - 1239210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1239230 ns R r1 80000000 - 1239230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1239250 ns R psr 81000200 - 1239250 ns MR4_I 01000208 2a001c5b - 1239270 ns MR4_I 01000200 07c96841 - 1239290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1239310 ns MR4_I 01000204 6002d1fc - 1239370 ns MR4_D 40006004 00000001 - 1239370 ns R r1 00000001 - 1239370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1239390 ns R r1 80000000 - 1239390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1239410 ns R psr 81000200 - 1239410 ns MR4_I 01000208 2a001c5b - 1239430 ns MR4_I 01000200 07c96841 - 1239450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1239470 ns MR4_I 01000204 6002d1fc - 1239530 ns MR4_D 40006004 00000001 - 1239530 ns R r1 00000001 - 1239530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1239550 ns R r1 80000000 - 1239550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1239570 ns R psr 81000200 - 1239570 ns MR4_I 01000208 2a001c5b - 1239590 ns MR4_I 01000200 07c96841 - 1239610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1239630 ns MR4_I 01000204 6002d1fc - 1239690 ns MR4_D 40006004 00000001 - 1239690 ns R r1 00000001 - 1239690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1239710 ns R r1 80000000 - 1239710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1239730 ns R psr 81000200 - 1239730 ns MR4_I 01000208 2a001c5b - 1239750 ns MR4_I 01000200 07c96841 - 1239770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1239790 ns MR4_I 01000204 6002d1fc - 1239850 ns MR4_D 40006004 00000001 - 1239850 ns R r1 00000001 - 1239850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1239870 ns R r1 80000000 - 1239870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1239890 ns R psr 81000200 - 1239890 ns MR4_I 01000208 2a001c5b - 1239910 ns MR4_I 01000200 07c96841 - 1239930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1239950 ns MR4_I 01000204 6002d1fc - 1240010 ns MR4_D 40006004 00000001 - 1240010 ns R r1 00000001 - 1240010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1240030 ns R r1 80000000 - 1240030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1240050 ns R psr 81000200 - 1240050 ns MR4_I 01000208 2a001c5b - 1240070 ns MR4_I 01000200 07c96841 - 1240090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1240110 ns MR4_I 01000204 6002d1fc - 1240170 ns MR4_D 40006004 00000001 - 1240170 ns R r1 00000001 - 1240170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1240190 ns R r1 80000000 - 1240190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1240210 ns R psr 81000200 - 1240210 ns MR4_I 01000208 2a001c5b - 1240230 ns MR4_I 01000200 07c96841 - 1240250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1240270 ns MR4_I 01000204 6002d1fc - 1240330 ns MR4_D 40006004 00000001 - 1240330 ns R r1 00000001 - 1240330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1240350 ns R r1 80000000 - 1240350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1240370 ns R psr 81000200 - 1240370 ns MR4_I 01000208 2a001c5b - 1240390 ns MR4_I 01000200 07c96841 - 1240410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1240430 ns MR4_I 01000204 6002d1fc - 1240490 ns MR4_D 40006004 00000001 - 1240490 ns R r1 00000001 - 1240490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1240510 ns R r1 80000000 - 1240510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1240530 ns R psr 81000200 - 1240530 ns MR4_I 01000208 2a001c5b - 1240550 ns MR4_I 01000200 07c96841 - 1240570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1240590 ns MR4_I 01000204 6002d1fc - 1240650 ns MR4_D 40006004 00000001 - 1240650 ns R r1 00000001 - 1240650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1240670 ns R r1 80000000 - 1240670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1240690 ns R psr 81000200 - 1240690 ns MR4_I 01000208 2a001c5b - 1240710 ns MR4_I 01000200 07c96841 - 1240730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1240750 ns MR4_I 01000204 6002d1fc - 1240810 ns MR4_D 40006004 00000001 - 1240810 ns R r1 00000001 - 1240810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1240830 ns R r1 80000000 - 1240830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1240850 ns R psr 81000200 - 1240850 ns MR4_I 01000208 2a001c5b - 1240870 ns MR4_I 01000200 07c96841 - 1240890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1240910 ns MR4_I 01000204 6002d1fc - 1240970 ns MR4_D 40006004 00000001 - 1240970 ns R r1 00000001 - 1240970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1240990 ns R r1 80000000 - 1240990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1241010 ns R psr 81000200 - 1241010 ns MR4_I 01000208 2a001c5b - 1241030 ns MR4_I 01000200 07c96841 - 1241050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1241070 ns MR4_I 01000204 6002d1fc - 1241130 ns MR4_D 40006004 00000001 - 1241130 ns R r1 00000001 - 1241130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1241150 ns R r1 80000000 - 1241150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1241170 ns R psr 81000200 - 1241170 ns MR4_I 01000208 2a001c5b - 1241190 ns MR4_I 01000200 07c96841 - 1241210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1241230 ns MR4_I 01000204 6002d1fc - 1241290 ns MR4_D 40006004 00000001 - 1241290 ns R r1 00000001 - 1241290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1241310 ns R r1 80000000 - 1241310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1241330 ns R psr 81000200 - 1241330 ns MR4_I 01000208 2a001c5b - 1241350 ns MR4_I 01000200 07c96841 - 1241370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1241390 ns MR4_I 01000204 6002d1fc - 1241450 ns MR4_D 40006004 00000001 - 1241450 ns R r1 00000001 - 1241450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1241470 ns R r1 80000000 - 1241470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1241490 ns R psr 81000200 - 1241490 ns MR4_I 01000208 2a001c5b - 1241510 ns MR4_I 01000200 07c96841 - 1241530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1241550 ns MR4_I 01000204 6002d1fc - 1241610 ns MR4_D 40006004 00000001 - 1241610 ns R r1 00000001 - 1241610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1241630 ns R r1 80000000 - 1241630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1241650 ns R psr 81000200 - 1241650 ns MR4_I 01000208 2a001c5b - 1241670 ns MR4_I 01000200 07c96841 - 1241690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1241710 ns MR4_I 01000204 6002d1fc - 1241770 ns MR4_D 40006004 00000001 - 1241770 ns R r1 00000001 - 1241770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1241790 ns R r1 80000000 - 1241790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1241810 ns R psr 81000200 - 1241810 ns MR4_I 01000208 2a001c5b - 1241830 ns MR4_I 01000200 07c96841 - 1241850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1241870 ns MR4_I 01000204 6002d1fc - 1241930 ns MR4_D 40006004 00000001 - 1241930 ns R r1 00000001 - 1241930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1241950 ns R r1 80000000 - 1241950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1241970 ns R psr 81000200 - 1241970 ns MR4_I 01000208 2a001c5b - 1241990 ns MR4_I 01000200 07c96841 - 1242010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1242030 ns MR4_I 01000204 6002d1fc - 1242090 ns MR4_D 40006004 00000001 - 1242090 ns R r1 00000001 - 1242090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1242110 ns R r1 80000000 - 1242110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1242130 ns R psr 81000200 - 1242130 ns MR4_I 01000208 2a001c5b - 1242150 ns MR4_I 01000200 07c96841 - 1242170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1242190 ns MR4_I 01000204 6002d1fc - 1242250 ns MR4_D 40006004 00000001 - 1242250 ns R r1 00000001 - 1242250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1242270 ns R r1 80000000 - 1242270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1242290 ns R psr 81000200 - 1242290 ns MR4_I 01000208 2a001c5b - 1242310 ns MR4_I 01000200 07c96841 - 1242330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1242350 ns MR4_I 01000204 6002d1fc - 1242410 ns MR4_D 40006004 00000001 - 1242410 ns R r1 00000001 - 1242410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1242430 ns R r1 80000000 - 1242430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1242450 ns R psr 81000200 - 1242450 ns MR4_I 01000208 2a001c5b - 1242470 ns MR4_I 01000200 07c96841 - 1242490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1242510 ns MR4_I 01000204 6002d1fc - 1242570 ns MR4_D 40006004 00000001 - 1242570 ns R r1 00000001 - 1242570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1242590 ns R r1 80000000 - 1242590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1242610 ns R psr 81000200 - 1242610 ns MR4_I 01000208 2a001c5b - 1242630 ns MR4_I 01000200 07c96841 - 1242650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1242670 ns MR4_I 01000204 6002d1fc - 1242730 ns MR4_D 40006004 00000001 - 1242730 ns R r1 00000001 - 1242730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1242750 ns R r1 80000000 - 1242750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1242770 ns R psr 81000200 - 1242770 ns MR4_I 01000208 2a001c5b - 1242790 ns MR4_I 01000200 07c96841 - 1242810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1242830 ns MR4_I 01000204 6002d1fc - 1242890 ns MR4_D 40006004 00000001 - 1242890 ns R r1 00000001 - 1242890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1242910 ns R r1 80000000 - 1242910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1242930 ns R psr 81000200 - 1242930 ns MR4_I 01000208 2a001c5b - 1242950 ns MR4_I 01000200 07c96841 - 1242970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1242990 ns MR4_I 01000204 6002d1fc - 1243050 ns MR4_D 40006004 00000001 - 1243050 ns R r1 00000001 - 1243050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1243070 ns R r1 80000000 - 1243070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1243090 ns R psr 81000200 - 1243090 ns MR4_I 01000208 2a001c5b - 1243110 ns MR4_I 01000200 07c96841 - 1243130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1243150 ns MR4_I 01000204 6002d1fc - 1243210 ns MR4_D 40006004 00000001 - 1243210 ns R r1 00000001 - 1243210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1243230 ns R r1 80000000 - 1243230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1243250 ns R psr 81000200 - 1243250 ns MR4_I 01000208 2a001c5b - 1243270 ns MR4_I 01000200 07c96841 - 1243290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1243310 ns MR4_I 01000204 6002d1fc - 1243370 ns MR4_D 40006004 00000001 - 1243370 ns R r1 00000001 - 1243370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1243390 ns R r1 80000000 - 1243390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1243410 ns R psr 81000200 - 1243410 ns MR4_I 01000208 2a001c5b - 1243430 ns MR4_I 01000200 07c96841 - 1243450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1243470 ns MR4_I 01000204 6002d1fc - 1243530 ns MR4_D 40006004 00000001 - 1243530 ns R r1 00000001 - 1243530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1243550 ns R r1 80000000 - 1243550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1243570 ns R psr 81000200 - 1243570 ns MR4_I 01000208 2a001c5b - 1243590 ns MR4_I 01000200 07c96841 - 1243610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1243630 ns MR4_I 01000204 6002d1fc - 1243690 ns MR4_D 40006004 00000001 - 1243690 ns R r1 00000001 - 1243690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1243710 ns R r1 80000000 - 1243710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1243730 ns R psr 81000200 - 1243730 ns MR4_I 01000208 2a001c5b - 1243750 ns MR4_I 01000200 07c96841 - 1243770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1243790 ns MR4_I 01000204 6002d1fc - 1243850 ns MR4_D 40006004 00000001 - 1243850 ns R r1 00000001 - 1243850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1243870 ns R r1 80000000 - 1243870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1243890 ns R psr 81000200 - 1243890 ns MR4_I 01000208 2a001c5b - 1243910 ns MR4_I 01000200 07c96841 - 1243930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1243950 ns MR4_I 01000204 6002d1fc - 1244010 ns MR4_D 40006004 00000001 - 1244010 ns R r1 00000001 - 1244010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1244030 ns R r1 80000000 - 1244030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1244050 ns R psr 81000200 - 1244050 ns MR4_I 01000208 2a001c5b - 1244070 ns MR4_I 01000200 07c96841 - 1244090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1244110 ns MR4_I 01000204 6002d1fc - 1244170 ns MR4_D 40006004 00000001 - 1244170 ns R r1 00000001 - 1244170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1244190 ns R r1 80000000 - 1244190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1244210 ns R psr 81000200 - 1244210 ns MR4_I 01000208 2a001c5b - 1244230 ns MR4_I 01000200 07c96841 - 1244250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1244270 ns MR4_I 01000204 6002d1fc - 1244330 ns MR4_D 40006004 00000001 - 1244330 ns R r1 00000001 - 1244330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1244350 ns R r1 80000000 - 1244350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1244370 ns R psr 81000200 - 1244370 ns MR4_I 01000208 2a001c5b - 1244390 ns MR4_I 01000200 07c96841 - 1244410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1244430 ns MR4_I 01000204 6002d1fc - 1244490 ns MR4_D 40006004 00000001 - 1244490 ns R r1 00000001 - 1244490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1244510 ns R r1 80000000 - 1244510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1244530 ns R psr 81000200 - 1244530 ns MR4_I 01000208 2a001c5b - 1244550 ns MR4_I 01000200 07c96841 - 1244570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1244590 ns MR4_I 01000204 6002d1fc - 1244650 ns MR4_D 40006004 00000001 - 1244650 ns R r1 00000001 - 1244650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1244670 ns R r1 80000000 - 1244670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1244690 ns R psr 81000200 - 1244690 ns MR4_I 01000208 2a001c5b - 1244710 ns MR4_I 01000200 07c96841 - 1244730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1244750 ns MR4_I 01000204 6002d1fc - 1244810 ns MR4_D 40006004 00000001 - 1244810 ns R r1 00000001 - 1244810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1244830 ns R r1 80000000 - 1244830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1244850 ns R psr 81000200 - 1244850 ns MR4_I 01000208 2a001c5b - 1244870 ns MR4_I 01000200 07c96841 - 1244890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1244910 ns MR4_I 01000204 6002d1fc - 1244970 ns MR4_D 40006004 00000001 - 1244970 ns R r1 00000001 - 1244970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1244990 ns R r1 80000000 - 1244990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1245010 ns R psr 81000200 - 1245010 ns MR4_I 01000208 2a001c5b - 1245030 ns MR4_I 01000200 07c96841 - 1245050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1245070 ns MR4_I 01000204 6002d1fc - 1245130 ns MR4_D 40006004 00000001 - 1245130 ns R r1 00000001 - 1245130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1245150 ns R r1 80000000 - 1245150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1245170 ns R psr 81000200 - 1245170 ns MR4_I 01000208 2a001c5b - 1245190 ns MR4_I 01000200 07c96841 - 1245210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1245230 ns MR4_I 01000204 6002d1fc - 1245290 ns MR4_D 40006004 00000001 - 1245290 ns R r1 00000001 - 1245290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1245310 ns R r1 80000000 - 1245310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1245330 ns R psr 81000200 - 1245330 ns MR4_I 01000208 2a001c5b - 1245350 ns MR4_I 01000200 07c96841 - 1245370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1245390 ns MR4_I 01000204 6002d1fc - 1245450 ns MR4_D 40006004 00000001 - 1245450 ns R r1 00000001 - 1245450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1245470 ns R r1 80000000 - 1245470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1245490 ns R psr 81000200 - 1245490 ns MR4_I 01000208 2a001c5b - 1245510 ns MR4_I 01000200 07c96841 - 1245530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1245550 ns MR4_I 01000204 6002d1fc - 1245610 ns MR4_D 40006004 00000001 - 1245610 ns R r1 00000001 - 1245610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1245630 ns R r1 80000000 - 1245630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1245650 ns R psr 81000200 - 1245650 ns MR4_I 01000208 2a001c5b - 1245670 ns MR4_I 01000200 07c96841 - 1245690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1245710 ns MR4_I 01000204 6002d1fc - 1245770 ns MR4_D 40006004 00000001 - 1245770 ns R r1 00000001 - 1245770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1245790 ns R r1 80000000 - 1245790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1245810 ns R psr 81000200 - 1245810 ns MR4_I 01000208 2a001c5b - 1245830 ns MR4_I 01000200 07c96841 - 1245850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1245870 ns MR4_I 01000204 6002d1fc - 1245930 ns MR4_D 40006004 00000001 - 1245930 ns R r1 00000001 - 1245930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1245950 ns R r1 80000000 - 1245950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1245970 ns R psr 81000200 - 1245970 ns MR4_I 01000208 2a001c5b - 1245990 ns MR4_I 01000200 07c96841 - 1246010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1246030 ns MR4_I 01000204 6002d1fc - 1246090 ns MR4_D 40006004 00000001 - 1246090 ns R r1 00000001 - 1246090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1246110 ns R r1 80000000 - 1246110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1246130 ns R psr 81000200 - 1246130 ns MR4_I 01000208 2a001c5b - 1246150 ns MR4_I 01000200 07c96841 - 1246170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1246190 ns MR4_I 01000204 6002d1fc - 1246250 ns MR4_D 40006004 00000001 - 1246250 ns R r1 00000001 - 1246250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1246270 ns R r1 80000000 - 1246270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1246290 ns R psr 81000200 - 1246290 ns MR4_I 01000208 2a001c5b - 1246310 ns MR4_I 01000200 07c96841 - 1246330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1246350 ns MR4_I 01000204 6002d1fc - 1246410 ns MR4_D 40006004 00000001 - 1246410 ns R r1 00000001 - 1246410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1246430 ns R r1 80000000 - 1246430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1246450 ns R psr 81000200 - 1246450 ns MR4_I 01000208 2a001c5b - 1246470 ns MR4_I 01000200 07c96841 - 1246490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1246510 ns MR4_I 01000204 6002d1fc - 1246570 ns MR4_D 40006004 00000001 - 1246570 ns R r1 00000001 - 1246570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1246590 ns R r1 80000000 - 1246590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1246610 ns R psr 81000200 - 1246610 ns MR4_I 01000208 2a001c5b - 1246630 ns MR4_I 01000200 07c96841 - 1246650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1246670 ns MR4_I 01000204 6002d1fc - 1246730 ns MR4_D 40006004 00000001 - 1246730 ns R r1 00000001 - 1246730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1246750 ns R r1 80000000 - 1246750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1246770 ns R psr 81000200 - 1246770 ns MR4_I 01000208 2a001c5b - 1246790 ns MR4_I 01000200 07c96841 - 1246810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1246830 ns MR4_I 01000204 6002d1fc - 1246890 ns MR4_D 40006004 00000001 - 1246890 ns R r1 00000001 - 1246890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1246910 ns R r1 80000000 - 1246910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1246930 ns R psr 81000200 - 1246930 ns MR4_I 01000208 2a001c5b - 1246950 ns MR4_I 01000200 07c96841 - 1246970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1246990 ns MR4_I 01000204 6002d1fc - 1247050 ns MR4_D 40006004 00000001 - 1247050 ns R r1 00000001 - 1247050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1247070 ns R r1 80000000 - 1247070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1247090 ns R psr 81000200 - 1247090 ns MR4_I 01000208 2a001c5b - 1247110 ns MR4_I 01000200 07c96841 - 1247130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1247150 ns MR4_I 01000204 6002d1fc - 1247210 ns MR4_D 40006004 00000001 - 1247210 ns R r1 00000001 - 1247210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1247230 ns R r1 80000000 - 1247230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1247250 ns R psr 81000200 - 1247250 ns MR4_I 01000208 2a001c5b - 1247270 ns MR4_I 01000200 07c96841 - 1247290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1247310 ns MR4_I 01000204 6002d1fc - 1247370 ns MR4_D 40006004 00000001 - 1247370 ns R r1 00000001 - 1247370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1247390 ns R r1 80000000 - 1247390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1247410 ns R psr 81000200 - 1247410 ns MR4_I 01000208 2a001c5b - 1247430 ns MR4_I 01000200 07c96841 - 1247450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1247470 ns MR4_I 01000204 6002d1fc - 1247530 ns MR4_D 40006004 00000001 - 1247530 ns R r1 00000001 - 1247530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1247550 ns R r1 80000000 - 1247550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1247570 ns R psr 81000200 - 1247570 ns MR4_I 01000208 2a001c5b - 1247590 ns MR4_I 01000200 07c96841 - 1247610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1247630 ns MR4_I 01000204 6002d1fc - 1247690 ns MR4_D 40006004 00000001 - 1247690 ns R r1 00000001 - 1247690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1247710 ns R r1 80000000 - 1247710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1247730 ns R psr 81000200 - 1247730 ns MR4_I 01000208 2a001c5b - 1247750 ns MR4_I 01000200 07c96841 - 1247770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1247790 ns MR4_I 01000204 6002d1fc - 1247850 ns MR4_D 40006004 00000001 - 1247850 ns R r1 00000001 - 1247850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1247870 ns R r1 80000000 - 1247870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1247890 ns R psr 81000200 - 1247890 ns MR4_I 01000208 2a001c5b - 1247910 ns MR4_I 01000200 07c96841 - 1247930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1247950 ns MR4_I 01000204 6002d1fc - 1248010 ns MR4_D 40006004 00000001 - 1248010 ns R r1 00000001 - 1248010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1248030 ns R r1 80000000 - 1248030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1248050 ns R psr 81000200 - 1248050 ns MR4_I 01000208 2a001c5b - 1248070 ns MR4_I 01000200 07c96841 - 1248090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1248110 ns MR4_I 01000204 6002d1fc - 1248170 ns MR4_D 40006004 00000001 - 1248170 ns R r1 00000001 - 1248170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1248190 ns R r1 80000000 - 1248190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1248210 ns R psr 81000200 - 1248210 ns MR4_I 01000208 2a001c5b - 1248230 ns MR4_I 01000200 07c96841 - 1248250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1248270 ns MR4_I 01000204 6002d1fc - 1248330 ns MR4_D 40006004 00000001 - 1248330 ns R r1 00000001 - 1248330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1248350 ns R r1 80000000 - 1248350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1248370 ns R psr 81000200 - 1248370 ns MR4_I 01000208 2a001c5b - 1248390 ns MR4_I 01000200 07c96841 - 1248410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1248430 ns MR4_I 01000204 6002d1fc - 1248490 ns MR4_D 40006004 00000001 - 1248490 ns R r1 00000001 - 1248490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1248510 ns R r1 80000000 - 1248510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1248530 ns R psr 81000200 - 1248530 ns MR4_I 01000208 2a001c5b - 1248550 ns MR4_I 01000200 07c96841 - 1248570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1248590 ns MR4_I 01000204 6002d1fc - 1248650 ns MR4_D 40006004 00000001 - 1248650 ns R r1 00000001 - 1248650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1248670 ns R r1 80000000 - 1248670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1248690 ns R psr 81000200 - 1248690 ns MR4_I 01000208 2a001c5b - 1248710 ns MR4_I 01000200 07c96841 - 1248730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1248750 ns MR4_I 01000204 6002d1fc - 1248810 ns MR4_D 40006004 00000001 - 1248810 ns R r1 00000001 - 1248810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1248830 ns R r1 80000000 - 1248830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1248850 ns R psr 81000200 - 1248850 ns MR4_I 01000208 2a001c5b - 1248870 ns MR4_I 01000200 07c96841 - 1248890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1248910 ns MR4_I 01000204 6002d1fc - 1248970 ns MR4_D 40006004 00000001 - 1248970 ns R r1 00000001 - 1248970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1248990 ns R r1 80000000 - 1248990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1249010 ns R psr 81000200 - 1249010 ns MR4_I 01000208 2a001c5b - 1249030 ns MR4_I 01000200 07c96841 - 1249050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1249070 ns MR4_I 01000204 6002d1fc - 1249130 ns MR4_D 40006004 00000001 - 1249130 ns R r1 00000001 - 1249130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1249150 ns R r1 80000000 - 1249150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1249170 ns R psr 81000200 - 1249170 ns MR4_I 01000208 2a001c5b - 1249190 ns MR4_I 01000200 07c96841 - 1249210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1249230 ns MR4_I 01000204 6002d1fc - 1249290 ns MR4_D 40006004 00000001 - 1249290 ns R r1 00000001 - 1249290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1249310 ns R r1 80000000 - 1249310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1249330 ns R psr 81000200 - 1249330 ns MR4_I 01000208 2a001c5b - 1249350 ns MR4_I 01000200 07c96841 - 1249370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1249390 ns MR4_I 01000204 6002d1fc - 1249450 ns MR4_D 40006004 00000001 - 1249450 ns R r1 00000001 - 1249450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1249470 ns R r1 80000000 - 1249470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1249490 ns R psr 81000200 - 1249490 ns MR4_I 01000208 2a001c5b - 1249510 ns MR4_I 01000200 07c96841 - 1249530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1249550 ns MR4_I 01000204 6002d1fc - 1249610 ns MR4_D 40006004 00000001 - 1249610 ns R r1 00000001 - 1249610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1249630 ns R r1 80000000 - 1249630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1249650 ns R psr 81000200 - 1249650 ns MR4_I 01000208 2a001c5b - 1249670 ns MR4_I 01000200 07c96841 - 1249690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1249710 ns MR4_I 01000204 6002d1fc - 1249770 ns MR4_D 40006004 00000001 - 1249770 ns R r1 00000001 - 1249770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1249790 ns R r1 80000000 - 1249790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1249810 ns R psr 81000200 - 1249810 ns MR4_I 01000208 2a001c5b - 1249830 ns MR4_I 01000200 07c96841 - 1249850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1249870 ns MR4_I 01000204 6002d1fc - 1249930 ns MR4_D 40006004 00000001 - 1249930 ns R r1 00000001 - 1249930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1249950 ns R r1 80000000 - 1249950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1249970 ns R psr 81000200 - 1249970 ns MR4_I 01000208 2a001c5b - 1249990 ns MR4_I 01000200 07c96841 - 1250010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1250030 ns MR4_I 01000204 6002d1fc - 1250090 ns MR4_D 40006004 00000001 - 1250090 ns R r1 00000001 - 1250090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1250110 ns R r1 80000000 - 1250110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1250130 ns R psr 81000200 - 1250130 ns MR4_I 01000208 2a001c5b - 1250150 ns MR4_I 01000200 07c96841 - 1250170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1250190 ns MR4_I 01000204 6002d1fc - 1250250 ns MR4_D 40006004 00000001 - 1250250 ns R r1 00000001 - 1250250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1250270 ns R r1 80000000 - 1250270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1250290 ns R psr 81000200 - 1250290 ns MR4_I 01000208 2a001c5b - 1250310 ns MR4_I 01000200 07c96841 - 1250330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1250350 ns MR4_I 01000204 6002d1fc - 1250410 ns MR4_D 40006004 00000001 - 1250410 ns R r1 00000001 - 1250410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1250430 ns R r1 80000000 - 1250430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1250450 ns R psr 81000200 - 1250450 ns MR4_I 01000208 2a001c5b - 1250470 ns MR4_I 01000200 07c96841 - 1250490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1250510 ns MR4_I 01000204 6002d1fc - 1250570 ns MR4_D 40006004 00000001 - 1250570 ns R r1 00000001 - 1250570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1250590 ns R r1 80000000 - 1250590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1250610 ns R psr 81000200 - 1250610 ns MR4_I 01000208 2a001c5b - 1250630 ns MR4_I 01000200 07c96841 - 1250650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1250670 ns MR4_I 01000204 6002d1fc - 1250730 ns MR4_D 40006004 00000001 - 1250730 ns R r1 00000001 - 1250730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1250750 ns R r1 80000000 - 1250750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1250770 ns R psr 81000200 - 1250770 ns MR4_I 01000208 2a001c5b - 1250790 ns MR4_I 01000200 07c96841 - 1250810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1250830 ns MR4_I 01000204 6002d1fc - 1250890 ns MR4_D 40006004 00000001 - 1250890 ns R r1 00000001 - 1250890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1250910 ns R r1 80000000 - 1250910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1250930 ns R psr 81000200 - 1250930 ns MR4_I 01000208 2a001c5b - 1250950 ns MR4_I 01000200 07c96841 - 1250970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1250990 ns MR4_I 01000204 6002d1fc - 1251050 ns MR4_D 40006004 00000001 - 1251050 ns R r1 00000001 - 1251050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1251070 ns R r1 80000000 - 1251070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1251090 ns R psr 81000200 - 1251090 ns MR4_I 01000208 2a001c5b - 1251110 ns MR4_I 01000200 07c96841 - 1251130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1251150 ns MR4_I 01000204 6002d1fc - 1251210 ns MR4_D 40006004 00000001 - 1251210 ns R r1 00000001 - 1251210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1251230 ns R r1 80000000 - 1251230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1251250 ns R psr 81000200 - 1251250 ns MR4_I 01000208 2a001c5b - 1251270 ns MR4_I 01000200 07c96841 - 1251290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1251310 ns MR4_I 01000204 6002d1fc - 1251370 ns MR4_D 40006004 00000001 - 1251370 ns R r1 00000001 - 1251370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1251390 ns R r1 80000000 - 1251390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1251410 ns R psr 81000200 - 1251410 ns MR4_I 01000208 2a001c5b - 1251430 ns MR4_I 01000200 07c96841 - 1251450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1251470 ns MR4_I 01000204 6002d1fc - 1251530 ns MR4_D 40006004 00000001 - 1251530 ns R r1 00000001 - 1251530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1251550 ns R r1 80000000 - 1251550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1251570 ns R psr 81000200 - 1251570 ns MR4_I 01000208 2a001c5b - 1251590 ns MR4_I 01000200 07c96841 - 1251610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1251630 ns MR4_I 01000204 6002d1fc - 1251690 ns MR4_D 40006004 00000001 - 1251690 ns R r1 00000001 - 1251690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1251710 ns R r1 80000000 - 1251710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1251730 ns R psr 81000200 - 1251730 ns MR4_I 01000208 2a001c5b - 1251750 ns MR4_I 01000200 07c96841 - 1251770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1251790 ns MR4_I 01000204 6002d1fc - 1251850 ns MR4_D 40006004 00000001 - 1251850 ns R r1 00000001 - 1251850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1251870 ns R r1 80000000 - 1251870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1251890 ns R psr 81000200 - 1251890 ns MR4_I 01000208 2a001c5b - 1251910 ns MR4_I 01000200 07c96841 - 1251930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1251950 ns MR4_I 01000204 6002d1fc - 1252010 ns MR4_D 40006004 00000001 - 1252010 ns R r1 00000001 - 1252010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1252030 ns R r1 80000000 - 1252030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1252050 ns R psr 81000200 - 1252050 ns MR4_I 01000208 2a001c5b - 1252070 ns MR4_I 01000200 07c96841 - 1252090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1252110 ns MR4_I 01000204 6002d1fc - 1252170 ns MR4_D 40006004 00000001 - 1252170 ns R r1 00000001 - 1252170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1252190 ns R r1 80000000 - 1252190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1252210 ns R psr 81000200 - 1252210 ns MR4_I 01000208 2a001c5b - 1252230 ns MR4_I 01000200 07c96841 - 1252250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1252270 ns MR4_I 01000204 6002d1fc - 1252330 ns MR4_D 40006004 00000001 - 1252330 ns R r1 00000001 - 1252330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1252350 ns R r1 80000000 - 1252350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1252370 ns R psr 81000200 - 1252370 ns MR4_I 01000208 2a001c5b - 1252390 ns MR4_I 01000200 07c96841 - 1252410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1252430 ns MR4_I 01000204 6002d1fc - 1252490 ns MR4_D 40006004 00000001 - 1252490 ns R r1 00000001 - 1252490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1252510 ns R r1 80000000 - 1252510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1252530 ns R psr 81000200 - 1252530 ns MR4_I 01000208 2a001c5b - 1252550 ns MR4_I 01000200 07c96841 - 1252570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1252590 ns MR4_I 01000204 6002d1fc - 1252650 ns MR4_D 40006004 00000001 - 1252650 ns R r1 00000001 - 1252650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1252670 ns R r1 80000000 - 1252670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1252690 ns R psr 81000200 - 1252690 ns MR4_I 01000208 2a001c5b - 1252710 ns MR4_I 01000200 07c96841 - 1252730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1252750 ns MR4_I 01000204 6002d1fc - 1252810 ns MR4_D 40006004 00000001 - 1252810 ns R r1 00000001 - 1252810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1252830 ns R r1 80000000 - 1252830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1252850 ns R psr 81000200 - 1252850 ns MR4_I 01000208 2a001c5b - 1252870 ns MR4_I 01000200 07c96841 - 1252890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1252910 ns MR4_I 01000204 6002d1fc - 1252970 ns MR4_D 40006004 00000001 - 1252970 ns R r1 00000001 - 1252970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1252990 ns R r1 80000000 - 1252990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1253010 ns R psr 81000200 - 1253010 ns MR4_I 01000208 2a001c5b - 1253030 ns MR4_I 01000200 07c96841 - 1253050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1253070 ns MR4_I 01000204 6002d1fc - 1253130 ns MR4_D 40006004 00000001 - 1253130 ns R r1 00000001 - 1253130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1253150 ns R r1 80000000 - 1253150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1253170 ns R psr 81000200 - 1253170 ns MR4_I 01000208 2a001c5b - 1253190 ns MR4_I 01000200 07c96841 - 1253210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1253230 ns MR4_I 01000204 6002d1fc - 1253290 ns MR4_D 40006004 00000001 - 1253290 ns R r1 00000001 - 1253290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1253310 ns R r1 80000000 - 1253310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1253330 ns R psr 81000200 - 1253330 ns MR4_I 01000208 2a001c5b - 1253350 ns MR4_I 01000200 07c96841 - 1253370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1253390 ns MR4_I 01000204 6002d1fc - 1253450 ns MR4_D 40006004 00000001 - 1253450 ns R r1 00000001 - 1253450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1253470 ns R r1 80000000 - 1253470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1253490 ns R psr 81000200 - 1253490 ns MR4_I 01000208 2a001c5b - 1253510 ns MR4_I 01000200 07c96841 - 1253530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1253550 ns MR4_I 01000204 6002d1fc - 1253610 ns MR4_D 40006004 00000001 - 1253610 ns R r1 00000001 - 1253610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1253630 ns R r1 80000000 - 1253630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1253650 ns R psr 81000200 - 1253650 ns MR4_I 01000208 2a001c5b - 1253670 ns MR4_I 01000200 07c96841 - 1253690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1253710 ns MR4_I 01000204 6002d1fc - 1253770 ns MR4_D 40006004 00000001 - 1253770 ns R r1 00000001 - 1253770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1253790 ns R r1 80000000 - 1253790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1253810 ns R psr 81000200 - 1253810 ns MR4_I 01000208 2a001c5b - 1253830 ns MR4_I 01000200 07c96841 - 1253850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1253870 ns MR4_I 01000204 6002d1fc - 1253930 ns MR4_D 40006004 00000001 - 1253930 ns R r1 00000001 - 1253930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1253950 ns R r1 80000000 - 1253950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1253970 ns R psr 81000200 - 1253970 ns MR4_I 01000208 2a001c5b - 1253990 ns MR4_I 01000200 07c96841 - 1254010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1254030 ns MR4_I 01000204 6002d1fc - 1254090 ns MR4_D 40006004 00000001 - 1254090 ns R r1 00000001 - 1254090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1254110 ns R r1 80000000 - 1254110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1254130 ns R psr 81000200 - 1254130 ns MR4_I 01000208 2a001c5b - 1254150 ns MR4_I 01000200 07c96841 - 1254170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1254190 ns MR4_I 01000204 6002d1fc - 1254250 ns MR4_D 40006004 00000001 - 1254250 ns R r1 00000001 - 1254250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1254270 ns R r1 80000000 - 1254270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1254290 ns R psr 81000200 - 1254290 ns MR4_I 01000208 2a001c5b - 1254310 ns MR4_I 01000200 07c96841 - 1254330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1254350 ns MR4_I 01000204 6002d1fc - 1254410 ns MR4_D 40006004 00000001 - 1254410 ns R r1 00000001 - 1254410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1254430 ns R r1 80000000 - 1254430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1254450 ns R psr 81000200 - 1254450 ns MR4_I 01000208 2a001c5b - 1254470 ns MR4_I 01000200 07c96841 - 1254490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1254510 ns MR4_I 01000204 6002d1fc - 1254570 ns MR4_D 40006004 00000001 - 1254570 ns R r1 00000001 - 1254570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1254590 ns R r1 80000000 - 1254590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1254610 ns R psr 81000200 - 1254610 ns MR4_I 01000208 2a001c5b - 1254630 ns MR4_I 01000200 07c96841 - 1254650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1254670 ns MR4_I 01000204 6002d1fc - 1254730 ns MR4_D 40006004 00000001 - 1254730 ns R r1 00000001 - 1254730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1254750 ns R r1 80000000 - 1254750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1254770 ns R psr 81000200 - 1254770 ns MR4_I 01000208 2a001c5b - 1254790 ns MR4_I 01000200 07c96841 - 1254810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1254830 ns MR4_I 01000204 6002d1fc - 1254890 ns MR4_D 40006004 00000001 - 1254890 ns R r1 00000001 - 1254890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1254910 ns R r1 80000000 - 1254910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1254930 ns R psr 81000200 - 1254930 ns MR4_I 01000208 2a001c5b - 1254950 ns MR4_I 01000200 07c96841 - 1254970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1254990 ns MR4_I 01000204 6002d1fc - 1255050 ns MR4_D 40006004 00000001 - 1255050 ns R r1 00000001 - 1255050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1255070 ns R r1 80000000 - 1255070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1255090 ns R psr 81000200 - 1255090 ns MR4_I 01000208 2a001c5b - 1255110 ns MR4_I 01000200 07c96841 - 1255130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1255150 ns MR4_I 01000204 6002d1fc - 1255210 ns MR4_D 40006004 00000001 - 1255210 ns R r1 00000001 - 1255210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1255230 ns R r1 80000000 - 1255230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1255250 ns R psr 81000200 - 1255250 ns MR4_I 01000208 2a001c5b - 1255270 ns MR4_I 01000200 07c96841 - 1255290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1255310 ns MR4_I 01000204 6002d1fc - 1255370 ns MR4_D 40006004 00000001 - 1255370 ns R r1 00000001 - 1255370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1255390 ns R r1 80000000 - 1255390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1255410 ns R psr 81000200 - 1255410 ns MR4_I 01000208 2a001c5b - 1255430 ns MR4_I 01000200 07c96841 - 1255450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1255470 ns MR4_I 01000204 6002d1fc - 1255530 ns MR4_D 40006004 00000001 - 1255530 ns R r1 00000001 - 1255530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1255550 ns R r1 80000000 - 1255550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1255570 ns R psr 81000200 - 1255570 ns MR4_I 01000208 2a001c5b - 1255590 ns MR4_I 01000200 07c96841 - 1255610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1255630 ns MR4_I 01000204 6002d1fc - 1255690 ns MR4_D 40006004 00000001 - 1255690 ns R r1 00000001 - 1255690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1255710 ns R r1 80000000 - 1255710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1255730 ns R psr 81000200 - 1255730 ns MR4_I 01000208 2a001c5b - 1255750 ns MR4_I 01000200 07c96841 - 1255770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1255790 ns MR4_I 01000204 6002d1fc - 1255850 ns MR4_D 40006004 00000001 - 1255850 ns R r1 00000001 - 1255850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1255870 ns R r1 80000000 - 1255870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1255890 ns R psr 81000200 - 1255890 ns MR4_I 01000208 2a001c5b - 1255910 ns MR4_I 01000200 07c96841 - 1255930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1255950 ns MR4_I 01000204 6002d1fc - 1256010 ns MR4_D 40006004 00000001 - 1256010 ns R r1 00000001 - 1256010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1256030 ns R r1 80000000 - 1256030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1256050 ns R psr 81000200 - 1256050 ns MR4_I 01000208 2a001c5b - 1256070 ns MR4_I 01000200 07c96841 - 1256090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1256110 ns MR4_I 01000204 6002d1fc - 1256170 ns MR4_D 40006004 00000001 - 1256170 ns R r1 00000001 - 1256170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1256190 ns R r1 80000000 - 1256190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1256210 ns R psr 81000200 - 1256210 ns MR4_I 01000208 2a001c5b - 1256230 ns MR4_I 01000200 07c96841 - 1256250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1256270 ns MR4_I 01000204 6002d1fc - 1256330 ns MR4_D 40006004 00000001 - 1256330 ns R r1 00000001 - 1256330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1256350 ns R r1 80000000 - 1256350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1256370 ns R psr 81000200 - 1256370 ns MR4_I 01000208 2a001c5b - 1256390 ns MR4_I 01000200 07c96841 - 1256410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1256430 ns MR4_I 01000204 6002d1fc - 1256490 ns MR4_D 40006004 00000001 - 1256490 ns R r1 00000001 - 1256490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1256510 ns R r1 80000000 - 1256510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1256530 ns R psr 81000200 - 1256530 ns MR4_I 01000208 2a001c5b - 1256550 ns MR4_I 01000200 07c96841 - 1256570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1256590 ns MR4_I 01000204 6002d1fc - 1256650 ns MR4_D 40006004 00000001 - 1256650 ns R r1 00000001 - 1256650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1256670 ns R r1 80000000 - 1256670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1256690 ns R psr 81000200 - 1256690 ns MR4_I 01000208 2a001c5b - 1256710 ns MR4_I 01000200 07c96841 - 1256730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1256750 ns MR4_I 01000204 6002d1fc - 1256810 ns MR4_D 40006004 00000001 - 1256810 ns R r1 00000001 - 1256810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1256830 ns R r1 80000000 - 1256830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1256850 ns R psr 81000200 - 1256850 ns MR4_I 01000208 2a001c5b - 1256870 ns MR4_I 01000200 07c96841 - 1256890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1256910 ns MR4_I 01000204 6002d1fc - 1256970 ns MR4_D 40006004 00000001 - 1256970 ns R r1 00000001 - 1256970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1256990 ns R r1 80000000 - 1256990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1257010 ns R psr 81000200 - 1257010 ns MR4_I 01000208 2a001c5b - 1257030 ns MR4_I 01000200 07c96841 - 1257050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1257070 ns MR4_I 01000204 6002d1fc - 1257130 ns MR4_D 40006004 00000001 - 1257130 ns R r1 00000001 - 1257130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1257150 ns R r1 80000000 - 1257150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1257170 ns R psr 81000200 - 1257170 ns MR4_I 01000208 2a001c5b - 1257190 ns MR4_I 01000200 07c96841 - 1257210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1257230 ns MR4_I 01000204 6002d1fc - 1257290 ns MR4_D 40006004 00000001 - 1257290 ns R r1 00000001 - 1257290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1257310 ns R r1 80000000 - 1257310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1257330 ns R psr 81000200 - 1257330 ns MR4_I 01000208 2a001c5b - 1257350 ns MR4_I 01000200 07c96841 - 1257370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1257390 ns MR4_I 01000204 6002d1fc - 1257450 ns MR4_D 40006004 00000001 - 1257450 ns R r1 00000001 - 1257450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1257470 ns R r1 80000000 - 1257470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1257490 ns R psr 81000200 - 1257490 ns MR4_I 01000208 2a001c5b - 1257510 ns MR4_I 01000200 07c96841 - 1257530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1257550 ns MR4_I 01000204 6002d1fc - 1257610 ns MR4_D 40006004 00000001 - 1257610 ns R r1 00000001 - 1257610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1257630 ns R r1 80000000 - 1257630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1257650 ns R psr 81000200 - 1257650 ns MR4_I 01000208 2a001c5b - 1257670 ns MR4_I 01000200 07c96841 - 1257690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1257710 ns MR4_I 01000204 6002d1fc - 1257770 ns MR4_D 40006004 00000001 - 1257770 ns R r1 00000001 - 1257770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1257790 ns R r1 80000000 - 1257790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1257810 ns R psr 81000200 - 1257810 ns MR4_I 01000208 2a001c5b - 1257830 ns MR4_I 01000200 07c96841 - 1257850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1257870 ns MR4_I 01000204 6002d1fc - 1257930 ns MR4_D 40006004 00000001 - 1257930 ns R r1 00000001 - 1257930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1257950 ns R r1 80000000 - 1257950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1257970 ns R psr 81000200 - 1257970 ns MR4_I 01000208 2a001c5b - 1257990 ns MR4_I 01000200 07c96841 - 1258010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1258030 ns MR4_I 01000204 6002d1fc - 1258090 ns MR4_D 40006004 00000001 - 1258090 ns R r1 00000001 - 1258090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1258110 ns R r1 80000000 - 1258110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1258130 ns R psr 81000200 - 1258130 ns MR4_I 01000208 2a001c5b - 1258150 ns MR4_I 01000200 07c96841 - 1258170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1258190 ns MR4_I 01000204 6002d1fc - 1258250 ns MR4_D 40006004 00000001 - 1258250 ns R r1 00000001 - 1258250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1258270 ns R r1 80000000 - 1258270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1258290 ns R psr 81000200 - 1258290 ns MR4_I 01000208 2a001c5b - 1258310 ns MR4_I 01000200 07c96841 - 1258330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1258350 ns MR4_I 01000204 6002d1fc - 1258410 ns MR4_D 40006004 00000001 - 1258410 ns R r1 00000001 - 1258410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1258430 ns R r1 80000000 - 1258430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1258450 ns R psr 81000200 - 1258450 ns MR4_I 01000208 2a001c5b - 1258470 ns MR4_I 01000200 07c96841 - 1258490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1258510 ns MR4_I 01000204 6002d1fc - 1258570 ns MR4_D 40006004 00000001 - 1258570 ns R r1 00000001 - 1258570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1258590 ns R r1 80000000 - 1258590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1258610 ns R psr 81000200 - 1258610 ns MR4_I 01000208 2a001c5b - 1258630 ns MR4_I 01000200 07c96841 - 1258650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1258670 ns MR4_I 01000204 6002d1fc - 1258730 ns MR4_D 40006004 00000001 - 1258730 ns R r1 00000001 - 1258730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1258750 ns R r1 80000000 - 1258750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1258770 ns R psr 81000200 - 1258770 ns MR4_I 01000208 2a001c5b - 1258790 ns MR4_I 01000200 07c96841 - 1258810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1258830 ns MR4_I 01000204 6002d1fc - 1258890 ns MR4_D 40006004 00000001 - 1258890 ns R r1 00000001 - 1258890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1258910 ns R r1 80000000 - 1258910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1258930 ns R psr 81000200 - 1258930 ns MR4_I 01000208 2a001c5b - 1258950 ns MR4_I 01000200 07c96841 - 1258970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1258990 ns MR4_I 01000204 6002d1fc - 1259050 ns MR4_D 40006004 00000001 - 1259050 ns R r1 00000001 - 1259050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1259070 ns R r1 80000000 - 1259070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1259090 ns R psr 81000200 - 1259090 ns MR4_I 01000208 2a001c5b - 1259110 ns MR4_I 01000200 07c96841 - 1259130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1259150 ns MR4_I 01000204 6002d1fc - 1259210 ns MR4_D 40006004 00000001 - 1259210 ns R r1 00000001 - 1259210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1259230 ns R r1 80000000 - 1259230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1259250 ns R psr 81000200 - 1259250 ns MR4_I 01000208 2a001c5b - 1259270 ns MR4_I 01000200 07c96841 - 1259290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1259310 ns MR4_I 01000204 6002d1fc - 1259370 ns MR4_D 40006004 00000001 - 1259370 ns R r1 00000001 - 1259370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1259390 ns R r1 80000000 - 1259390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1259410 ns R psr 81000200 - 1259410 ns MR4_I 01000208 2a001c5b - 1259430 ns MR4_I 01000200 07c96841 - 1259450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1259470 ns MR4_I 01000204 6002d1fc - 1259530 ns MR4_D 40006004 00000001 - 1259530 ns R r1 00000001 - 1259530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1259550 ns R r1 80000000 - 1259550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1259570 ns R psr 81000200 - 1259570 ns MR4_I 01000208 2a001c5b - 1259590 ns MR4_I 01000200 07c96841 - 1259610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1259630 ns MR4_I 01000204 6002d1fc - 1259690 ns MR4_D 40006004 00000001 - 1259690 ns R r1 00000001 - 1259690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1259710 ns R r1 80000000 - 1259710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1259730 ns R psr 81000200 - 1259730 ns MR4_I 01000208 2a001c5b - 1259750 ns MR4_I 01000200 07c96841 - 1259770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1259790 ns MR4_I 01000204 6002d1fc - 1259850 ns MR4_D 40006004 00000001 - 1259850 ns R r1 00000001 - 1259850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1259870 ns R r1 80000000 - 1259870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1259890 ns R psr 81000200 - 1259890 ns MR4_I 01000208 2a001c5b - 1259910 ns MR4_I 01000200 07c96841 - 1259930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1259950 ns MR4_I 01000204 6002d1fc - 1260010 ns MR4_D 40006004 00000001 - 1260010 ns R r1 00000001 - 1260010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1260030 ns R r1 80000000 - 1260030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1260050 ns R psr 81000200 - 1260050 ns MR4_I 01000208 2a001c5b - 1260070 ns MR4_I 01000200 07c96841 - 1260090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1260110 ns MR4_I 01000204 6002d1fc - 1260170 ns MR4_D 40006004 00000001 - 1260170 ns R r1 00000001 - 1260170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1260190 ns R r1 80000000 - 1260190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1260210 ns R psr 81000200 - 1260210 ns MR4_I 01000208 2a001c5b - 1260230 ns MR4_I 01000200 07c96841 - 1260250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1260270 ns MR4_I 01000204 6002d1fc - 1260330 ns MR4_D 40006004 00000001 - 1260330 ns R r1 00000001 - 1260330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1260350 ns R r1 80000000 - 1260350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1260370 ns R psr 81000200 - 1260370 ns MR4_I 01000208 2a001c5b - 1260390 ns MR4_I 01000200 07c96841 - 1260410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1260430 ns MR4_I 01000204 6002d1fc - 1260490 ns MR4_D 40006004 00000001 - 1260490 ns R r1 00000001 - 1260490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1260510 ns R r1 80000000 - 1260510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1260530 ns R psr 81000200 - 1260530 ns MR4_I 01000208 2a001c5b - 1260550 ns MR4_I 01000200 07c96841 - 1260570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1260590 ns MR4_I 01000204 6002d1fc - 1260650 ns MR4_D 40006004 00000001 - 1260650 ns R r1 00000001 - 1260650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1260670 ns R r1 80000000 - 1260670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1260690 ns R psr 81000200 - 1260690 ns MR4_I 01000208 2a001c5b - 1260710 ns MR4_I 01000200 07c96841 - 1260730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1260750 ns MR4_I 01000204 6002d1fc - 1260810 ns MR4_D 40006004 00000001 - 1260810 ns R r1 00000001 - 1260810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1260830 ns R r1 80000000 - 1260830 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1260850 ns R psr 81000200 - 1260850 ns MR4_I 01000208 2a001c5b - 1260870 ns MR4_I 01000200 07c96841 - 1260890 ns IT 01000200 6841 LDR r1,[r0,#4] - 1260910 ns MR4_I 01000204 6002d1fc - 1260970 ns MR4_D 40006004 00000001 - 1260970 ns R r1 00000001 - 1260970 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1260990 ns R r1 80000000 - 1260990 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1261010 ns R psr 81000200 - 1261010 ns MR4_I 01000208 2a001c5b - 1261030 ns MR4_I 01000200 07c96841 - 1261050 ns IT 01000200 6841 LDR r1,[r0,#4] - 1261070 ns MR4_I 01000204 6002d1fc - 1261130 ns MR4_D 40006004 00000001 - 1261130 ns R r1 00000001 - 1261130 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1261150 ns R r1 80000000 - 1261150 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1261170 ns R psr 81000200 - 1261170 ns MR4_I 01000208 2a001c5b - 1261190 ns MR4_I 01000200 07c96841 - 1261210 ns IT 01000200 6841 LDR r1,[r0,#4] - 1261230 ns MR4_I 01000204 6002d1fc - 1261290 ns MR4_D 40006004 00000001 - 1261290 ns R r1 00000001 - 1261290 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1261310 ns R r1 80000000 - 1261310 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1261330 ns R psr 81000200 - 1261330 ns MR4_I 01000208 2a001c5b - 1261350 ns MR4_I 01000200 07c96841 - 1261370 ns IT 01000200 6841 LDR r1,[r0,#4] - 1261390 ns MR4_I 01000204 6002d1fc - 1261450 ns MR4_D 40006004 00000001 - 1261450 ns R r1 00000001 - 1261450 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1261470 ns R r1 80000000 - 1261470 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1261490 ns R psr 81000200 - 1261490 ns MR4_I 01000208 2a001c5b - 1261510 ns MR4_I 01000200 07c96841 - 1261530 ns IT 01000200 6841 LDR r1,[r0,#4] - 1261550 ns MR4_I 01000204 6002d1fc - 1261610 ns MR4_D 40006004 00000001 - 1261610 ns R r1 00000001 - 1261610 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1261630 ns R r1 80000000 - 1261630 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1261650 ns R psr 81000200 - 1261650 ns MR4_I 01000208 2a001c5b - 1261670 ns MR4_I 01000200 07c96841 - 1261690 ns IT 01000200 6841 LDR r1,[r0,#4] - 1261710 ns MR4_I 01000204 6002d1fc - 1261770 ns MR4_D 40006004 00000001 - 1261770 ns R r1 00000001 - 1261770 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1261790 ns R r1 80000000 - 1261790 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1261810 ns R psr 81000200 - 1261810 ns MR4_I 01000208 2a001c5b - 1261830 ns MR4_I 01000200 07c96841 - 1261850 ns IT 01000200 6841 LDR r1,[r0,#4] - 1261870 ns MR4_I 01000204 6002d1fc - 1261930 ns MR4_D 40006004 00000001 - 1261930 ns R r1 00000001 - 1261930 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1261950 ns R r1 80000000 - 1261950 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1261970 ns R psr 81000200 - 1261970 ns MR4_I 01000208 2a001c5b - 1261990 ns MR4_I 01000200 07c96841 - 1262010 ns IT 01000200 6841 LDR r1,[r0,#4] - 1262030 ns MR4_I 01000204 6002d1fc - 1262090 ns MR4_D 40006004 00000001 - 1262090 ns R r1 00000001 - 1262090 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1262110 ns R r1 80000000 - 1262110 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1262130 ns R psr 81000200 - 1262130 ns MR4_I 01000208 2a001c5b - 1262150 ns MR4_I 01000200 07c96841 - 1262170 ns IT 01000200 6841 LDR r1,[r0,#4] - 1262190 ns MR4_I 01000204 6002d1fc - 1262250 ns MR4_D 40006004 00000001 - 1262250 ns R r1 00000001 - 1262250 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1262270 ns R r1 80000000 - 1262270 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1262290 ns R psr 81000200 - 1262290 ns MR4_I 01000208 2a001c5b - 1262310 ns MR4_I 01000200 07c96841 - 1262330 ns IT 01000200 6841 LDR r1,[r0,#4] - 1262350 ns MR4_I 01000204 6002d1fc - 1262410 ns MR4_D 40006004 00000001 - 1262410 ns R r1 00000001 - 1262410 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1262430 ns R r1 80000000 - 1262430 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1262450 ns R psr 81000200 - 1262450 ns MR4_I 01000208 2a001c5b - 1262470 ns MR4_I 01000200 07c96841 - 1262490 ns IT 01000200 6841 LDR r1,[r0,#4] - 1262510 ns MR4_I 01000204 6002d1fc - 1262570 ns MR4_D 40006004 00000001 - 1262570 ns R r1 00000001 - 1262570 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1262590 ns R r1 80000000 - 1262590 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1262610 ns R psr 81000200 - 1262610 ns MR4_I 01000208 2a001c5b - 1262630 ns MR4_I 01000200 07c96841 - 1262650 ns IT 01000200 6841 LDR r1,[r0,#4] - 1262670 ns MR4_I 01000204 6002d1fc - 1262730 ns MR4_D 40006004 00000001 - 1262730 ns R r1 00000001 - 1262730 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1262750 ns R r1 80000000 - 1262750 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1262770 ns R psr 81000200 - 1262770 ns MR4_I 01000208 2a001c5b - 1262790 ns MR4_I 01000200 07c96841 - 1262810 ns IT 01000200 6841 LDR r1,[r0,#4] - 1262830 ns MR4_I 01000204 6002d1fc - 1262890 ns MR4_D 40006004 00000001 - 1262890 ns R r1 00000001 - 1262890 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1262910 ns R r1 80000000 - 1262910 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1262930 ns R psr 81000200 - 1262930 ns MR4_I 01000208 2a001c5b - 1262950 ns MR4_I 01000200 07c96841 - 1262970 ns IT 01000200 6841 LDR r1,[r0,#4] - 1262990 ns MR4_I 01000204 6002d1fc - 1263050 ns MR4_D 40006004 00000001 - 1263050 ns R r1 00000001 - 1263050 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1263070 ns R r1 80000000 - 1263070 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1263090 ns R psr 81000200 - 1263090 ns MR4_I 01000208 2a001c5b - 1263110 ns MR4_I 01000200 07c96841 - 1263130 ns IT 01000200 6841 LDR r1,[r0,#4] - 1263150 ns MR4_I 01000204 6002d1fc - 1263210 ns MR4_D 40006004 00000001 - 1263210 ns R r1 00000001 - 1263210 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1263230 ns R r1 80000000 - 1263230 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1263250 ns R psr 81000200 - 1263250 ns MR4_I 01000208 2a001c5b - 1263270 ns MR4_I 01000200 07c96841 - 1263290 ns IT 01000200 6841 LDR r1,[r0,#4] - 1263310 ns MR4_I 01000204 6002d1fc - 1263370 ns MR4_D 40006004 00000001 - 1263370 ns R r1 00000001 - 1263370 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1263390 ns R r1 80000000 - 1263390 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1263410 ns R psr 81000200 - 1263410 ns MR4_I 01000208 2a001c5b - 1263430 ns MR4_I 01000200 07c96841 - 1263450 ns IT 01000200 6841 LDR r1,[r0,#4] - 1263470 ns MR4_I 01000204 6002d1fc - 1263530 ns MR4_D 40006004 00000001 - 1263530 ns R r1 00000001 - 1263530 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1263550 ns R r1 80000000 - 1263550 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1263570 ns R psr 81000200 - 1263570 ns MR4_I 01000208 2a001c5b - 1263590 ns MR4_I 01000200 07c96841 - 1263610 ns IT 01000200 6841 LDR r1,[r0,#4] - 1263630 ns MR4_I 01000204 6002d1fc - 1263690 ns MR4_D 40006004 00000001 - 1263690 ns R r1 00000001 - 1263690 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1263710 ns R r1 80000000 - 1263710 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1263730 ns R psr 81000200 - 1263730 ns MR4_I 01000208 2a001c5b - 1263750 ns MR4_I 01000200 07c96841 - 1263770 ns IT 01000200 6841 LDR r1,[r0,#4] - 1263790 ns MR4_I 01000204 6002d1fc - 1263850 ns MR4_D 40006004 00000001 - 1263850 ns R r1 00000001 - 1263850 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1263870 ns R r1 80000000 - 1263870 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1263890 ns R psr 81000200 - 1263890 ns MR4_I 01000208 2a001c5b - 1263910 ns MR4_I 01000200 07c96841 - 1263930 ns IT 01000200 6841 LDR r1,[r0,#4] - 1263950 ns MR4_I 01000204 6002d1fc - 1264010 ns MR4_D 40006004 00000001 - 1264010 ns R r1 00000001 - 1264010 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1264030 ns R r1 80000000 - 1264030 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1264050 ns R psr 81000200 - 1264050 ns MR4_I 01000208 2a001c5b - 1264070 ns MR4_I 01000200 07c96841 - 1264090 ns IT 01000200 6841 LDR r1,[r0,#4] - 1264110 ns MR4_I 01000204 6002d1fc - 1264170 ns MR4_D 40006004 00000001 - 1264170 ns R r1 00000001 - 1264170 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1264190 ns R r1 80000000 - 1264190 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1264210 ns R psr 81000200 - 1264210 ns MR4_I 01000208 2a001c5b - 1264230 ns MR4_I 01000200 07c96841 - 1264250 ns IT 01000200 6841 LDR r1,[r0,#4] - 1264270 ns MR4_I 01000204 6002d1fc - 1264330 ns MR4_D 40006004 00000001 - 1264330 ns R r1 00000001 - 1264330 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1264350 ns R r1 80000000 - 1264350 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1264370 ns R psr 81000200 - 1264370 ns MR4_I 01000208 2a001c5b - 1264390 ns MR4_I 01000200 07c96841 - 1264410 ns IT 01000200 6841 LDR r1,[r0,#4] - 1264430 ns MR4_I 01000204 6002d1fc - 1264490 ns MR4_D 40006004 00000001 - 1264490 ns R r1 00000001 - 1264490 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1264510 ns R r1 80000000 - 1264510 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1264530 ns R psr 81000200 - 1264530 ns MR4_I 01000208 2a001c5b - 1264550 ns MR4_I 01000200 07c96841 - 1264570 ns IT 01000200 6841 LDR r1,[r0,#4] - 1264590 ns MR4_I 01000204 6002d1fc - 1264650 ns MR4_D 40006004 00000001 - 1264650 ns R r1 00000001 - 1264650 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1264670 ns R r1 80000000 - 1264670 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1264690 ns R psr 81000200 - 1264690 ns MR4_I 01000208 2a001c5b - 1264710 ns MR4_I 01000200 07c96841 - 1264730 ns IT 01000200 6841 LDR r1,[r0,#4] - 1264750 ns MR4_I 01000204 6002d1fc - 1264810 ns MR4_D 40006004 00000000 - 1264810 ns R r1 00000000 - 1264810 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1264830 ns R r1 00000000 - 1264830 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1264850 ns R psr 41000200 - 1264850 ns MR4_I 01000208 2a001c5b - 1264850 ns IT 01000206 6002 STR r2,[r0,#0] - 1264930 ns MW4_D 40006000 0000004b - 1264930 ns IT 01000208 1c5b ADDS r3,r3,#1 - 1264950 ns MR4_I 0100020c a32ad1f5 - 1264950 ns R r3 010002b4 - 1264950 ns IT 0100020a 2a00 CMP r2,#0 - 1264970 ns R psr 01000200 - 1264970 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 1264990 ns R psr 21000200 - 1264990 ns MR4_I 01000210 2a00781a - 1265010 ns MR4_I 010001f8 781aa326 - 1265030 ns MR4_I 010001fc d0062a00 - 1265030 ns IT 010001fa 781a LDRB r2,[r3,#0] - 1265070 ns MR1_D 010002b4 0000000a - 1265070 ns R r2 0000000a - 1265070 ns IT 010001fc 2a00 CMP r2,#0 - 1265090 ns MR4_I 01000200 07c96841 - 1265090 ns IS 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 1265110 ns R psr 21000200 - 1265110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1265130 ns MR4_I 01000204 6002d1fc - 1265190 ns MR4_D 40006004 00000001 - 1265190 ns R r1 00000001 - 1265190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1265210 ns R r1 80000000 - 1265210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1265230 ns R psr 81000200 - 1265230 ns MR4_I 01000208 2a001c5b - 1265250 ns MR4_I 01000200 07c96841 - 1265270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1265290 ns MR4_I 01000204 6002d1fc - 1265350 ns MR4_D 40006004 00000001 - 1265350 ns R r1 00000001 - 1265350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1265370 ns R r1 80000000 - 1265370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1265390 ns R psr 81000200 - 1265390 ns MR4_I 01000208 2a001c5b - 1265410 ns MR4_I 01000200 07c96841 - 1265430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1265450 ns MR4_I 01000204 6002d1fc - 1265510 ns MR4_D 40006004 00000001 - 1265510 ns R r1 00000001 - 1265510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1265530 ns R r1 80000000 - 1265530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1265550 ns R psr 81000200 - 1265550 ns MR4_I 01000208 2a001c5b - 1265570 ns MR4_I 01000200 07c96841 - 1265590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1265610 ns MR4_I 01000204 6002d1fc - 1265670 ns MR4_D 40006004 00000001 - 1265670 ns R r1 00000001 - 1265670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1265690 ns R r1 80000000 - 1265690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1265710 ns R psr 81000200 - 1265710 ns MR4_I 01000208 2a001c5b - 1265730 ns MR4_I 01000200 07c96841 - 1265750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1265770 ns MR4_I 01000204 6002d1fc - 1265830 ns MR4_D 40006004 00000001 - 1265830 ns R r1 00000001 - 1265830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1265850 ns R r1 80000000 - 1265850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1265870 ns R psr 81000200 - 1265870 ns MR4_I 01000208 2a001c5b - 1265890 ns MR4_I 01000200 07c96841 - 1265910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1265930 ns MR4_I 01000204 6002d1fc - 1265990 ns MR4_D 40006004 00000001 - 1265990 ns R r1 00000001 - 1265990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1266010 ns R r1 80000000 - 1266010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1266030 ns R psr 81000200 - 1266030 ns MR4_I 01000208 2a001c5b - 1266050 ns MR4_I 01000200 07c96841 - 1266070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1266090 ns MR4_I 01000204 6002d1fc - 1266150 ns MR4_D 40006004 00000001 - 1266150 ns R r1 00000001 - 1266150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1266170 ns R r1 80000000 - 1266170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1266190 ns R psr 81000200 - 1266190 ns MR4_I 01000208 2a001c5b - 1266210 ns MR4_I 01000200 07c96841 - 1266230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1266250 ns MR4_I 01000204 6002d1fc - 1266310 ns MR4_D 40006004 00000001 - 1266310 ns R r1 00000001 - 1266310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1266330 ns R r1 80000000 - 1266330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1266350 ns R psr 81000200 - 1266350 ns MR4_I 01000208 2a001c5b - 1266370 ns MR4_I 01000200 07c96841 - 1266390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1266410 ns MR4_I 01000204 6002d1fc - 1266470 ns MR4_D 40006004 00000001 - 1266470 ns R r1 00000001 - 1266470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1266490 ns R r1 80000000 - 1266490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1266510 ns R psr 81000200 - 1266510 ns MR4_I 01000208 2a001c5b - 1266530 ns MR4_I 01000200 07c96841 - 1266550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1266570 ns MR4_I 01000204 6002d1fc - 1266630 ns MR4_D 40006004 00000001 - 1266630 ns R r1 00000001 - 1266630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1266650 ns R r1 80000000 - 1266650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1266670 ns R psr 81000200 - 1266670 ns MR4_I 01000208 2a001c5b - 1266690 ns MR4_I 01000200 07c96841 - 1266710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1266730 ns MR4_I 01000204 6002d1fc - 1266790 ns MR4_D 40006004 00000001 - 1266790 ns R r1 00000001 - 1266790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1266810 ns R r1 80000000 - 1266810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1266830 ns R psr 81000200 - 1266830 ns MR4_I 01000208 2a001c5b - 1266850 ns MR4_I 01000200 07c96841 - 1266870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1266890 ns MR4_I 01000204 6002d1fc - 1266950 ns MR4_D 40006004 00000001 - 1266950 ns R r1 00000001 - 1266950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1266970 ns R r1 80000000 - 1266970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1266990 ns R psr 81000200 - 1266990 ns MR4_I 01000208 2a001c5b - 1267010 ns MR4_I 01000200 07c96841 - 1267030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1267050 ns MR4_I 01000204 6002d1fc - 1267110 ns MR4_D 40006004 00000001 - 1267110 ns R r1 00000001 - 1267110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1267130 ns R r1 80000000 - 1267130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1267150 ns R psr 81000200 - 1267150 ns MR4_I 01000208 2a001c5b - 1267170 ns MR4_I 01000200 07c96841 - 1267190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1267210 ns MR4_I 01000204 6002d1fc - 1267270 ns MR4_D 40006004 00000001 - 1267270 ns R r1 00000001 - 1267270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1267290 ns R r1 80000000 - 1267290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1267310 ns R psr 81000200 - 1267310 ns MR4_I 01000208 2a001c5b - 1267330 ns MR4_I 01000200 07c96841 - 1267350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1267370 ns MR4_I 01000204 6002d1fc - 1267430 ns MR4_D 40006004 00000001 - 1267430 ns R r1 00000001 - 1267430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1267450 ns R r1 80000000 - 1267450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1267470 ns R psr 81000200 - 1267470 ns MR4_I 01000208 2a001c5b - 1267490 ns MR4_I 01000200 07c96841 - 1267510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1267530 ns MR4_I 01000204 6002d1fc - 1267590 ns MR4_D 40006004 00000001 - 1267590 ns R r1 00000001 - 1267590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1267610 ns R r1 80000000 - 1267610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1267630 ns R psr 81000200 - 1267630 ns MR4_I 01000208 2a001c5b - 1267650 ns MR4_I 01000200 07c96841 - 1267670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1267690 ns MR4_I 01000204 6002d1fc - 1267750 ns MR4_D 40006004 00000001 - 1267750 ns R r1 00000001 - 1267750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1267770 ns R r1 80000000 - 1267770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1267790 ns R psr 81000200 - 1267790 ns MR4_I 01000208 2a001c5b - 1267810 ns MR4_I 01000200 07c96841 - 1267830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1267850 ns MR4_I 01000204 6002d1fc - 1267910 ns MR4_D 40006004 00000001 - 1267910 ns R r1 00000001 - 1267910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1267930 ns R r1 80000000 - 1267930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1267950 ns R psr 81000200 - 1267950 ns MR4_I 01000208 2a001c5b - 1267970 ns MR4_I 01000200 07c96841 - 1267990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1268010 ns MR4_I 01000204 6002d1fc - 1268070 ns MR4_D 40006004 00000001 - 1268070 ns R r1 00000001 - 1268070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1268090 ns R r1 80000000 - 1268090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1268110 ns R psr 81000200 - 1268110 ns MR4_I 01000208 2a001c5b - 1268130 ns MR4_I 01000200 07c96841 - 1268150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1268170 ns MR4_I 01000204 6002d1fc - 1268230 ns MR4_D 40006004 00000001 - 1268230 ns R r1 00000001 - 1268230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1268250 ns R r1 80000000 - 1268250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1268270 ns R psr 81000200 - 1268270 ns MR4_I 01000208 2a001c5b - 1268290 ns MR4_I 01000200 07c96841 - 1268310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1268330 ns MR4_I 01000204 6002d1fc - 1268390 ns MR4_D 40006004 00000001 - 1268390 ns R r1 00000001 - 1268390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1268410 ns R r1 80000000 - 1268410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1268430 ns R psr 81000200 - 1268430 ns MR4_I 01000208 2a001c5b - 1268450 ns MR4_I 01000200 07c96841 - 1268470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1268490 ns MR4_I 01000204 6002d1fc - 1268550 ns MR4_D 40006004 00000001 - 1268550 ns R r1 00000001 - 1268550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1268570 ns R r1 80000000 - 1268570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1268590 ns R psr 81000200 - 1268590 ns MR4_I 01000208 2a001c5b - 1268610 ns MR4_I 01000200 07c96841 - 1268630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1268650 ns MR4_I 01000204 6002d1fc - 1268710 ns MR4_D 40006004 00000001 - 1268710 ns R r1 00000001 - 1268710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1268730 ns R r1 80000000 - 1268730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1268750 ns R psr 81000200 - 1268750 ns MR4_I 01000208 2a001c5b - 1268770 ns MR4_I 01000200 07c96841 - 1268790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1268810 ns MR4_I 01000204 6002d1fc - 1268870 ns MR4_D 40006004 00000001 - 1268870 ns R r1 00000001 - 1268870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1268890 ns R r1 80000000 - 1268890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1268910 ns R psr 81000200 - 1268910 ns MR4_I 01000208 2a001c5b - 1268930 ns MR4_I 01000200 07c96841 - 1268950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1268970 ns MR4_I 01000204 6002d1fc - 1269030 ns MR4_D 40006004 00000001 - 1269030 ns R r1 00000001 - 1269030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1269050 ns R r1 80000000 - 1269050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1269070 ns R psr 81000200 - 1269070 ns MR4_I 01000208 2a001c5b - 1269090 ns MR4_I 01000200 07c96841 - 1269110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1269130 ns MR4_I 01000204 6002d1fc - 1269190 ns MR4_D 40006004 00000001 - 1269190 ns R r1 00000001 - 1269190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1269210 ns R r1 80000000 - 1269210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1269230 ns R psr 81000200 - 1269230 ns MR4_I 01000208 2a001c5b - 1269250 ns MR4_I 01000200 07c96841 - 1269270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1269290 ns MR4_I 01000204 6002d1fc - 1269350 ns MR4_D 40006004 00000001 - 1269350 ns R r1 00000001 - 1269350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1269370 ns R r1 80000000 - 1269370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1269390 ns R psr 81000200 - 1269390 ns MR4_I 01000208 2a001c5b - 1269410 ns MR4_I 01000200 07c96841 - 1269430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1269450 ns MR4_I 01000204 6002d1fc - 1269510 ns MR4_D 40006004 00000001 - 1269510 ns R r1 00000001 - 1269510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1269530 ns R r1 80000000 - 1269530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1269550 ns R psr 81000200 - 1269550 ns MR4_I 01000208 2a001c5b - 1269570 ns MR4_I 01000200 07c96841 - 1269590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1269610 ns MR4_I 01000204 6002d1fc - 1269670 ns MR4_D 40006004 00000001 - 1269670 ns R r1 00000001 - 1269670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1269690 ns R r1 80000000 - 1269690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1269710 ns R psr 81000200 - 1269710 ns MR4_I 01000208 2a001c5b - 1269730 ns MR4_I 01000200 07c96841 - 1269750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1269770 ns MR4_I 01000204 6002d1fc - 1269830 ns MR4_D 40006004 00000001 - 1269830 ns R r1 00000001 - 1269830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1269850 ns R r1 80000000 - 1269850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1269870 ns R psr 81000200 - 1269870 ns MR4_I 01000208 2a001c5b - 1269890 ns MR4_I 01000200 07c96841 - 1269910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1269930 ns MR4_I 01000204 6002d1fc - 1269990 ns MR4_D 40006004 00000001 - 1269990 ns R r1 00000001 - 1269990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1270010 ns R r1 80000000 - 1270010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1270030 ns R psr 81000200 - 1270030 ns MR4_I 01000208 2a001c5b - 1270050 ns MR4_I 01000200 07c96841 - 1270070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1270090 ns MR4_I 01000204 6002d1fc - 1270150 ns MR4_D 40006004 00000001 - 1270150 ns R r1 00000001 - 1270150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1270170 ns R r1 80000000 - 1270170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1270190 ns R psr 81000200 - 1270190 ns MR4_I 01000208 2a001c5b - 1270210 ns MR4_I 01000200 07c96841 - 1270230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1270250 ns MR4_I 01000204 6002d1fc - 1270310 ns MR4_D 40006004 00000001 - 1270310 ns R r1 00000001 - 1270310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1270330 ns R r1 80000000 - 1270330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1270350 ns R psr 81000200 - 1270350 ns MR4_I 01000208 2a001c5b - 1270370 ns MR4_I 01000200 07c96841 - 1270390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1270410 ns MR4_I 01000204 6002d1fc - 1270470 ns MR4_D 40006004 00000001 - 1270470 ns R r1 00000001 - 1270470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1270490 ns R r1 80000000 - 1270490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1270510 ns R psr 81000200 - 1270510 ns MR4_I 01000208 2a001c5b - 1270530 ns MR4_I 01000200 07c96841 - 1270550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1270570 ns MR4_I 01000204 6002d1fc - 1270630 ns MR4_D 40006004 00000001 - 1270630 ns R r1 00000001 - 1270630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1270650 ns R r1 80000000 - 1270650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1270670 ns R psr 81000200 - 1270670 ns MR4_I 01000208 2a001c5b - 1270690 ns MR4_I 01000200 07c96841 - 1270710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1270730 ns MR4_I 01000204 6002d1fc - 1270790 ns MR4_D 40006004 00000001 - 1270790 ns R r1 00000001 - 1270790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1270810 ns R r1 80000000 - 1270810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1270830 ns R psr 81000200 - 1270830 ns MR4_I 01000208 2a001c5b - 1270850 ns MR4_I 01000200 07c96841 - 1270870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1270890 ns MR4_I 01000204 6002d1fc - 1270950 ns MR4_D 40006004 00000001 - 1270950 ns R r1 00000001 - 1270950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1270970 ns R r1 80000000 - 1270970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1270990 ns R psr 81000200 - 1270990 ns MR4_I 01000208 2a001c5b - 1271010 ns MR4_I 01000200 07c96841 - 1271030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1271050 ns MR4_I 01000204 6002d1fc - 1271110 ns MR4_D 40006004 00000001 - 1271110 ns R r1 00000001 - 1271110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1271130 ns R r1 80000000 - 1271130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1271150 ns R psr 81000200 - 1271150 ns MR4_I 01000208 2a001c5b - 1271170 ns MR4_I 01000200 07c96841 - 1271190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1271210 ns MR4_I 01000204 6002d1fc - 1271270 ns MR4_D 40006004 00000001 - 1271270 ns R r1 00000001 - 1271270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1271290 ns R r1 80000000 - 1271290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1271310 ns R psr 81000200 - 1271310 ns MR4_I 01000208 2a001c5b - 1271330 ns MR4_I 01000200 07c96841 - 1271350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1271370 ns MR4_I 01000204 6002d1fc - 1271430 ns MR4_D 40006004 00000001 - 1271430 ns R r1 00000001 - 1271430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1271450 ns R r1 80000000 - 1271450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1271470 ns R psr 81000200 - 1271470 ns MR4_I 01000208 2a001c5b - 1271490 ns MR4_I 01000200 07c96841 - 1271510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1271530 ns MR4_I 01000204 6002d1fc - 1271590 ns MR4_D 40006004 00000001 - 1271590 ns R r1 00000001 - 1271590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1271610 ns R r1 80000000 - 1271610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1271630 ns R psr 81000200 - 1271630 ns MR4_I 01000208 2a001c5b - 1271650 ns MR4_I 01000200 07c96841 - 1271670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1271690 ns MR4_I 01000204 6002d1fc - 1271750 ns MR4_D 40006004 00000001 - 1271750 ns R r1 00000001 - 1271750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1271770 ns R r1 80000000 - 1271770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1271790 ns R psr 81000200 - 1271790 ns MR4_I 01000208 2a001c5b - 1271810 ns MR4_I 01000200 07c96841 - 1271830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1271850 ns MR4_I 01000204 6002d1fc - 1271910 ns MR4_D 40006004 00000001 - 1271910 ns R r1 00000001 - 1271910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1271930 ns R r1 80000000 - 1271930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1271950 ns R psr 81000200 - 1271950 ns MR4_I 01000208 2a001c5b - 1271970 ns MR4_I 01000200 07c96841 - 1271990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1272010 ns MR4_I 01000204 6002d1fc - 1272070 ns MR4_D 40006004 00000001 - 1272070 ns R r1 00000001 - 1272070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1272090 ns R r1 80000000 - 1272090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1272110 ns R psr 81000200 - 1272110 ns MR4_I 01000208 2a001c5b - 1272130 ns MR4_I 01000200 07c96841 - 1272150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1272170 ns MR4_I 01000204 6002d1fc - 1272230 ns MR4_D 40006004 00000001 - 1272230 ns R r1 00000001 - 1272230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1272250 ns R r1 80000000 - 1272250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1272270 ns R psr 81000200 - 1272270 ns MR4_I 01000208 2a001c5b - 1272290 ns MR4_I 01000200 07c96841 - 1272310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1272330 ns MR4_I 01000204 6002d1fc - 1272390 ns MR4_D 40006004 00000001 - 1272390 ns R r1 00000001 - 1272390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1272410 ns R r1 80000000 - 1272410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1272430 ns R psr 81000200 - 1272430 ns MR4_I 01000208 2a001c5b - 1272450 ns MR4_I 01000200 07c96841 - 1272470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1272490 ns MR4_I 01000204 6002d1fc - 1272550 ns MR4_D 40006004 00000001 - 1272550 ns R r1 00000001 - 1272550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1272570 ns R r1 80000000 - 1272570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1272590 ns R psr 81000200 - 1272590 ns MR4_I 01000208 2a001c5b - 1272610 ns MR4_I 01000200 07c96841 - 1272630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1272650 ns MR4_I 01000204 6002d1fc - 1272710 ns MR4_D 40006004 00000001 - 1272710 ns R r1 00000001 - 1272710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1272730 ns R r1 80000000 - 1272730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1272750 ns R psr 81000200 - 1272750 ns MR4_I 01000208 2a001c5b - 1272770 ns MR4_I 01000200 07c96841 - 1272790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1272810 ns MR4_I 01000204 6002d1fc - 1272870 ns MR4_D 40006004 00000001 - 1272870 ns R r1 00000001 - 1272870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1272890 ns R r1 80000000 - 1272890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1272910 ns R psr 81000200 - 1272910 ns MR4_I 01000208 2a001c5b - 1272930 ns MR4_I 01000200 07c96841 - 1272950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1272970 ns MR4_I 01000204 6002d1fc - 1273030 ns MR4_D 40006004 00000001 - 1273030 ns R r1 00000001 - 1273030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1273050 ns R r1 80000000 - 1273050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1273070 ns R psr 81000200 - 1273070 ns MR4_I 01000208 2a001c5b - 1273090 ns MR4_I 01000200 07c96841 - 1273110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1273130 ns MR4_I 01000204 6002d1fc - 1273190 ns MR4_D 40006004 00000001 - 1273190 ns R r1 00000001 - 1273190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1273210 ns R r1 80000000 - 1273210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1273230 ns R psr 81000200 - 1273230 ns MR4_I 01000208 2a001c5b - 1273250 ns MR4_I 01000200 07c96841 - 1273270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1273290 ns MR4_I 01000204 6002d1fc - 1273350 ns MR4_D 40006004 00000001 - 1273350 ns R r1 00000001 - 1273350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1273370 ns R r1 80000000 - 1273370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1273390 ns R psr 81000200 - 1273390 ns MR4_I 01000208 2a001c5b - 1273410 ns MR4_I 01000200 07c96841 - 1273430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1273450 ns MR4_I 01000204 6002d1fc - 1273510 ns MR4_D 40006004 00000001 - 1273510 ns R r1 00000001 - 1273510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1273530 ns R r1 80000000 - 1273530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1273550 ns R psr 81000200 - 1273550 ns MR4_I 01000208 2a001c5b - 1273570 ns MR4_I 01000200 07c96841 - 1273590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1273610 ns MR4_I 01000204 6002d1fc - 1273670 ns MR4_D 40006004 00000001 - 1273670 ns R r1 00000001 - 1273670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1273690 ns R r1 80000000 - 1273690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1273710 ns R psr 81000200 - 1273710 ns MR4_I 01000208 2a001c5b - 1273730 ns MR4_I 01000200 07c96841 - 1273750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1273770 ns MR4_I 01000204 6002d1fc - 1273830 ns MR4_D 40006004 00000001 - 1273830 ns R r1 00000001 - 1273830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1273850 ns R r1 80000000 - 1273850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1273870 ns R psr 81000200 - 1273870 ns MR4_I 01000208 2a001c5b - 1273890 ns MR4_I 01000200 07c96841 - 1273910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1273930 ns MR4_I 01000204 6002d1fc - 1273990 ns MR4_D 40006004 00000001 - 1273990 ns R r1 00000001 - 1273990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1274010 ns R r1 80000000 - 1274010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1274030 ns R psr 81000200 - 1274030 ns MR4_I 01000208 2a001c5b - 1274050 ns MR4_I 01000200 07c96841 - 1274070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1274090 ns MR4_I 01000204 6002d1fc - 1274150 ns MR4_D 40006004 00000001 - 1274150 ns R r1 00000001 - 1274150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1274170 ns R r1 80000000 - 1274170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1274190 ns R psr 81000200 - 1274190 ns MR4_I 01000208 2a001c5b - 1274210 ns MR4_I 01000200 07c96841 - 1274230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1274250 ns MR4_I 01000204 6002d1fc - 1274310 ns MR4_D 40006004 00000001 - 1274310 ns R r1 00000001 - 1274310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1274330 ns R r1 80000000 - 1274330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1274350 ns R psr 81000200 - 1274350 ns MR4_I 01000208 2a001c5b - 1274370 ns MR4_I 01000200 07c96841 - 1274390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1274410 ns MR4_I 01000204 6002d1fc - 1274470 ns MR4_D 40006004 00000001 - 1274470 ns R r1 00000001 - 1274470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1274490 ns R r1 80000000 - 1274490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1274510 ns R psr 81000200 - 1274510 ns MR4_I 01000208 2a001c5b - 1274530 ns MR4_I 01000200 07c96841 - 1274550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1274570 ns MR4_I 01000204 6002d1fc - 1274630 ns MR4_D 40006004 00000001 - 1274630 ns R r1 00000001 - 1274630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1274650 ns R r1 80000000 - 1274650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1274670 ns R psr 81000200 - 1274670 ns MR4_I 01000208 2a001c5b - 1274690 ns MR4_I 01000200 07c96841 - 1274710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1274730 ns MR4_I 01000204 6002d1fc - 1274790 ns MR4_D 40006004 00000001 - 1274790 ns R r1 00000001 - 1274790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1274810 ns R r1 80000000 - 1274810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1274830 ns R psr 81000200 - 1274830 ns MR4_I 01000208 2a001c5b - 1274850 ns MR4_I 01000200 07c96841 - 1274870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1274890 ns MR4_I 01000204 6002d1fc - 1274950 ns MR4_D 40006004 00000001 - 1274950 ns R r1 00000001 - 1274950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1274970 ns R r1 80000000 - 1274970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1274990 ns R psr 81000200 - 1274990 ns MR4_I 01000208 2a001c5b - 1275010 ns MR4_I 01000200 07c96841 - 1275030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1275050 ns MR4_I 01000204 6002d1fc - 1275110 ns MR4_D 40006004 00000001 - 1275110 ns R r1 00000001 - 1275110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1275130 ns R r1 80000000 - 1275130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1275150 ns R psr 81000200 - 1275150 ns MR4_I 01000208 2a001c5b - 1275170 ns MR4_I 01000200 07c96841 - 1275190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1275210 ns MR4_I 01000204 6002d1fc - 1275270 ns MR4_D 40006004 00000001 - 1275270 ns R r1 00000001 - 1275270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1275290 ns R r1 80000000 - 1275290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1275310 ns R psr 81000200 - 1275310 ns MR4_I 01000208 2a001c5b - 1275330 ns MR4_I 01000200 07c96841 - 1275350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1275370 ns MR4_I 01000204 6002d1fc - 1275430 ns MR4_D 40006004 00000001 - 1275430 ns R r1 00000001 - 1275430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1275450 ns R r1 80000000 - 1275450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1275470 ns R psr 81000200 - 1275470 ns MR4_I 01000208 2a001c5b - 1275490 ns MR4_I 01000200 07c96841 - 1275510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1275530 ns MR4_I 01000204 6002d1fc - 1275590 ns MR4_D 40006004 00000001 - 1275590 ns R r1 00000001 - 1275590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1275610 ns R r1 80000000 - 1275610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1275630 ns R psr 81000200 - 1275630 ns MR4_I 01000208 2a001c5b - 1275650 ns MR4_I 01000200 07c96841 - 1275670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1275690 ns MR4_I 01000204 6002d1fc - 1275750 ns MR4_D 40006004 00000001 - 1275750 ns R r1 00000001 - 1275750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1275770 ns R r1 80000000 - 1275770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1275790 ns R psr 81000200 - 1275790 ns MR4_I 01000208 2a001c5b - 1275810 ns MR4_I 01000200 07c96841 - 1275830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1275850 ns MR4_I 01000204 6002d1fc - 1275910 ns MR4_D 40006004 00000001 - 1275910 ns R r1 00000001 - 1275910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1275930 ns R r1 80000000 - 1275930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1275950 ns R psr 81000200 - 1275950 ns MR4_I 01000208 2a001c5b - 1275970 ns MR4_I 01000200 07c96841 - 1275990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1276010 ns MR4_I 01000204 6002d1fc - 1276070 ns MR4_D 40006004 00000001 - 1276070 ns R r1 00000001 - 1276070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1276090 ns R r1 80000000 - 1276090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1276110 ns R psr 81000200 - 1276110 ns MR4_I 01000208 2a001c5b - 1276130 ns MR4_I 01000200 07c96841 - 1276150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1276170 ns MR4_I 01000204 6002d1fc - 1276230 ns MR4_D 40006004 00000001 - 1276230 ns R r1 00000001 - 1276230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1276250 ns R r1 80000000 - 1276250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1276270 ns R psr 81000200 - 1276270 ns MR4_I 01000208 2a001c5b - 1276290 ns MR4_I 01000200 07c96841 - 1276310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1276330 ns MR4_I 01000204 6002d1fc - 1276390 ns MR4_D 40006004 00000001 - 1276390 ns R r1 00000001 - 1276390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1276410 ns R r1 80000000 - 1276410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1276430 ns R psr 81000200 - 1276430 ns MR4_I 01000208 2a001c5b - 1276450 ns MR4_I 01000200 07c96841 - 1276470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1276490 ns MR4_I 01000204 6002d1fc - 1276550 ns MR4_D 40006004 00000001 - 1276550 ns R r1 00000001 - 1276550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1276570 ns R r1 80000000 - 1276570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1276590 ns R psr 81000200 - 1276590 ns MR4_I 01000208 2a001c5b - 1276610 ns MR4_I 01000200 07c96841 - 1276630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1276650 ns MR4_I 01000204 6002d1fc - 1276710 ns MR4_D 40006004 00000001 - 1276710 ns R r1 00000001 - 1276710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1276730 ns R r1 80000000 - 1276730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1276750 ns R psr 81000200 - 1276750 ns MR4_I 01000208 2a001c5b - 1276770 ns MR4_I 01000200 07c96841 - 1276790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1276810 ns MR4_I 01000204 6002d1fc - 1276870 ns MR4_D 40006004 00000001 - 1276870 ns R r1 00000001 - 1276870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1276890 ns R r1 80000000 - 1276890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1276910 ns R psr 81000200 - 1276910 ns MR4_I 01000208 2a001c5b - 1276930 ns MR4_I 01000200 07c96841 - 1276950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1276970 ns MR4_I 01000204 6002d1fc - 1277030 ns MR4_D 40006004 00000001 - 1277030 ns R r1 00000001 - 1277030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1277050 ns R r1 80000000 - 1277050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1277070 ns R psr 81000200 - 1277070 ns MR4_I 01000208 2a001c5b - 1277090 ns MR4_I 01000200 07c96841 - 1277110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1277130 ns MR4_I 01000204 6002d1fc - 1277190 ns MR4_D 40006004 00000001 - 1277190 ns R r1 00000001 - 1277190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1277210 ns R r1 80000000 - 1277210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1277230 ns R psr 81000200 - 1277230 ns MR4_I 01000208 2a001c5b - 1277250 ns MR4_I 01000200 07c96841 - 1277270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1277290 ns MR4_I 01000204 6002d1fc - 1277350 ns MR4_D 40006004 00000001 - 1277350 ns R r1 00000001 - 1277350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1277370 ns R r1 80000000 - 1277370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1277390 ns R psr 81000200 - 1277390 ns MR4_I 01000208 2a001c5b - 1277410 ns MR4_I 01000200 07c96841 - 1277430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1277450 ns MR4_I 01000204 6002d1fc - 1277510 ns MR4_D 40006004 00000001 - 1277510 ns R r1 00000001 - 1277510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1277530 ns R r1 80000000 - 1277530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1277550 ns R psr 81000200 - 1277550 ns MR4_I 01000208 2a001c5b - 1277570 ns MR4_I 01000200 07c96841 - 1277590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1277610 ns MR4_I 01000204 6002d1fc - 1277670 ns MR4_D 40006004 00000001 - 1277670 ns R r1 00000001 - 1277670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1277690 ns R r1 80000000 - 1277690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1277710 ns R psr 81000200 - 1277710 ns MR4_I 01000208 2a001c5b - 1277730 ns MR4_I 01000200 07c96841 - 1277750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1277770 ns MR4_I 01000204 6002d1fc - 1277830 ns MR4_D 40006004 00000001 - 1277830 ns R r1 00000001 - 1277830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1277850 ns R r1 80000000 - 1277850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1277870 ns R psr 81000200 - 1277870 ns MR4_I 01000208 2a001c5b - 1277890 ns MR4_I 01000200 07c96841 - 1277910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1277930 ns MR4_I 01000204 6002d1fc - 1277990 ns MR4_D 40006004 00000001 - 1277990 ns R r1 00000001 - 1277990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1278010 ns R r1 80000000 - 1278010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1278030 ns R psr 81000200 - 1278030 ns MR4_I 01000208 2a001c5b - 1278050 ns MR4_I 01000200 07c96841 - 1278070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1278090 ns MR4_I 01000204 6002d1fc - 1278150 ns MR4_D 40006004 00000001 - 1278150 ns R r1 00000001 - 1278150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1278170 ns R r1 80000000 - 1278170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1278190 ns R psr 81000200 - 1278190 ns MR4_I 01000208 2a001c5b - 1278210 ns MR4_I 01000200 07c96841 - 1278230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1278250 ns MR4_I 01000204 6002d1fc - 1278310 ns MR4_D 40006004 00000001 - 1278310 ns R r1 00000001 - 1278310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1278330 ns R r1 80000000 - 1278330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1278350 ns R psr 81000200 - 1278350 ns MR4_I 01000208 2a001c5b - 1278370 ns MR4_I 01000200 07c96841 - 1278390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1278410 ns MR4_I 01000204 6002d1fc - 1278470 ns MR4_D 40006004 00000001 - 1278470 ns R r1 00000001 - 1278470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1278490 ns R r1 80000000 - 1278490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1278510 ns R psr 81000200 - 1278510 ns MR4_I 01000208 2a001c5b - 1278530 ns MR4_I 01000200 07c96841 - 1278550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1278570 ns MR4_I 01000204 6002d1fc - 1278630 ns MR4_D 40006004 00000001 - 1278630 ns R r1 00000001 - 1278630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1278650 ns R r1 80000000 - 1278650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1278670 ns R psr 81000200 - 1278670 ns MR4_I 01000208 2a001c5b - 1278690 ns MR4_I 01000200 07c96841 - 1278710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1278730 ns MR4_I 01000204 6002d1fc - 1278790 ns MR4_D 40006004 00000001 - 1278790 ns R r1 00000001 - 1278790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1278810 ns R r1 80000000 - 1278810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1278830 ns R psr 81000200 - 1278830 ns MR4_I 01000208 2a001c5b - 1278850 ns MR4_I 01000200 07c96841 - 1278870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1278890 ns MR4_I 01000204 6002d1fc - 1278950 ns MR4_D 40006004 00000001 - 1278950 ns R r1 00000001 - 1278950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1278970 ns R r1 80000000 - 1278970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1278990 ns R psr 81000200 - 1278990 ns MR4_I 01000208 2a001c5b - 1279010 ns MR4_I 01000200 07c96841 - 1279030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1279050 ns MR4_I 01000204 6002d1fc - 1279110 ns MR4_D 40006004 00000001 - 1279110 ns R r1 00000001 - 1279110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1279130 ns R r1 80000000 - 1279130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1279150 ns R psr 81000200 - 1279150 ns MR4_I 01000208 2a001c5b - 1279170 ns MR4_I 01000200 07c96841 - 1279190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1279210 ns MR4_I 01000204 6002d1fc - 1279270 ns MR4_D 40006004 00000001 - 1279270 ns R r1 00000001 - 1279270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1279290 ns R r1 80000000 - 1279290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1279310 ns R psr 81000200 - 1279310 ns MR4_I 01000208 2a001c5b - 1279330 ns MR4_I 01000200 07c96841 - 1279350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1279370 ns MR4_I 01000204 6002d1fc - 1279430 ns MR4_D 40006004 00000001 - 1279430 ns R r1 00000001 - 1279430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1279450 ns R r1 80000000 - 1279450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1279470 ns R psr 81000200 - 1279470 ns MR4_I 01000208 2a001c5b - 1279490 ns MR4_I 01000200 07c96841 - 1279510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1279530 ns MR4_I 01000204 6002d1fc - 1279590 ns MR4_D 40006004 00000001 - 1279590 ns R r1 00000001 - 1279590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1279610 ns R r1 80000000 - 1279610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1279630 ns R psr 81000200 - 1279630 ns MR4_I 01000208 2a001c5b - 1279650 ns MR4_I 01000200 07c96841 - 1279670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1279690 ns MR4_I 01000204 6002d1fc - 1279750 ns MR4_D 40006004 00000001 - 1279750 ns R r1 00000001 - 1279750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1279770 ns R r1 80000000 - 1279770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1279790 ns R psr 81000200 - 1279790 ns MR4_I 01000208 2a001c5b - 1279810 ns MR4_I 01000200 07c96841 - 1279830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1279850 ns MR4_I 01000204 6002d1fc - 1279910 ns MR4_D 40006004 00000001 - 1279910 ns R r1 00000001 - 1279910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1279930 ns R r1 80000000 - 1279930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1279950 ns R psr 81000200 - 1279950 ns MR4_I 01000208 2a001c5b - 1279970 ns MR4_I 01000200 07c96841 - 1279990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1280010 ns MR4_I 01000204 6002d1fc - 1280070 ns MR4_D 40006004 00000001 - 1280070 ns R r1 00000001 - 1280070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1280090 ns R r1 80000000 - 1280090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1280110 ns R psr 81000200 - 1280110 ns MR4_I 01000208 2a001c5b - 1280130 ns MR4_I 01000200 07c96841 - 1280150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1280170 ns MR4_I 01000204 6002d1fc - 1280230 ns MR4_D 40006004 00000001 - 1280230 ns R r1 00000001 - 1280230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1280250 ns R r1 80000000 - 1280250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1280270 ns R psr 81000200 - 1280270 ns MR4_I 01000208 2a001c5b - 1280290 ns MR4_I 01000200 07c96841 - 1280310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1280330 ns MR4_I 01000204 6002d1fc - 1280390 ns MR4_D 40006004 00000001 - 1280390 ns R r1 00000001 - 1280390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1280410 ns R r1 80000000 - 1280410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1280430 ns R psr 81000200 - 1280430 ns MR4_I 01000208 2a001c5b - 1280450 ns MR4_I 01000200 07c96841 - 1280470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1280490 ns MR4_I 01000204 6002d1fc - 1280550 ns MR4_D 40006004 00000001 - 1280550 ns R r1 00000001 - 1280550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1280570 ns R r1 80000000 - 1280570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1280590 ns R psr 81000200 - 1280590 ns MR4_I 01000208 2a001c5b - 1280610 ns MR4_I 01000200 07c96841 - 1280630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1280650 ns MR4_I 01000204 6002d1fc - 1280710 ns MR4_D 40006004 00000001 - 1280710 ns R r1 00000001 - 1280710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1280730 ns R r1 80000000 - 1280730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1280750 ns R psr 81000200 - 1280750 ns MR4_I 01000208 2a001c5b - 1280770 ns MR4_I 01000200 07c96841 - 1280790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1280810 ns MR4_I 01000204 6002d1fc - 1280870 ns MR4_D 40006004 00000001 - 1280870 ns R r1 00000001 - 1280870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1280890 ns R r1 80000000 - 1280890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1280910 ns R psr 81000200 - 1280910 ns MR4_I 01000208 2a001c5b - 1280930 ns MR4_I 01000200 07c96841 - 1280950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1280970 ns MR4_I 01000204 6002d1fc - 1281030 ns MR4_D 40006004 00000001 - 1281030 ns R r1 00000001 - 1281030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1281050 ns R r1 80000000 - 1281050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1281070 ns R psr 81000200 - 1281070 ns MR4_I 01000208 2a001c5b - 1281090 ns MR4_I 01000200 07c96841 - 1281110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1281130 ns MR4_I 01000204 6002d1fc - 1281190 ns MR4_D 40006004 00000001 - 1281190 ns R r1 00000001 - 1281190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1281210 ns R r1 80000000 - 1281210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1281230 ns R psr 81000200 - 1281230 ns MR4_I 01000208 2a001c5b - 1281250 ns MR4_I 01000200 07c96841 - 1281270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1281290 ns MR4_I 01000204 6002d1fc - 1281350 ns MR4_D 40006004 00000001 - 1281350 ns R r1 00000001 - 1281350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1281370 ns R r1 80000000 - 1281370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1281390 ns R psr 81000200 - 1281390 ns MR4_I 01000208 2a001c5b - 1281410 ns MR4_I 01000200 07c96841 - 1281430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1281450 ns MR4_I 01000204 6002d1fc - 1281510 ns MR4_D 40006004 00000001 - 1281510 ns R r1 00000001 - 1281510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1281530 ns R r1 80000000 - 1281530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1281550 ns R psr 81000200 - 1281550 ns MR4_I 01000208 2a001c5b - 1281570 ns MR4_I 01000200 07c96841 - 1281590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1281610 ns MR4_I 01000204 6002d1fc - 1281670 ns MR4_D 40006004 00000001 - 1281670 ns R r1 00000001 - 1281670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1281690 ns R r1 80000000 - 1281690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1281710 ns R psr 81000200 - 1281710 ns MR4_I 01000208 2a001c5b - 1281730 ns MR4_I 01000200 07c96841 - 1281750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1281770 ns MR4_I 01000204 6002d1fc - 1281830 ns MR4_D 40006004 00000001 - 1281830 ns R r1 00000001 - 1281830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1281850 ns R r1 80000000 - 1281850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1281870 ns R psr 81000200 - 1281870 ns MR4_I 01000208 2a001c5b - 1281890 ns MR4_I 01000200 07c96841 - 1281910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1281930 ns MR4_I 01000204 6002d1fc - 1281990 ns MR4_D 40006004 00000001 - 1281990 ns R r1 00000001 - 1281990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1282010 ns R r1 80000000 - 1282010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1282030 ns R psr 81000200 - 1282030 ns MR4_I 01000208 2a001c5b - 1282050 ns MR4_I 01000200 07c96841 - 1282070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1282090 ns MR4_I 01000204 6002d1fc - 1282150 ns MR4_D 40006004 00000001 - 1282150 ns R r1 00000001 - 1282150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1282170 ns R r1 80000000 - 1282170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1282190 ns R psr 81000200 - 1282190 ns MR4_I 01000208 2a001c5b - 1282210 ns MR4_I 01000200 07c96841 - 1282230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1282250 ns MR4_I 01000204 6002d1fc - 1282310 ns MR4_D 40006004 00000001 - 1282310 ns R r1 00000001 - 1282310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1282330 ns R r1 80000000 - 1282330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1282350 ns R psr 81000200 - 1282350 ns MR4_I 01000208 2a001c5b - 1282370 ns MR4_I 01000200 07c96841 - 1282390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1282410 ns MR4_I 01000204 6002d1fc - 1282470 ns MR4_D 40006004 00000001 - 1282470 ns R r1 00000001 - 1282470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1282490 ns R r1 80000000 - 1282490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1282510 ns R psr 81000200 - 1282510 ns MR4_I 01000208 2a001c5b - 1282530 ns MR4_I 01000200 07c96841 - 1282550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1282570 ns MR4_I 01000204 6002d1fc - 1282630 ns MR4_D 40006004 00000001 - 1282630 ns R r1 00000001 - 1282630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1282650 ns R r1 80000000 - 1282650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1282670 ns R psr 81000200 - 1282670 ns MR4_I 01000208 2a001c5b - 1282690 ns MR4_I 01000200 07c96841 - 1282710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1282730 ns MR4_I 01000204 6002d1fc - 1282790 ns MR4_D 40006004 00000001 - 1282790 ns R r1 00000001 - 1282790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1282810 ns R r1 80000000 - 1282810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1282830 ns R psr 81000200 - 1282830 ns MR4_I 01000208 2a001c5b - 1282850 ns MR4_I 01000200 07c96841 - 1282870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1282890 ns MR4_I 01000204 6002d1fc - 1282950 ns MR4_D 40006004 00000001 - 1282950 ns R r1 00000001 - 1282950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1282970 ns R r1 80000000 - 1282970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1282990 ns R psr 81000200 - 1282990 ns MR4_I 01000208 2a001c5b - 1283010 ns MR4_I 01000200 07c96841 - 1283030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1283050 ns MR4_I 01000204 6002d1fc - 1283110 ns MR4_D 40006004 00000001 - 1283110 ns R r1 00000001 - 1283110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1283130 ns R r1 80000000 - 1283130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1283150 ns R psr 81000200 - 1283150 ns MR4_I 01000208 2a001c5b - 1283170 ns MR4_I 01000200 07c96841 - 1283190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1283210 ns MR4_I 01000204 6002d1fc - 1283270 ns MR4_D 40006004 00000001 - 1283270 ns R r1 00000001 - 1283270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1283290 ns R r1 80000000 - 1283290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1283310 ns R psr 81000200 - 1283310 ns MR4_I 01000208 2a001c5b - 1283330 ns MR4_I 01000200 07c96841 - 1283350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1283370 ns MR4_I 01000204 6002d1fc - 1283430 ns MR4_D 40006004 00000001 - 1283430 ns R r1 00000001 - 1283430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1283450 ns R r1 80000000 - 1283450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1283470 ns R psr 81000200 - 1283470 ns MR4_I 01000208 2a001c5b - 1283490 ns MR4_I 01000200 07c96841 - 1283510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1283530 ns MR4_I 01000204 6002d1fc - 1283590 ns MR4_D 40006004 00000001 - 1283590 ns R r1 00000001 - 1283590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1283610 ns R r1 80000000 - 1283610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1283630 ns R psr 81000200 - 1283630 ns MR4_I 01000208 2a001c5b - 1283650 ns MR4_I 01000200 07c96841 - 1283670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1283690 ns MR4_I 01000204 6002d1fc - 1283750 ns MR4_D 40006004 00000001 - 1283750 ns R r1 00000001 - 1283750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1283770 ns R r1 80000000 - 1283770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1283790 ns R psr 81000200 - 1283790 ns MR4_I 01000208 2a001c5b - 1283810 ns MR4_I 01000200 07c96841 - 1283830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1283850 ns MR4_I 01000204 6002d1fc - 1283910 ns MR4_D 40006004 00000001 - 1283910 ns R r1 00000001 - 1283910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1283930 ns R r1 80000000 - 1283930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1283950 ns R psr 81000200 - 1283950 ns MR4_I 01000208 2a001c5b - 1283970 ns MR4_I 01000200 07c96841 - 1283990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1284010 ns MR4_I 01000204 6002d1fc - 1284070 ns MR4_D 40006004 00000001 - 1284070 ns R r1 00000001 - 1284070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1284090 ns R r1 80000000 - 1284090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1284110 ns R psr 81000200 - 1284110 ns MR4_I 01000208 2a001c5b - 1284130 ns MR4_I 01000200 07c96841 - 1284150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1284170 ns MR4_I 01000204 6002d1fc - 1284230 ns MR4_D 40006004 00000001 - 1284230 ns R r1 00000001 - 1284230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1284250 ns R r1 80000000 - 1284250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1284270 ns R psr 81000200 - 1284270 ns MR4_I 01000208 2a001c5b - 1284290 ns MR4_I 01000200 07c96841 - 1284310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1284330 ns MR4_I 01000204 6002d1fc - 1284390 ns MR4_D 40006004 00000001 - 1284390 ns R r1 00000001 - 1284390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1284410 ns R r1 80000000 - 1284410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1284430 ns R psr 81000200 - 1284430 ns MR4_I 01000208 2a001c5b - 1284450 ns MR4_I 01000200 07c96841 - 1284470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1284490 ns MR4_I 01000204 6002d1fc - 1284550 ns MR4_D 40006004 00000001 - 1284550 ns R r1 00000001 - 1284550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1284570 ns R r1 80000000 - 1284570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1284590 ns R psr 81000200 - 1284590 ns MR4_I 01000208 2a001c5b - 1284610 ns MR4_I 01000200 07c96841 - 1284630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1284650 ns MR4_I 01000204 6002d1fc - 1284710 ns MR4_D 40006004 00000001 - 1284710 ns R r1 00000001 - 1284710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1284730 ns R r1 80000000 - 1284730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1284750 ns R psr 81000200 - 1284750 ns MR4_I 01000208 2a001c5b - 1284770 ns MR4_I 01000200 07c96841 - 1284790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1284810 ns MR4_I 01000204 6002d1fc - 1284870 ns MR4_D 40006004 00000001 - 1284870 ns R r1 00000001 - 1284870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1284890 ns R r1 80000000 - 1284890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1284910 ns R psr 81000200 - 1284910 ns MR4_I 01000208 2a001c5b - 1284930 ns MR4_I 01000200 07c96841 - 1284950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1284970 ns MR4_I 01000204 6002d1fc - 1285030 ns MR4_D 40006004 00000001 - 1285030 ns R r1 00000001 - 1285030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1285050 ns R r1 80000000 - 1285050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1285070 ns R psr 81000200 - 1285070 ns MR4_I 01000208 2a001c5b - 1285090 ns MR4_I 01000200 07c96841 - 1285110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1285130 ns MR4_I 01000204 6002d1fc - 1285190 ns MR4_D 40006004 00000001 - 1285190 ns R r1 00000001 - 1285190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1285210 ns R r1 80000000 - 1285210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1285230 ns R psr 81000200 - 1285230 ns MR4_I 01000208 2a001c5b - 1285250 ns MR4_I 01000200 07c96841 - 1285270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1285290 ns MR4_I 01000204 6002d1fc - 1285350 ns MR4_D 40006004 00000001 - 1285350 ns R r1 00000001 - 1285350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1285370 ns R r1 80000000 - 1285370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1285390 ns R psr 81000200 - 1285390 ns MR4_I 01000208 2a001c5b - 1285410 ns MR4_I 01000200 07c96841 - 1285430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1285450 ns MR4_I 01000204 6002d1fc - 1285510 ns MR4_D 40006004 00000001 - 1285510 ns R r1 00000001 - 1285510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1285530 ns R r1 80000000 - 1285530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1285550 ns R psr 81000200 - 1285550 ns MR4_I 01000208 2a001c5b - 1285570 ns MR4_I 01000200 07c96841 - 1285590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1285610 ns MR4_I 01000204 6002d1fc - 1285670 ns MR4_D 40006004 00000001 - 1285670 ns R r1 00000001 - 1285670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1285690 ns R r1 80000000 - 1285690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1285710 ns R psr 81000200 - 1285710 ns MR4_I 01000208 2a001c5b - 1285730 ns MR4_I 01000200 07c96841 - 1285750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1285770 ns MR4_I 01000204 6002d1fc - 1285830 ns MR4_D 40006004 00000001 - 1285830 ns R r1 00000001 - 1285830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1285850 ns R r1 80000000 - 1285850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1285870 ns R psr 81000200 - 1285870 ns MR4_I 01000208 2a001c5b - 1285890 ns MR4_I 01000200 07c96841 - 1285910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1285930 ns MR4_I 01000204 6002d1fc - 1285990 ns MR4_D 40006004 00000001 - 1285990 ns R r1 00000001 - 1285990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1286010 ns R r1 80000000 - 1286010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1286030 ns R psr 81000200 - 1286030 ns MR4_I 01000208 2a001c5b - 1286050 ns MR4_I 01000200 07c96841 - 1286070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1286090 ns MR4_I 01000204 6002d1fc - 1286150 ns MR4_D 40006004 00000001 - 1286150 ns R r1 00000001 - 1286150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1286170 ns R r1 80000000 - 1286170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1286190 ns R psr 81000200 - 1286190 ns MR4_I 01000208 2a001c5b - 1286210 ns MR4_I 01000200 07c96841 - 1286230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1286250 ns MR4_I 01000204 6002d1fc - 1286310 ns MR4_D 40006004 00000001 - 1286310 ns R r1 00000001 - 1286310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1286330 ns R r1 80000000 - 1286330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1286350 ns R psr 81000200 - 1286350 ns MR4_I 01000208 2a001c5b - 1286370 ns MR4_I 01000200 07c96841 - 1286390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1286410 ns MR4_I 01000204 6002d1fc - 1286470 ns MR4_D 40006004 00000001 - 1286470 ns R r1 00000001 - 1286470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1286490 ns R r1 80000000 - 1286490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1286510 ns R psr 81000200 - 1286510 ns MR4_I 01000208 2a001c5b - 1286530 ns MR4_I 01000200 07c96841 - 1286550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1286570 ns MR4_I 01000204 6002d1fc - 1286630 ns MR4_D 40006004 00000001 - 1286630 ns R r1 00000001 - 1286630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1286650 ns R r1 80000000 - 1286650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1286670 ns R psr 81000200 - 1286670 ns MR4_I 01000208 2a001c5b - 1286690 ns MR4_I 01000200 07c96841 - 1286710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1286730 ns MR4_I 01000204 6002d1fc - 1286790 ns MR4_D 40006004 00000001 - 1286790 ns R r1 00000001 - 1286790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1286810 ns R r1 80000000 - 1286810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1286830 ns R psr 81000200 - 1286830 ns MR4_I 01000208 2a001c5b - 1286850 ns MR4_I 01000200 07c96841 - 1286870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1286890 ns MR4_I 01000204 6002d1fc - 1286950 ns MR4_D 40006004 00000001 - 1286950 ns R r1 00000001 - 1286950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1286970 ns R r1 80000000 - 1286970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1286990 ns R psr 81000200 - 1286990 ns MR4_I 01000208 2a001c5b - 1287010 ns MR4_I 01000200 07c96841 - 1287030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1287050 ns MR4_I 01000204 6002d1fc - 1287110 ns MR4_D 40006004 00000001 - 1287110 ns R r1 00000001 - 1287110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1287130 ns R r1 80000000 - 1287130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1287150 ns R psr 81000200 - 1287150 ns MR4_I 01000208 2a001c5b - 1287170 ns MR4_I 01000200 07c96841 - 1287190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1287210 ns MR4_I 01000204 6002d1fc - 1287270 ns MR4_D 40006004 00000001 - 1287270 ns R r1 00000001 - 1287270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1287290 ns R r1 80000000 - 1287290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1287310 ns R psr 81000200 - 1287310 ns MR4_I 01000208 2a001c5b - 1287330 ns MR4_I 01000200 07c96841 - 1287350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1287370 ns MR4_I 01000204 6002d1fc - 1287430 ns MR4_D 40006004 00000001 - 1287430 ns R r1 00000001 - 1287430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1287450 ns R r1 80000000 - 1287450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1287470 ns R psr 81000200 - 1287470 ns MR4_I 01000208 2a001c5b - 1287490 ns MR4_I 01000200 07c96841 - 1287510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1287530 ns MR4_I 01000204 6002d1fc - 1287590 ns MR4_D 40006004 00000001 - 1287590 ns R r1 00000001 - 1287590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1287610 ns R r1 80000000 - 1287610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1287630 ns R psr 81000200 - 1287630 ns MR4_I 01000208 2a001c5b - 1287650 ns MR4_I 01000200 07c96841 - 1287670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1287690 ns MR4_I 01000204 6002d1fc - 1287750 ns MR4_D 40006004 00000001 - 1287750 ns R r1 00000001 - 1287750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1287770 ns R r1 80000000 - 1287770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1287790 ns R psr 81000200 - 1287790 ns MR4_I 01000208 2a001c5b - 1287810 ns MR4_I 01000200 07c96841 - 1287830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1287850 ns MR4_I 01000204 6002d1fc - 1287910 ns MR4_D 40006004 00000001 - 1287910 ns R r1 00000001 - 1287910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1287930 ns R r1 80000000 - 1287930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1287950 ns R psr 81000200 - 1287950 ns MR4_I 01000208 2a001c5b - 1287970 ns MR4_I 01000200 07c96841 - 1287990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1288010 ns MR4_I 01000204 6002d1fc - 1288070 ns MR4_D 40006004 00000001 - 1288070 ns R r1 00000001 - 1288070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1288090 ns R r1 80000000 - 1288090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1288110 ns R psr 81000200 - 1288110 ns MR4_I 01000208 2a001c5b - 1288130 ns MR4_I 01000200 07c96841 - 1288150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1288170 ns MR4_I 01000204 6002d1fc - 1288230 ns MR4_D 40006004 00000001 - 1288230 ns R r1 00000001 - 1288230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1288250 ns R r1 80000000 - 1288250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1288270 ns R psr 81000200 - 1288270 ns MR4_I 01000208 2a001c5b - 1288290 ns MR4_I 01000200 07c96841 - 1288310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1288330 ns MR4_I 01000204 6002d1fc - 1288390 ns MR4_D 40006004 00000001 - 1288390 ns R r1 00000001 - 1288390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1288410 ns R r1 80000000 - 1288410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1288430 ns R psr 81000200 - 1288430 ns MR4_I 01000208 2a001c5b - 1288450 ns MR4_I 01000200 07c96841 - 1288470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1288490 ns MR4_I 01000204 6002d1fc - 1288550 ns MR4_D 40006004 00000001 - 1288550 ns R r1 00000001 - 1288550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1288570 ns R r1 80000000 - 1288570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1288590 ns R psr 81000200 - 1288590 ns MR4_I 01000208 2a001c5b - 1288610 ns MR4_I 01000200 07c96841 - 1288630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1288650 ns MR4_I 01000204 6002d1fc - 1288710 ns MR4_D 40006004 00000001 - 1288710 ns R r1 00000001 - 1288710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1288730 ns R r1 80000000 - 1288730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1288750 ns R psr 81000200 - 1288750 ns MR4_I 01000208 2a001c5b - 1288770 ns MR4_I 01000200 07c96841 - 1288790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1288810 ns MR4_I 01000204 6002d1fc - 1288870 ns MR4_D 40006004 00000001 - 1288870 ns R r1 00000001 - 1288870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1288890 ns R r1 80000000 - 1288890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1288910 ns R psr 81000200 - 1288910 ns MR4_I 01000208 2a001c5b - 1288930 ns MR4_I 01000200 07c96841 - 1288950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1288970 ns MR4_I 01000204 6002d1fc - 1289030 ns MR4_D 40006004 00000001 - 1289030 ns R r1 00000001 - 1289030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1289050 ns R r1 80000000 - 1289050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1289070 ns R psr 81000200 - 1289070 ns MR4_I 01000208 2a001c5b - 1289090 ns MR4_I 01000200 07c96841 - 1289110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1289130 ns MR4_I 01000204 6002d1fc - 1289190 ns MR4_D 40006004 00000001 - 1289190 ns R r1 00000001 - 1289190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1289210 ns R r1 80000000 - 1289210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1289230 ns R psr 81000200 - 1289230 ns MR4_I 01000208 2a001c5b - 1289250 ns MR4_I 01000200 07c96841 - 1289270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1289290 ns MR4_I 01000204 6002d1fc - 1289350 ns MR4_D 40006004 00000001 - 1289350 ns R r1 00000001 - 1289350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1289370 ns R r1 80000000 - 1289370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1289390 ns R psr 81000200 - 1289390 ns MR4_I 01000208 2a001c5b - 1289410 ns MR4_I 01000200 07c96841 - 1289430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1289450 ns MR4_I 01000204 6002d1fc - 1289510 ns MR4_D 40006004 00000001 - 1289510 ns R r1 00000001 - 1289510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1289530 ns R r1 80000000 - 1289530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1289550 ns R psr 81000200 - 1289550 ns MR4_I 01000208 2a001c5b - 1289570 ns MR4_I 01000200 07c96841 - 1289590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1289610 ns MR4_I 01000204 6002d1fc - 1289670 ns MR4_D 40006004 00000001 - 1289670 ns R r1 00000001 - 1289670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1289690 ns R r1 80000000 - 1289690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1289710 ns R psr 81000200 - 1289710 ns MR4_I 01000208 2a001c5b - 1289730 ns MR4_I 01000200 07c96841 - 1289750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1289770 ns MR4_I 01000204 6002d1fc - 1289830 ns MR4_D 40006004 00000001 - 1289830 ns R r1 00000001 - 1289830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1289850 ns R r1 80000000 - 1289850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1289870 ns R psr 81000200 - 1289870 ns MR4_I 01000208 2a001c5b - 1289890 ns MR4_I 01000200 07c96841 - 1289910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1289930 ns MR4_I 01000204 6002d1fc - 1289990 ns MR4_D 40006004 00000001 - 1289990 ns R r1 00000001 - 1289990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1290010 ns R r1 80000000 - 1290010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1290030 ns R psr 81000200 - 1290030 ns MR4_I 01000208 2a001c5b - 1290050 ns MR4_I 01000200 07c96841 - 1290070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1290090 ns MR4_I 01000204 6002d1fc - 1290150 ns MR4_D 40006004 00000001 - 1290150 ns R r1 00000001 - 1290150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1290170 ns R r1 80000000 - 1290170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1290190 ns R psr 81000200 - 1290190 ns MR4_I 01000208 2a001c5b - 1290210 ns MR4_I 01000200 07c96841 - 1290230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1290250 ns MR4_I 01000204 6002d1fc - 1290310 ns MR4_D 40006004 00000001 - 1290310 ns R r1 00000001 - 1290310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1290330 ns R r1 80000000 - 1290330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1290350 ns R psr 81000200 - 1290350 ns MR4_I 01000208 2a001c5b - 1290370 ns MR4_I 01000200 07c96841 - 1290390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1290410 ns MR4_I 01000204 6002d1fc - 1290470 ns MR4_D 40006004 00000001 - 1290470 ns R r1 00000001 - 1290470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1290490 ns R r1 80000000 - 1290490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1290510 ns R psr 81000200 - 1290510 ns MR4_I 01000208 2a001c5b - 1290530 ns MR4_I 01000200 07c96841 - 1290550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1290570 ns MR4_I 01000204 6002d1fc - 1290630 ns MR4_D 40006004 00000001 - 1290630 ns R r1 00000001 - 1290630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1290650 ns R r1 80000000 - 1290650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1290670 ns R psr 81000200 - 1290670 ns MR4_I 01000208 2a001c5b - 1290690 ns MR4_I 01000200 07c96841 - 1290710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1290730 ns MR4_I 01000204 6002d1fc - 1290790 ns MR4_D 40006004 00000001 - 1290790 ns R r1 00000001 - 1290790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1290810 ns R r1 80000000 - 1290810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1290830 ns R psr 81000200 - 1290830 ns MR4_I 01000208 2a001c5b - 1290850 ns MR4_I 01000200 07c96841 - 1290870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1290890 ns MR4_I 01000204 6002d1fc - 1290950 ns MR4_D 40006004 00000001 - 1290950 ns R r1 00000001 - 1290950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1290970 ns R r1 80000000 - 1290970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1290990 ns R psr 81000200 - 1290990 ns MR4_I 01000208 2a001c5b - 1291010 ns MR4_I 01000200 07c96841 - 1291030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1291050 ns MR4_I 01000204 6002d1fc - 1291110 ns MR4_D 40006004 00000001 - 1291110 ns R r1 00000001 - 1291110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1291130 ns R r1 80000000 - 1291130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1291150 ns R psr 81000200 - 1291150 ns MR4_I 01000208 2a001c5b - 1291170 ns MR4_I 01000200 07c96841 - 1291190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1291210 ns MR4_I 01000204 6002d1fc - 1291270 ns MR4_D 40006004 00000001 - 1291270 ns R r1 00000001 - 1291270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1291290 ns R r1 80000000 - 1291290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1291310 ns R psr 81000200 - 1291310 ns MR4_I 01000208 2a001c5b - 1291330 ns MR4_I 01000200 07c96841 - 1291350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1291370 ns MR4_I 01000204 6002d1fc - 1291430 ns MR4_D 40006004 00000001 - 1291430 ns R r1 00000001 - 1291430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1291450 ns R r1 80000000 - 1291450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1291470 ns R psr 81000200 - 1291470 ns MR4_I 01000208 2a001c5b - 1291490 ns MR4_I 01000200 07c96841 - 1291510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1291530 ns MR4_I 01000204 6002d1fc - 1291590 ns MR4_D 40006004 00000001 - 1291590 ns R r1 00000001 - 1291590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1291610 ns R r1 80000000 - 1291610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1291630 ns R psr 81000200 - 1291630 ns MR4_I 01000208 2a001c5b - 1291650 ns MR4_I 01000200 07c96841 - 1291670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1291690 ns MR4_I 01000204 6002d1fc - 1291750 ns MR4_D 40006004 00000001 - 1291750 ns R r1 00000001 - 1291750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1291770 ns R r1 80000000 - 1291770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1291790 ns R psr 81000200 - 1291790 ns MR4_I 01000208 2a001c5b - 1291810 ns MR4_I 01000200 07c96841 - 1291830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1291850 ns MR4_I 01000204 6002d1fc - 1291910 ns MR4_D 40006004 00000001 - 1291910 ns R r1 00000001 - 1291910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1291930 ns R r1 80000000 - 1291930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1291950 ns R psr 81000200 - 1291950 ns MR4_I 01000208 2a001c5b - 1291970 ns MR4_I 01000200 07c96841 - 1291990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1292010 ns MR4_I 01000204 6002d1fc - 1292070 ns MR4_D 40006004 00000001 - 1292070 ns R r1 00000001 - 1292070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1292090 ns R r1 80000000 - 1292090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1292110 ns R psr 81000200 - 1292110 ns MR4_I 01000208 2a001c5b - 1292130 ns MR4_I 01000200 07c96841 - 1292150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1292170 ns MR4_I 01000204 6002d1fc - 1292230 ns MR4_D 40006004 00000001 - 1292230 ns R r1 00000001 - 1292230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1292250 ns R r1 80000000 - 1292250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1292270 ns R psr 81000200 - 1292270 ns MR4_I 01000208 2a001c5b - 1292290 ns MR4_I 01000200 07c96841 - 1292310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1292330 ns MR4_I 01000204 6002d1fc - 1292390 ns MR4_D 40006004 00000001 - 1292390 ns R r1 00000001 - 1292390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1292410 ns R r1 80000000 - 1292410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1292430 ns R psr 81000200 - 1292430 ns MR4_I 01000208 2a001c5b - 1292450 ns MR4_I 01000200 07c96841 - 1292470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1292490 ns MR4_I 01000204 6002d1fc - 1292550 ns MR4_D 40006004 00000001 - 1292550 ns R r1 00000001 - 1292550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1292570 ns R r1 80000000 - 1292570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1292590 ns R psr 81000200 - 1292590 ns MR4_I 01000208 2a001c5b - 1292610 ns MR4_I 01000200 07c96841 - 1292630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1292650 ns MR4_I 01000204 6002d1fc - 1292710 ns MR4_D 40006004 00000001 - 1292710 ns R r1 00000001 - 1292710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1292730 ns R r1 80000000 - 1292730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1292750 ns R psr 81000200 - 1292750 ns MR4_I 01000208 2a001c5b - 1292770 ns MR4_I 01000200 07c96841 - 1292790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1292810 ns MR4_I 01000204 6002d1fc - 1292870 ns MR4_D 40006004 00000001 - 1292870 ns R r1 00000001 - 1292870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1292890 ns R r1 80000000 - 1292890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1292910 ns R psr 81000200 - 1292910 ns MR4_I 01000208 2a001c5b - 1292930 ns MR4_I 01000200 07c96841 - 1292950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1292970 ns MR4_I 01000204 6002d1fc - 1293030 ns MR4_D 40006004 00000001 - 1293030 ns R r1 00000001 - 1293030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1293050 ns R r1 80000000 - 1293050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1293070 ns R psr 81000200 - 1293070 ns MR4_I 01000208 2a001c5b - 1293090 ns MR4_I 01000200 07c96841 - 1293110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1293130 ns MR4_I 01000204 6002d1fc - 1293190 ns MR4_D 40006004 00000001 - 1293190 ns R r1 00000001 - 1293190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1293210 ns R r1 80000000 - 1293210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1293230 ns R psr 81000200 - 1293230 ns MR4_I 01000208 2a001c5b - 1293250 ns MR4_I 01000200 07c96841 - 1293270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1293290 ns MR4_I 01000204 6002d1fc - 1293350 ns MR4_D 40006004 00000001 - 1293350 ns R r1 00000001 - 1293350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1293370 ns R r1 80000000 - 1293370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1293390 ns R psr 81000200 - 1293390 ns MR4_I 01000208 2a001c5b - 1293410 ns MR4_I 01000200 07c96841 - 1293430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1293450 ns MR4_I 01000204 6002d1fc - 1293510 ns MR4_D 40006004 00000001 - 1293510 ns R r1 00000001 - 1293510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1293530 ns R r1 80000000 - 1293530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1293550 ns R psr 81000200 - 1293550 ns MR4_I 01000208 2a001c5b - 1293570 ns MR4_I 01000200 07c96841 - 1293590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1293610 ns MR4_I 01000204 6002d1fc - 1293670 ns MR4_D 40006004 00000001 - 1293670 ns R r1 00000001 - 1293670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1293690 ns R r1 80000000 - 1293690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1293710 ns R psr 81000200 - 1293710 ns MR4_I 01000208 2a001c5b - 1293730 ns MR4_I 01000200 07c96841 - 1293750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1293770 ns MR4_I 01000204 6002d1fc - 1293830 ns MR4_D 40006004 00000001 - 1293830 ns R r1 00000001 - 1293830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1293850 ns R r1 80000000 - 1293850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1293870 ns R psr 81000200 - 1293870 ns MR4_I 01000208 2a001c5b - 1293890 ns MR4_I 01000200 07c96841 - 1293910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1293930 ns MR4_I 01000204 6002d1fc - 1293990 ns MR4_D 40006004 00000001 - 1293990 ns R r1 00000001 - 1293990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1294010 ns R r1 80000000 - 1294010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1294030 ns R psr 81000200 - 1294030 ns MR4_I 01000208 2a001c5b - 1294050 ns MR4_I 01000200 07c96841 - 1294070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1294090 ns MR4_I 01000204 6002d1fc - 1294150 ns MR4_D 40006004 00000001 - 1294150 ns R r1 00000001 - 1294150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1294170 ns R r1 80000000 - 1294170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1294190 ns R psr 81000200 - 1294190 ns MR4_I 01000208 2a001c5b - 1294210 ns MR4_I 01000200 07c96841 - 1294230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1294250 ns MR4_I 01000204 6002d1fc - 1294310 ns MR4_D 40006004 00000001 - 1294310 ns R r1 00000001 - 1294310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1294330 ns R r1 80000000 - 1294330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1294350 ns R psr 81000200 - 1294350 ns MR4_I 01000208 2a001c5b - 1294370 ns MR4_I 01000200 07c96841 - 1294390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1294410 ns MR4_I 01000204 6002d1fc - 1294470 ns MR4_D 40006004 00000001 - 1294470 ns R r1 00000001 - 1294470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1294490 ns R r1 80000000 - 1294490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1294510 ns R psr 81000200 - 1294510 ns MR4_I 01000208 2a001c5b - 1294530 ns MR4_I 01000200 07c96841 - 1294550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1294570 ns MR4_I 01000204 6002d1fc - 1294630 ns MR4_D 40006004 00000001 - 1294630 ns R r1 00000001 - 1294630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1294650 ns R r1 80000000 - 1294650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1294670 ns R psr 81000200 - 1294670 ns MR4_I 01000208 2a001c5b - 1294690 ns MR4_I 01000200 07c96841 - 1294710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1294730 ns MR4_I 01000204 6002d1fc - 1294790 ns MR4_D 40006004 00000001 - 1294790 ns R r1 00000001 - 1294790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1294810 ns R r1 80000000 - 1294810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1294830 ns R psr 81000200 - 1294830 ns MR4_I 01000208 2a001c5b - 1294850 ns MR4_I 01000200 07c96841 - 1294870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1294890 ns MR4_I 01000204 6002d1fc - 1294950 ns MR4_D 40006004 00000001 - 1294950 ns R r1 00000001 - 1294950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1294970 ns R r1 80000000 - 1294970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1294990 ns R psr 81000200 - 1294990 ns MR4_I 01000208 2a001c5b - 1295010 ns MR4_I 01000200 07c96841 - 1295030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1295050 ns MR4_I 01000204 6002d1fc - 1295110 ns MR4_D 40006004 00000001 - 1295110 ns R r1 00000001 - 1295110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1295130 ns R r1 80000000 - 1295130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1295150 ns R psr 81000200 - 1295150 ns MR4_I 01000208 2a001c5b - 1295170 ns MR4_I 01000200 07c96841 - 1295190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1295210 ns MR4_I 01000204 6002d1fc - 1295270 ns MR4_D 40006004 00000001 - 1295270 ns R r1 00000001 - 1295270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1295290 ns R r1 80000000 - 1295290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1295310 ns R psr 81000200 - 1295310 ns MR4_I 01000208 2a001c5b - 1295330 ns MR4_I 01000200 07c96841 - 1295350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1295370 ns MR4_I 01000204 6002d1fc - 1295430 ns MR4_D 40006004 00000001 - 1295430 ns R r1 00000001 - 1295430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1295450 ns R r1 80000000 - 1295450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1295470 ns R psr 81000200 - 1295470 ns MR4_I 01000208 2a001c5b - 1295490 ns MR4_I 01000200 07c96841 - 1295510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1295530 ns MR4_I 01000204 6002d1fc - 1295590 ns MR4_D 40006004 00000001 - 1295590 ns R r1 00000001 - 1295590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1295610 ns R r1 80000000 - 1295610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1295630 ns R psr 81000200 - 1295630 ns MR4_I 01000208 2a001c5b - 1295650 ns MR4_I 01000200 07c96841 - 1295670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1295690 ns MR4_I 01000204 6002d1fc - 1295750 ns MR4_D 40006004 00000001 - 1295750 ns R r1 00000001 - 1295750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1295770 ns R r1 80000000 - 1295770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1295790 ns R psr 81000200 - 1295790 ns MR4_I 01000208 2a001c5b - 1295810 ns MR4_I 01000200 07c96841 - 1295830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1295850 ns MR4_I 01000204 6002d1fc - 1295910 ns MR4_D 40006004 00000001 - 1295910 ns R r1 00000001 - 1295910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1295930 ns R r1 80000000 - 1295930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1295950 ns R psr 81000200 - 1295950 ns MR4_I 01000208 2a001c5b - 1295970 ns MR4_I 01000200 07c96841 - 1295990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1296010 ns MR4_I 01000204 6002d1fc - 1296070 ns MR4_D 40006004 00000001 - 1296070 ns R r1 00000001 - 1296070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1296090 ns R r1 80000000 - 1296090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1296110 ns R psr 81000200 - 1296110 ns MR4_I 01000208 2a001c5b - 1296130 ns MR4_I 01000200 07c96841 - 1296150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1296170 ns MR4_I 01000204 6002d1fc - 1296230 ns MR4_D 40006004 00000001 - 1296230 ns R r1 00000001 - 1296230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1296250 ns R r1 80000000 - 1296250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1296270 ns R psr 81000200 - 1296270 ns MR4_I 01000208 2a001c5b - 1296290 ns MR4_I 01000200 07c96841 - 1296310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1296330 ns MR4_I 01000204 6002d1fc - 1296390 ns MR4_D 40006004 00000001 - 1296390 ns R r1 00000001 - 1296390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1296410 ns R r1 80000000 - 1296410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1296430 ns R psr 81000200 - 1296430 ns MR4_I 01000208 2a001c5b - 1296450 ns MR4_I 01000200 07c96841 - 1296470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1296490 ns MR4_I 01000204 6002d1fc - 1296550 ns MR4_D 40006004 00000001 - 1296550 ns R r1 00000001 - 1296550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1296570 ns R r1 80000000 - 1296570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1296590 ns R psr 81000200 - 1296590 ns MR4_I 01000208 2a001c5b - 1296610 ns MR4_I 01000200 07c96841 - 1296630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1296650 ns MR4_I 01000204 6002d1fc - 1296710 ns MR4_D 40006004 00000001 - 1296710 ns R r1 00000001 - 1296710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1296730 ns R r1 80000000 - 1296730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1296750 ns R psr 81000200 - 1296750 ns MR4_I 01000208 2a001c5b - 1296770 ns MR4_I 01000200 07c96841 - 1296790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1296810 ns MR4_I 01000204 6002d1fc - 1296870 ns MR4_D 40006004 00000001 - 1296870 ns R r1 00000001 - 1296870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1296890 ns R r1 80000000 - 1296890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1296910 ns R psr 81000200 - 1296910 ns MR4_I 01000208 2a001c5b - 1296930 ns MR4_I 01000200 07c96841 - 1296950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1296970 ns MR4_I 01000204 6002d1fc - 1297030 ns MR4_D 40006004 00000001 - 1297030 ns R r1 00000001 - 1297030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1297050 ns R r1 80000000 - 1297050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1297070 ns R psr 81000200 - 1297070 ns MR4_I 01000208 2a001c5b - 1297090 ns MR4_I 01000200 07c96841 - 1297110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1297130 ns MR4_I 01000204 6002d1fc - 1297190 ns MR4_D 40006004 00000001 - 1297190 ns R r1 00000001 - 1297190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1297210 ns R r1 80000000 - 1297210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1297230 ns R psr 81000200 - 1297230 ns MR4_I 01000208 2a001c5b - 1297250 ns MR4_I 01000200 07c96841 - 1297270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1297290 ns MR4_I 01000204 6002d1fc - 1297350 ns MR4_D 40006004 00000001 - 1297350 ns R r1 00000001 - 1297350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1297370 ns R r1 80000000 - 1297370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1297390 ns R psr 81000200 - 1297390 ns MR4_I 01000208 2a001c5b - 1297410 ns MR4_I 01000200 07c96841 - 1297430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1297450 ns MR4_I 01000204 6002d1fc - 1297510 ns MR4_D 40006004 00000001 - 1297510 ns R r1 00000001 - 1297510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1297530 ns R r1 80000000 - 1297530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1297550 ns R psr 81000200 - 1297550 ns MR4_I 01000208 2a001c5b - 1297570 ns MR4_I 01000200 07c96841 - 1297590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1297610 ns MR4_I 01000204 6002d1fc - 1297670 ns MR4_D 40006004 00000001 - 1297670 ns R r1 00000001 - 1297670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1297690 ns R r1 80000000 - 1297690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1297710 ns R psr 81000200 - 1297710 ns MR4_I 01000208 2a001c5b - 1297730 ns MR4_I 01000200 07c96841 - 1297750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1297770 ns MR4_I 01000204 6002d1fc - 1297830 ns MR4_D 40006004 00000001 - 1297830 ns R r1 00000001 - 1297830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1297850 ns R r1 80000000 - 1297850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1297870 ns R psr 81000200 - 1297870 ns MR4_I 01000208 2a001c5b - 1297890 ns MR4_I 01000200 07c96841 - 1297910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1297930 ns MR4_I 01000204 6002d1fc - 1297990 ns MR4_D 40006004 00000001 - 1297990 ns R r1 00000001 - 1297990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1298010 ns R r1 80000000 - 1298010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1298030 ns R psr 81000200 - 1298030 ns MR4_I 01000208 2a001c5b - 1298050 ns MR4_I 01000200 07c96841 - 1298070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1298090 ns MR4_I 01000204 6002d1fc - 1298150 ns MR4_D 40006004 00000001 - 1298150 ns R r1 00000001 - 1298150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1298170 ns R r1 80000000 - 1298170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1298190 ns R psr 81000200 - 1298190 ns MR4_I 01000208 2a001c5b - 1298210 ns MR4_I 01000200 07c96841 - 1298230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1298250 ns MR4_I 01000204 6002d1fc - 1298310 ns MR4_D 40006004 00000001 - 1298310 ns R r1 00000001 - 1298310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1298330 ns R r1 80000000 - 1298330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1298350 ns R psr 81000200 - 1298350 ns MR4_I 01000208 2a001c5b - 1298370 ns MR4_I 01000200 07c96841 - 1298390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1298410 ns MR4_I 01000204 6002d1fc - 1298470 ns MR4_D 40006004 00000001 - 1298470 ns R r1 00000001 - 1298470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1298490 ns R r1 80000000 - 1298490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1298510 ns R psr 81000200 - 1298510 ns MR4_I 01000208 2a001c5b - 1298530 ns MR4_I 01000200 07c96841 - 1298550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1298570 ns MR4_I 01000204 6002d1fc - 1298630 ns MR4_D 40006004 00000001 - 1298630 ns R r1 00000001 - 1298630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1298650 ns R r1 80000000 - 1298650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1298670 ns R psr 81000200 - 1298670 ns MR4_I 01000208 2a001c5b - 1298690 ns MR4_I 01000200 07c96841 - 1298710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1298730 ns MR4_I 01000204 6002d1fc - 1298790 ns MR4_D 40006004 00000001 - 1298790 ns R r1 00000001 - 1298790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1298810 ns R r1 80000000 - 1298810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1298830 ns R psr 81000200 - 1298830 ns MR4_I 01000208 2a001c5b - 1298850 ns MR4_I 01000200 07c96841 - 1298870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1298890 ns MR4_I 01000204 6002d1fc - 1298950 ns MR4_D 40006004 00000001 - 1298950 ns R r1 00000001 - 1298950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1298970 ns R r1 80000000 - 1298970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1298990 ns R psr 81000200 - 1298990 ns MR4_I 01000208 2a001c5b - 1299010 ns MR4_I 01000200 07c96841 - 1299030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1299050 ns MR4_I 01000204 6002d1fc - 1299110 ns MR4_D 40006004 00000001 - 1299110 ns R r1 00000001 - 1299110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1299130 ns R r1 80000000 - 1299130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1299150 ns R psr 81000200 - 1299150 ns MR4_I 01000208 2a001c5b - 1299170 ns MR4_I 01000200 07c96841 - 1299190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1299210 ns MR4_I 01000204 6002d1fc - 1299270 ns MR4_D 40006004 00000001 - 1299270 ns R r1 00000001 - 1299270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1299290 ns R r1 80000000 - 1299290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1299310 ns R psr 81000200 - 1299310 ns MR4_I 01000208 2a001c5b - 1299330 ns MR4_I 01000200 07c96841 - 1299350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1299370 ns MR4_I 01000204 6002d1fc - 1299430 ns MR4_D 40006004 00000001 - 1299430 ns R r1 00000001 - 1299430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1299450 ns R r1 80000000 - 1299450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1299470 ns R psr 81000200 - 1299470 ns MR4_I 01000208 2a001c5b - 1299490 ns MR4_I 01000200 07c96841 - 1299510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1299530 ns MR4_I 01000204 6002d1fc - 1299590 ns MR4_D 40006004 00000001 - 1299590 ns R r1 00000001 - 1299590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1299610 ns R r1 80000000 - 1299610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1299630 ns R psr 81000200 - 1299630 ns MR4_I 01000208 2a001c5b - 1299650 ns MR4_I 01000200 07c96841 - 1299670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1299690 ns MR4_I 01000204 6002d1fc - 1299750 ns MR4_D 40006004 00000001 - 1299750 ns R r1 00000001 - 1299750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1299770 ns R r1 80000000 - 1299770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1299790 ns R psr 81000200 - 1299790 ns MR4_I 01000208 2a001c5b - 1299810 ns MR4_I 01000200 07c96841 - 1299830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1299850 ns MR4_I 01000204 6002d1fc - 1299910 ns MR4_D 40006004 00000001 - 1299910 ns R r1 00000001 - 1299910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1299930 ns R r1 80000000 - 1299930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1299950 ns R psr 81000200 - 1299950 ns MR4_I 01000208 2a001c5b - 1299970 ns MR4_I 01000200 07c96841 - 1299990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1300010 ns MR4_I 01000204 6002d1fc - 1300070 ns MR4_D 40006004 00000001 - 1300070 ns R r1 00000001 - 1300070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1300090 ns R r1 80000000 - 1300090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1300110 ns R psr 81000200 - 1300110 ns MR4_I 01000208 2a001c5b - 1300130 ns MR4_I 01000200 07c96841 - 1300150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1300170 ns MR4_I 01000204 6002d1fc - 1300230 ns MR4_D 40006004 00000001 - 1300230 ns R r1 00000001 - 1300230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1300250 ns R r1 80000000 - 1300250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1300270 ns R psr 81000200 - 1300270 ns MR4_I 01000208 2a001c5b - 1300290 ns MR4_I 01000200 07c96841 - 1300310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1300330 ns MR4_I 01000204 6002d1fc - 1300390 ns MR4_D 40006004 00000001 - 1300390 ns R r1 00000001 - 1300390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1300410 ns R r1 80000000 - 1300410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1300430 ns R psr 81000200 - 1300430 ns MR4_I 01000208 2a001c5b - 1300450 ns MR4_I 01000200 07c96841 - 1300470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1300490 ns MR4_I 01000204 6002d1fc - 1300550 ns MR4_D 40006004 00000001 - 1300550 ns R r1 00000001 - 1300550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1300570 ns R r1 80000000 - 1300570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1300590 ns R psr 81000200 - 1300590 ns MR4_I 01000208 2a001c5b - 1300610 ns MR4_I 01000200 07c96841 - 1300630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1300650 ns MR4_I 01000204 6002d1fc - 1300710 ns MR4_D 40006004 00000001 - 1300710 ns R r1 00000001 - 1300710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1300730 ns R r1 80000000 - 1300730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1300750 ns R psr 81000200 - 1300750 ns MR4_I 01000208 2a001c5b - 1300770 ns MR4_I 01000200 07c96841 - 1300790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1300810 ns MR4_I 01000204 6002d1fc - 1300870 ns MR4_D 40006004 00000001 - 1300870 ns R r1 00000001 - 1300870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1300890 ns R r1 80000000 - 1300890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1300910 ns R psr 81000200 - 1300910 ns MR4_I 01000208 2a001c5b - 1300930 ns MR4_I 01000200 07c96841 - 1300950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1300970 ns MR4_I 01000204 6002d1fc - 1301030 ns MR4_D 40006004 00000001 - 1301030 ns R r1 00000001 - 1301030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1301050 ns R r1 80000000 - 1301050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1301070 ns R psr 81000200 - 1301070 ns MR4_I 01000208 2a001c5b - 1301090 ns MR4_I 01000200 07c96841 - 1301110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1301130 ns MR4_I 01000204 6002d1fc - 1301190 ns MR4_D 40006004 00000001 - 1301190 ns R r1 00000001 - 1301190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1301210 ns R r1 80000000 - 1301210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1301230 ns R psr 81000200 - 1301230 ns MR4_I 01000208 2a001c5b - 1301250 ns MR4_I 01000200 07c96841 - 1301270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1301290 ns MR4_I 01000204 6002d1fc - 1301350 ns MR4_D 40006004 00000001 - 1301350 ns R r1 00000001 - 1301350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1301370 ns R r1 80000000 - 1301370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1301390 ns R psr 81000200 - 1301390 ns MR4_I 01000208 2a001c5b - 1301410 ns MR4_I 01000200 07c96841 - 1301430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1301450 ns MR4_I 01000204 6002d1fc - 1301510 ns MR4_D 40006004 00000001 - 1301510 ns R r1 00000001 - 1301510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1301530 ns R r1 80000000 - 1301530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1301550 ns R psr 81000200 - 1301550 ns MR4_I 01000208 2a001c5b - 1301570 ns MR4_I 01000200 07c96841 - 1301590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1301610 ns MR4_I 01000204 6002d1fc - 1301670 ns MR4_D 40006004 00000001 - 1301670 ns R r1 00000001 - 1301670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1301690 ns R r1 80000000 - 1301690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1301710 ns R psr 81000200 - 1301710 ns MR4_I 01000208 2a001c5b - 1301730 ns MR4_I 01000200 07c96841 - 1301750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1301770 ns MR4_I 01000204 6002d1fc - 1301830 ns MR4_D 40006004 00000001 - 1301830 ns R r1 00000001 - 1301830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1301850 ns R r1 80000000 - 1301850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1301870 ns R psr 81000200 - 1301870 ns MR4_I 01000208 2a001c5b - 1301890 ns MR4_I 01000200 07c96841 - 1301910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1301930 ns MR4_I 01000204 6002d1fc - 1301990 ns MR4_D 40006004 00000001 - 1301990 ns R r1 00000001 - 1301990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1302010 ns R r1 80000000 - 1302010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1302030 ns R psr 81000200 - 1302030 ns MR4_I 01000208 2a001c5b - 1302050 ns MR4_I 01000200 07c96841 - 1302070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1302090 ns MR4_I 01000204 6002d1fc - 1302150 ns MR4_D 40006004 00000001 - 1302150 ns R r1 00000001 - 1302150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1302170 ns R r1 80000000 - 1302170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1302190 ns R psr 81000200 - 1302190 ns MR4_I 01000208 2a001c5b - 1302210 ns MR4_I 01000200 07c96841 - 1302230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1302250 ns MR4_I 01000204 6002d1fc - 1302310 ns MR4_D 40006004 00000001 - 1302310 ns R r1 00000001 - 1302310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1302330 ns R r1 80000000 - 1302330 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1302350 ns R psr 81000200 - 1302350 ns MR4_I 01000208 2a001c5b - 1302370 ns MR4_I 01000200 07c96841 - 1302390 ns IT 01000200 6841 LDR r1,[r0,#4] - 1302410 ns MR4_I 01000204 6002d1fc - 1302470 ns MR4_D 40006004 00000001 - 1302470 ns R r1 00000001 - 1302470 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1302490 ns R r1 80000000 - 1302490 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1302510 ns R psr 81000200 - 1302510 ns MR4_I 01000208 2a001c5b - 1302530 ns MR4_I 01000200 07c96841 - 1302550 ns IT 01000200 6841 LDR r1,[r0,#4] - 1302570 ns MR4_I 01000204 6002d1fc - 1302630 ns MR4_D 40006004 00000001 - 1302630 ns R r1 00000001 - 1302630 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1302650 ns R r1 80000000 - 1302650 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1302670 ns R psr 81000200 - 1302670 ns MR4_I 01000208 2a001c5b - 1302690 ns MR4_I 01000200 07c96841 - 1302710 ns IT 01000200 6841 LDR r1,[r0,#4] - 1302730 ns MR4_I 01000204 6002d1fc - 1302790 ns MR4_D 40006004 00000001 - 1302790 ns R r1 00000001 - 1302790 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1302810 ns R r1 80000000 - 1302810 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1302830 ns R psr 81000200 - 1302830 ns MR4_I 01000208 2a001c5b - 1302850 ns MR4_I 01000200 07c96841 - 1302870 ns IT 01000200 6841 LDR r1,[r0,#4] - 1302890 ns MR4_I 01000204 6002d1fc - 1302950 ns MR4_D 40006004 00000001 - 1302950 ns R r1 00000001 - 1302950 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1302970 ns R r1 80000000 - 1302970 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1302990 ns R psr 81000200 - 1302990 ns MR4_I 01000208 2a001c5b - 1303010 ns MR4_I 01000200 07c96841 - 1303030 ns IT 01000200 6841 LDR r1,[r0,#4] - 1303050 ns MR4_I 01000204 6002d1fc - 1303110 ns MR4_D 40006004 00000001 - 1303110 ns R r1 00000001 - 1303110 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1303130 ns R r1 80000000 - 1303130 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1303150 ns R psr 81000200 - 1303150 ns MR4_I 01000208 2a001c5b - 1303170 ns MR4_I 01000200 07c96841 - 1303190 ns IT 01000200 6841 LDR r1,[r0,#4] - 1303210 ns MR4_I 01000204 6002d1fc - 1303270 ns MR4_D 40006004 00000001 - 1303270 ns R r1 00000001 - 1303270 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1303290 ns R r1 80000000 - 1303290 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1303310 ns R psr 81000200 - 1303310 ns MR4_I 01000208 2a001c5b - 1303330 ns MR4_I 01000200 07c96841 - 1303350 ns IT 01000200 6841 LDR r1,[r0,#4] - 1303370 ns MR4_I 01000204 6002d1fc - 1303430 ns MR4_D 40006004 00000001 - 1303430 ns R r1 00000001 - 1303430 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1303450 ns R r1 80000000 - 1303450 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1303470 ns R psr 81000200 - 1303470 ns MR4_I 01000208 2a001c5b - 1303490 ns MR4_I 01000200 07c96841 - 1303510 ns IT 01000200 6841 LDR r1,[r0,#4] - 1303530 ns MR4_I 01000204 6002d1fc - 1303590 ns MR4_D 40006004 00000001 - 1303590 ns R r1 00000001 - 1303590 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1303610 ns R r1 80000000 - 1303610 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1303630 ns R psr 81000200 - 1303630 ns MR4_I 01000208 2a001c5b - 1303650 ns MR4_I 01000200 07c96841 - 1303670 ns IT 01000200 6841 LDR r1,[r0,#4] - 1303690 ns MR4_I 01000204 6002d1fc - 1303750 ns MR4_D 40006004 00000001 - 1303750 ns R r1 00000001 - 1303750 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1303770 ns R r1 80000000 - 1303770 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1303790 ns R psr 81000200 - 1303790 ns MR4_I 01000208 2a001c5b - 1303810 ns MR4_I 01000200 07c96841 - 1303830 ns IT 01000200 6841 LDR r1,[r0,#4] - 1303850 ns MR4_I 01000204 6002d1fc - 1303910 ns MR4_D 40006004 00000001 - 1303910 ns R r1 00000001 - 1303910 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1303930 ns R r1 80000000 - 1303930 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1303950 ns R psr 81000200 - 1303950 ns MR4_I 01000208 2a001c5b - 1303970 ns MR4_I 01000200 07c96841 - 1303990 ns IT 01000200 6841 LDR r1,[r0,#4] - 1304010 ns MR4_I 01000204 6002d1fc - 1304070 ns MR4_D 40006004 00000001 - 1304070 ns R r1 00000001 - 1304070 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1304090 ns R r1 80000000 - 1304090 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1304110 ns R psr 81000200 - 1304110 ns MR4_I 01000208 2a001c5b - 1304130 ns MR4_I 01000200 07c96841 - 1304150 ns IT 01000200 6841 LDR r1,[r0,#4] - 1304170 ns MR4_I 01000204 6002d1fc - 1304230 ns MR4_D 40006004 00000001 - 1304230 ns R r1 00000001 - 1304230 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1304250 ns R r1 80000000 - 1304250 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1304270 ns R psr 81000200 - 1304270 ns MR4_I 01000208 2a001c5b - 1304290 ns MR4_I 01000200 07c96841 - 1304310 ns IT 01000200 6841 LDR r1,[r0,#4] - 1304330 ns MR4_I 01000204 6002d1fc - 1304390 ns MR4_D 40006004 00000001 - 1304390 ns R r1 00000001 - 1304390 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1304410 ns R r1 80000000 - 1304410 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1304430 ns R psr 81000200 - 1304430 ns MR4_I 01000208 2a001c5b - 1304450 ns MR4_I 01000200 07c96841 - 1304470 ns IT 01000200 6841 LDR r1,[r0,#4] - 1304490 ns MR4_I 01000204 6002d1fc - 1304550 ns MR4_D 40006004 00000001 - 1304550 ns R r1 00000001 - 1304550 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1304570 ns R r1 80000000 - 1304570 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1304590 ns R psr 81000200 - 1304590 ns MR4_I 01000208 2a001c5b - 1304610 ns MR4_I 01000200 07c96841 - 1304630 ns IT 01000200 6841 LDR r1,[r0,#4] - 1304650 ns MR4_I 01000204 6002d1fc - 1304710 ns MR4_D 40006004 00000001 - 1304710 ns R r1 00000001 - 1304710 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1304730 ns R r1 80000000 - 1304730 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1304750 ns R psr 81000200 - 1304750 ns MR4_I 01000208 2a001c5b - 1304770 ns MR4_I 01000200 07c96841 - 1304790 ns IT 01000200 6841 LDR r1,[r0,#4] - 1304810 ns MR4_I 01000204 6002d1fc - 1304870 ns MR4_D 40006004 00000001 - 1304870 ns R r1 00000001 - 1304870 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1304890 ns R r1 80000000 - 1304890 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1304910 ns R psr 81000200 - 1304910 ns MR4_I 01000208 2a001c5b - 1304930 ns MR4_I 01000200 07c96841 - 1304950 ns IT 01000200 6841 LDR r1,[r0,#4] - 1304970 ns MR4_I 01000204 6002d1fc - 1305030 ns MR4_D 40006004 00000001 - 1305030 ns R r1 00000001 - 1305030 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1305050 ns R r1 80000000 - 1305050 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1305070 ns R psr 81000200 - 1305070 ns MR4_I 01000208 2a001c5b - 1305090 ns MR4_I 01000200 07c96841 - 1305110 ns IT 01000200 6841 LDR r1,[r0,#4] - 1305130 ns MR4_I 01000204 6002d1fc - 1305190 ns MR4_D 40006004 00000001 - 1305190 ns R r1 00000001 - 1305190 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1305210 ns R r1 80000000 - 1305210 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1305230 ns R psr 81000200 - 1305230 ns MR4_I 01000208 2a001c5b - 1305250 ns MR4_I 01000200 07c96841 - 1305270 ns IT 01000200 6841 LDR r1,[r0,#4] - 1305290 ns MR4_I 01000204 6002d1fc - 1305350 ns MR4_D 40006004 00000001 - 1305350 ns R r1 00000001 - 1305350 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1305370 ns R r1 80000000 - 1305370 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1305390 ns R psr 81000200 - 1305390 ns MR4_I 01000208 2a001c5b - 1305410 ns MR4_I 01000200 07c96841 - 1305430 ns IT 01000200 6841 LDR r1,[r0,#4] - 1305450 ns MR4_I 01000204 6002d1fc - 1305510 ns MR4_D 40006004 00000001 - 1305510 ns R r1 00000001 - 1305510 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1305530 ns R r1 80000000 - 1305530 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1305550 ns R psr 81000200 - 1305550 ns MR4_I 01000208 2a001c5b - 1305570 ns MR4_I 01000200 07c96841 - 1305590 ns IT 01000200 6841 LDR r1,[r0,#4] - 1305610 ns MR4_I 01000204 6002d1fc - 1305670 ns MR4_D 40006004 00000001 - 1305670 ns R r1 00000001 - 1305670 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1305690 ns R r1 80000000 - 1305690 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1305710 ns R psr 81000200 - 1305710 ns MR4_I 01000208 2a001c5b - 1305730 ns MR4_I 01000200 07c96841 - 1305750 ns IT 01000200 6841 LDR r1,[r0,#4] - 1305770 ns MR4_I 01000204 6002d1fc - 1305830 ns MR4_D 40006004 00000001 - 1305830 ns R r1 00000001 - 1305830 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1305850 ns R r1 80000000 - 1305850 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1305870 ns R psr 81000200 - 1305870 ns MR4_I 01000208 2a001c5b - 1305890 ns MR4_I 01000200 07c96841 - 1305910 ns IT 01000200 6841 LDR r1,[r0,#4] - 1305930 ns MR4_I 01000204 6002d1fc - 1305990 ns MR4_D 40006004 00000001 - 1305990 ns R r1 00000001 - 1305990 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1306010 ns R r1 80000000 - 1306010 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1306030 ns R psr 81000200 - 1306030 ns MR4_I 01000208 2a001c5b - 1306050 ns MR4_I 01000200 07c96841 - 1306070 ns IT 01000200 6841 LDR r1,[r0,#4] - 1306090 ns MR4_I 01000204 6002d1fc - 1306150 ns MR4_D 40006004 00000001 - 1306150 ns R r1 00000001 - 1306150 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1306170 ns R r1 80000000 - 1306170 ns IT 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1306190 ns R psr 81000200 - 1306190 ns MR4_I 01000208 2a001c5b - 1306210 ns MR4_I 01000200 07c96841 - 1306230 ns IT 01000200 6841 LDR r1,[r0,#4] - 1306250 ns MR4_I 01000204 6002d1fc - 1306310 ns MR4_D 40006004 00000000 - 1306310 ns R r1 00000000 - 1306310 ns IT 01000202 07c9 LSLS r1,r1,#31 - 1306330 ns R r1 00000000 - 1306330 ns IS 01000204 d1fc BNE {pc} - 0x4 ; 0x1000200 - 1306350 ns R psr 41000200 - 1306350 ns MR4_I 01000208 2a001c5b - 1306350 ns IT 01000206 6002 STR r2,[r0,#0] - 1306430 ns MW4_D 40006000 0000000a - 1306430 ns IT 01000208 1c5b ADDS r3,r3,#1 - 1306450 ns MR4_I 0100020c a32ad1f5 - 1306450 ns R r3 010002b5 - 1306450 ns IT 0100020a 2a00 CMP r2,#0 - 1306470 ns R psr 01000200 - 1306470 ns IT 0100020c d1f5 BNE {pc} - 0x12 ; 0x10001fa - 1306490 ns R psr 21000200 - 1306490 ns MR4_I 01000210 2a00781a - 1306510 ns MR4_I 010001f8 781aa326 - 1306530 ns MR4_I 010001fc d0062a00 - 1306530 ns IT 010001fa 781a LDRB r2,[r3,#0] - 1306570 ns MR1_D 010002b5 0000000a - 1306570 ns R r2 00000000 - 1306570 ns IT 010001fc 2a00 CMP r2,#0 - 1306590 ns MR4_I 01000200 07c96841 - 1306590 ns IT 010001fe d006 BEQ {pc} + 0x10 ; 0x100020e - 1306610 ns R psr 61000200 - 1306630 ns MR4_I 0100020c a32ad1f5 - 1306650 ns MR4_I 01000210 2a00781a - 1306650 ns IT 0100020e a32a ADR r3,{pc}+0xaa ; 0x10002b8 - 1306670 ns R r3 010002b8 - 1306670 ns IT 01000210 781a LDRB r2,[r3,#0] - 1306690 ns MR4_I 01000214 6841d006 - 1306710 ns MR1_D 010002b8 6c202d20 - 1306710 ns R r2 00000020 - 1306710 ns IT 01000212 2a00 CMP r2,#0 - 1306730 ns IS 01000214 d006 BEQ {pc} + 0x10 ; 0x1000224 - 1306750 ns R psr 21000200 - 1306750 ns MR4_I 01000218 d1fc07c9 - 1306750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1306830 ns MR4_D 40006004 00000001 - 1306830 ns R r1 00000001 - 1306830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1306850 ns MR4_I 0100021c 1c5b6002 - 1306850 ns R r1 80000000 - 1306850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1306870 ns R psr 81000200 - 1306890 ns MR4_I 01000214 6841d006 - 1306910 ns MR4_I 01000218 d1fc07c9 - 1306910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1306990 ns MR4_D 40006004 00000001 - 1306990 ns R r1 00000001 - 1306990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1307010 ns MR4_I 0100021c 1c5b6002 - 1307010 ns R r1 80000000 - 1307010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1307030 ns R psr 81000200 - 1307050 ns MR4_I 01000214 6841d006 - 1307070 ns MR4_I 01000218 d1fc07c9 - 1307070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1307150 ns MR4_D 40006004 00000001 - 1307150 ns R r1 00000001 - 1307150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1307170 ns MR4_I 0100021c 1c5b6002 - 1307170 ns R r1 80000000 - 1307170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1307190 ns R psr 81000200 - 1307210 ns MR4_I 01000214 6841d006 - 1307230 ns MR4_I 01000218 d1fc07c9 - 1307230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1307310 ns MR4_D 40006004 00000001 - 1307310 ns R r1 00000001 - 1307310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1307330 ns MR4_I 0100021c 1c5b6002 - 1307330 ns R r1 80000000 - 1307330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1307350 ns R psr 81000200 - 1307370 ns MR4_I 01000214 6841d006 - 1307390 ns MR4_I 01000218 d1fc07c9 - 1307390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1307470 ns MR4_D 40006004 00000001 - 1307470 ns R r1 00000001 - 1307470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1307490 ns MR4_I 0100021c 1c5b6002 - 1307490 ns R r1 80000000 - 1307490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1307510 ns R psr 81000200 - 1307530 ns MR4_I 01000214 6841d006 - 1307550 ns MR4_I 01000218 d1fc07c9 - 1307550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1307630 ns MR4_D 40006004 00000001 - 1307630 ns R r1 00000001 - 1307630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1307650 ns MR4_I 0100021c 1c5b6002 - 1307650 ns R r1 80000000 - 1307650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1307670 ns R psr 81000200 - 1307690 ns MR4_I 01000214 6841d006 - 1307710 ns MR4_I 01000218 d1fc07c9 - 1307710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1307790 ns MR4_D 40006004 00000001 - 1307790 ns R r1 00000001 - 1307790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1307810 ns MR4_I 0100021c 1c5b6002 - 1307810 ns R r1 80000000 - 1307810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1307830 ns R psr 81000200 - 1307850 ns MR4_I 01000214 6841d006 - 1307870 ns MR4_I 01000218 d1fc07c9 - 1307870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1307950 ns MR4_D 40006004 00000001 - 1307950 ns R r1 00000001 - 1307950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1307970 ns MR4_I 0100021c 1c5b6002 - 1307970 ns R r1 80000000 - 1307970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1307990 ns R psr 81000200 - 1308010 ns MR4_I 01000214 6841d006 - 1308030 ns MR4_I 01000218 d1fc07c9 - 1308030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1308110 ns MR4_D 40006004 00000001 - 1308110 ns R r1 00000001 - 1308110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1308130 ns MR4_I 0100021c 1c5b6002 - 1308130 ns R r1 80000000 - 1308130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1308150 ns R psr 81000200 - 1308170 ns MR4_I 01000214 6841d006 - 1308190 ns MR4_I 01000218 d1fc07c9 - 1308190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1308270 ns MR4_D 40006004 00000001 - 1308270 ns R r1 00000001 - 1308270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1308290 ns MR4_I 0100021c 1c5b6002 - 1308290 ns R r1 80000000 - 1308290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1308310 ns R psr 81000200 - 1308330 ns MR4_I 01000214 6841d006 - 1308350 ns MR4_I 01000218 d1fc07c9 - 1308350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1308430 ns MR4_D 40006004 00000001 - 1308430 ns R r1 00000001 - 1308430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1308450 ns MR4_I 0100021c 1c5b6002 - 1308450 ns R r1 80000000 - 1308450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1308470 ns R psr 81000200 - 1308490 ns MR4_I 01000214 6841d006 - 1308510 ns MR4_I 01000218 d1fc07c9 - 1308510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1308590 ns MR4_D 40006004 00000001 - 1308590 ns R r1 00000001 - 1308590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1308610 ns MR4_I 0100021c 1c5b6002 - 1308610 ns R r1 80000000 - 1308610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1308630 ns R psr 81000200 - 1308650 ns MR4_I 01000214 6841d006 - 1308670 ns MR4_I 01000218 d1fc07c9 - 1308670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1308750 ns MR4_D 40006004 00000001 - 1308750 ns R r1 00000001 - 1308750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1308770 ns MR4_I 0100021c 1c5b6002 - 1308770 ns R r1 80000000 - 1308770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1308790 ns R psr 81000200 - 1308810 ns MR4_I 01000214 6841d006 - 1308830 ns MR4_I 01000218 d1fc07c9 - 1308830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1308910 ns MR4_D 40006004 00000001 - 1308910 ns R r1 00000001 - 1308910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1308930 ns MR4_I 0100021c 1c5b6002 - 1308930 ns R r1 80000000 - 1308930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1308950 ns R psr 81000200 - 1308970 ns MR4_I 01000214 6841d006 - 1308990 ns MR4_I 01000218 d1fc07c9 - 1308990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1309070 ns MR4_D 40006004 00000001 - 1309070 ns R r1 00000001 - 1309070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1309090 ns MR4_I 0100021c 1c5b6002 - 1309090 ns R r1 80000000 - 1309090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1309110 ns R psr 81000200 - 1309130 ns MR4_I 01000214 6841d006 - 1309150 ns MR4_I 01000218 d1fc07c9 - 1309150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1309230 ns MR4_D 40006004 00000001 - 1309230 ns R r1 00000001 - 1309230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1309250 ns MR4_I 0100021c 1c5b6002 - 1309250 ns R r1 80000000 - 1309250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1309270 ns R psr 81000200 - 1309290 ns MR4_I 01000214 6841d006 - 1309310 ns MR4_I 01000218 d1fc07c9 - 1309310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1309390 ns MR4_D 40006004 00000001 - 1309390 ns R r1 00000001 - 1309390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1309410 ns MR4_I 0100021c 1c5b6002 - 1309410 ns R r1 80000000 - 1309410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1309430 ns R psr 81000200 - 1309450 ns MR4_I 01000214 6841d006 - 1309470 ns MR4_I 01000218 d1fc07c9 - 1309470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1309550 ns MR4_D 40006004 00000001 - 1309550 ns R r1 00000001 - 1309550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1309570 ns MR4_I 0100021c 1c5b6002 - 1309570 ns R r1 80000000 - 1309570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1309590 ns R psr 81000200 - 1309610 ns MR4_I 01000214 6841d006 - 1309630 ns MR4_I 01000218 d1fc07c9 - 1309630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1309710 ns MR4_D 40006004 00000001 - 1309710 ns R r1 00000001 - 1309710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1309730 ns MR4_I 0100021c 1c5b6002 - 1309730 ns R r1 80000000 - 1309730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1309750 ns R psr 81000200 - 1309770 ns MR4_I 01000214 6841d006 - 1309790 ns MR4_I 01000218 d1fc07c9 - 1309790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1309870 ns MR4_D 40006004 00000001 - 1309870 ns R r1 00000001 - 1309870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1309890 ns MR4_I 0100021c 1c5b6002 - 1309890 ns R r1 80000000 - 1309890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1309910 ns R psr 81000200 - 1309930 ns MR4_I 01000214 6841d006 - 1309950 ns MR4_I 01000218 d1fc07c9 - 1309950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1310030 ns MR4_D 40006004 00000001 - 1310030 ns R r1 00000001 - 1310030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1310050 ns MR4_I 0100021c 1c5b6002 - 1310050 ns R r1 80000000 - 1310050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1310070 ns R psr 81000200 - 1310090 ns MR4_I 01000214 6841d006 - 1310110 ns MR4_I 01000218 d1fc07c9 - 1310110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1310190 ns MR4_D 40006004 00000001 - 1310190 ns R r1 00000001 - 1310190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1310210 ns MR4_I 0100021c 1c5b6002 - 1310210 ns R r1 80000000 - 1310210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1310230 ns R psr 81000200 - 1310250 ns MR4_I 01000214 6841d006 - 1310270 ns MR4_I 01000218 d1fc07c9 - 1310270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1310350 ns MR4_D 40006004 00000001 - 1310350 ns R r1 00000001 - 1310350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1310370 ns MR4_I 0100021c 1c5b6002 - 1310370 ns R r1 80000000 - 1310370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1310390 ns R psr 81000200 - 1310410 ns MR4_I 01000214 6841d006 - 1310430 ns MR4_I 01000218 d1fc07c9 - 1310430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1310510 ns MR4_D 40006004 00000001 - 1310510 ns R r1 00000001 - 1310510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1310530 ns MR4_I 0100021c 1c5b6002 - 1310530 ns R r1 80000000 - 1310530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1310550 ns R psr 81000200 - 1310570 ns MR4_I 01000214 6841d006 - 1310590 ns MR4_I 01000218 d1fc07c9 - 1310590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1310670 ns MR4_D 40006004 00000001 - 1310670 ns R r1 00000001 - 1310670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1310690 ns MR4_I 0100021c 1c5b6002 - 1310690 ns R r1 80000000 - 1310690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1310710 ns R psr 81000200 - 1310730 ns MR4_I 01000214 6841d006 - 1310750 ns MR4_I 01000218 d1fc07c9 - 1310750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1310830 ns MR4_D 40006004 00000001 - 1310830 ns R r1 00000001 - 1310830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1310850 ns MR4_I 0100021c 1c5b6002 - 1310850 ns R r1 80000000 - 1310850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1310870 ns R psr 81000200 - 1310890 ns MR4_I 01000214 6841d006 - 1310910 ns MR4_I 01000218 d1fc07c9 - 1310910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1310990 ns MR4_D 40006004 00000001 - 1310990 ns R r1 00000001 - 1310990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1311010 ns MR4_I 0100021c 1c5b6002 - 1311010 ns R r1 80000000 - 1311010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1311030 ns R psr 81000200 - 1311050 ns MR4_I 01000214 6841d006 - 1311070 ns MR4_I 01000218 d1fc07c9 - 1311070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1311150 ns MR4_D 40006004 00000001 - 1311150 ns R r1 00000001 - 1311150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1311170 ns MR4_I 0100021c 1c5b6002 - 1311170 ns R r1 80000000 - 1311170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1311190 ns R psr 81000200 - 1311210 ns MR4_I 01000214 6841d006 - 1311230 ns MR4_I 01000218 d1fc07c9 - 1311230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1311310 ns MR4_D 40006004 00000001 - 1311310 ns R r1 00000001 - 1311310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1311330 ns MR4_I 0100021c 1c5b6002 - 1311330 ns R r1 80000000 - 1311330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1311350 ns R psr 81000200 - 1311370 ns MR4_I 01000214 6841d006 - 1311390 ns MR4_I 01000218 d1fc07c9 - 1311390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1311470 ns MR4_D 40006004 00000001 - 1311470 ns R r1 00000001 - 1311470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1311490 ns MR4_I 0100021c 1c5b6002 - 1311490 ns R r1 80000000 - 1311490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1311510 ns R psr 81000200 - 1311530 ns MR4_I 01000214 6841d006 - 1311550 ns MR4_I 01000218 d1fc07c9 - 1311550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1311630 ns MR4_D 40006004 00000001 - 1311630 ns R r1 00000001 - 1311630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1311650 ns MR4_I 0100021c 1c5b6002 - 1311650 ns R r1 80000000 - 1311650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1311670 ns R psr 81000200 - 1311690 ns MR4_I 01000214 6841d006 - 1311710 ns MR4_I 01000218 d1fc07c9 - 1311710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1311790 ns MR4_D 40006004 00000001 - 1311790 ns R r1 00000001 - 1311790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1311810 ns MR4_I 0100021c 1c5b6002 - 1311810 ns R r1 80000000 - 1311810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1311830 ns R psr 81000200 - 1311850 ns MR4_I 01000214 6841d006 - 1311870 ns MR4_I 01000218 d1fc07c9 - 1311870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1311950 ns MR4_D 40006004 00000001 - 1311950 ns R r1 00000001 - 1311950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1311970 ns MR4_I 0100021c 1c5b6002 - 1311970 ns R r1 80000000 - 1311970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1311990 ns R psr 81000200 - 1312010 ns MR4_I 01000214 6841d006 - 1312030 ns MR4_I 01000218 d1fc07c9 - 1312030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1312110 ns MR4_D 40006004 00000001 - 1312110 ns R r1 00000001 - 1312110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1312130 ns MR4_I 0100021c 1c5b6002 - 1312130 ns R r1 80000000 - 1312130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1312150 ns R psr 81000200 - 1312170 ns MR4_I 01000214 6841d006 - 1312190 ns MR4_I 01000218 d1fc07c9 - 1312190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1312270 ns MR4_D 40006004 00000001 - 1312270 ns R r1 00000001 - 1312270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1312290 ns MR4_I 0100021c 1c5b6002 - 1312290 ns R r1 80000000 - 1312290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1312310 ns R psr 81000200 - 1312330 ns MR4_I 01000214 6841d006 - 1312350 ns MR4_I 01000218 d1fc07c9 - 1312350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1312430 ns MR4_D 40006004 00000001 - 1312430 ns R r1 00000001 - 1312430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1312450 ns MR4_I 0100021c 1c5b6002 - 1312450 ns R r1 80000000 - 1312450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1312470 ns R psr 81000200 - 1312490 ns MR4_I 01000214 6841d006 - 1312510 ns MR4_I 01000218 d1fc07c9 - 1312510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1312590 ns MR4_D 40006004 00000001 - 1312590 ns R r1 00000001 - 1312590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1312610 ns MR4_I 0100021c 1c5b6002 - 1312610 ns R r1 80000000 - 1312610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1312630 ns R psr 81000200 - 1312650 ns MR4_I 01000214 6841d006 - 1312670 ns MR4_I 01000218 d1fc07c9 - 1312670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1312750 ns MR4_D 40006004 00000001 - 1312750 ns R r1 00000001 - 1312750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1312770 ns MR4_I 0100021c 1c5b6002 - 1312770 ns R r1 80000000 - 1312770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1312790 ns R psr 81000200 - 1312810 ns MR4_I 01000214 6841d006 - 1312830 ns MR4_I 01000218 d1fc07c9 - 1312830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1312910 ns MR4_D 40006004 00000001 - 1312910 ns R r1 00000001 - 1312910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1312930 ns MR4_I 0100021c 1c5b6002 - 1312930 ns R r1 80000000 - 1312930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1312950 ns R psr 81000200 - 1312970 ns MR4_I 01000214 6841d006 - 1312990 ns MR4_I 01000218 d1fc07c9 - 1312990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1313070 ns MR4_D 40006004 00000001 - 1313070 ns R r1 00000001 - 1313070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1313090 ns MR4_I 0100021c 1c5b6002 - 1313090 ns R r1 80000000 - 1313090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1313110 ns R psr 81000200 - 1313130 ns MR4_I 01000214 6841d006 - 1313150 ns MR4_I 01000218 d1fc07c9 - 1313150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1313230 ns MR4_D 40006004 00000001 - 1313230 ns R r1 00000001 - 1313230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1313250 ns MR4_I 0100021c 1c5b6002 - 1313250 ns R r1 80000000 - 1313250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1313270 ns R psr 81000200 - 1313290 ns MR4_I 01000214 6841d006 - 1313310 ns MR4_I 01000218 d1fc07c9 - 1313310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1313390 ns MR4_D 40006004 00000001 - 1313390 ns R r1 00000001 - 1313390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1313410 ns MR4_I 0100021c 1c5b6002 - 1313410 ns R r1 80000000 - 1313410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1313430 ns R psr 81000200 - 1313450 ns MR4_I 01000214 6841d006 - 1313470 ns MR4_I 01000218 d1fc07c9 - 1313470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1313550 ns MR4_D 40006004 00000001 - 1313550 ns R r1 00000001 - 1313550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1313570 ns MR4_I 0100021c 1c5b6002 - 1313570 ns R r1 80000000 - 1313570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1313590 ns R psr 81000200 - 1313610 ns MR4_I 01000214 6841d006 - 1313630 ns MR4_I 01000218 d1fc07c9 - 1313630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1313710 ns MR4_D 40006004 00000001 - 1313710 ns R r1 00000001 - 1313710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1313730 ns MR4_I 0100021c 1c5b6002 - 1313730 ns R r1 80000000 - 1313730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1313750 ns R psr 81000200 - 1313770 ns MR4_I 01000214 6841d006 - 1313790 ns MR4_I 01000218 d1fc07c9 - 1313790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1313870 ns MR4_D 40006004 00000001 - 1313870 ns R r1 00000001 - 1313870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1313890 ns MR4_I 0100021c 1c5b6002 - 1313890 ns R r1 80000000 - 1313890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1313910 ns R psr 81000200 - 1313930 ns MR4_I 01000214 6841d006 - 1313950 ns MR4_I 01000218 d1fc07c9 - 1313950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1314030 ns MR4_D 40006004 00000001 - 1314030 ns R r1 00000001 - 1314030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1314050 ns MR4_I 0100021c 1c5b6002 - 1314050 ns R r1 80000000 - 1314050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1314070 ns R psr 81000200 - 1314090 ns MR4_I 01000214 6841d006 - 1314110 ns MR4_I 01000218 d1fc07c9 - 1314110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1314190 ns MR4_D 40006004 00000001 - 1314190 ns R r1 00000001 - 1314190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1314210 ns MR4_I 0100021c 1c5b6002 - 1314210 ns R r1 80000000 - 1314210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1314230 ns R psr 81000200 - 1314250 ns MR4_I 01000214 6841d006 - 1314270 ns MR4_I 01000218 d1fc07c9 - 1314270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1314350 ns MR4_D 40006004 00000001 - 1314350 ns R r1 00000001 - 1314350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1314370 ns MR4_I 0100021c 1c5b6002 - 1314370 ns R r1 80000000 - 1314370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1314390 ns R psr 81000200 - 1314410 ns MR4_I 01000214 6841d006 - 1314430 ns MR4_I 01000218 d1fc07c9 - 1314430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1314510 ns MR4_D 40006004 00000001 - 1314510 ns R r1 00000001 - 1314510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1314530 ns MR4_I 0100021c 1c5b6002 - 1314530 ns R r1 80000000 - 1314530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1314550 ns R psr 81000200 - 1314570 ns MR4_I 01000214 6841d006 - 1314590 ns MR4_I 01000218 d1fc07c9 - 1314590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1314670 ns MR4_D 40006004 00000001 - 1314670 ns R r1 00000001 - 1314670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1314690 ns MR4_I 0100021c 1c5b6002 - 1314690 ns R r1 80000000 - 1314690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1314710 ns R psr 81000200 - 1314730 ns MR4_I 01000214 6841d006 - 1314750 ns MR4_I 01000218 d1fc07c9 - 1314750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1314830 ns MR4_D 40006004 00000001 - 1314830 ns R r1 00000001 - 1314830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1314850 ns MR4_I 0100021c 1c5b6002 - 1314850 ns R r1 80000000 - 1314850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1314870 ns R psr 81000200 - 1314890 ns MR4_I 01000214 6841d006 - 1314910 ns MR4_I 01000218 d1fc07c9 - 1314910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1314990 ns MR4_D 40006004 00000001 - 1314990 ns R r1 00000001 - 1314990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1315010 ns MR4_I 0100021c 1c5b6002 - 1315010 ns R r1 80000000 - 1315010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1315030 ns R psr 81000200 - 1315050 ns MR4_I 01000214 6841d006 - 1315070 ns MR4_I 01000218 d1fc07c9 - 1315070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1315150 ns MR4_D 40006004 00000001 - 1315150 ns R r1 00000001 - 1315150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1315170 ns MR4_I 0100021c 1c5b6002 - 1315170 ns R r1 80000000 - 1315170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1315190 ns R psr 81000200 - 1315210 ns MR4_I 01000214 6841d006 - 1315230 ns MR4_I 01000218 d1fc07c9 - 1315230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1315310 ns MR4_D 40006004 00000001 - 1315310 ns R r1 00000001 - 1315310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1315330 ns MR4_I 0100021c 1c5b6002 - 1315330 ns R r1 80000000 - 1315330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1315350 ns R psr 81000200 - 1315370 ns MR4_I 01000214 6841d006 - 1315390 ns MR4_I 01000218 d1fc07c9 - 1315390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1315470 ns MR4_D 40006004 00000001 - 1315470 ns R r1 00000001 - 1315470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1315490 ns MR4_I 0100021c 1c5b6002 - 1315490 ns R r1 80000000 - 1315490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1315510 ns R psr 81000200 - 1315530 ns MR4_I 01000214 6841d006 - 1315550 ns MR4_I 01000218 d1fc07c9 - 1315550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1315630 ns MR4_D 40006004 00000001 - 1315630 ns R r1 00000001 - 1315630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1315650 ns MR4_I 0100021c 1c5b6002 - 1315650 ns R r1 80000000 - 1315650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1315670 ns R psr 81000200 - 1315690 ns MR4_I 01000214 6841d006 - 1315710 ns MR4_I 01000218 d1fc07c9 - 1315710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1315790 ns MR4_D 40006004 00000001 - 1315790 ns R r1 00000001 - 1315790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1315810 ns MR4_I 0100021c 1c5b6002 - 1315810 ns R r1 80000000 - 1315810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1315830 ns R psr 81000200 - 1315850 ns MR4_I 01000214 6841d006 - 1315870 ns MR4_I 01000218 d1fc07c9 - 1315870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1315950 ns MR4_D 40006004 00000001 - 1315950 ns R r1 00000001 - 1315950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1315970 ns MR4_I 0100021c 1c5b6002 - 1315970 ns R r1 80000000 - 1315970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1315990 ns R psr 81000200 - 1316010 ns MR4_I 01000214 6841d006 - 1316030 ns MR4_I 01000218 d1fc07c9 - 1316030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1316110 ns MR4_D 40006004 00000001 - 1316110 ns R r1 00000001 - 1316110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1316130 ns MR4_I 0100021c 1c5b6002 - 1316130 ns R r1 80000000 - 1316130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1316150 ns R psr 81000200 - 1316170 ns MR4_I 01000214 6841d006 - 1316190 ns MR4_I 01000218 d1fc07c9 - 1316190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1316270 ns MR4_D 40006004 00000001 - 1316270 ns R r1 00000001 - 1316270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1316290 ns MR4_I 0100021c 1c5b6002 - 1316290 ns R r1 80000000 - 1316290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1316310 ns R psr 81000200 - 1316330 ns MR4_I 01000214 6841d006 - 1316350 ns MR4_I 01000218 d1fc07c9 - 1316350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1316430 ns MR4_D 40006004 00000001 - 1316430 ns R r1 00000001 - 1316430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1316450 ns MR4_I 0100021c 1c5b6002 - 1316450 ns R r1 80000000 - 1316450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1316470 ns R psr 81000200 - 1316490 ns MR4_I 01000214 6841d006 - 1316510 ns MR4_I 01000218 d1fc07c9 - 1316510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1316590 ns MR4_D 40006004 00000001 - 1316590 ns R r1 00000001 - 1316590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1316610 ns MR4_I 0100021c 1c5b6002 - 1316610 ns R r1 80000000 - 1316610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1316630 ns R psr 81000200 - 1316650 ns MR4_I 01000214 6841d006 - 1316670 ns MR4_I 01000218 d1fc07c9 - 1316670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1316750 ns MR4_D 40006004 00000001 - 1316750 ns R r1 00000001 - 1316750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1316770 ns MR4_I 0100021c 1c5b6002 - 1316770 ns R r1 80000000 - 1316770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1316790 ns R psr 81000200 - 1316810 ns MR4_I 01000214 6841d006 - 1316830 ns MR4_I 01000218 d1fc07c9 - 1316830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1316910 ns MR4_D 40006004 00000001 - 1316910 ns R r1 00000001 - 1316910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1316930 ns MR4_I 0100021c 1c5b6002 - 1316930 ns R r1 80000000 - 1316930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1316950 ns R psr 81000200 - 1316970 ns MR4_I 01000214 6841d006 - 1316990 ns MR4_I 01000218 d1fc07c9 - 1316990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1317070 ns MR4_D 40006004 00000001 - 1317070 ns R r1 00000001 - 1317070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1317090 ns MR4_I 0100021c 1c5b6002 - 1317090 ns R r1 80000000 - 1317090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1317110 ns R psr 81000200 - 1317130 ns MR4_I 01000214 6841d006 - 1317150 ns MR4_I 01000218 d1fc07c9 - 1317150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1317230 ns MR4_D 40006004 00000001 - 1317230 ns R r1 00000001 - 1317230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1317250 ns MR4_I 0100021c 1c5b6002 - 1317250 ns R r1 80000000 - 1317250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1317270 ns R psr 81000200 - 1317290 ns MR4_I 01000214 6841d006 - 1317310 ns MR4_I 01000218 d1fc07c9 - 1317310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1317390 ns MR4_D 40006004 00000001 - 1317390 ns R r1 00000001 - 1317390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1317410 ns MR4_I 0100021c 1c5b6002 - 1317410 ns R r1 80000000 - 1317410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1317430 ns R psr 81000200 - 1317450 ns MR4_I 01000214 6841d006 - 1317470 ns MR4_I 01000218 d1fc07c9 - 1317470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1317550 ns MR4_D 40006004 00000001 - 1317550 ns R r1 00000001 - 1317550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1317570 ns MR4_I 0100021c 1c5b6002 - 1317570 ns R r1 80000000 - 1317570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1317590 ns R psr 81000200 - 1317610 ns MR4_I 01000214 6841d006 - 1317630 ns MR4_I 01000218 d1fc07c9 - 1317630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1317710 ns MR4_D 40006004 00000001 - 1317710 ns R r1 00000001 - 1317710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1317730 ns MR4_I 0100021c 1c5b6002 - 1317730 ns R r1 80000000 - 1317730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1317750 ns R psr 81000200 - 1317770 ns MR4_I 01000214 6841d006 - 1317790 ns MR4_I 01000218 d1fc07c9 - 1317790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1317870 ns MR4_D 40006004 00000001 - 1317870 ns R r1 00000001 - 1317870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1317890 ns MR4_I 0100021c 1c5b6002 - 1317890 ns R r1 80000000 - 1317890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1317910 ns R psr 81000200 - 1317930 ns MR4_I 01000214 6841d006 - 1317950 ns MR4_I 01000218 d1fc07c9 - 1317950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1318030 ns MR4_D 40006004 00000001 - 1318030 ns R r1 00000001 - 1318030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1318050 ns MR4_I 0100021c 1c5b6002 - 1318050 ns R r1 80000000 - 1318050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1318070 ns R psr 81000200 - 1318090 ns MR4_I 01000214 6841d006 - 1318110 ns MR4_I 01000218 d1fc07c9 - 1318110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1318190 ns MR4_D 40006004 00000001 - 1318190 ns R r1 00000001 - 1318190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1318210 ns MR4_I 0100021c 1c5b6002 - 1318210 ns R r1 80000000 - 1318210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1318230 ns R psr 81000200 - 1318250 ns MR4_I 01000214 6841d006 - 1318270 ns MR4_I 01000218 d1fc07c9 - 1318270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1318350 ns MR4_D 40006004 00000001 - 1318350 ns R r1 00000001 - 1318350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1318370 ns MR4_I 0100021c 1c5b6002 - 1318370 ns R r1 80000000 - 1318370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1318390 ns R psr 81000200 - 1318410 ns MR4_I 01000214 6841d006 - 1318430 ns MR4_I 01000218 d1fc07c9 - 1318430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1318510 ns MR4_D 40006004 00000001 - 1318510 ns R r1 00000001 - 1318510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1318530 ns MR4_I 0100021c 1c5b6002 - 1318530 ns R r1 80000000 - 1318530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1318550 ns R psr 81000200 - 1318570 ns MR4_I 01000214 6841d006 - 1318590 ns MR4_I 01000218 d1fc07c9 - 1318590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1318670 ns MR4_D 40006004 00000001 - 1318670 ns R r1 00000001 - 1318670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1318690 ns MR4_I 0100021c 1c5b6002 - 1318690 ns R r1 80000000 - 1318690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1318710 ns R psr 81000200 - 1318730 ns MR4_I 01000214 6841d006 - 1318750 ns MR4_I 01000218 d1fc07c9 - 1318750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1318830 ns MR4_D 40006004 00000001 - 1318830 ns R r1 00000001 - 1318830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1318850 ns MR4_I 0100021c 1c5b6002 - 1318850 ns R r1 80000000 - 1318850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1318870 ns R psr 81000200 - 1318890 ns MR4_I 01000214 6841d006 - 1318910 ns MR4_I 01000218 d1fc07c9 - 1318910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1318990 ns MR4_D 40006004 00000001 - 1318990 ns R r1 00000001 - 1318990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1319010 ns MR4_I 0100021c 1c5b6002 - 1319010 ns R r1 80000000 - 1319010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1319030 ns R psr 81000200 - 1319050 ns MR4_I 01000214 6841d006 - 1319070 ns MR4_I 01000218 d1fc07c9 - 1319070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1319150 ns MR4_D 40006004 00000001 - 1319150 ns R r1 00000001 - 1319150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1319170 ns MR4_I 0100021c 1c5b6002 - 1319170 ns R r1 80000000 - 1319170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1319190 ns R psr 81000200 - 1319210 ns MR4_I 01000214 6841d006 - 1319230 ns MR4_I 01000218 d1fc07c9 - 1319230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1319310 ns MR4_D 40006004 00000001 - 1319310 ns R r1 00000001 - 1319310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1319330 ns MR4_I 0100021c 1c5b6002 - 1319330 ns R r1 80000000 - 1319330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1319350 ns R psr 81000200 - 1319370 ns MR4_I 01000214 6841d006 - 1319390 ns MR4_I 01000218 d1fc07c9 - 1319390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1319470 ns MR4_D 40006004 00000001 - 1319470 ns R r1 00000001 - 1319470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1319490 ns MR4_I 0100021c 1c5b6002 - 1319490 ns R r1 80000000 - 1319490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1319510 ns R psr 81000200 - 1319530 ns MR4_I 01000214 6841d006 - 1319550 ns MR4_I 01000218 d1fc07c9 - 1319550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1319630 ns MR4_D 40006004 00000001 - 1319630 ns R r1 00000001 - 1319630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1319650 ns MR4_I 0100021c 1c5b6002 - 1319650 ns R r1 80000000 - 1319650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1319670 ns R psr 81000200 - 1319690 ns MR4_I 01000214 6841d006 - 1319710 ns MR4_I 01000218 d1fc07c9 - 1319710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1319790 ns MR4_D 40006004 00000001 - 1319790 ns R r1 00000001 - 1319790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1319810 ns MR4_I 0100021c 1c5b6002 - 1319810 ns R r1 80000000 - 1319810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1319830 ns R psr 81000200 - 1319850 ns MR4_I 01000214 6841d006 - 1319870 ns MR4_I 01000218 d1fc07c9 - 1319870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1319950 ns MR4_D 40006004 00000001 - 1319950 ns R r1 00000001 - 1319950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1319970 ns MR4_I 0100021c 1c5b6002 - 1319970 ns R r1 80000000 - 1319970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1319990 ns R psr 81000200 - 1320010 ns MR4_I 01000214 6841d006 - 1320030 ns MR4_I 01000218 d1fc07c9 - 1320030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1320110 ns MR4_D 40006004 00000001 - 1320110 ns R r1 00000001 - 1320110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1320130 ns MR4_I 0100021c 1c5b6002 - 1320130 ns R r1 80000000 - 1320130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1320150 ns R psr 81000200 - 1320170 ns MR4_I 01000214 6841d006 - 1320190 ns MR4_I 01000218 d1fc07c9 - 1320190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1320270 ns MR4_D 40006004 00000001 - 1320270 ns R r1 00000001 - 1320270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1320290 ns MR4_I 0100021c 1c5b6002 - 1320290 ns R r1 80000000 - 1320290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1320310 ns R psr 81000200 - 1320330 ns MR4_I 01000214 6841d006 - 1320350 ns MR4_I 01000218 d1fc07c9 - 1320350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1320430 ns MR4_D 40006004 00000001 - 1320430 ns R r1 00000001 - 1320430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1320450 ns MR4_I 0100021c 1c5b6002 - 1320450 ns R r1 80000000 - 1320450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1320470 ns R psr 81000200 - 1320490 ns MR4_I 01000214 6841d006 - 1320510 ns MR4_I 01000218 d1fc07c9 - 1320510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1320590 ns MR4_D 40006004 00000001 - 1320590 ns R r1 00000001 - 1320590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1320610 ns MR4_I 0100021c 1c5b6002 - 1320610 ns R r1 80000000 - 1320610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1320630 ns R psr 81000200 - 1320650 ns MR4_I 01000214 6841d006 - 1320670 ns MR4_I 01000218 d1fc07c9 - 1320670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1320750 ns MR4_D 40006004 00000001 - 1320750 ns R r1 00000001 - 1320750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1320770 ns MR4_I 0100021c 1c5b6002 - 1320770 ns R r1 80000000 - 1320770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1320790 ns R psr 81000200 - 1320810 ns MR4_I 01000214 6841d006 - 1320830 ns MR4_I 01000218 d1fc07c9 - 1320830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1320910 ns MR4_D 40006004 00000001 - 1320910 ns R r1 00000001 - 1320910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1320930 ns MR4_I 0100021c 1c5b6002 - 1320930 ns R r1 80000000 - 1320930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1320950 ns R psr 81000200 - 1320970 ns MR4_I 01000214 6841d006 - 1320990 ns MR4_I 01000218 d1fc07c9 - 1320990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1321070 ns MR4_D 40006004 00000001 - 1321070 ns R r1 00000001 - 1321070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1321090 ns MR4_I 0100021c 1c5b6002 - 1321090 ns R r1 80000000 - 1321090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1321110 ns R psr 81000200 - 1321130 ns MR4_I 01000214 6841d006 - 1321150 ns MR4_I 01000218 d1fc07c9 - 1321150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1321230 ns MR4_D 40006004 00000001 - 1321230 ns R r1 00000001 - 1321230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1321250 ns MR4_I 0100021c 1c5b6002 - 1321250 ns R r1 80000000 - 1321250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1321270 ns R psr 81000200 - 1321290 ns MR4_I 01000214 6841d006 - 1321310 ns MR4_I 01000218 d1fc07c9 - 1321310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1321390 ns MR4_D 40006004 00000001 - 1321390 ns R r1 00000001 - 1321390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1321410 ns MR4_I 0100021c 1c5b6002 - 1321410 ns R r1 80000000 - 1321410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1321430 ns R psr 81000200 - 1321450 ns MR4_I 01000214 6841d006 - 1321470 ns MR4_I 01000218 d1fc07c9 - 1321470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1321550 ns MR4_D 40006004 00000001 - 1321550 ns R r1 00000001 - 1321550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1321570 ns MR4_I 0100021c 1c5b6002 - 1321570 ns R r1 80000000 - 1321570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1321590 ns R psr 81000200 - 1321610 ns MR4_I 01000214 6841d006 - 1321630 ns MR4_I 01000218 d1fc07c9 - 1321630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1321710 ns MR4_D 40006004 00000001 - 1321710 ns R r1 00000001 - 1321710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1321730 ns MR4_I 0100021c 1c5b6002 - 1321730 ns R r1 80000000 - 1321730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1321750 ns R psr 81000200 - 1321770 ns MR4_I 01000214 6841d006 - 1321790 ns MR4_I 01000218 d1fc07c9 - 1321790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1321870 ns MR4_D 40006004 00000001 - 1321870 ns R r1 00000001 - 1321870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1321890 ns MR4_I 0100021c 1c5b6002 - 1321890 ns R r1 80000000 - 1321890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1321910 ns R psr 81000200 - 1321930 ns MR4_I 01000214 6841d006 - 1321950 ns MR4_I 01000218 d1fc07c9 - 1321950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1322030 ns MR4_D 40006004 00000001 - 1322030 ns R r1 00000001 - 1322030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1322050 ns MR4_I 0100021c 1c5b6002 - 1322050 ns R r1 80000000 - 1322050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1322070 ns R psr 81000200 - 1322090 ns MR4_I 01000214 6841d006 - 1322110 ns MR4_I 01000218 d1fc07c9 - 1322110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1322190 ns MR4_D 40006004 00000001 - 1322190 ns R r1 00000001 - 1322190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1322210 ns MR4_I 0100021c 1c5b6002 - 1322210 ns R r1 80000000 - 1322210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1322230 ns R psr 81000200 - 1322250 ns MR4_I 01000214 6841d006 - 1322270 ns MR4_I 01000218 d1fc07c9 - 1322270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1322350 ns MR4_D 40006004 00000001 - 1322350 ns R r1 00000001 - 1322350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1322370 ns MR4_I 0100021c 1c5b6002 - 1322370 ns R r1 80000000 - 1322370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1322390 ns R psr 81000200 - 1322410 ns MR4_I 01000214 6841d006 - 1322430 ns MR4_I 01000218 d1fc07c9 - 1322430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1322510 ns MR4_D 40006004 00000001 - 1322510 ns R r1 00000001 - 1322510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1322530 ns MR4_I 0100021c 1c5b6002 - 1322530 ns R r1 80000000 - 1322530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1322550 ns R psr 81000200 - 1322570 ns MR4_I 01000214 6841d006 - 1322590 ns MR4_I 01000218 d1fc07c9 - 1322590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1322670 ns MR4_D 40006004 00000001 - 1322670 ns R r1 00000001 - 1322670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1322690 ns MR4_I 0100021c 1c5b6002 - 1322690 ns R r1 80000000 - 1322690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1322710 ns R psr 81000200 - 1322730 ns MR4_I 01000214 6841d006 - 1322750 ns MR4_I 01000218 d1fc07c9 - 1322750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1322830 ns MR4_D 40006004 00000001 - 1322830 ns R r1 00000001 - 1322830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1322850 ns MR4_I 0100021c 1c5b6002 - 1322850 ns R r1 80000000 - 1322850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1322870 ns R psr 81000200 - 1322890 ns MR4_I 01000214 6841d006 - 1322910 ns MR4_I 01000218 d1fc07c9 - 1322910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1322990 ns MR4_D 40006004 00000001 - 1322990 ns R r1 00000001 - 1322990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1323010 ns MR4_I 0100021c 1c5b6002 - 1323010 ns R r1 80000000 - 1323010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1323030 ns R psr 81000200 - 1323050 ns MR4_I 01000214 6841d006 - 1323070 ns MR4_I 01000218 d1fc07c9 - 1323070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1323150 ns MR4_D 40006004 00000001 - 1323150 ns R r1 00000001 - 1323150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1323170 ns MR4_I 0100021c 1c5b6002 - 1323170 ns R r1 80000000 - 1323170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1323190 ns R psr 81000200 - 1323210 ns MR4_I 01000214 6841d006 - 1323230 ns MR4_I 01000218 d1fc07c9 - 1323230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1323310 ns MR4_D 40006004 00000001 - 1323310 ns R r1 00000001 - 1323310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1323330 ns MR4_I 0100021c 1c5b6002 - 1323330 ns R r1 80000000 - 1323330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1323350 ns R psr 81000200 - 1323370 ns MR4_I 01000214 6841d006 - 1323390 ns MR4_I 01000218 d1fc07c9 - 1323390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1323470 ns MR4_D 40006004 00000001 - 1323470 ns R r1 00000001 - 1323470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1323490 ns MR4_I 0100021c 1c5b6002 - 1323490 ns R r1 80000000 - 1323490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1323510 ns R psr 81000200 - 1323530 ns MR4_I 01000214 6841d006 - 1323550 ns MR4_I 01000218 d1fc07c9 - 1323550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1323630 ns MR4_D 40006004 00000001 - 1323630 ns R r1 00000001 - 1323630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1323650 ns MR4_I 0100021c 1c5b6002 - 1323650 ns R r1 80000000 - 1323650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1323670 ns R psr 81000200 - 1323690 ns MR4_I 01000214 6841d006 - 1323710 ns MR4_I 01000218 d1fc07c9 - 1323710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1323790 ns MR4_D 40006004 00000001 - 1323790 ns R r1 00000001 - 1323790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1323810 ns MR4_I 0100021c 1c5b6002 - 1323810 ns R r1 80000000 - 1323810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1323830 ns R psr 81000200 - 1323850 ns MR4_I 01000214 6841d006 - 1323870 ns MR4_I 01000218 d1fc07c9 - 1323870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1323950 ns MR4_D 40006004 00000001 - 1323950 ns R r1 00000001 - 1323950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1323970 ns MR4_I 0100021c 1c5b6002 - 1323970 ns R r1 80000000 - 1323970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1323990 ns R psr 81000200 - 1324010 ns MR4_I 01000214 6841d006 - 1324030 ns MR4_I 01000218 d1fc07c9 - 1324030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1324110 ns MR4_D 40006004 00000001 - 1324110 ns R r1 00000001 - 1324110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1324130 ns MR4_I 0100021c 1c5b6002 - 1324130 ns R r1 80000000 - 1324130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1324150 ns R psr 81000200 - 1324170 ns MR4_I 01000214 6841d006 - 1324190 ns MR4_I 01000218 d1fc07c9 - 1324190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1324270 ns MR4_D 40006004 00000001 - 1324270 ns R r1 00000001 - 1324270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1324290 ns MR4_I 0100021c 1c5b6002 - 1324290 ns R r1 80000000 - 1324290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1324310 ns R psr 81000200 - 1324330 ns MR4_I 01000214 6841d006 - 1324350 ns MR4_I 01000218 d1fc07c9 - 1324350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1324430 ns MR4_D 40006004 00000001 - 1324430 ns R r1 00000001 - 1324430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1324450 ns MR4_I 0100021c 1c5b6002 - 1324450 ns R r1 80000000 - 1324450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1324470 ns R psr 81000200 - 1324490 ns MR4_I 01000214 6841d006 - 1324510 ns MR4_I 01000218 d1fc07c9 - 1324510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1324590 ns MR4_D 40006004 00000001 - 1324590 ns R r1 00000001 - 1324590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1324610 ns MR4_I 0100021c 1c5b6002 - 1324610 ns R r1 80000000 - 1324610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1324630 ns R psr 81000200 - 1324650 ns MR4_I 01000214 6841d006 - 1324670 ns MR4_I 01000218 d1fc07c9 - 1324670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1324750 ns MR4_D 40006004 00000001 - 1324750 ns R r1 00000001 - 1324750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1324770 ns MR4_I 0100021c 1c5b6002 - 1324770 ns R r1 80000000 - 1324770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1324790 ns R psr 81000200 - 1324810 ns MR4_I 01000214 6841d006 - 1324830 ns MR4_I 01000218 d1fc07c9 - 1324830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1324910 ns MR4_D 40006004 00000001 - 1324910 ns R r1 00000001 - 1324910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1324930 ns MR4_I 0100021c 1c5b6002 - 1324930 ns R r1 80000000 - 1324930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1324950 ns R psr 81000200 - 1324970 ns MR4_I 01000214 6841d006 - 1324990 ns MR4_I 01000218 d1fc07c9 - 1324990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1325070 ns MR4_D 40006004 00000001 - 1325070 ns R r1 00000001 - 1325070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1325090 ns MR4_I 0100021c 1c5b6002 - 1325090 ns R r1 80000000 - 1325090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1325110 ns R psr 81000200 - 1325130 ns MR4_I 01000214 6841d006 - 1325150 ns MR4_I 01000218 d1fc07c9 - 1325150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1325230 ns MR4_D 40006004 00000001 - 1325230 ns R r1 00000001 - 1325230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1325250 ns MR4_I 0100021c 1c5b6002 - 1325250 ns R r1 80000000 - 1325250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1325270 ns R psr 81000200 - 1325290 ns MR4_I 01000214 6841d006 - 1325310 ns MR4_I 01000218 d1fc07c9 - 1325310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1325390 ns MR4_D 40006004 00000001 - 1325390 ns R r1 00000001 - 1325390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1325410 ns MR4_I 0100021c 1c5b6002 - 1325410 ns R r1 80000000 - 1325410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1325430 ns R psr 81000200 - 1325450 ns MR4_I 01000214 6841d006 - 1325470 ns MR4_I 01000218 d1fc07c9 - 1325470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1325550 ns MR4_D 40006004 00000001 - 1325550 ns R r1 00000001 - 1325550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1325570 ns MR4_I 0100021c 1c5b6002 - 1325570 ns R r1 80000000 - 1325570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1325590 ns R psr 81000200 - 1325610 ns MR4_I 01000214 6841d006 - 1325630 ns MR4_I 01000218 d1fc07c9 - 1325630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1325710 ns MR4_D 40006004 00000001 - 1325710 ns R r1 00000001 - 1325710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1325730 ns MR4_I 0100021c 1c5b6002 - 1325730 ns R r1 80000000 - 1325730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1325750 ns R psr 81000200 - 1325770 ns MR4_I 01000214 6841d006 - 1325790 ns MR4_I 01000218 d1fc07c9 - 1325790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1325870 ns MR4_D 40006004 00000001 - 1325870 ns R r1 00000001 - 1325870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1325890 ns MR4_I 0100021c 1c5b6002 - 1325890 ns R r1 80000000 - 1325890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1325910 ns R psr 81000200 - 1325930 ns MR4_I 01000214 6841d006 - 1325950 ns MR4_I 01000218 d1fc07c9 - 1325950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1326030 ns MR4_D 40006004 00000001 - 1326030 ns R r1 00000001 - 1326030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1326050 ns MR4_I 0100021c 1c5b6002 - 1326050 ns R r1 80000000 - 1326050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1326070 ns R psr 81000200 - 1326090 ns MR4_I 01000214 6841d006 - 1326110 ns MR4_I 01000218 d1fc07c9 - 1326110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1326190 ns MR4_D 40006004 00000001 - 1326190 ns R r1 00000001 - 1326190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1326210 ns MR4_I 0100021c 1c5b6002 - 1326210 ns R r1 80000000 - 1326210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1326230 ns R psr 81000200 - 1326250 ns MR4_I 01000214 6841d006 - 1326270 ns MR4_I 01000218 d1fc07c9 - 1326270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1326350 ns MR4_D 40006004 00000001 - 1326350 ns R r1 00000001 - 1326350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1326370 ns MR4_I 0100021c 1c5b6002 - 1326370 ns R r1 80000000 - 1326370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1326390 ns R psr 81000200 - 1326410 ns MR4_I 01000214 6841d006 - 1326430 ns MR4_I 01000218 d1fc07c9 - 1326430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1326510 ns MR4_D 40006004 00000001 - 1326510 ns R r1 00000001 - 1326510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1326530 ns MR4_I 0100021c 1c5b6002 - 1326530 ns R r1 80000000 - 1326530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1326550 ns R psr 81000200 - 1326570 ns MR4_I 01000214 6841d006 - 1326590 ns MR4_I 01000218 d1fc07c9 - 1326590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1326670 ns MR4_D 40006004 00000001 - 1326670 ns R r1 00000001 - 1326670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1326690 ns MR4_I 0100021c 1c5b6002 - 1326690 ns R r1 80000000 - 1326690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1326710 ns R psr 81000200 - 1326730 ns MR4_I 01000214 6841d006 - 1326750 ns MR4_I 01000218 d1fc07c9 - 1326750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1326830 ns MR4_D 40006004 00000001 - 1326830 ns R r1 00000001 - 1326830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1326850 ns MR4_I 0100021c 1c5b6002 - 1326850 ns R r1 80000000 - 1326850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1326870 ns R psr 81000200 - 1326890 ns MR4_I 01000214 6841d006 - 1326910 ns MR4_I 01000218 d1fc07c9 - 1326910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1326990 ns MR4_D 40006004 00000001 - 1326990 ns R r1 00000001 - 1326990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1327010 ns MR4_I 0100021c 1c5b6002 - 1327010 ns R r1 80000000 - 1327010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1327030 ns R psr 81000200 - 1327050 ns MR4_I 01000214 6841d006 - 1327070 ns MR4_I 01000218 d1fc07c9 - 1327070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1327150 ns MR4_D 40006004 00000001 - 1327150 ns R r1 00000001 - 1327150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1327170 ns MR4_I 0100021c 1c5b6002 - 1327170 ns R r1 80000000 - 1327170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1327190 ns R psr 81000200 - 1327210 ns MR4_I 01000214 6841d006 - 1327230 ns MR4_I 01000218 d1fc07c9 - 1327230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1327310 ns MR4_D 40006004 00000001 - 1327310 ns R r1 00000001 - 1327310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1327330 ns MR4_I 0100021c 1c5b6002 - 1327330 ns R r1 80000000 - 1327330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1327350 ns R psr 81000200 - 1327370 ns MR4_I 01000214 6841d006 - 1327390 ns MR4_I 01000218 d1fc07c9 - 1327390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1327470 ns MR4_D 40006004 00000001 - 1327470 ns R r1 00000001 - 1327470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1327490 ns MR4_I 0100021c 1c5b6002 - 1327490 ns R r1 80000000 - 1327490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1327510 ns R psr 81000200 - 1327530 ns MR4_I 01000214 6841d006 - 1327550 ns MR4_I 01000218 d1fc07c9 - 1327550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1327630 ns MR4_D 40006004 00000001 - 1327630 ns R r1 00000001 - 1327630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1327650 ns MR4_I 0100021c 1c5b6002 - 1327650 ns R r1 80000000 - 1327650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1327670 ns R psr 81000200 - 1327690 ns MR4_I 01000214 6841d006 - 1327710 ns MR4_I 01000218 d1fc07c9 - 1327710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1327790 ns MR4_D 40006004 00000001 - 1327790 ns R r1 00000001 - 1327790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1327810 ns MR4_I 0100021c 1c5b6002 - 1327810 ns R r1 80000000 - 1327810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1327830 ns R psr 81000200 - 1327850 ns MR4_I 01000214 6841d006 - 1327870 ns MR4_I 01000218 d1fc07c9 - 1327870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1327950 ns MR4_D 40006004 00000001 - 1327950 ns R r1 00000001 - 1327950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1327970 ns MR4_I 0100021c 1c5b6002 - 1327970 ns R r1 80000000 - 1327970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1327990 ns R psr 81000200 - 1328010 ns MR4_I 01000214 6841d006 - 1328030 ns MR4_I 01000218 d1fc07c9 - 1328030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1328110 ns MR4_D 40006004 00000001 - 1328110 ns R r1 00000001 - 1328110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1328130 ns MR4_I 0100021c 1c5b6002 - 1328130 ns R r1 80000000 - 1328130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1328150 ns R psr 81000200 - 1328170 ns MR4_I 01000214 6841d006 - 1328190 ns MR4_I 01000218 d1fc07c9 - 1328190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1328270 ns MR4_D 40006004 00000001 - 1328270 ns R r1 00000001 - 1328270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1328290 ns MR4_I 0100021c 1c5b6002 - 1328290 ns R r1 80000000 - 1328290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1328310 ns R psr 81000200 - 1328330 ns MR4_I 01000214 6841d006 - 1328350 ns MR4_I 01000218 d1fc07c9 - 1328350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1328430 ns MR4_D 40006004 00000001 - 1328430 ns R r1 00000001 - 1328430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1328450 ns MR4_I 0100021c 1c5b6002 - 1328450 ns R r1 80000000 - 1328450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1328470 ns R psr 81000200 - 1328490 ns MR4_I 01000214 6841d006 - 1328510 ns MR4_I 01000218 d1fc07c9 - 1328510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1328590 ns MR4_D 40006004 00000001 - 1328590 ns R r1 00000001 - 1328590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1328610 ns MR4_I 0100021c 1c5b6002 - 1328610 ns R r1 80000000 - 1328610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1328630 ns R psr 81000200 - 1328650 ns MR4_I 01000214 6841d006 - 1328670 ns MR4_I 01000218 d1fc07c9 - 1328670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1328750 ns MR4_D 40006004 00000001 - 1328750 ns R r1 00000001 - 1328750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1328770 ns MR4_I 0100021c 1c5b6002 - 1328770 ns R r1 80000000 - 1328770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1328790 ns R psr 81000200 - 1328810 ns MR4_I 01000214 6841d006 - 1328830 ns MR4_I 01000218 d1fc07c9 - 1328830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1328910 ns MR4_D 40006004 00000001 - 1328910 ns R r1 00000001 - 1328910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1328930 ns MR4_I 0100021c 1c5b6002 - 1328930 ns R r1 80000000 - 1328930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1328950 ns R psr 81000200 - 1328970 ns MR4_I 01000214 6841d006 - 1328990 ns MR4_I 01000218 d1fc07c9 - 1328990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1329070 ns MR4_D 40006004 00000001 - 1329070 ns R r1 00000001 - 1329070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1329090 ns MR4_I 0100021c 1c5b6002 - 1329090 ns R r1 80000000 - 1329090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1329110 ns R psr 81000200 - 1329130 ns MR4_I 01000214 6841d006 - 1329150 ns MR4_I 01000218 d1fc07c9 - 1329150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1329230 ns MR4_D 40006004 00000001 - 1329230 ns R r1 00000001 - 1329230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1329250 ns MR4_I 0100021c 1c5b6002 - 1329250 ns R r1 80000000 - 1329250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1329270 ns R psr 81000200 - 1329290 ns MR4_I 01000214 6841d006 - 1329310 ns MR4_I 01000218 d1fc07c9 - 1329310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1329390 ns MR4_D 40006004 00000001 - 1329390 ns R r1 00000001 - 1329390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1329410 ns MR4_I 0100021c 1c5b6002 - 1329410 ns R r1 80000000 - 1329410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1329430 ns R psr 81000200 - 1329450 ns MR4_I 01000214 6841d006 - 1329470 ns MR4_I 01000218 d1fc07c9 - 1329470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1329550 ns MR4_D 40006004 00000001 - 1329550 ns R r1 00000001 - 1329550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1329570 ns MR4_I 0100021c 1c5b6002 - 1329570 ns R r1 80000000 - 1329570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1329590 ns R psr 81000200 - 1329610 ns MR4_I 01000214 6841d006 - 1329630 ns MR4_I 01000218 d1fc07c9 - 1329630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1329710 ns MR4_D 40006004 00000001 - 1329710 ns R r1 00000001 - 1329710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1329730 ns MR4_I 0100021c 1c5b6002 - 1329730 ns R r1 80000000 - 1329730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1329750 ns R psr 81000200 - 1329770 ns MR4_I 01000214 6841d006 - 1329790 ns MR4_I 01000218 d1fc07c9 - 1329790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1329870 ns MR4_D 40006004 00000001 - 1329870 ns R r1 00000001 - 1329870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1329890 ns MR4_I 0100021c 1c5b6002 - 1329890 ns R r1 80000000 - 1329890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1329910 ns R psr 81000200 - 1329930 ns MR4_I 01000214 6841d006 - 1329950 ns MR4_I 01000218 d1fc07c9 - 1329950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1330030 ns MR4_D 40006004 00000001 - 1330030 ns R r1 00000001 - 1330030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1330050 ns MR4_I 0100021c 1c5b6002 - 1330050 ns R r1 80000000 - 1330050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1330070 ns R psr 81000200 - 1330090 ns MR4_I 01000214 6841d006 - 1330110 ns MR4_I 01000218 d1fc07c9 - 1330110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1330190 ns MR4_D 40006004 00000001 - 1330190 ns R r1 00000001 - 1330190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1330210 ns MR4_I 0100021c 1c5b6002 - 1330210 ns R r1 80000000 - 1330210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1330230 ns R psr 81000200 - 1330250 ns MR4_I 01000214 6841d006 - 1330270 ns MR4_I 01000218 d1fc07c9 - 1330270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1330350 ns MR4_D 40006004 00000001 - 1330350 ns R r1 00000001 - 1330350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1330370 ns MR4_I 0100021c 1c5b6002 - 1330370 ns R r1 80000000 - 1330370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1330390 ns R psr 81000200 - 1330410 ns MR4_I 01000214 6841d006 - 1330430 ns MR4_I 01000218 d1fc07c9 - 1330430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1330510 ns MR4_D 40006004 00000001 - 1330510 ns R r1 00000001 - 1330510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1330530 ns MR4_I 0100021c 1c5b6002 - 1330530 ns R r1 80000000 - 1330530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1330550 ns R psr 81000200 - 1330570 ns MR4_I 01000214 6841d006 - 1330590 ns MR4_I 01000218 d1fc07c9 - 1330590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1330670 ns MR4_D 40006004 00000001 - 1330670 ns R r1 00000001 - 1330670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1330690 ns MR4_I 0100021c 1c5b6002 - 1330690 ns R r1 80000000 - 1330690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1330710 ns R psr 81000200 - 1330730 ns MR4_I 01000214 6841d006 - 1330750 ns MR4_I 01000218 d1fc07c9 - 1330750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1330830 ns MR4_D 40006004 00000001 - 1330830 ns R r1 00000001 - 1330830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1330850 ns MR4_I 0100021c 1c5b6002 - 1330850 ns R r1 80000000 - 1330850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1330870 ns R psr 81000200 - 1330890 ns MR4_I 01000214 6841d006 - 1330910 ns MR4_I 01000218 d1fc07c9 - 1330910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1330990 ns MR4_D 40006004 00000001 - 1330990 ns R r1 00000001 - 1330990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1331010 ns MR4_I 0100021c 1c5b6002 - 1331010 ns R r1 80000000 - 1331010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1331030 ns R psr 81000200 - 1331050 ns MR4_I 01000214 6841d006 - 1331070 ns MR4_I 01000218 d1fc07c9 - 1331070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1331150 ns MR4_D 40006004 00000001 - 1331150 ns R r1 00000001 - 1331150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1331170 ns MR4_I 0100021c 1c5b6002 - 1331170 ns R r1 80000000 - 1331170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1331190 ns R psr 81000200 - 1331210 ns MR4_I 01000214 6841d006 - 1331230 ns MR4_I 01000218 d1fc07c9 - 1331230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1331310 ns MR4_D 40006004 00000001 - 1331310 ns R r1 00000001 - 1331310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1331330 ns MR4_I 0100021c 1c5b6002 - 1331330 ns R r1 80000000 - 1331330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1331350 ns R psr 81000200 - 1331370 ns MR4_I 01000214 6841d006 - 1331390 ns MR4_I 01000218 d1fc07c9 - 1331390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1331470 ns MR4_D 40006004 00000001 - 1331470 ns R r1 00000001 - 1331470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1331490 ns MR4_I 0100021c 1c5b6002 - 1331490 ns R r1 80000000 - 1331490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1331510 ns R psr 81000200 - 1331530 ns MR4_I 01000214 6841d006 - 1331550 ns MR4_I 01000218 d1fc07c9 - 1331550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1331630 ns MR4_D 40006004 00000001 - 1331630 ns R r1 00000001 - 1331630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1331650 ns MR4_I 0100021c 1c5b6002 - 1331650 ns R r1 80000000 - 1331650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1331670 ns R psr 81000200 - 1331690 ns MR4_I 01000214 6841d006 - 1331710 ns MR4_I 01000218 d1fc07c9 - 1331710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1331790 ns MR4_D 40006004 00000001 - 1331790 ns R r1 00000001 - 1331790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1331810 ns MR4_I 0100021c 1c5b6002 - 1331810 ns R r1 80000000 - 1331810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1331830 ns R psr 81000200 - 1331850 ns MR4_I 01000214 6841d006 - 1331870 ns MR4_I 01000218 d1fc07c9 - 1331870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1331950 ns MR4_D 40006004 00000001 - 1331950 ns R r1 00000001 - 1331950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1331970 ns MR4_I 0100021c 1c5b6002 - 1331970 ns R r1 80000000 - 1331970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1331990 ns R psr 81000200 - 1332010 ns MR4_I 01000214 6841d006 - 1332030 ns MR4_I 01000218 d1fc07c9 - 1332030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1332110 ns MR4_D 40006004 00000001 - 1332110 ns R r1 00000001 - 1332110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1332130 ns MR4_I 0100021c 1c5b6002 - 1332130 ns R r1 80000000 - 1332130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1332150 ns R psr 81000200 - 1332170 ns MR4_I 01000214 6841d006 - 1332190 ns MR4_I 01000218 d1fc07c9 - 1332190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1332270 ns MR4_D 40006004 00000001 - 1332270 ns R r1 00000001 - 1332270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1332290 ns MR4_I 0100021c 1c5b6002 - 1332290 ns R r1 80000000 - 1332290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1332310 ns R psr 81000200 - 1332330 ns MR4_I 01000214 6841d006 - 1332350 ns MR4_I 01000218 d1fc07c9 - 1332350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1332430 ns MR4_D 40006004 00000001 - 1332430 ns R r1 00000001 - 1332430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1332450 ns MR4_I 0100021c 1c5b6002 - 1332450 ns R r1 80000000 - 1332450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1332470 ns R psr 81000200 - 1332490 ns MR4_I 01000214 6841d006 - 1332510 ns MR4_I 01000218 d1fc07c9 - 1332510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1332590 ns MR4_D 40006004 00000001 - 1332590 ns R r1 00000001 - 1332590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1332610 ns MR4_I 0100021c 1c5b6002 - 1332610 ns R r1 80000000 - 1332610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1332630 ns R psr 81000200 - 1332650 ns MR4_I 01000214 6841d006 - 1332670 ns MR4_I 01000218 d1fc07c9 - 1332670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1332750 ns MR4_D 40006004 00000001 - 1332750 ns R r1 00000001 - 1332750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1332770 ns MR4_I 0100021c 1c5b6002 - 1332770 ns R r1 80000000 - 1332770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1332790 ns R psr 81000200 - 1332810 ns MR4_I 01000214 6841d006 - 1332830 ns MR4_I 01000218 d1fc07c9 - 1332830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1332910 ns MR4_D 40006004 00000001 - 1332910 ns R r1 00000001 - 1332910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1332930 ns MR4_I 0100021c 1c5b6002 - 1332930 ns R r1 80000000 - 1332930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1332950 ns R psr 81000200 - 1332970 ns MR4_I 01000214 6841d006 - 1332990 ns MR4_I 01000218 d1fc07c9 - 1332990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1333070 ns MR4_D 40006004 00000001 - 1333070 ns R r1 00000001 - 1333070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1333090 ns MR4_I 0100021c 1c5b6002 - 1333090 ns R r1 80000000 - 1333090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1333110 ns R psr 81000200 - 1333130 ns MR4_I 01000214 6841d006 - 1333150 ns MR4_I 01000218 d1fc07c9 - 1333150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1333230 ns MR4_D 40006004 00000001 - 1333230 ns R r1 00000001 - 1333230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1333250 ns MR4_I 0100021c 1c5b6002 - 1333250 ns R r1 80000000 - 1333250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1333270 ns R psr 81000200 - 1333290 ns MR4_I 01000214 6841d006 - 1333310 ns MR4_I 01000218 d1fc07c9 - 1333310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1333390 ns MR4_D 40006004 00000001 - 1333390 ns R r1 00000001 - 1333390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1333410 ns MR4_I 0100021c 1c5b6002 - 1333410 ns R r1 80000000 - 1333410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1333430 ns R psr 81000200 - 1333450 ns MR4_I 01000214 6841d006 - 1333470 ns MR4_I 01000218 d1fc07c9 - 1333470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1333550 ns MR4_D 40006004 00000001 - 1333550 ns R r1 00000001 - 1333550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1333570 ns MR4_I 0100021c 1c5b6002 - 1333570 ns R r1 80000000 - 1333570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1333590 ns R psr 81000200 - 1333610 ns MR4_I 01000214 6841d006 - 1333630 ns MR4_I 01000218 d1fc07c9 - 1333630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1333710 ns MR4_D 40006004 00000001 - 1333710 ns R r1 00000001 - 1333710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1333730 ns MR4_I 0100021c 1c5b6002 - 1333730 ns R r1 80000000 - 1333730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1333750 ns R psr 81000200 - 1333770 ns MR4_I 01000214 6841d006 - 1333790 ns MR4_I 01000218 d1fc07c9 - 1333790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1333870 ns MR4_D 40006004 00000001 - 1333870 ns R r1 00000001 - 1333870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1333890 ns MR4_I 0100021c 1c5b6002 - 1333890 ns R r1 80000000 - 1333890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1333910 ns R psr 81000200 - 1333930 ns MR4_I 01000214 6841d006 - 1333950 ns MR4_I 01000218 d1fc07c9 - 1333950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1334030 ns MR4_D 40006004 00000001 - 1334030 ns R r1 00000001 - 1334030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1334050 ns MR4_I 0100021c 1c5b6002 - 1334050 ns R r1 80000000 - 1334050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1334070 ns R psr 81000200 - 1334090 ns MR4_I 01000214 6841d006 - 1334110 ns MR4_I 01000218 d1fc07c9 - 1334110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1334190 ns MR4_D 40006004 00000001 - 1334190 ns R r1 00000001 - 1334190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1334210 ns MR4_I 0100021c 1c5b6002 - 1334210 ns R r1 80000000 - 1334210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1334230 ns R psr 81000200 - 1334250 ns MR4_I 01000214 6841d006 - 1334270 ns MR4_I 01000218 d1fc07c9 - 1334270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1334350 ns MR4_D 40006004 00000001 - 1334350 ns R r1 00000001 - 1334350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1334370 ns MR4_I 0100021c 1c5b6002 - 1334370 ns R r1 80000000 - 1334370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1334390 ns R psr 81000200 - 1334410 ns MR4_I 01000214 6841d006 - 1334430 ns MR4_I 01000218 d1fc07c9 - 1334430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1334510 ns MR4_D 40006004 00000001 - 1334510 ns R r1 00000001 - 1334510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1334530 ns MR4_I 0100021c 1c5b6002 - 1334530 ns R r1 80000000 - 1334530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1334550 ns R psr 81000200 - 1334570 ns MR4_I 01000214 6841d006 - 1334590 ns MR4_I 01000218 d1fc07c9 - 1334590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1334670 ns MR4_D 40006004 00000001 - 1334670 ns R r1 00000001 - 1334670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1334690 ns MR4_I 0100021c 1c5b6002 - 1334690 ns R r1 80000000 - 1334690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1334710 ns R psr 81000200 - 1334730 ns MR4_I 01000214 6841d006 - 1334750 ns MR4_I 01000218 d1fc07c9 - 1334750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1334830 ns MR4_D 40006004 00000001 - 1334830 ns R r1 00000001 - 1334830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1334850 ns MR4_I 0100021c 1c5b6002 - 1334850 ns R r1 80000000 - 1334850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1334870 ns R psr 81000200 - 1334890 ns MR4_I 01000214 6841d006 - 1334910 ns MR4_I 01000218 d1fc07c9 - 1334910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1334990 ns MR4_D 40006004 00000001 - 1334990 ns R r1 00000001 - 1334990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1335010 ns MR4_I 0100021c 1c5b6002 - 1335010 ns R r1 80000000 - 1335010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1335030 ns R psr 81000200 - 1335050 ns MR4_I 01000214 6841d006 - 1335070 ns MR4_I 01000218 d1fc07c9 - 1335070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1335150 ns MR4_D 40006004 00000001 - 1335150 ns R r1 00000001 - 1335150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1335170 ns MR4_I 0100021c 1c5b6002 - 1335170 ns R r1 80000000 - 1335170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1335190 ns R psr 81000200 - 1335210 ns MR4_I 01000214 6841d006 - 1335230 ns MR4_I 01000218 d1fc07c9 - 1335230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1335310 ns MR4_D 40006004 00000001 - 1335310 ns R r1 00000001 - 1335310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1335330 ns MR4_I 0100021c 1c5b6002 - 1335330 ns R r1 80000000 - 1335330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1335350 ns R psr 81000200 - 1335370 ns MR4_I 01000214 6841d006 - 1335390 ns MR4_I 01000218 d1fc07c9 - 1335390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1335470 ns MR4_D 40006004 00000001 - 1335470 ns R r1 00000001 - 1335470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1335490 ns MR4_I 0100021c 1c5b6002 - 1335490 ns R r1 80000000 - 1335490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1335510 ns R psr 81000200 - 1335530 ns MR4_I 01000214 6841d006 - 1335550 ns MR4_I 01000218 d1fc07c9 - 1335550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1335630 ns MR4_D 40006004 00000001 - 1335630 ns R r1 00000001 - 1335630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1335650 ns MR4_I 0100021c 1c5b6002 - 1335650 ns R r1 80000000 - 1335650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1335670 ns R psr 81000200 - 1335690 ns MR4_I 01000214 6841d006 - 1335710 ns MR4_I 01000218 d1fc07c9 - 1335710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1335790 ns MR4_D 40006004 00000001 - 1335790 ns R r1 00000001 - 1335790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1335810 ns MR4_I 0100021c 1c5b6002 - 1335810 ns R r1 80000000 - 1335810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1335830 ns R psr 81000200 - 1335850 ns MR4_I 01000214 6841d006 - 1335870 ns MR4_I 01000218 d1fc07c9 - 1335870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1335950 ns MR4_D 40006004 00000001 - 1335950 ns R r1 00000001 - 1335950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1335970 ns MR4_I 0100021c 1c5b6002 - 1335970 ns R r1 80000000 - 1335970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1335990 ns R psr 81000200 - 1336010 ns MR4_I 01000214 6841d006 - 1336030 ns MR4_I 01000218 d1fc07c9 - 1336030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1336110 ns MR4_D 40006004 00000001 - 1336110 ns R r1 00000001 - 1336110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1336130 ns MR4_I 0100021c 1c5b6002 - 1336130 ns R r1 80000000 - 1336130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1336150 ns R psr 81000200 - 1336170 ns MR4_I 01000214 6841d006 - 1336190 ns MR4_I 01000218 d1fc07c9 - 1336190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1336270 ns MR4_D 40006004 00000001 - 1336270 ns R r1 00000001 - 1336270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1336290 ns MR4_I 0100021c 1c5b6002 - 1336290 ns R r1 80000000 - 1336290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1336310 ns R psr 81000200 - 1336330 ns MR4_I 01000214 6841d006 - 1336350 ns MR4_I 01000218 d1fc07c9 - 1336350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1336430 ns MR4_D 40006004 00000001 - 1336430 ns R r1 00000001 - 1336430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1336450 ns MR4_I 0100021c 1c5b6002 - 1336450 ns R r1 80000000 - 1336450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1336470 ns R psr 81000200 - 1336490 ns MR4_I 01000214 6841d006 - 1336510 ns MR4_I 01000218 d1fc07c9 - 1336510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1336590 ns MR4_D 40006004 00000001 - 1336590 ns R r1 00000001 - 1336590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1336610 ns MR4_I 0100021c 1c5b6002 - 1336610 ns R r1 80000000 - 1336610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1336630 ns R psr 81000200 - 1336650 ns MR4_I 01000214 6841d006 - 1336670 ns MR4_I 01000218 d1fc07c9 - 1336670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1336750 ns MR4_D 40006004 00000001 - 1336750 ns R r1 00000001 - 1336750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1336770 ns MR4_I 0100021c 1c5b6002 - 1336770 ns R r1 80000000 - 1336770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1336790 ns R psr 81000200 - 1336810 ns MR4_I 01000214 6841d006 - 1336830 ns MR4_I 01000218 d1fc07c9 - 1336830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1336910 ns MR4_D 40006004 00000001 - 1336910 ns R r1 00000001 - 1336910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1336930 ns MR4_I 0100021c 1c5b6002 - 1336930 ns R r1 80000000 - 1336930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1336950 ns R psr 81000200 - 1336970 ns MR4_I 01000214 6841d006 - 1336990 ns MR4_I 01000218 d1fc07c9 - 1336990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1337070 ns MR4_D 40006004 00000001 - 1337070 ns R r1 00000001 - 1337070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1337090 ns MR4_I 0100021c 1c5b6002 - 1337090 ns R r1 80000000 - 1337090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1337110 ns R psr 81000200 - 1337130 ns MR4_I 01000214 6841d006 - 1337150 ns MR4_I 01000218 d1fc07c9 - 1337150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1337230 ns MR4_D 40006004 00000001 - 1337230 ns R r1 00000001 - 1337230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1337250 ns MR4_I 0100021c 1c5b6002 - 1337250 ns R r1 80000000 - 1337250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1337270 ns R psr 81000200 - 1337290 ns MR4_I 01000214 6841d006 - 1337310 ns MR4_I 01000218 d1fc07c9 - 1337310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1337390 ns MR4_D 40006004 00000001 - 1337390 ns R r1 00000001 - 1337390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1337410 ns MR4_I 0100021c 1c5b6002 - 1337410 ns R r1 80000000 - 1337410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1337430 ns R psr 81000200 - 1337450 ns MR4_I 01000214 6841d006 - 1337470 ns MR4_I 01000218 d1fc07c9 - 1337470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1337550 ns MR4_D 40006004 00000001 - 1337550 ns R r1 00000001 - 1337550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1337570 ns MR4_I 0100021c 1c5b6002 - 1337570 ns R r1 80000000 - 1337570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1337590 ns R psr 81000200 - 1337610 ns MR4_I 01000214 6841d006 - 1337630 ns MR4_I 01000218 d1fc07c9 - 1337630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1337710 ns MR4_D 40006004 00000001 - 1337710 ns R r1 00000001 - 1337710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1337730 ns MR4_I 0100021c 1c5b6002 - 1337730 ns R r1 80000000 - 1337730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1337750 ns R psr 81000200 - 1337770 ns MR4_I 01000214 6841d006 - 1337790 ns MR4_I 01000218 d1fc07c9 - 1337790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1337870 ns MR4_D 40006004 00000001 - 1337870 ns R r1 00000001 - 1337870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1337890 ns MR4_I 0100021c 1c5b6002 - 1337890 ns R r1 80000000 - 1337890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1337910 ns R psr 81000200 - 1337930 ns MR4_I 01000214 6841d006 - 1337950 ns MR4_I 01000218 d1fc07c9 - 1337950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1338030 ns MR4_D 40006004 00000001 - 1338030 ns R r1 00000001 - 1338030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1338050 ns MR4_I 0100021c 1c5b6002 - 1338050 ns R r1 80000000 - 1338050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1338070 ns R psr 81000200 - 1338090 ns MR4_I 01000214 6841d006 - 1338110 ns MR4_I 01000218 d1fc07c9 - 1338110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1338190 ns MR4_D 40006004 00000001 - 1338190 ns R r1 00000001 - 1338190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1338210 ns MR4_I 0100021c 1c5b6002 - 1338210 ns R r1 80000000 - 1338210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1338230 ns R psr 81000200 - 1338250 ns MR4_I 01000214 6841d006 - 1338270 ns MR4_I 01000218 d1fc07c9 - 1338270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1338350 ns MR4_D 40006004 00000001 - 1338350 ns R r1 00000001 - 1338350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1338370 ns MR4_I 0100021c 1c5b6002 - 1338370 ns R r1 80000000 - 1338370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1338390 ns R psr 81000200 - 1338410 ns MR4_I 01000214 6841d006 - 1338430 ns MR4_I 01000218 d1fc07c9 - 1338430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1338510 ns MR4_D 40006004 00000001 - 1338510 ns R r1 00000001 - 1338510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1338530 ns MR4_I 0100021c 1c5b6002 - 1338530 ns R r1 80000000 - 1338530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1338550 ns R psr 81000200 - 1338570 ns MR4_I 01000214 6841d006 - 1338590 ns MR4_I 01000218 d1fc07c9 - 1338590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1338670 ns MR4_D 40006004 00000001 - 1338670 ns R r1 00000001 - 1338670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1338690 ns MR4_I 0100021c 1c5b6002 - 1338690 ns R r1 80000000 - 1338690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1338710 ns R psr 81000200 - 1338730 ns MR4_I 01000214 6841d006 - 1338750 ns MR4_I 01000218 d1fc07c9 - 1338750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1338830 ns MR4_D 40006004 00000001 - 1338830 ns R r1 00000001 - 1338830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1338850 ns MR4_I 0100021c 1c5b6002 - 1338850 ns R r1 80000000 - 1338850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1338870 ns R psr 81000200 - 1338890 ns MR4_I 01000214 6841d006 - 1338910 ns MR4_I 01000218 d1fc07c9 - 1338910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1338990 ns MR4_D 40006004 00000001 - 1338990 ns R r1 00000001 - 1338990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1339010 ns MR4_I 0100021c 1c5b6002 - 1339010 ns R r1 80000000 - 1339010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1339030 ns R psr 81000200 - 1339050 ns MR4_I 01000214 6841d006 - 1339070 ns MR4_I 01000218 d1fc07c9 - 1339070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1339150 ns MR4_D 40006004 00000001 - 1339150 ns R r1 00000001 - 1339150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1339170 ns MR4_I 0100021c 1c5b6002 - 1339170 ns R r1 80000000 - 1339170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1339190 ns R psr 81000200 - 1339210 ns MR4_I 01000214 6841d006 - 1339230 ns MR4_I 01000218 d1fc07c9 - 1339230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1339310 ns MR4_D 40006004 00000001 - 1339310 ns R r1 00000001 - 1339310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1339330 ns MR4_I 0100021c 1c5b6002 - 1339330 ns R r1 80000000 - 1339330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1339350 ns R psr 81000200 - 1339370 ns MR4_I 01000214 6841d006 - 1339390 ns MR4_I 01000218 d1fc07c9 - 1339390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1339470 ns MR4_D 40006004 00000001 - 1339470 ns R r1 00000001 - 1339470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1339490 ns MR4_I 0100021c 1c5b6002 - 1339490 ns R r1 80000000 - 1339490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1339510 ns R psr 81000200 - 1339530 ns MR4_I 01000214 6841d006 - 1339550 ns MR4_I 01000218 d1fc07c9 - 1339550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1339630 ns MR4_D 40006004 00000001 - 1339630 ns R r1 00000001 - 1339630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1339650 ns MR4_I 0100021c 1c5b6002 - 1339650 ns R r1 80000000 - 1339650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1339670 ns R psr 81000200 - 1339690 ns MR4_I 01000214 6841d006 - 1339710 ns MR4_I 01000218 d1fc07c9 - 1339710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1339790 ns MR4_D 40006004 00000001 - 1339790 ns R r1 00000001 - 1339790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1339810 ns MR4_I 0100021c 1c5b6002 - 1339810 ns R r1 80000000 - 1339810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1339830 ns R psr 81000200 - 1339850 ns MR4_I 01000214 6841d006 - 1339870 ns MR4_I 01000218 d1fc07c9 - 1339870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1339950 ns MR4_D 40006004 00000001 - 1339950 ns R r1 00000001 - 1339950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1339970 ns MR4_I 0100021c 1c5b6002 - 1339970 ns R r1 80000000 - 1339970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1339990 ns R psr 81000200 - 1340010 ns MR4_I 01000214 6841d006 - 1340030 ns MR4_I 01000218 d1fc07c9 - 1340030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1340110 ns MR4_D 40006004 00000001 - 1340110 ns R r1 00000001 - 1340110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1340130 ns MR4_I 0100021c 1c5b6002 - 1340130 ns R r1 80000000 - 1340130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1340150 ns R psr 81000200 - 1340170 ns MR4_I 01000214 6841d006 - 1340190 ns MR4_I 01000218 d1fc07c9 - 1340190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1340270 ns MR4_D 40006004 00000001 - 1340270 ns R r1 00000001 - 1340270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1340290 ns MR4_I 0100021c 1c5b6002 - 1340290 ns R r1 80000000 - 1340290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1340310 ns R psr 81000200 - 1340330 ns MR4_I 01000214 6841d006 - 1340350 ns MR4_I 01000218 d1fc07c9 - 1340350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1340430 ns MR4_D 40006004 00000001 - 1340430 ns R r1 00000001 - 1340430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1340450 ns MR4_I 0100021c 1c5b6002 - 1340450 ns R r1 80000000 - 1340450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1340470 ns R psr 81000200 - 1340490 ns MR4_I 01000214 6841d006 - 1340510 ns MR4_I 01000218 d1fc07c9 - 1340510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1340590 ns MR4_D 40006004 00000001 - 1340590 ns R r1 00000001 - 1340590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1340610 ns MR4_I 0100021c 1c5b6002 - 1340610 ns R r1 80000000 - 1340610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1340630 ns R psr 81000200 - 1340650 ns MR4_I 01000214 6841d006 - 1340670 ns MR4_I 01000218 d1fc07c9 - 1340670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1340750 ns MR4_D 40006004 00000001 - 1340750 ns R r1 00000001 - 1340750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1340770 ns MR4_I 0100021c 1c5b6002 - 1340770 ns R r1 80000000 - 1340770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1340790 ns R psr 81000200 - 1340810 ns MR4_I 01000214 6841d006 - 1340830 ns MR4_I 01000218 d1fc07c9 - 1340830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1340910 ns MR4_D 40006004 00000001 - 1340910 ns R r1 00000001 - 1340910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1340930 ns MR4_I 0100021c 1c5b6002 - 1340930 ns R r1 80000000 - 1340930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1340950 ns R psr 81000200 - 1340970 ns MR4_I 01000214 6841d006 - 1340990 ns MR4_I 01000218 d1fc07c9 - 1340990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1341070 ns MR4_D 40006004 00000001 - 1341070 ns R r1 00000001 - 1341070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1341090 ns MR4_I 0100021c 1c5b6002 - 1341090 ns R r1 80000000 - 1341090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1341110 ns R psr 81000200 - 1341130 ns MR4_I 01000214 6841d006 - 1341150 ns MR4_I 01000218 d1fc07c9 - 1341150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1341230 ns MR4_D 40006004 00000001 - 1341230 ns R r1 00000001 - 1341230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1341250 ns MR4_I 0100021c 1c5b6002 - 1341250 ns R r1 80000000 - 1341250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1341270 ns R psr 81000200 - 1341290 ns MR4_I 01000214 6841d006 - 1341310 ns MR4_I 01000218 d1fc07c9 - 1341310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1341390 ns MR4_D 40006004 00000001 - 1341390 ns R r1 00000001 - 1341390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1341410 ns MR4_I 0100021c 1c5b6002 - 1341410 ns R r1 80000000 - 1341410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1341430 ns R psr 81000200 - 1341450 ns MR4_I 01000214 6841d006 - 1341470 ns MR4_I 01000218 d1fc07c9 - 1341470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1341550 ns MR4_D 40006004 00000001 - 1341550 ns R r1 00000001 - 1341550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1341570 ns MR4_I 0100021c 1c5b6002 - 1341570 ns R r1 80000000 - 1341570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1341590 ns R psr 81000200 - 1341610 ns MR4_I 01000214 6841d006 - 1341630 ns MR4_I 01000218 d1fc07c9 - 1341630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1341710 ns MR4_D 40006004 00000001 - 1341710 ns R r1 00000001 - 1341710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1341730 ns MR4_I 0100021c 1c5b6002 - 1341730 ns R r1 80000000 - 1341730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1341750 ns R psr 81000200 - 1341770 ns MR4_I 01000214 6841d006 - 1341790 ns MR4_I 01000218 d1fc07c9 - 1341790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1341870 ns MR4_D 40006004 00000001 - 1341870 ns R r1 00000001 - 1341870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1341890 ns MR4_I 0100021c 1c5b6002 - 1341890 ns R r1 80000000 - 1341890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1341910 ns R psr 81000200 - 1341930 ns MR4_I 01000214 6841d006 - 1341950 ns MR4_I 01000218 d1fc07c9 - 1341950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1342030 ns MR4_D 40006004 00000001 - 1342030 ns R r1 00000001 - 1342030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1342050 ns MR4_I 0100021c 1c5b6002 - 1342050 ns R r1 80000000 - 1342050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1342070 ns R psr 81000200 - 1342090 ns MR4_I 01000214 6841d006 - 1342110 ns MR4_I 01000218 d1fc07c9 - 1342110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1342190 ns MR4_D 40006004 00000001 - 1342190 ns R r1 00000001 - 1342190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1342210 ns MR4_I 0100021c 1c5b6002 - 1342210 ns R r1 80000000 - 1342210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1342230 ns R psr 81000200 - 1342250 ns MR4_I 01000214 6841d006 - 1342270 ns MR4_I 01000218 d1fc07c9 - 1342270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1342350 ns MR4_D 40006004 00000001 - 1342350 ns R r1 00000001 - 1342350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1342370 ns MR4_I 0100021c 1c5b6002 - 1342370 ns R r1 80000000 - 1342370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1342390 ns R psr 81000200 - 1342410 ns MR4_I 01000214 6841d006 - 1342430 ns MR4_I 01000218 d1fc07c9 - 1342430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1342510 ns MR4_D 40006004 00000001 - 1342510 ns R r1 00000001 - 1342510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1342530 ns MR4_I 0100021c 1c5b6002 - 1342530 ns R r1 80000000 - 1342530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1342550 ns R psr 81000200 - 1342570 ns MR4_I 01000214 6841d006 - 1342590 ns MR4_I 01000218 d1fc07c9 - 1342590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1342670 ns MR4_D 40006004 00000001 - 1342670 ns R r1 00000001 - 1342670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1342690 ns MR4_I 0100021c 1c5b6002 - 1342690 ns R r1 80000000 - 1342690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1342710 ns R psr 81000200 - 1342730 ns MR4_I 01000214 6841d006 - 1342750 ns MR4_I 01000218 d1fc07c9 - 1342750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1342830 ns MR4_D 40006004 00000001 - 1342830 ns R r1 00000001 - 1342830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1342850 ns MR4_I 0100021c 1c5b6002 - 1342850 ns R r1 80000000 - 1342850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1342870 ns R psr 81000200 - 1342890 ns MR4_I 01000214 6841d006 - 1342910 ns MR4_I 01000218 d1fc07c9 - 1342910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1342990 ns MR4_D 40006004 00000001 - 1342990 ns R r1 00000001 - 1342990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1343010 ns MR4_I 0100021c 1c5b6002 - 1343010 ns R r1 80000000 - 1343010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1343030 ns R psr 81000200 - 1343050 ns MR4_I 01000214 6841d006 - 1343070 ns MR4_I 01000218 d1fc07c9 - 1343070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1343150 ns MR4_D 40006004 00000001 - 1343150 ns R r1 00000001 - 1343150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1343170 ns MR4_I 0100021c 1c5b6002 - 1343170 ns R r1 80000000 - 1343170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1343190 ns R psr 81000200 - 1343210 ns MR4_I 01000214 6841d006 - 1343230 ns MR4_I 01000218 d1fc07c9 - 1343230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1343310 ns MR4_D 40006004 00000001 - 1343310 ns R r1 00000001 - 1343310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1343330 ns MR4_I 0100021c 1c5b6002 - 1343330 ns R r1 80000000 - 1343330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1343350 ns R psr 81000200 - 1343370 ns MR4_I 01000214 6841d006 - 1343390 ns MR4_I 01000218 d1fc07c9 - 1343390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1343470 ns MR4_D 40006004 00000001 - 1343470 ns R r1 00000001 - 1343470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1343490 ns MR4_I 0100021c 1c5b6002 - 1343490 ns R r1 80000000 - 1343490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1343510 ns R psr 81000200 - 1343530 ns MR4_I 01000214 6841d006 - 1343550 ns MR4_I 01000218 d1fc07c9 - 1343550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1343630 ns MR4_D 40006004 00000001 - 1343630 ns R r1 00000001 - 1343630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1343650 ns MR4_I 0100021c 1c5b6002 - 1343650 ns R r1 80000000 - 1343650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1343670 ns R psr 81000200 - 1343690 ns MR4_I 01000214 6841d006 - 1343710 ns MR4_I 01000218 d1fc07c9 - 1343710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1343790 ns MR4_D 40006004 00000001 - 1343790 ns R r1 00000001 - 1343790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1343810 ns MR4_I 0100021c 1c5b6002 - 1343810 ns R r1 80000000 - 1343810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1343830 ns R psr 81000200 - 1343850 ns MR4_I 01000214 6841d006 - 1343870 ns MR4_I 01000218 d1fc07c9 - 1343870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1343950 ns MR4_D 40006004 00000001 - 1343950 ns R r1 00000001 - 1343950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1343970 ns MR4_I 0100021c 1c5b6002 - 1343970 ns R r1 80000000 - 1343970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1343990 ns R psr 81000200 - 1344010 ns MR4_I 01000214 6841d006 - 1344030 ns MR4_I 01000218 d1fc07c9 - 1344030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1344110 ns MR4_D 40006004 00000001 - 1344110 ns R r1 00000001 - 1344110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1344130 ns MR4_I 0100021c 1c5b6002 - 1344130 ns R r1 80000000 - 1344130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1344150 ns R psr 81000200 - 1344170 ns MR4_I 01000214 6841d006 - 1344190 ns MR4_I 01000218 d1fc07c9 - 1344190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1344270 ns MR4_D 40006004 00000001 - 1344270 ns R r1 00000001 - 1344270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1344290 ns MR4_I 0100021c 1c5b6002 - 1344290 ns R r1 80000000 - 1344290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1344310 ns R psr 81000200 - 1344330 ns MR4_I 01000214 6841d006 - 1344350 ns MR4_I 01000218 d1fc07c9 - 1344350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1344430 ns MR4_D 40006004 00000001 - 1344430 ns R r1 00000001 - 1344430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1344450 ns MR4_I 0100021c 1c5b6002 - 1344450 ns R r1 80000000 - 1344450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1344470 ns R psr 81000200 - 1344490 ns MR4_I 01000214 6841d006 - 1344510 ns MR4_I 01000218 d1fc07c9 - 1344510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1344590 ns MR4_D 40006004 00000001 - 1344590 ns R r1 00000001 - 1344590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1344610 ns MR4_I 0100021c 1c5b6002 - 1344610 ns R r1 80000000 - 1344610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1344630 ns R psr 81000200 - 1344650 ns MR4_I 01000214 6841d006 - 1344670 ns MR4_I 01000218 d1fc07c9 - 1344670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1344750 ns MR4_D 40006004 00000001 - 1344750 ns R r1 00000001 - 1344750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1344770 ns MR4_I 0100021c 1c5b6002 - 1344770 ns R r1 80000000 - 1344770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1344790 ns R psr 81000200 - 1344810 ns MR4_I 01000214 6841d006 - 1344830 ns MR4_I 01000218 d1fc07c9 - 1344830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1344910 ns MR4_D 40006004 00000001 - 1344910 ns R r1 00000001 - 1344910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1344930 ns MR4_I 0100021c 1c5b6002 - 1344930 ns R r1 80000000 - 1344930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1344950 ns R psr 81000200 - 1344970 ns MR4_I 01000214 6841d006 - 1344990 ns MR4_I 01000218 d1fc07c9 - 1344990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1345070 ns MR4_D 40006004 00000001 - 1345070 ns R r1 00000001 - 1345070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1345090 ns MR4_I 0100021c 1c5b6002 - 1345090 ns R r1 80000000 - 1345090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1345110 ns R psr 81000200 - 1345130 ns MR4_I 01000214 6841d006 - 1345150 ns MR4_I 01000218 d1fc07c9 - 1345150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1345230 ns MR4_D 40006004 00000001 - 1345230 ns R r1 00000001 - 1345230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1345250 ns MR4_I 0100021c 1c5b6002 - 1345250 ns R r1 80000000 - 1345250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1345270 ns R psr 81000200 - 1345290 ns MR4_I 01000214 6841d006 - 1345310 ns MR4_I 01000218 d1fc07c9 - 1345310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1345390 ns MR4_D 40006004 00000001 - 1345390 ns R r1 00000001 - 1345390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1345410 ns MR4_I 0100021c 1c5b6002 - 1345410 ns R r1 80000000 - 1345410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1345430 ns R psr 81000200 - 1345450 ns MR4_I 01000214 6841d006 - 1345470 ns MR4_I 01000218 d1fc07c9 - 1345470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1345550 ns MR4_D 40006004 00000001 - 1345550 ns R r1 00000001 - 1345550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1345570 ns MR4_I 0100021c 1c5b6002 - 1345570 ns R r1 80000000 - 1345570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1345590 ns R psr 81000200 - 1345610 ns MR4_I 01000214 6841d006 - 1345630 ns MR4_I 01000218 d1fc07c9 - 1345630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1345710 ns MR4_D 40006004 00000001 - 1345710 ns R r1 00000001 - 1345710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1345730 ns MR4_I 0100021c 1c5b6002 - 1345730 ns R r1 80000000 - 1345730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1345750 ns R psr 81000200 - 1345770 ns MR4_I 01000214 6841d006 - 1345790 ns MR4_I 01000218 d1fc07c9 - 1345790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1345870 ns MR4_D 40006004 00000001 - 1345870 ns R r1 00000001 - 1345870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1345890 ns MR4_I 0100021c 1c5b6002 - 1345890 ns R r1 80000000 - 1345890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1345910 ns R psr 81000200 - 1345930 ns MR4_I 01000214 6841d006 - 1345950 ns MR4_I 01000218 d1fc07c9 - 1345950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1346030 ns MR4_D 40006004 00000001 - 1346030 ns R r1 00000001 - 1346030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1346050 ns MR4_I 0100021c 1c5b6002 - 1346050 ns R r1 80000000 - 1346050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1346070 ns R psr 81000200 - 1346090 ns MR4_I 01000214 6841d006 - 1346110 ns MR4_I 01000218 d1fc07c9 - 1346110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1346190 ns MR4_D 40006004 00000001 - 1346190 ns R r1 00000001 - 1346190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1346210 ns MR4_I 0100021c 1c5b6002 - 1346210 ns R r1 80000000 - 1346210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1346230 ns R psr 81000200 - 1346250 ns MR4_I 01000214 6841d006 - 1346270 ns MR4_I 01000218 d1fc07c9 - 1346270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1346350 ns MR4_D 40006004 00000001 - 1346350 ns R r1 00000001 - 1346350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1346370 ns MR4_I 0100021c 1c5b6002 - 1346370 ns R r1 80000000 - 1346370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1346390 ns R psr 81000200 - 1346410 ns MR4_I 01000214 6841d006 - 1346430 ns MR4_I 01000218 d1fc07c9 - 1346430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1346510 ns MR4_D 40006004 00000001 - 1346510 ns R r1 00000001 - 1346510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1346530 ns MR4_I 0100021c 1c5b6002 - 1346530 ns R r1 80000000 - 1346530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1346550 ns R psr 81000200 - 1346570 ns MR4_I 01000214 6841d006 - 1346590 ns MR4_I 01000218 d1fc07c9 - 1346590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1346670 ns MR4_D 40006004 00000001 - 1346670 ns R r1 00000001 - 1346670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1346690 ns MR4_I 0100021c 1c5b6002 - 1346690 ns R r1 80000000 - 1346690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1346710 ns R psr 81000200 - 1346730 ns MR4_I 01000214 6841d006 - 1346750 ns MR4_I 01000218 d1fc07c9 - 1346750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1346830 ns MR4_D 40006004 00000001 - 1346830 ns R r1 00000001 - 1346830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1346850 ns MR4_I 0100021c 1c5b6002 - 1346850 ns R r1 80000000 - 1346850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1346870 ns R psr 81000200 - 1346890 ns MR4_I 01000214 6841d006 - 1346910 ns MR4_I 01000218 d1fc07c9 - 1346910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1346990 ns MR4_D 40006004 00000001 - 1346990 ns R r1 00000001 - 1346990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1347010 ns MR4_I 0100021c 1c5b6002 - 1347010 ns R r1 80000000 - 1347010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1347030 ns R psr 81000200 - 1347050 ns MR4_I 01000214 6841d006 - 1347070 ns MR4_I 01000218 d1fc07c9 - 1347070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1347150 ns MR4_D 40006004 00000001 - 1347150 ns R r1 00000001 - 1347150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1347170 ns MR4_I 0100021c 1c5b6002 - 1347170 ns R r1 80000000 - 1347170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1347190 ns R psr 81000200 - 1347210 ns MR4_I 01000214 6841d006 - 1347230 ns MR4_I 01000218 d1fc07c9 - 1347230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1347310 ns MR4_D 40006004 00000001 - 1347310 ns R r1 00000001 - 1347310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1347330 ns MR4_I 0100021c 1c5b6002 - 1347330 ns R r1 80000000 - 1347330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1347350 ns R psr 81000200 - 1347370 ns MR4_I 01000214 6841d006 - 1347390 ns MR4_I 01000218 d1fc07c9 - 1347390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1347470 ns MR4_D 40006004 00000001 - 1347470 ns R r1 00000001 - 1347470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1347490 ns MR4_I 0100021c 1c5b6002 - 1347490 ns R r1 80000000 - 1347490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1347510 ns R psr 81000200 - 1347530 ns MR4_I 01000214 6841d006 - 1347550 ns MR4_I 01000218 d1fc07c9 - 1347550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1347630 ns MR4_D 40006004 00000001 - 1347630 ns R r1 00000001 - 1347630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1347650 ns MR4_I 0100021c 1c5b6002 - 1347650 ns R r1 80000000 - 1347650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1347670 ns R psr 81000200 - 1347690 ns MR4_I 01000214 6841d006 - 1347710 ns MR4_I 01000218 d1fc07c9 - 1347710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1347790 ns MR4_D 40006004 00000001 - 1347790 ns R r1 00000001 - 1347790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1347810 ns MR4_I 0100021c 1c5b6002 - 1347810 ns R r1 80000000 - 1347810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1347830 ns R psr 81000200 - 1347850 ns MR4_I 01000214 6841d006 - 1347870 ns MR4_I 01000218 d1fc07c9 - 1347870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1347950 ns MR4_D 40006004 00000000 - 1347950 ns R r1 00000000 - 1347950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1347970 ns MR4_I 0100021c 1c5b6002 - 1347970 ns R r1 00000000 - 1347970 ns IS 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1347990 ns R psr 41000200 - 1347990 ns IT 0100021c 6002 STR r2,[r0,#0] - 1348010 ns MR4_I 01000220 d1f52a00 - 1348070 ns MW4_D 40006000 00000020 - 1348070 ns IT 0100021e 1c5b ADDS r3,r3,#1 - 1348090 ns R r3 010002b9 - 1348090 ns IT 01000220 2a00 CMP r2,#0 - 1348110 ns R psr 01000200 - 1348110 ns MR4_I 01000224 680a4911 - 1348110 ns IT 01000222 d1f5 BNE {pc} - 0x12 ; 0x1000210 - 1348130 ns R psr 21000200 - 1348150 ns MR4_I 01000210 2a00781a - 1348170 ns IT 01000210 781a LDRB r2,[r3,#0] - 1348190 ns MR4_I 01000214 6841d006 - 1348210 ns MR1_D 010002b9 6c202d20 - 1348210 ns R r2 0000002d - 1348210 ns IT 01000212 2a00 CMP r2,#0 - 1348230 ns IS 01000214 d006 BEQ {pc} + 0x10 ; 0x1000224 - 1348250 ns R psr 21000200 - 1348250 ns MR4_I 01000218 d1fc07c9 - 1348250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1348330 ns MR4_D 40006004 00000001 - 1348330 ns R r1 00000001 - 1348330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1348350 ns MR4_I 0100021c 1c5b6002 - 1348350 ns R r1 80000000 - 1348350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1348370 ns R psr 81000200 - 1348390 ns MR4_I 01000214 6841d006 - 1348410 ns MR4_I 01000218 d1fc07c9 - 1348410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1348490 ns MR4_D 40006004 00000001 - 1348490 ns R r1 00000001 - 1348490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1348510 ns MR4_I 0100021c 1c5b6002 - 1348510 ns R r1 80000000 - 1348510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1348530 ns R psr 81000200 - 1348550 ns MR4_I 01000214 6841d006 - 1348570 ns MR4_I 01000218 d1fc07c9 - 1348570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1348650 ns MR4_D 40006004 00000001 - 1348650 ns R r1 00000001 - 1348650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1348670 ns MR4_I 0100021c 1c5b6002 - 1348670 ns R r1 80000000 - 1348670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1348690 ns R psr 81000200 - 1348710 ns MR4_I 01000214 6841d006 - 1348730 ns MR4_I 01000218 d1fc07c9 - 1348730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1348810 ns MR4_D 40006004 00000001 - 1348810 ns R r1 00000001 - 1348810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1348830 ns MR4_I 0100021c 1c5b6002 - 1348830 ns R r1 80000000 - 1348830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1348850 ns R psr 81000200 - 1348870 ns MR4_I 01000214 6841d006 - 1348890 ns MR4_I 01000218 d1fc07c9 - 1348890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1348970 ns MR4_D 40006004 00000001 - 1348970 ns R r1 00000001 - 1348970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1348990 ns MR4_I 0100021c 1c5b6002 - 1348990 ns R r1 80000000 - 1348990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1349010 ns R psr 81000200 - 1349030 ns MR4_I 01000214 6841d006 - 1349050 ns MR4_I 01000218 d1fc07c9 - 1349050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1349130 ns MR4_D 40006004 00000001 - 1349130 ns R r1 00000001 - 1349130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1349150 ns MR4_I 0100021c 1c5b6002 - 1349150 ns R r1 80000000 - 1349150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1349170 ns R psr 81000200 - 1349190 ns MR4_I 01000214 6841d006 - 1349210 ns MR4_I 01000218 d1fc07c9 - 1349210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1349290 ns MR4_D 40006004 00000001 - 1349290 ns R r1 00000001 - 1349290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1349310 ns MR4_I 0100021c 1c5b6002 - 1349310 ns R r1 80000000 - 1349310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1349330 ns R psr 81000200 - 1349350 ns MR4_I 01000214 6841d006 - 1349370 ns MR4_I 01000218 d1fc07c9 - 1349370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1349450 ns MR4_D 40006004 00000001 - 1349450 ns R r1 00000001 - 1349450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1349470 ns MR4_I 0100021c 1c5b6002 - 1349470 ns R r1 80000000 - 1349470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1349490 ns R psr 81000200 - 1349510 ns MR4_I 01000214 6841d006 - 1349530 ns MR4_I 01000218 d1fc07c9 - 1349530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1349610 ns MR4_D 40006004 00000001 - 1349610 ns R r1 00000001 - 1349610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1349630 ns MR4_I 0100021c 1c5b6002 - 1349630 ns R r1 80000000 - 1349630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1349650 ns R psr 81000200 - 1349670 ns MR4_I 01000214 6841d006 - 1349690 ns MR4_I 01000218 d1fc07c9 - 1349690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1349770 ns MR4_D 40006004 00000001 - 1349770 ns R r1 00000001 - 1349770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1349790 ns MR4_I 0100021c 1c5b6002 - 1349790 ns R r1 80000000 - 1349790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1349810 ns R psr 81000200 - 1349830 ns MR4_I 01000214 6841d006 - 1349850 ns MR4_I 01000218 d1fc07c9 - 1349850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1349930 ns MR4_D 40006004 00000001 - 1349930 ns R r1 00000001 - 1349930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1349950 ns MR4_I 0100021c 1c5b6002 - 1349950 ns R r1 80000000 - 1349950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1349970 ns R psr 81000200 - 1349990 ns MR4_I 01000214 6841d006 - 1350010 ns MR4_I 01000218 d1fc07c9 - 1350010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1350090 ns MR4_D 40006004 00000001 - 1350090 ns R r1 00000001 - 1350090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1350110 ns MR4_I 0100021c 1c5b6002 - 1350110 ns R r1 80000000 - 1350110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1350130 ns R psr 81000200 - 1350150 ns MR4_I 01000214 6841d006 - 1350170 ns MR4_I 01000218 d1fc07c9 - 1350170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1350250 ns MR4_D 40006004 00000001 - 1350250 ns R r1 00000001 - 1350250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1350270 ns MR4_I 0100021c 1c5b6002 - 1350270 ns R r1 80000000 - 1350270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1350290 ns R psr 81000200 - 1350310 ns MR4_I 01000214 6841d006 - 1350330 ns MR4_I 01000218 d1fc07c9 - 1350330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1350410 ns MR4_D 40006004 00000001 - 1350410 ns R r1 00000001 - 1350410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1350430 ns MR4_I 0100021c 1c5b6002 - 1350430 ns R r1 80000000 - 1350430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1350450 ns R psr 81000200 - 1350470 ns MR4_I 01000214 6841d006 - 1350490 ns MR4_I 01000218 d1fc07c9 - 1350490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1350570 ns MR4_D 40006004 00000001 - 1350570 ns R r1 00000001 - 1350570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1350590 ns MR4_I 0100021c 1c5b6002 - 1350590 ns R r1 80000000 - 1350590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1350610 ns R psr 81000200 - 1350630 ns MR4_I 01000214 6841d006 - 1350650 ns MR4_I 01000218 d1fc07c9 - 1350650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1350730 ns MR4_D 40006004 00000001 - 1350730 ns R r1 00000001 - 1350730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1350750 ns MR4_I 0100021c 1c5b6002 - 1350750 ns R r1 80000000 - 1350750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1350770 ns R psr 81000200 - 1350790 ns MR4_I 01000214 6841d006 - 1350810 ns MR4_I 01000218 d1fc07c9 - 1350810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1350890 ns MR4_D 40006004 00000001 - 1350890 ns R r1 00000001 - 1350890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1350910 ns MR4_I 0100021c 1c5b6002 - 1350910 ns R r1 80000000 - 1350910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1350930 ns R psr 81000200 - 1350950 ns MR4_I 01000214 6841d006 - 1350970 ns MR4_I 01000218 d1fc07c9 - 1350970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1351050 ns MR4_D 40006004 00000001 - 1351050 ns R r1 00000001 - 1351050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1351070 ns MR4_I 0100021c 1c5b6002 - 1351070 ns R r1 80000000 - 1351070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1351090 ns R psr 81000200 - 1351110 ns MR4_I 01000214 6841d006 - 1351130 ns MR4_I 01000218 d1fc07c9 - 1351130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1351210 ns MR4_D 40006004 00000001 - 1351210 ns R r1 00000001 - 1351210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1351230 ns MR4_I 0100021c 1c5b6002 - 1351230 ns R r1 80000000 - 1351230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1351250 ns R psr 81000200 - 1351270 ns MR4_I 01000214 6841d006 - 1351290 ns MR4_I 01000218 d1fc07c9 - 1351290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1351370 ns MR4_D 40006004 00000001 - 1351370 ns R r1 00000001 - 1351370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1351390 ns MR4_I 0100021c 1c5b6002 - 1351390 ns R r1 80000000 - 1351390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1351410 ns R psr 81000200 - 1351430 ns MR4_I 01000214 6841d006 - 1351450 ns MR4_I 01000218 d1fc07c9 - 1351450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1351530 ns MR4_D 40006004 00000001 - 1351530 ns R r1 00000001 - 1351530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1351550 ns MR4_I 0100021c 1c5b6002 - 1351550 ns R r1 80000000 - 1351550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1351570 ns R psr 81000200 - 1351590 ns MR4_I 01000214 6841d006 - 1351610 ns MR4_I 01000218 d1fc07c9 - 1351610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1351690 ns MR4_D 40006004 00000001 - 1351690 ns R r1 00000001 - 1351690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1351710 ns MR4_I 0100021c 1c5b6002 - 1351710 ns R r1 80000000 - 1351710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1351730 ns R psr 81000200 - 1351750 ns MR4_I 01000214 6841d006 - 1351770 ns MR4_I 01000218 d1fc07c9 - 1351770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1351850 ns MR4_D 40006004 00000001 - 1351850 ns R r1 00000001 - 1351850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1351870 ns MR4_I 0100021c 1c5b6002 - 1351870 ns R r1 80000000 - 1351870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1351890 ns R psr 81000200 - 1351910 ns MR4_I 01000214 6841d006 - 1351930 ns MR4_I 01000218 d1fc07c9 - 1351930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1352010 ns MR4_D 40006004 00000001 - 1352010 ns R r1 00000001 - 1352010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1352030 ns MR4_I 0100021c 1c5b6002 - 1352030 ns R r1 80000000 - 1352030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1352050 ns R psr 81000200 - 1352070 ns MR4_I 01000214 6841d006 - 1352090 ns MR4_I 01000218 d1fc07c9 - 1352090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1352170 ns MR4_D 40006004 00000001 - 1352170 ns R r1 00000001 - 1352170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1352190 ns MR4_I 0100021c 1c5b6002 - 1352190 ns R r1 80000000 - 1352190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1352210 ns R psr 81000200 - 1352230 ns MR4_I 01000214 6841d006 - 1352250 ns MR4_I 01000218 d1fc07c9 - 1352250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1352330 ns MR4_D 40006004 00000001 - 1352330 ns R r1 00000001 - 1352330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1352350 ns MR4_I 0100021c 1c5b6002 - 1352350 ns R r1 80000000 - 1352350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1352370 ns R psr 81000200 - 1352390 ns MR4_I 01000214 6841d006 - 1352410 ns MR4_I 01000218 d1fc07c9 - 1352410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1352490 ns MR4_D 40006004 00000001 - 1352490 ns R r1 00000001 - 1352490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1352510 ns MR4_I 0100021c 1c5b6002 - 1352510 ns R r1 80000000 - 1352510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1352530 ns R psr 81000200 - 1352550 ns MR4_I 01000214 6841d006 - 1352570 ns MR4_I 01000218 d1fc07c9 - 1352570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1352650 ns MR4_D 40006004 00000001 - 1352650 ns R r1 00000001 - 1352650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1352670 ns MR4_I 0100021c 1c5b6002 - 1352670 ns R r1 80000000 - 1352670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1352690 ns R psr 81000200 - 1352710 ns MR4_I 01000214 6841d006 - 1352730 ns MR4_I 01000218 d1fc07c9 - 1352730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1352810 ns MR4_D 40006004 00000001 - 1352810 ns R r1 00000001 - 1352810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1352830 ns MR4_I 0100021c 1c5b6002 - 1352830 ns R r1 80000000 - 1352830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1352850 ns R psr 81000200 - 1352870 ns MR4_I 01000214 6841d006 - 1352890 ns MR4_I 01000218 d1fc07c9 - 1352890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1352970 ns MR4_D 40006004 00000001 - 1352970 ns R r1 00000001 - 1352970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1352990 ns MR4_I 0100021c 1c5b6002 - 1352990 ns R r1 80000000 - 1352990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1353010 ns R psr 81000200 - 1353030 ns MR4_I 01000214 6841d006 - 1353050 ns MR4_I 01000218 d1fc07c9 - 1353050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1353130 ns MR4_D 40006004 00000001 - 1353130 ns R r1 00000001 - 1353130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1353150 ns MR4_I 0100021c 1c5b6002 - 1353150 ns R r1 80000000 - 1353150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1353170 ns R psr 81000200 - 1353190 ns MR4_I 01000214 6841d006 - 1353210 ns MR4_I 01000218 d1fc07c9 - 1353210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1353290 ns MR4_D 40006004 00000001 - 1353290 ns R r1 00000001 - 1353290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1353310 ns MR4_I 0100021c 1c5b6002 - 1353310 ns R r1 80000000 - 1353310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1353330 ns R psr 81000200 - 1353350 ns MR4_I 01000214 6841d006 - 1353370 ns MR4_I 01000218 d1fc07c9 - 1353370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1353450 ns MR4_D 40006004 00000001 - 1353450 ns R r1 00000001 - 1353450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1353470 ns MR4_I 0100021c 1c5b6002 - 1353470 ns R r1 80000000 - 1353470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1353490 ns R psr 81000200 - 1353510 ns MR4_I 01000214 6841d006 - 1353530 ns MR4_I 01000218 d1fc07c9 - 1353530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1353610 ns MR4_D 40006004 00000001 - 1353610 ns R r1 00000001 - 1353610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1353630 ns MR4_I 0100021c 1c5b6002 - 1353630 ns R r1 80000000 - 1353630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1353650 ns R psr 81000200 - 1353670 ns MR4_I 01000214 6841d006 - 1353690 ns MR4_I 01000218 d1fc07c9 - 1353690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1353770 ns MR4_D 40006004 00000001 - 1353770 ns R r1 00000001 - 1353770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1353790 ns MR4_I 0100021c 1c5b6002 - 1353790 ns R r1 80000000 - 1353790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1353810 ns R psr 81000200 - 1353830 ns MR4_I 01000214 6841d006 - 1353850 ns MR4_I 01000218 d1fc07c9 - 1353850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1353930 ns MR4_D 40006004 00000001 - 1353930 ns R r1 00000001 - 1353930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1353950 ns MR4_I 0100021c 1c5b6002 - 1353950 ns R r1 80000000 - 1353950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1353970 ns R psr 81000200 - 1353990 ns MR4_I 01000214 6841d006 - 1354010 ns MR4_I 01000218 d1fc07c9 - 1354010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1354090 ns MR4_D 40006004 00000001 - 1354090 ns R r1 00000001 - 1354090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1354110 ns MR4_I 0100021c 1c5b6002 - 1354110 ns R r1 80000000 - 1354110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1354130 ns R psr 81000200 - 1354150 ns MR4_I 01000214 6841d006 - 1354170 ns MR4_I 01000218 d1fc07c9 - 1354170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1354250 ns MR4_D 40006004 00000001 - 1354250 ns R r1 00000001 - 1354250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1354270 ns MR4_I 0100021c 1c5b6002 - 1354270 ns R r1 80000000 - 1354270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1354290 ns R psr 81000200 - 1354310 ns MR4_I 01000214 6841d006 - 1354330 ns MR4_I 01000218 d1fc07c9 - 1354330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1354410 ns MR4_D 40006004 00000001 - 1354410 ns R r1 00000001 - 1354410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1354430 ns MR4_I 0100021c 1c5b6002 - 1354430 ns R r1 80000000 - 1354430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1354450 ns R psr 81000200 - 1354470 ns MR4_I 01000214 6841d006 - 1354490 ns MR4_I 01000218 d1fc07c9 - 1354490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1354570 ns MR4_D 40006004 00000001 - 1354570 ns R r1 00000001 - 1354570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1354590 ns MR4_I 0100021c 1c5b6002 - 1354590 ns R r1 80000000 - 1354590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1354610 ns R psr 81000200 - 1354630 ns MR4_I 01000214 6841d006 - 1354650 ns MR4_I 01000218 d1fc07c9 - 1354650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1354730 ns MR4_D 40006004 00000001 - 1354730 ns R r1 00000001 - 1354730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1354750 ns MR4_I 0100021c 1c5b6002 - 1354750 ns R r1 80000000 - 1354750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1354770 ns R psr 81000200 - 1354790 ns MR4_I 01000214 6841d006 - 1354810 ns MR4_I 01000218 d1fc07c9 - 1354810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1354890 ns MR4_D 40006004 00000001 - 1354890 ns R r1 00000001 - 1354890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1354910 ns MR4_I 0100021c 1c5b6002 - 1354910 ns R r1 80000000 - 1354910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1354930 ns R psr 81000200 - 1354950 ns MR4_I 01000214 6841d006 - 1354970 ns MR4_I 01000218 d1fc07c9 - 1354970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1355050 ns MR4_D 40006004 00000001 - 1355050 ns R r1 00000001 - 1355050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1355070 ns MR4_I 0100021c 1c5b6002 - 1355070 ns R r1 80000000 - 1355070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1355090 ns R psr 81000200 - 1355110 ns MR4_I 01000214 6841d006 - 1355130 ns MR4_I 01000218 d1fc07c9 - 1355130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1355210 ns MR4_D 40006004 00000001 - 1355210 ns R r1 00000001 - 1355210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1355230 ns MR4_I 0100021c 1c5b6002 - 1355230 ns R r1 80000000 - 1355230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1355250 ns R psr 81000200 - 1355270 ns MR4_I 01000214 6841d006 - 1355290 ns MR4_I 01000218 d1fc07c9 - 1355290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1355370 ns MR4_D 40006004 00000001 - 1355370 ns R r1 00000001 - 1355370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1355390 ns MR4_I 0100021c 1c5b6002 - 1355390 ns R r1 80000000 - 1355390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1355410 ns R psr 81000200 - 1355430 ns MR4_I 01000214 6841d006 - 1355450 ns MR4_I 01000218 d1fc07c9 - 1355450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1355530 ns MR4_D 40006004 00000001 - 1355530 ns R r1 00000001 - 1355530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1355550 ns MR4_I 0100021c 1c5b6002 - 1355550 ns R r1 80000000 - 1355550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1355570 ns R psr 81000200 - 1355590 ns MR4_I 01000214 6841d006 - 1355610 ns MR4_I 01000218 d1fc07c9 - 1355610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1355690 ns MR4_D 40006004 00000001 - 1355690 ns R r1 00000001 - 1355690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1355710 ns MR4_I 0100021c 1c5b6002 - 1355710 ns R r1 80000000 - 1355710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1355730 ns R psr 81000200 - 1355750 ns MR4_I 01000214 6841d006 - 1355770 ns MR4_I 01000218 d1fc07c9 - 1355770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1355850 ns MR4_D 40006004 00000001 - 1355850 ns R r1 00000001 - 1355850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1355870 ns MR4_I 0100021c 1c5b6002 - 1355870 ns R r1 80000000 - 1355870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1355890 ns R psr 81000200 - 1355910 ns MR4_I 01000214 6841d006 - 1355930 ns MR4_I 01000218 d1fc07c9 - 1355930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1356010 ns MR4_D 40006004 00000001 - 1356010 ns R r1 00000001 - 1356010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1356030 ns MR4_I 0100021c 1c5b6002 - 1356030 ns R r1 80000000 - 1356030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1356050 ns R psr 81000200 - 1356070 ns MR4_I 01000214 6841d006 - 1356090 ns MR4_I 01000218 d1fc07c9 - 1356090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1356170 ns MR4_D 40006004 00000001 - 1356170 ns R r1 00000001 - 1356170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1356190 ns MR4_I 0100021c 1c5b6002 - 1356190 ns R r1 80000000 - 1356190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1356210 ns R psr 81000200 - 1356230 ns MR4_I 01000214 6841d006 - 1356250 ns MR4_I 01000218 d1fc07c9 - 1356250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1356330 ns MR4_D 40006004 00000001 - 1356330 ns R r1 00000001 - 1356330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1356350 ns MR4_I 0100021c 1c5b6002 - 1356350 ns R r1 80000000 - 1356350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1356370 ns R psr 81000200 - 1356390 ns MR4_I 01000214 6841d006 - 1356410 ns MR4_I 01000218 d1fc07c9 - 1356410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1356490 ns MR4_D 40006004 00000001 - 1356490 ns R r1 00000001 - 1356490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1356510 ns MR4_I 0100021c 1c5b6002 - 1356510 ns R r1 80000000 - 1356510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1356530 ns R psr 81000200 - 1356550 ns MR4_I 01000214 6841d006 - 1356570 ns MR4_I 01000218 d1fc07c9 - 1356570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1356650 ns MR4_D 40006004 00000001 - 1356650 ns R r1 00000001 - 1356650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1356670 ns MR4_I 0100021c 1c5b6002 - 1356670 ns R r1 80000000 - 1356670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1356690 ns R psr 81000200 - 1356710 ns MR4_I 01000214 6841d006 - 1356730 ns MR4_I 01000218 d1fc07c9 - 1356730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1356810 ns MR4_D 40006004 00000001 - 1356810 ns R r1 00000001 - 1356810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1356830 ns MR4_I 0100021c 1c5b6002 - 1356830 ns R r1 80000000 - 1356830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1356850 ns R psr 81000200 - 1356870 ns MR4_I 01000214 6841d006 - 1356890 ns MR4_I 01000218 d1fc07c9 - 1356890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1356970 ns MR4_D 40006004 00000001 - 1356970 ns R r1 00000001 - 1356970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1356990 ns MR4_I 0100021c 1c5b6002 - 1356990 ns R r1 80000000 - 1356990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1357010 ns R psr 81000200 - 1357030 ns MR4_I 01000214 6841d006 - 1357050 ns MR4_I 01000218 d1fc07c9 - 1357050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1357130 ns MR4_D 40006004 00000001 - 1357130 ns R r1 00000001 - 1357130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1357150 ns MR4_I 0100021c 1c5b6002 - 1357150 ns R r1 80000000 - 1357150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1357170 ns R psr 81000200 - 1357190 ns MR4_I 01000214 6841d006 - 1357210 ns MR4_I 01000218 d1fc07c9 - 1357210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1357290 ns MR4_D 40006004 00000001 - 1357290 ns R r1 00000001 - 1357290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1357310 ns MR4_I 0100021c 1c5b6002 - 1357310 ns R r1 80000000 - 1357310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1357330 ns R psr 81000200 - 1357350 ns MR4_I 01000214 6841d006 - 1357370 ns MR4_I 01000218 d1fc07c9 - 1357370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1357450 ns MR4_D 40006004 00000001 - 1357450 ns R r1 00000001 - 1357450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1357470 ns MR4_I 0100021c 1c5b6002 - 1357470 ns R r1 80000000 - 1357470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1357490 ns R psr 81000200 - 1357510 ns MR4_I 01000214 6841d006 - 1357530 ns MR4_I 01000218 d1fc07c9 - 1357530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1357610 ns MR4_D 40006004 00000001 - 1357610 ns R r1 00000001 - 1357610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1357630 ns MR4_I 0100021c 1c5b6002 - 1357630 ns R r1 80000000 - 1357630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1357650 ns R psr 81000200 - 1357670 ns MR4_I 01000214 6841d006 - 1357690 ns MR4_I 01000218 d1fc07c9 - 1357690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1357770 ns MR4_D 40006004 00000001 - 1357770 ns R r1 00000001 - 1357770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1357790 ns MR4_I 0100021c 1c5b6002 - 1357790 ns R r1 80000000 - 1357790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1357810 ns R psr 81000200 - 1357830 ns MR4_I 01000214 6841d006 - 1357850 ns MR4_I 01000218 d1fc07c9 - 1357850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1357930 ns MR4_D 40006004 00000001 - 1357930 ns R r1 00000001 - 1357930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1357950 ns MR4_I 0100021c 1c5b6002 - 1357950 ns R r1 80000000 - 1357950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1357970 ns R psr 81000200 - 1357990 ns MR4_I 01000214 6841d006 - 1358010 ns MR4_I 01000218 d1fc07c9 - 1358010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1358090 ns MR4_D 40006004 00000001 - 1358090 ns R r1 00000001 - 1358090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1358110 ns MR4_I 0100021c 1c5b6002 - 1358110 ns R r1 80000000 - 1358110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1358130 ns R psr 81000200 - 1358150 ns MR4_I 01000214 6841d006 - 1358170 ns MR4_I 01000218 d1fc07c9 - 1358170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1358250 ns MR4_D 40006004 00000001 - 1358250 ns R r1 00000001 - 1358250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1358270 ns MR4_I 0100021c 1c5b6002 - 1358270 ns R r1 80000000 - 1358270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1358290 ns R psr 81000200 - 1358310 ns MR4_I 01000214 6841d006 - 1358330 ns MR4_I 01000218 d1fc07c9 - 1358330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1358410 ns MR4_D 40006004 00000001 - 1358410 ns R r1 00000001 - 1358410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1358430 ns MR4_I 0100021c 1c5b6002 - 1358430 ns R r1 80000000 - 1358430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1358450 ns R psr 81000200 - 1358470 ns MR4_I 01000214 6841d006 - 1358490 ns MR4_I 01000218 d1fc07c9 - 1358490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1358570 ns MR4_D 40006004 00000001 - 1358570 ns R r1 00000001 - 1358570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1358590 ns MR4_I 0100021c 1c5b6002 - 1358590 ns R r1 80000000 - 1358590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1358610 ns R psr 81000200 - 1358630 ns MR4_I 01000214 6841d006 - 1358650 ns MR4_I 01000218 d1fc07c9 - 1358650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1358730 ns MR4_D 40006004 00000001 - 1358730 ns R r1 00000001 - 1358730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1358750 ns MR4_I 0100021c 1c5b6002 - 1358750 ns R r1 80000000 - 1358750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1358770 ns R psr 81000200 - 1358790 ns MR4_I 01000214 6841d006 - 1358810 ns MR4_I 01000218 d1fc07c9 - 1358810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1358890 ns MR4_D 40006004 00000001 - 1358890 ns R r1 00000001 - 1358890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1358910 ns MR4_I 0100021c 1c5b6002 - 1358910 ns R r1 80000000 - 1358910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1358930 ns R psr 81000200 - 1358950 ns MR4_I 01000214 6841d006 - 1358970 ns MR4_I 01000218 d1fc07c9 - 1358970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1359050 ns MR4_D 40006004 00000001 - 1359050 ns R r1 00000001 - 1359050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1359070 ns MR4_I 0100021c 1c5b6002 - 1359070 ns R r1 80000000 - 1359070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1359090 ns R psr 81000200 - 1359110 ns MR4_I 01000214 6841d006 - 1359130 ns MR4_I 01000218 d1fc07c9 - 1359130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1359210 ns MR4_D 40006004 00000001 - 1359210 ns R r1 00000001 - 1359210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1359230 ns MR4_I 0100021c 1c5b6002 - 1359230 ns R r1 80000000 - 1359230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1359250 ns R psr 81000200 - 1359270 ns MR4_I 01000214 6841d006 - 1359290 ns MR4_I 01000218 d1fc07c9 - 1359290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1359370 ns MR4_D 40006004 00000001 - 1359370 ns R r1 00000001 - 1359370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1359390 ns MR4_I 0100021c 1c5b6002 - 1359390 ns R r1 80000000 - 1359390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1359410 ns R psr 81000200 - 1359430 ns MR4_I 01000214 6841d006 - 1359450 ns MR4_I 01000218 d1fc07c9 - 1359450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1359530 ns MR4_D 40006004 00000001 - 1359530 ns R r1 00000001 - 1359530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1359550 ns MR4_I 0100021c 1c5b6002 - 1359550 ns R r1 80000000 - 1359550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1359570 ns R psr 81000200 - 1359590 ns MR4_I 01000214 6841d006 - 1359610 ns MR4_I 01000218 d1fc07c9 - 1359610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1359690 ns MR4_D 40006004 00000001 - 1359690 ns R r1 00000001 - 1359690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1359710 ns MR4_I 0100021c 1c5b6002 - 1359710 ns R r1 80000000 - 1359710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1359730 ns R psr 81000200 - 1359750 ns MR4_I 01000214 6841d006 - 1359770 ns MR4_I 01000218 d1fc07c9 - 1359770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1359850 ns MR4_D 40006004 00000001 - 1359850 ns R r1 00000001 - 1359850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1359870 ns MR4_I 0100021c 1c5b6002 - 1359870 ns R r1 80000000 - 1359870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1359890 ns R psr 81000200 - 1359910 ns MR4_I 01000214 6841d006 - 1359930 ns MR4_I 01000218 d1fc07c9 - 1359930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1360010 ns MR4_D 40006004 00000001 - 1360010 ns R r1 00000001 - 1360010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1360030 ns MR4_I 0100021c 1c5b6002 - 1360030 ns R r1 80000000 - 1360030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1360050 ns R psr 81000200 - 1360070 ns MR4_I 01000214 6841d006 - 1360090 ns MR4_I 01000218 d1fc07c9 - 1360090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1360170 ns MR4_D 40006004 00000001 - 1360170 ns R r1 00000001 - 1360170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1360190 ns MR4_I 0100021c 1c5b6002 - 1360190 ns R r1 80000000 - 1360190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1360210 ns R psr 81000200 - 1360230 ns MR4_I 01000214 6841d006 - 1360250 ns MR4_I 01000218 d1fc07c9 - 1360250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1360330 ns MR4_D 40006004 00000001 - 1360330 ns R r1 00000001 - 1360330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1360350 ns MR4_I 0100021c 1c5b6002 - 1360350 ns R r1 80000000 - 1360350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1360370 ns R psr 81000200 - 1360390 ns MR4_I 01000214 6841d006 - 1360410 ns MR4_I 01000218 d1fc07c9 - 1360410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1360490 ns MR4_D 40006004 00000001 - 1360490 ns R r1 00000001 - 1360490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1360510 ns MR4_I 0100021c 1c5b6002 - 1360510 ns R r1 80000000 - 1360510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1360530 ns R psr 81000200 - 1360550 ns MR4_I 01000214 6841d006 - 1360570 ns MR4_I 01000218 d1fc07c9 - 1360570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1360650 ns MR4_D 40006004 00000001 - 1360650 ns R r1 00000001 - 1360650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1360670 ns MR4_I 0100021c 1c5b6002 - 1360670 ns R r1 80000000 - 1360670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1360690 ns R psr 81000200 - 1360710 ns MR4_I 01000214 6841d006 - 1360730 ns MR4_I 01000218 d1fc07c9 - 1360730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1360810 ns MR4_D 40006004 00000001 - 1360810 ns R r1 00000001 - 1360810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1360830 ns MR4_I 0100021c 1c5b6002 - 1360830 ns R r1 80000000 - 1360830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1360850 ns R psr 81000200 - 1360870 ns MR4_I 01000214 6841d006 - 1360890 ns MR4_I 01000218 d1fc07c9 - 1360890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1360970 ns MR4_D 40006004 00000001 - 1360970 ns R r1 00000001 - 1360970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1360990 ns MR4_I 0100021c 1c5b6002 - 1360990 ns R r1 80000000 - 1360990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1361010 ns R psr 81000200 - 1361030 ns MR4_I 01000214 6841d006 - 1361050 ns MR4_I 01000218 d1fc07c9 - 1361050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1361130 ns MR4_D 40006004 00000001 - 1361130 ns R r1 00000001 - 1361130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1361150 ns MR4_I 0100021c 1c5b6002 - 1361150 ns R r1 80000000 - 1361150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1361170 ns R psr 81000200 - 1361190 ns MR4_I 01000214 6841d006 - 1361210 ns MR4_I 01000218 d1fc07c9 - 1361210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1361290 ns MR4_D 40006004 00000001 - 1361290 ns R r1 00000001 - 1361290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1361310 ns MR4_I 0100021c 1c5b6002 - 1361310 ns R r1 80000000 - 1361310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1361330 ns R psr 81000200 - 1361350 ns MR4_I 01000214 6841d006 - 1361370 ns MR4_I 01000218 d1fc07c9 - 1361370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1361450 ns MR4_D 40006004 00000001 - 1361450 ns R r1 00000001 - 1361450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1361470 ns MR4_I 0100021c 1c5b6002 - 1361470 ns R r1 80000000 - 1361470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1361490 ns R psr 81000200 - 1361510 ns MR4_I 01000214 6841d006 - 1361530 ns MR4_I 01000218 d1fc07c9 - 1361530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1361610 ns MR4_D 40006004 00000001 - 1361610 ns R r1 00000001 - 1361610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1361630 ns MR4_I 0100021c 1c5b6002 - 1361630 ns R r1 80000000 - 1361630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1361650 ns R psr 81000200 - 1361670 ns MR4_I 01000214 6841d006 - 1361690 ns MR4_I 01000218 d1fc07c9 - 1361690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1361770 ns MR4_D 40006004 00000001 - 1361770 ns R r1 00000001 - 1361770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1361790 ns MR4_I 0100021c 1c5b6002 - 1361790 ns R r1 80000000 - 1361790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1361810 ns R psr 81000200 - 1361830 ns MR4_I 01000214 6841d006 - 1361850 ns MR4_I 01000218 d1fc07c9 - 1361850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1361930 ns MR4_D 40006004 00000001 - 1361930 ns R r1 00000001 - 1361930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1361950 ns MR4_I 0100021c 1c5b6002 - 1361950 ns R r1 80000000 - 1361950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1361970 ns R psr 81000200 - 1361990 ns MR4_I 01000214 6841d006 - 1362010 ns MR4_I 01000218 d1fc07c9 - 1362010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1362090 ns MR4_D 40006004 00000001 - 1362090 ns R r1 00000001 - 1362090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1362110 ns MR4_I 0100021c 1c5b6002 - 1362110 ns R r1 80000000 - 1362110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1362130 ns R psr 81000200 - 1362150 ns MR4_I 01000214 6841d006 - 1362170 ns MR4_I 01000218 d1fc07c9 - 1362170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1362250 ns MR4_D 40006004 00000001 - 1362250 ns R r1 00000001 - 1362250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1362270 ns MR4_I 0100021c 1c5b6002 - 1362270 ns R r1 80000000 - 1362270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1362290 ns R psr 81000200 - 1362310 ns MR4_I 01000214 6841d006 - 1362330 ns MR4_I 01000218 d1fc07c9 - 1362330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1362410 ns MR4_D 40006004 00000001 - 1362410 ns R r1 00000001 - 1362410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1362430 ns MR4_I 0100021c 1c5b6002 - 1362430 ns R r1 80000000 - 1362430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1362450 ns R psr 81000200 - 1362470 ns MR4_I 01000214 6841d006 - 1362490 ns MR4_I 01000218 d1fc07c9 - 1362490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1362570 ns MR4_D 40006004 00000001 - 1362570 ns R r1 00000001 - 1362570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1362590 ns MR4_I 0100021c 1c5b6002 - 1362590 ns R r1 80000000 - 1362590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1362610 ns R psr 81000200 - 1362630 ns MR4_I 01000214 6841d006 - 1362650 ns MR4_I 01000218 d1fc07c9 - 1362650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1362730 ns MR4_D 40006004 00000001 - 1362730 ns R r1 00000001 - 1362730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1362750 ns MR4_I 0100021c 1c5b6002 - 1362750 ns R r1 80000000 - 1362750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1362770 ns R psr 81000200 - 1362790 ns MR4_I 01000214 6841d006 - 1362810 ns MR4_I 01000218 d1fc07c9 - 1362810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1362890 ns MR4_D 40006004 00000001 - 1362890 ns R r1 00000001 - 1362890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1362910 ns MR4_I 0100021c 1c5b6002 - 1362910 ns R r1 80000000 - 1362910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1362930 ns R psr 81000200 - 1362950 ns MR4_I 01000214 6841d006 - 1362970 ns MR4_I 01000218 d1fc07c9 - 1362970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1363050 ns MR4_D 40006004 00000001 - 1363050 ns R r1 00000001 - 1363050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1363070 ns MR4_I 0100021c 1c5b6002 - 1363070 ns R r1 80000000 - 1363070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1363090 ns R psr 81000200 - 1363110 ns MR4_I 01000214 6841d006 - 1363130 ns MR4_I 01000218 d1fc07c9 - 1363130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1363210 ns MR4_D 40006004 00000001 - 1363210 ns R r1 00000001 - 1363210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1363230 ns MR4_I 0100021c 1c5b6002 - 1363230 ns R r1 80000000 - 1363230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1363250 ns R psr 81000200 - 1363270 ns MR4_I 01000214 6841d006 - 1363290 ns MR4_I 01000218 d1fc07c9 - 1363290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1363370 ns MR4_D 40006004 00000001 - 1363370 ns R r1 00000001 - 1363370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1363390 ns MR4_I 0100021c 1c5b6002 - 1363390 ns R r1 80000000 - 1363390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1363410 ns R psr 81000200 - 1363430 ns MR4_I 01000214 6841d006 - 1363450 ns MR4_I 01000218 d1fc07c9 - 1363450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1363530 ns MR4_D 40006004 00000001 - 1363530 ns R r1 00000001 - 1363530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1363550 ns MR4_I 0100021c 1c5b6002 - 1363550 ns R r1 80000000 - 1363550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1363570 ns R psr 81000200 - 1363590 ns MR4_I 01000214 6841d006 - 1363610 ns MR4_I 01000218 d1fc07c9 - 1363610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1363690 ns MR4_D 40006004 00000001 - 1363690 ns R r1 00000001 - 1363690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1363710 ns MR4_I 0100021c 1c5b6002 - 1363710 ns R r1 80000000 - 1363710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1363730 ns R psr 81000200 - 1363750 ns MR4_I 01000214 6841d006 - 1363770 ns MR4_I 01000218 d1fc07c9 - 1363770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1363850 ns MR4_D 40006004 00000001 - 1363850 ns R r1 00000001 - 1363850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1363870 ns MR4_I 0100021c 1c5b6002 - 1363870 ns R r1 80000000 - 1363870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1363890 ns R psr 81000200 - 1363910 ns MR4_I 01000214 6841d006 - 1363930 ns MR4_I 01000218 d1fc07c9 - 1363930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1364010 ns MR4_D 40006004 00000001 - 1364010 ns R r1 00000001 - 1364010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1364030 ns MR4_I 0100021c 1c5b6002 - 1364030 ns R r1 80000000 - 1364030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1364050 ns R psr 81000200 - 1364070 ns MR4_I 01000214 6841d006 - 1364090 ns MR4_I 01000218 d1fc07c9 - 1364090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1364170 ns MR4_D 40006004 00000001 - 1364170 ns R r1 00000001 - 1364170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1364190 ns MR4_I 0100021c 1c5b6002 - 1364190 ns R r1 80000000 - 1364190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1364210 ns R psr 81000200 - 1364230 ns MR4_I 01000214 6841d006 - 1364250 ns MR4_I 01000218 d1fc07c9 - 1364250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1364330 ns MR4_D 40006004 00000001 - 1364330 ns R r1 00000001 - 1364330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1364350 ns MR4_I 0100021c 1c5b6002 - 1364350 ns R r1 80000000 - 1364350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1364370 ns R psr 81000200 - 1364390 ns MR4_I 01000214 6841d006 - 1364410 ns MR4_I 01000218 d1fc07c9 - 1364410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1364490 ns MR4_D 40006004 00000001 - 1364490 ns R r1 00000001 - 1364490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1364510 ns MR4_I 0100021c 1c5b6002 - 1364510 ns R r1 80000000 - 1364510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1364530 ns R psr 81000200 - 1364550 ns MR4_I 01000214 6841d006 - 1364570 ns MR4_I 01000218 d1fc07c9 - 1364570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1364650 ns MR4_D 40006004 00000001 - 1364650 ns R r1 00000001 - 1364650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1364670 ns MR4_I 0100021c 1c5b6002 - 1364670 ns R r1 80000000 - 1364670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1364690 ns R psr 81000200 - 1364710 ns MR4_I 01000214 6841d006 - 1364730 ns MR4_I 01000218 d1fc07c9 - 1364730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1364810 ns MR4_D 40006004 00000001 - 1364810 ns R r1 00000001 - 1364810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1364830 ns MR4_I 0100021c 1c5b6002 - 1364830 ns R r1 80000000 - 1364830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1364850 ns R psr 81000200 - 1364870 ns MR4_I 01000214 6841d006 - 1364890 ns MR4_I 01000218 d1fc07c9 - 1364890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1364970 ns MR4_D 40006004 00000001 - 1364970 ns R r1 00000001 - 1364970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1364990 ns MR4_I 0100021c 1c5b6002 - 1364990 ns R r1 80000000 - 1364990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1365010 ns R psr 81000200 - 1365030 ns MR4_I 01000214 6841d006 - 1365050 ns MR4_I 01000218 d1fc07c9 - 1365050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1365130 ns MR4_D 40006004 00000001 - 1365130 ns R r1 00000001 - 1365130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1365150 ns MR4_I 0100021c 1c5b6002 - 1365150 ns R r1 80000000 - 1365150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1365170 ns R psr 81000200 - 1365190 ns MR4_I 01000214 6841d006 - 1365210 ns MR4_I 01000218 d1fc07c9 - 1365210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1365290 ns MR4_D 40006004 00000001 - 1365290 ns R r1 00000001 - 1365290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1365310 ns MR4_I 0100021c 1c5b6002 - 1365310 ns R r1 80000000 - 1365310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1365330 ns R psr 81000200 - 1365350 ns MR4_I 01000214 6841d006 - 1365370 ns MR4_I 01000218 d1fc07c9 - 1365370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1365450 ns MR4_D 40006004 00000001 - 1365450 ns R r1 00000001 - 1365450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1365470 ns MR4_I 0100021c 1c5b6002 - 1365470 ns R r1 80000000 - 1365470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1365490 ns R psr 81000200 - 1365510 ns MR4_I 01000214 6841d006 - 1365530 ns MR4_I 01000218 d1fc07c9 - 1365530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1365610 ns MR4_D 40006004 00000001 - 1365610 ns R r1 00000001 - 1365610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1365630 ns MR4_I 0100021c 1c5b6002 - 1365630 ns R r1 80000000 - 1365630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1365650 ns R psr 81000200 - 1365670 ns MR4_I 01000214 6841d006 - 1365690 ns MR4_I 01000218 d1fc07c9 - 1365690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1365770 ns MR4_D 40006004 00000001 - 1365770 ns R r1 00000001 - 1365770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1365790 ns MR4_I 0100021c 1c5b6002 - 1365790 ns R r1 80000000 - 1365790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1365810 ns R psr 81000200 - 1365830 ns MR4_I 01000214 6841d006 - 1365850 ns MR4_I 01000218 d1fc07c9 - 1365850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1365930 ns MR4_D 40006004 00000001 - 1365930 ns R r1 00000001 - 1365930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1365950 ns MR4_I 0100021c 1c5b6002 - 1365950 ns R r1 80000000 - 1365950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1365970 ns R psr 81000200 - 1365990 ns MR4_I 01000214 6841d006 - 1366010 ns MR4_I 01000218 d1fc07c9 - 1366010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1366090 ns MR4_D 40006004 00000001 - 1366090 ns R r1 00000001 - 1366090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1366110 ns MR4_I 0100021c 1c5b6002 - 1366110 ns R r1 80000000 - 1366110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1366130 ns R psr 81000200 - 1366150 ns MR4_I 01000214 6841d006 - 1366170 ns MR4_I 01000218 d1fc07c9 - 1366170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1366250 ns MR4_D 40006004 00000001 - 1366250 ns R r1 00000001 - 1366250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1366270 ns MR4_I 0100021c 1c5b6002 - 1366270 ns R r1 80000000 - 1366270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1366290 ns R psr 81000200 - 1366310 ns MR4_I 01000214 6841d006 - 1366330 ns MR4_I 01000218 d1fc07c9 - 1366330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1366410 ns MR4_D 40006004 00000001 - 1366410 ns R r1 00000001 - 1366410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1366430 ns MR4_I 0100021c 1c5b6002 - 1366430 ns R r1 80000000 - 1366430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1366450 ns R psr 81000200 - 1366470 ns MR4_I 01000214 6841d006 - 1366490 ns MR4_I 01000218 d1fc07c9 - 1366490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1366570 ns MR4_D 40006004 00000001 - 1366570 ns R r1 00000001 - 1366570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1366590 ns MR4_I 0100021c 1c5b6002 - 1366590 ns R r1 80000000 - 1366590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1366610 ns R psr 81000200 - 1366630 ns MR4_I 01000214 6841d006 - 1366650 ns MR4_I 01000218 d1fc07c9 - 1366650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1366730 ns MR4_D 40006004 00000001 - 1366730 ns R r1 00000001 - 1366730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1366750 ns MR4_I 0100021c 1c5b6002 - 1366750 ns R r1 80000000 - 1366750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1366770 ns R psr 81000200 - 1366790 ns MR4_I 01000214 6841d006 - 1366810 ns MR4_I 01000218 d1fc07c9 - 1366810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1366890 ns MR4_D 40006004 00000001 - 1366890 ns R r1 00000001 - 1366890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1366910 ns MR4_I 0100021c 1c5b6002 - 1366910 ns R r1 80000000 - 1366910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1366930 ns R psr 81000200 - 1366950 ns MR4_I 01000214 6841d006 - 1366970 ns MR4_I 01000218 d1fc07c9 - 1366970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1367050 ns MR4_D 40006004 00000001 - 1367050 ns R r1 00000001 - 1367050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1367070 ns MR4_I 0100021c 1c5b6002 - 1367070 ns R r1 80000000 - 1367070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1367090 ns R psr 81000200 - 1367110 ns MR4_I 01000214 6841d006 - 1367130 ns MR4_I 01000218 d1fc07c9 - 1367130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1367210 ns MR4_D 40006004 00000001 - 1367210 ns R r1 00000001 - 1367210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1367230 ns MR4_I 0100021c 1c5b6002 - 1367230 ns R r1 80000000 - 1367230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1367250 ns R psr 81000200 - 1367270 ns MR4_I 01000214 6841d006 - 1367290 ns MR4_I 01000218 d1fc07c9 - 1367290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1367370 ns MR4_D 40006004 00000001 - 1367370 ns R r1 00000001 - 1367370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1367390 ns MR4_I 0100021c 1c5b6002 - 1367390 ns R r1 80000000 - 1367390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1367410 ns R psr 81000200 - 1367430 ns MR4_I 01000214 6841d006 - 1367450 ns MR4_I 01000218 d1fc07c9 - 1367450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1367530 ns MR4_D 40006004 00000001 - 1367530 ns R r1 00000001 - 1367530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1367550 ns MR4_I 0100021c 1c5b6002 - 1367550 ns R r1 80000000 - 1367550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1367570 ns R psr 81000200 - 1367590 ns MR4_I 01000214 6841d006 - 1367610 ns MR4_I 01000218 d1fc07c9 - 1367610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1367690 ns MR4_D 40006004 00000001 - 1367690 ns R r1 00000001 - 1367690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1367710 ns MR4_I 0100021c 1c5b6002 - 1367710 ns R r1 80000000 - 1367710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1367730 ns R psr 81000200 - 1367750 ns MR4_I 01000214 6841d006 - 1367770 ns MR4_I 01000218 d1fc07c9 - 1367770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1367850 ns MR4_D 40006004 00000001 - 1367850 ns R r1 00000001 - 1367850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1367870 ns MR4_I 0100021c 1c5b6002 - 1367870 ns R r1 80000000 - 1367870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1367890 ns R psr 81000200 - 1367910 ns MR4_I 01000214 6841d006 - 1367930 ns MR4_I 01000218 d1fc07c9 - 1367930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1368010 ns MR4_D 40006004 00000001 - 1368010 ns R r1 00000001 - 1368010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1368030 ns MR4_I 0100021c 1c5b6002 - 1368030 ns R r1 80000000 - 1368030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1368050 ns R psr 81000200 - 1368070 ns MR4_I 01000214 6841d006 - 1368090 ns MR4_I 01000218 d1fc07c9 - 1368090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1368170 ns MR4_D 40006004 00000001 - 1368170 ns R r1 00000001 - 1368170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1368190 ns MR4_I 0100021c 1c5b6002 - 1368190 ns R r1 80000000 - 1368190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1368210 ns R psr 81000200 - 1368230 ns MR4_I 01000214 6841d006 - 1368250 ns MR4_I 01000218 d1fc07c9 - 1368250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1368330 ns MR4_D 40006004 00000001 - 1368330 ns R r1 00000001 - 1368330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1368350 ns MR4_I 0100021c 1c5b6002 - 1368350 ns R r1 80000000 - 1368350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1368370 ns R psr 81000200 - 1368390 ns MR4_I 01000214 6841d006 - 1368410 ns MR4_I 01000218 d1fc07c9 - 1368410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1368490 ns MR4_D 40006004 00000001 - 1368490 ns R r1 00000001 - 1368490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1368510 ns MR4_I 0100021c 1c5b6002 - 1368510 ns R r1 80000000 - 1368510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1368530 ns R psr 81000200 - 1368550 ns MR4_I 01000214 6841d006 - 1368570 ns MR4_I 01000218 d1fc07c9 - 1368570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1368650 ns MR4_D 40006004 00000001 - 1368650 ns R r1 00000001 - 1368650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1368670 ns MR4_I 0100021c 1c5b6002 - 1368670 ns R r1 80000000 - 1368670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1368690 ns R psr 81000200 - 1368710 ns MR4_I 01000214 6841d006 - 1368730 ns MR4_I 01000218 d1fc07c9 - 1368730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1368810 ns MR4_D 40006004 00000001 - 1368810 ns R r1 00000001 - 1368810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1368830 ns MR4_I 0100021c 1c5b6002 - 1368830 ns R r1 80000000 - 1368830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1368850 ns R psr 81000200 - 1368870 ns MR4_I 01000214 6841d006 - 1368890 ns MR4_I 01000218 d1fc07c9 - 1368890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1368970 ns MR4_D 40006004 00000001 - 1368970 ns R r1 00000001 - 1368970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1368990 ns MR4_I 0100021c 1c5b6002 - 1368990 ns R r1 80000000 - 1368990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1369010 ns R psr 81000200 - 1369030 ns MR4_I 01000214 6841d006 - 1369050 ns MR4_I 01000218 d1fc07c9 - 1369050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1369130 ns MR4_D 40006004 00000001 - 1369130 ns R r1 00000001 - 1369130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1369150 ns MR4_I 0100021c 1c5b6002 - 1369150 ns R r1 80000000 - 1369150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1369170 ns R psr 81000200 - 1369190 ns MR4_I 01000214 6841d006 - 1369210 ns MR4_I 01000218 d1fc07c9 - 1369210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1369290 ns MR4_D 40006004 00000001 - 1369290 ns R r1 00000001 - 1369290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1369310 ns MR4_I 0100021c 1c5b6002 - 1369310 ns R r1 80000000 - 1369310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1369330 ns R psr 81000200 - 1369350 ns MR4_I 01000214 6841d006 - 1369370 ns MR4_I 01000218 d1fc07c9 - 1369370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1369450 ns MR4_D 40006004 00000001 - 1369450 ns R r1 00000001 - 1369450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1369470 ns MR4_I 0100021c 1c5b6002 - 1369470 ns R r1 80000000 - 1369470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1369490 ns R psr 81000200 - 1369510 ns MR4_I 01000214 6841d006 - 1369530 ns MR4_I 01000218 d1fc07c9 - 1369530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1369610 ns MR4_D 40006004 00000001 - 1369610 ns R r1 00000001 - 1369610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1369630 ns MR4_I 0100021c 1c5b6002 - 1369630 ns R r1 80000000 - 1369630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1369650 ns R psr 81000200 - 1369670 ns MR4_I 01000214 6841d006 - 1369690 ns MR4_I 01000218 d1fc07c9 - 1369690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1369770 ns MR4_D 40006004 00000001 - 1369770 ns R r1 00000001 - 1369770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1369790 ns MR4_I 0100021c 1c5b6002 - 1369790 ns R r1 80000000 - 1369790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1369810 ns R psr 81000200 - 1369830 ns MR4_I 01000214 6841d006 - 1369850 ns MR4_I 01000218 d1fc07c9 - 1369850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1369930 ns MR4_D 40006004 00000001 - 1369930 ns R r1 00000001 - 1369930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1369950 ns MR4_I 0100021c 1c5b6002 - 1369950 ns R r1 80000000 - 1369950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1369970 ns R psr 81000200 - 1369990 ns MR4_I 01000214 6841d006 - 1370010 ns MR4_I 01000218 d1fc07c9 - 1370010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1370090 ns MR4_D 40006004 00000001 - 1370090 ns R r1 00000001 - 1370090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1370110 ns MR4_I 0100021c 1c5b6002 - 1370110 ns R r1 80000000 - 1370110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1370130 ns R psr 81000200 - 1370150 ns MR4_I 01000214 6841d006 - 1370170 ns MR4_I 01000218 d1fc07c9 - 1370170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1370250 ns MR4_D 40006004 00000001 - 1370250 ns R r1 00000001 - 1370250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1370270 ns MR4_I 0100021c 1c5b6002 - 1370270 ns R r1 80000000 - 1370270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1370290 ns R psr 81000200 - 1370310 ns MR4_I 01000214 6841d006 - 1370330 ns MR4_I 01000218 d1fc07c9 - 1370330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1370410 ns MR4_D 40006004 00000001 - 1370410 ns R r1 00000001 - 1370410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1370430 ns MR4_I 0100021c 1c5b6002 - 1370430 ns R r1 80000000 - 1370430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1370450 ns R psr 81000200 - 1370470 ns MR4_I 01000214 6841d006 - 1370490 ns MR4_I 01000218 d1fc07c9 - 1370490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1370570 ns MR4_D 40006004 00000001 - 1370570 ns R r1 00000001 - 1370570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1370590 ns MR4_I 0100021c 1c5b6002 - 1370590 ns R r1 80000000 - 1370590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1370610 ns R psr 81000200 - 1370630 ns MR4_I 01000214 6841d006 - 1370650 ns MR4_I 01000218 d1fc07c9 - 1370650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1370730 ns MR4_D 40006004 00000001 - 1370730 ns R r1 00000001 - 1370730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1370750 ns MR4_I 0100021c 1c5b6002 - 1370750 ns R r1 80000000 - 1370750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1370770 ns R psr 81000200 - 1370790 ns MR4_I 01000214 6841d006 - 1370810 ns MR4_I 01000218 d1fc07c9 - 1370810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1370890 ns MR4_D 40006004 00000001 - 1370890 ns R r1 00000001 - 1370890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1370910 ns MR4_I 0100021c 1c5b6002 - 1370910 ns R r1 80000000 - 1370910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1370930 ns R psr 81000200 - 1370950 ns MR4_I 01000214 6841d006 - 1370970 ns MR4_I 01000218 d1fc07c9 - 1370970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1371050 ns MR4_D 40006004 00000001 - 1371050 ns R r1 00000001 - 1371050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1371070 ns MR4_I 0100021c 1c5b6002 - 1371070 ns R r1 80000000 - 1371070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1371090 ns R psr 81000200 - 1371110 ns MR4_I 01000214 6841d006 - 1371130 ns MR4_I 01000218 d1fc07c9 - 1371130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1371210 ns MR4_D 40006004 00000001 - 1371210 ns R r1 00000001 - 1371210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1371230 ns MR4_I 0100021c 1c5b6002 - 1371230 ns R r1 80000000 - 1371230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1371250 ns R psr 81000200 - 1371270 ns MR4_I 01000214 6841d006 - 1371290 ns MR4_I 01000218 d1fc07c9 - 1371290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1371370 ns MR4_D 40006004 00000001 - 1371370 ns R r1 00000001 - 1371370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1371390 ns MR4_I 0100021c 1c5b6002 - 1371390 ns R r1 80000000 - 1371390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1371410 ns R psr 81000200 - 1371430 ns MR4_I 01000214 6841d006 - 1371450 ns MR4_I 01000218 d1fc07c9 - 1371450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1371530 ns MR4_D 40006004 00000001 - 1371530 ns R r1 00000001 - 1371530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1371550 ns MR4_I 0100021c 1c5b6002 - 1371550 ns R r1 80000000 - 1371550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1371570 ns R psr 81000200 - 1371590 ns MR4_I 01000214 6841d006 - 1371610 ns MR4_I 01000218 d1fc07c9 - 1371610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1371690 ns MR4_D 40006004 00000001 - 1371690 ns R r1 00000001 - 1371690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1371710 ns MR4_I 0100021c 1c5b6002 - 1371710 ns R r1 80000000 - 1371710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1371730 ns R psr 81000200 - 1371750 ns MR4_I 01000214 6841d006 - 1371770 ns MR4_I 01000218 d1fc07c9 - 1371770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1371850 ns MR4_D 40006004 00000001 - 1371850 ns R r1 00000001 - 1371850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1371870 ns MR4_I 0100021c 1c5b6002 - 1371870 ns R r1 80000000 - 1371870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1371890 ns R psr 81000200 - 1371910 ns MR4_I 01000214 6841d006 - 1371930 ns MR4_I 01000218 d1fc07c9 - 1371930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1372010 ns MR4_D 40006004 00000001 - 1372010 ns R r1 00000001 - 1372010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1372030 ns MR4_I 0100021c 1c5b6002 - 1372030 ns R r1 80000000 - 1372030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1372050 ns R psr 81000200 - 1372070 ns MR4_I 01000214 6841d006 - 1372090 ns MR4_I 01000218 d1fc07c9 - 1372090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1372170 ns MR4_D 40006004 00000001 - 1372170 ns R r1 00000001 - 1372170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1372190 ns MR4_I 0100021c 1c5b6002 - 1372190 ns R r1 80000000 - 1372190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1372210 ns R psr 81000200 - 1372230 ns MR4_I 01000214 6841d006 - 1372250 ns MR4_I 01000218 d1fc07c9 - 1372250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1372330 ns MR4_D 40006004 00000001 - 1372330 ns R r1 00000001 - 1372330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1372350 ns MR4_I 0100021c 1c5b6002 - 1372350 ns R r1 80000000 - 1372350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1372370 ns R psr 81000200 - 1372390 ns MR4_I 01000214 6841d006 - 1372410 ns MR4_I 01000218 d1fc07c9 - 1372410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1372490 ns MR4_D 40006004 00000001 - 1372490 ns R r1 00000001 - 1372490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1372510 ns MR4_I 0100021c 1c5b6002 - 1372510 ns R r1 80000000 - 1372510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1372530 ns R psr 81000200 - 1372550 ns MR4_I 01000214 6841d006 - 1372570 ns MR4_I 01000218 d1fc07c9 - 1372570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1372650 ns MR4_D 40006004 00000001 - 1372650 ns R r1 00000001 - 1372650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1372670 ns MR4_I 0100021c 1c5b6002 - 1372670 ns R r1 80000000 - 1372670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1372690 ns R psr 81000200 - 1372710 ns MR4_I 01000214 6841d006 - 1372730 ns MR4_I 01000218 d1fc07c9 - 1372730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1372810 ns MR4_D 40006004 00000001 - 1372810 ns R r1 00000001 - 1372810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1372830 ns MR4_I 0100021c 1c5b6002 - 1372830 ns R r1 80000000 - 1372830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1372850 ns R psr 81000200 - 1372870 ns MR4_I 01000214 6841d006 - 1372890 ns MR4_I 01000218 d1fc07c9 - 1372890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1372970 ns MR4_D 40006004 00000001 - 1372970 ns R r1 00000001 - 1372970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1372990 ns MR4_I 0100021c 1c5b6002 - 1372990 ns R r1 80000000 - 1372990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1373010 ns R psr 81000200 - 1373030 ns MR4_I 01000214 6841d006 - 1373050 ns MR4_I 01000218 d1fc07c9 - 1373050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1373130 ns MR4_D 40006004 00000001 - 1373130 ns R r1 00000001 - 1373130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1373150 ns MR4_I 0100021c 1c5b6002 - 1373150 ns R r1 80000000 - 1373150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1373170 ns R psr 81000200 - 1373190 ns MR4_I 01000214 6841d006 - 1373210 ns MR4_I 01000218 d1fc07c9 - 1373210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1373290 ns MR4_D 40006004 00000001 - 1373290 ns R r1 00000001 - 1373290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1373310 ns MR4_I 0100021c 1c5b6002 - 1373310 ns R r1 80000000 - 1373310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1373330 ns R psr 81000200 - 1373350 ns MR4_I 01000214 6841d006 - 1373370 ns MR4_I 01000218 d1fc07c9 - 1373370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1373450 ns MR4_D 40006004 00000001 - 1373450 ns R r1 00000001 - 1373450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1373470 ns MR4_I 0100021c 1c5b6002 - 1373470 ns R r1 80000000 - 1373470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1373490 ns R psr 81000200 - 1373510 ns MR4_I 01000214 6841d006 - 1373530 ns MR4_I 01000218 d1fc07c9 - 1373530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1373610 ns MR4_D 40006004 00000001 - 1373610 ns R r1 00000001 - 1373610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1373630 ns MR4_I 0100021c 1c5b6002 - 1373630 ns R r1 80000000 - 1373630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1373650 ns R psr 81000200 - 1373670 ns MR4_I 01000214 6841d006 - 1373690 ns MR4_I 01000218 d1fc07c9 - 1373690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1373770 ns MR4_D 40006004 00000001 - 1373770 ns R r1 00000001 - 1373770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1373790 ns MR4_I 0100021c 1c5b6002 - 1373790 ns R r1 80000000 - 1373790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1373810 ns R psr 81000200 - 1373830 ns MR4_I 01000214 6841d006 - 1373850 ns MR4_I 01000218 d1fc07c9 - 1373850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1373930 ns MR4_D 40006004 00000001 - 1373930 ns R r1 00000001 - 1373930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1373950 ns MR4_I 0100021c 1c5b6002 - 1373950 ns R r1 80000000 - 1373950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1373970 ns R psr 81000200 - 1373990 ns MR4_I 01000214 6841d006 - 1374010 ns MR4_I 01000218 d1fc07c9 - 1374010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1374090 ns MR4_D 40006004 00000001 - 1374090 ns R r1 00000001 - 1374090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1374110 ns MR4_I 0100021c 1c5b6002 - 1374110 ns R r1 80000000 - 1374110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1374130 ns R psr 81000200 - 1374150 ns MR4_I 01000214 6841d006 - 1374170 ns MR4_I 01000218 d1fc07c9 - 1374170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1374250 ns MR4_D 40006004 00000001 - 1374250 ns R r1 00000001 - 1374250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1374270 ns MR4_I 0100021c 1c5b6002 - 1374270 ns R r1 80000000 - 1374270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1374290 ns R psr 81000200 - 1374310 ns MR4_I 01000214 6841d006 - 1374330 ns MR4_I 01000218 d1fc07c9 - 1374330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1374410 ns MR4_D 40006004 00000001 - 1374410 ns R r1 00000001 - 1374410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1374430 ns MR4_I 0100021c 1c5b6002 - 1374430 ns R r1 80000000 - 1374430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1374450 ns R psr 81000200 - 1374470 ns MR4_I 01000214 6841d006 - 1374490 ns MR4_I 01000218 d1fc07c9 - 1374490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1374570 ns MR4_D 40006004 00000001 - 1374570 ns R r1 00000001 - 1374570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1374590 ns MR4_I 0100021c 1c5b6002 - 1374590 ns R r1 80000000 - 1374590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1374610 ns R psr 81000200 - 1374630 ns MR4_I 01000214 6841d006 - 1374650 ns MR4_I 01000218 d1fc07c9 - 1374650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1374730 ns MR4_D 40006004 00000001 - 1374730 ns R r1 00000001 - 1374730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1374750 ns MR4_I 0100021c 1c5b6002 - 1374750 ns R r1 80000000 - 1374750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1374770 ns R psr 81000200 - 1374790 ns MR4_I 01000214 6841d006 - 1374810 ns MR4_I 01000218 d1fc07c9 - 1374810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1374890 ns MR4_D 40006004 00000001 - 1374890 ns R r1 00000001 - 1374890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1374910 ns MR4_I 0100021c 1c5b6002 - 1374910 ns R r1 80000000 - 1374910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1374930 ns R psr 81000200 - 1374950 ns MR4_I 01000214 6841d006 - 1374970 ns MR4_I 01000218 d1fc07c9 - 1374970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1375050 ns MR4_D 40006004 00000001 - 1375050 ns R r1 00000001 - 1375050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1375070 ns MR4_I 0100021c 1c5b6002 - 1375070 ns R r1 80000000 - 1375070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1375090 ns R psr 81000200 - 1375110 ns MR4_I 01000214 6841d006 - 1375130 ns MR4_I 01000218 d1fc07c9 - 1375130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1375210 ns MR4_D 40006004 00000001 - 1375210 ns R r1 00000001 - 1375210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1375230 ns MR4_I 0100021c 1c5b6002 - 1375230 ns R r1 80000000 - 1375230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1375250 ns R psr 81000200 - 1375270 ns MR4_I 01000214 6841d006 - 1375290 ns MR4_I 01000218 d1fc07c9 - 1375290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1375370 ns MR4_D 40006004 00000001 - 1375370 ns R r1 00000001 - 1375370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1375390 ns MR4_I 0100021c 1c5b6002 - 1375390 ns R r1 80000000 - 1375390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1375410 ns R psr 81000200 - 1375430 ns MR4_I 01000214 6841d006 - 1375450 ns MR4_I 01000218 d1fc07c9 - 1375450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1375530 ns MR4_D 40006004 00000001 - 1375530 ns R r1 00000001 - 1375530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1375550 ns MR4_I 0100021c 1c5b6002 - 1375550 ns R r1 80000000 - 1375550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1375570 ns R psr 81000200 - 1375590 ns MR4_I 01000214 6841d006 - 1375610 ns MR4_I 01000218 d1fc07c9 - 1375610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1375690 ns MR4_D 40006004 00000001 - 1375690 ns R r1 00000001 - 1375690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1375710 ns MR4_I 0100021c 1c5b6002 - 1375710 ns R r1 80000000 - 1375710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1375730 ns R psr 81000200 - 1375750 ns MR4_I 01000214 6841d006 - 1375770 ns MR4_I 01000218 d1fc07c9 - 1375770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1375850 ns MR4_D 40006004 00000001 - 1375850 ns R r1 00000001 - 1375850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1375870 ns MR4_I 0100021c 1c5b6002 - 1375870 ns R r1 80000000 - 1375870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1375890 ns R psr 81000200 - 1375910 ns MR4_I 01000214 6841d006 - 1375930 ns MR4_I 01000218 d1fc07c9 - 1375930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1376010 ns MR4_D 40006004 00000001 - 1376010 ns R r1 00000001 - 1376010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1376030 ns MR4_I 0100021c 1c5b6002 - 1376030 ns R r1 80000000 - 1376030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1376050 ns R psr 81000200 - 1376070 ns MR4_I 01000214 6841d006 - 1376090 ns MR4_I 01000218 d1fc07c9 - 1376090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1376170 ns MR4_D 40006004 00000001 - 1376170 ns R r1 00000001 - 1376170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1376190 ns MR4_I 0100021c 1c5b6002 - 1376190 ns R r1 80000000 - 1376190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1376210 ns R psr 81000200 - 1376230 ns MR4_I 01000214 6841d006 - 1376250 ns MR4_I 01000218 d1fc07c9 - 1376250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1376330 ns MR4_D 40006004 00000001 - 1376330 ns R r1 00000001 - 1376330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1376350 ns MR4_I 0100021c 1c5b6002 - 1376350 ns R r1 80000000 - 1376350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1376370 ns R psr 81000200 - 1376390 ns MR4_I 01000214 6841d006 - 1376410 ns MR4_I 01000218 d1fc07c9 - 1376410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1376490 ns MR4_D 40006004 00000001 - 1376490 ns R r1 00000001 - 1376490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1376510 ns MR4_I 0100021c 1c5b6002 - 1376510 ns R r1 80000000 - 1376510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1376530 ns R psr 81000200 - 1376550 ns MR4_I 01000214 6841d006 - 1376570 ns MR4_I 01000218 d1fc07c9 - 1376570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1376650 ns MR4_D 40006004 00000001 - 1376650 ns R r1 00000001 - 1376650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1376670 ns MR4_I 0100021c 1c5b6002 - 1376670 ns R r1 80000000 - 1376670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1376690 ns R psr 81000200 - 1376710 ns MR4_I 01000214 6841d006 - 1376730 ns MR4_I 01000218 d1fc07c9 - 1376730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1376810 ns MR4_D 40006004 00000001 - 1376810 ns R r1 00000001 - 1376810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1376830 ns MR4_I 0100021c 1c5b6002 - 1376830 ns R r1 80000000 - 1376830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1376850 ns R psr 81000200 - 1376870 ns MR4_I 01000214 6841d006 - 1376890 ns MR4_I 01000218 d1fc07c9 - 1376890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1376970 ns MR4_D 40006004 00000001 - 1376970 ns R r1 00000001 - 1376970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1376990 ns MR4_I 0100021c 1c5b6002 - 1376990 ns R r1 80000000 - 1376990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1377010 ns R psr 81000200 - 1377030 ns MR4_I 01000214 6841d006 - 1377050 ns MR4_I 01000218 d1fc07c9 - 1377050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1377130 ns MR4_D 40006004 00000001 - 1377130 ns R r1 00000001 - 1377130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1377150 ns MR4_I 0100021c 1c5b6002 - 1377150 ns R r1 80000000 - 1377150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1377170 ns R psr 81000200 - 1377190 ns MR4_I 01000214 6841d006 - 1377210 ns MR4_I 01000218 d1fc07c9 - 1377210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1377290 ns MR4_D 40006004 00000001 - 1377290 ns R r1 00000001 - 1377290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1377310 ns MR4_I 0100021c 1c5b6002 - 1377310 ns R r1 80000000 - 1377310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1377330 ns R psr 81000200 - 1377350 ns MR4_I 01000214 6841d006 - 1377370 ns MR4_I 01000218 d1fc07c9 - 1377370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1377450 ns MR4_D 40006004 00000001 - 1377450 ns R r1 00000001 - 1377450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1377470 ns MR4_I 0100021c 1c5b6002 - 1377470 ns R r1 80000000 - 1377470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1377490 ns R psr 81000200 - 1377510 ns MR4_I 01000214 6841d006 - 1377530 ns MR4_I 01000218 d1fc07c9 - 1377530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1377610 ns MR4_D 40006004 00000001 - 1377610 ns R r1 00000001 - 1377610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1377630 ns MR4_I 0100021c 1c5b6002 - 1377630 ns R r1 80000000 - 1377630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1377650 ns R psr 81000200 - 1377670 ns MR4_I 01000214 6841d006 - 1377690 ns MR4_I 01000218 d1fc07c9 - 1377690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1377770 ns MR4_D 40006004 00000001 - 1377770 ns R r1 00000001 - 1377770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1377790 ns MR4_I 0100021c 1c5b6002 - 1377790 ns R r1 80000000 - 1377790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1377810 ns R psr 81000200 - 1377830 ns MR4_I 01000214 6841d006 - 1377850 ns MR4_I 01000218 d1fc07c9 - 1377850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1377930 ns MR4_D 40006004 00000001 - 1377930 ns R r1 00000001 - 1377930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1377950 ns MR4_I 0100021c 1c5b6002 - 1377950 ns R r1 80000000 - 1377950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1377970 ns R psr 81000200 - 1377990 ns MR4_I 01000214 6841d006 - 1378010 ns MR4_I 01000218 d1fc07c9 - 1378010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1378090 ns MR4_D 40006004 00000001 - 1378090 ns R r1 00000001 - 1378090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1378110 ns MR4_I 0100021c 1c5b6002 - 1378110 ns R r1 80000000 - 1378110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1378130 ns R psr 81000200 - 1378150 ns MR4_I 01000214 6841d006 - 1378170 ns MR4_I 01000218 d1fc07c9 - 1378170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1378250 ns MR4_D 40006004 00000001 - 1378250 ns R r1 00000001 - 1378250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1378270 ns MR4_I 0100021c 1c5b6002 - 1378270 ns R r1 80000000 - 1378270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1378290 ns R psr 81000200 - 1378310 ns MR4_I 01000214 6841d006 - 1378330 ns MR4_I 01000218 d1fc07c9 - 1378330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1378410 ns MR4_D 40006004 00000001 - 1378410 ns R r1 00000001 - 1378410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1378430 ns MR4_I 0100021c 1c5b6002 - 1378430 ns R r1 80000000 - 1378430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1378450 ns R psr 81000200 - 1378470 ns MR4_I 01000214 6841d006 - 1378490 ns MR4_I 01000218 d1fc07c9 - 1378490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1378570 ns MR4_D 40006004 00000001 - 1378570 ns R r1 00000001 - 1378570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1378590 ns MR4_I 0100021c 1c5b6002 - 1378590 ns R r1 80000000 - 1378590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1378610 ns R psr 81000200 - 1378630 ns MR4_I 01000214 6841d006 - 1378650 ns MR4_I 01000218 d1fc07c9 - 1378650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1378730 ns MR4_D 40006004 00000001 - 1378730 ns R r1 00000001 - 1378730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1378750 ns MR4_I 0100021c 1c5b6002 - 1378750 ns R r1 80000000 - 1378750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1378770 ns R psr 81000200 - 1378790 ns MR4_I 01000214 6841d006 - 1378810 ns MR4_I 01000218 d1fc07c9 - 1378810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1378890 ns MR4_D 40006004 00000001 - 1378890 ns R r1 00000001 - 1378890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1378910 ns MR4_I 0100021c 1c5b6002 - 1378910 ns R r1 80000000 - 1378910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1378930 ns R psr 81000200 - 1378950 ns MR4_I 01000214 6841d006 - 1378970 ns MR4_I 01000218 d1fc07c9 - 1378970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1379050 ns MR4_D 40006004 00000001 - 1379050 ns R r1 00000001 - 1379050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1379070 ns MR4_I 0100021c 1c5b6002 - 1379070 ns R r1 80000000 - 1379070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1379090 ns R psr 81000200 - 1379110 ns MR4_I 01000214 6841d006 - 1379130 ns MR4_I 01000218 d1fc07c9 - 1379130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1379210 ns MR4_D 40006004 00000001 - 1379210 ns R r1 00000001 - 1379210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1379230 ns MR4_I 0100021c 1c5b6002 - 1379230 ns R r1 80000000 - 1379230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1379250 ns R psr 81000200 - 1379270 ns MR4_I 01000214 6841d006 - 1379290 ns MR4_I 01000218 d1fc07c9 - 1379290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1379370 ns MR4_D 40006004 00000001 - 1379370 ns R r1 00000001 - 1379370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1379390 ns MR4_I 0100021c 1c5b6002 - 1379390 ns R r1 80000000 - 1379390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1379410 ns R psr 81000200 - 1379430 ns MR4_I 01000214 6841d006 - 1379450 ns MR4_I 01000218 d1fc07c9 - 1379450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1379530 ns MR4_D 40006004 00000001 - 1379530 ns R r1 00000001 - 1379530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1379550 ns MR4_I 0100021c 1c5b6002 - 1379550 ns R r1 80000000 - 1379550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1379570 ns R psr 81000200 - 1379590 ns MR4_I 01000214 6841d006 - 1379610 ns MR4_I 01000218 d1fc07c9 - 1379610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1379690 ns MR4_D 40006004 00000001 - 1379690 ns R r1 00000001 - 1379690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1379710 ns MR4_I 0100021c 1c5b6002 - 1379710 ns R r1 80000000 - 1379710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1379730 ns R psr 81000200 - 1379750 ns MR4_I 01000214 6841d006 - 1379770 ns MR4_I 01000218 d1fc07c9 - 1379770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1379850 ns MR4_D 40006004 00000001 - 1379850 ns R r1 00000001 - 1379850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1379870 ns MR4_I 0100021c 1c5b6002 - 1379870 ns R r1 80000000 - 1379870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1379890 ns R psr 81000200 - 1379910 ns MR4_I 01000214 6841d006 - 1379930 ns MR4_I 01000218 d1fc07c9 - 1379930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1380010 ns MR4_D 40006004 00000001 - 1380010 ns R r1 00000001 - 1380010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1380030 ns MR4_I 0100021c 1c5b6002 - 1380030 ns R r1 80000000 - 1380030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1380050 ns R psr 81000200 - 1380070 ns MR4_I 01000214 6841d006 - 1380090 ns MR4_I 01000218 d1fc07c9 - 1380090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1380170 ns MR4_D 40006004 00000001 - 1380170 ns R r1 00000001 - 1380170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1380190 ns MR4_I 0100021c 1c5b6002 - 1380190 ns R r1 80000000 - 1380190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1380210 ns R psr 81000200 - 1380230 ns MR4_I 01000214 6841d006 - 1380250 ns MR4_I 01000218 d1fc07c9 - 1380250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1380330 ns MR4_D 40006004 00000001 - 1380330 ns R r1 00000001 - 1380330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1380350 ns MR4_I 0100021c 1c5b6002 - 1380350 ns R r1 80000000 - 1380350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1380370 ns R psr 81000200 - 1380390 ns MR4_I 01000214 6841d006 - 1380410 ns MR4_I 01000218 d1fc07c9 - 1380410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1380490 ns MR4_D 40006004 00000001 - 1380490 ns R r1 00000001 - 1380490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1380510 ns MR4_I 0100021c 1c5b6002 - 1380510 ns R r1 80000000 - 1380510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1380530 ns R psr 81000200 - 1380550 ns MR4_I 01000214 6841d006 - 1380570 ns MR4_I 01000218 d1fc07c9 - 1380570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1380650 ns MR4_D 40006004 00000001 - 1380650 ns R r1 00000001 - 1380650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1380670 ns MR4_I 0100021c 1c5b6002 - 1380670 ns R r1 80000000 - 1380670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1380690 ns R psr 81000200 - 1380710 ns MR4_I 01000214 6841d006 - 1380730 ns MR4_I 01000218 d1fc07c9 - 1380730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1380810 ns MR4_D 40006004 00000001 - 1380810 ns R r1 00000001 - 1380810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1380830 ns MR4_I 0100021c 1c5b6002 - 1380830 ns R r1 80000000 - 1380830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1380850 ns R psr 81000200 - 1380870 ns MR4_I 01000214 6841d006 - 1380890 ns MR4_I 01000218 d1fc07c9 - 1380890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1380970 ns MR4_D 40006004 00000001 - 1380970 ns R r1 00000001 - 1380970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1380990 ns MR4_I 0100021c 1c5b6002 - 1380990 ns R r1 80000000 - 1380990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1381010 ns R psr 81000200 - 1381030 ns MR4_I 01000214 6841d006 - 1381050 ns MR4_I 01000218 d1fc07c9 - 1381050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1381130 ns MR4_D 40006004 00000001 - 1381130 ns R r1 00000001 - 1381130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1381150 ns MR4_I 0100021c 1c5b6002 - 1381150 ns R r1 80000000 - 1381150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1381170 ns R psr 81000200 - 1381190 ns MR4_I 01000214 6841d006 - 1381210 ns MR4_I 01000218 d1fc07c9 - 1381210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1381290 ns MR4_D 40006004 00000001 - 1381290 ns R r1 00000001 - 1381290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1381310 ns MR4_I 0100021c 1c5b6002 - 1381310 ns R r1 80000000 - 1381310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1381330 ns R psr 81000200 - 1381350 ns MR4_I 01000214 6841d006 - 1381370 ns MR4_I 01000218 d1fc07c9 - 1381370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1381450 ns MR4_D 40006004 00000001 - 1381450 ns R r1 00000001 - 1381450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1381470 ns MR4_I 0100021c 1c5b6002 - 1381470 ns R r1 80000000 - 1381470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1381490 ns R psr 81000200 - 1381510 ns MR4_I 01000214 6841d006 - 1381530 ns MR4_I 01000218 d1fc07c9 - 1381530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1381610 ns MR4_D 40006004 00000001 - 1381610 ns R r1 00000001 - 1381610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1381630 ns MR4_I 0100021c 1c5b6002 - 1381630 ns R r1 80000000 - 1381630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1381650 ns R psr 81000200 - 1381670 ns MR4_I 01000214 6841d006 - 1381690 ns MR4_I 01000218 d1fc07c9 - 1381690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1381770 ns MR4_D 40006004 00000001 - 1381770 ns R r1 00000001 - 1381770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1381790 ns MR4_I 0100021c 1c5b6002 - 1381790 ns R r1 80000000 - 1381790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1381810 ns R psr 81000200 - 1381830 ns MR4_I 01000214 6841d006 - 1381850 ns MR4_I 01000218 d1fc07c9 - 1381850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1381930 ns MR4_D 40006004 00000001 - 1381930 ns R r1 00000001 - 1381930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1381950 ns MR4_I 0100021c 1c5b6002 - 1381950 ns R r1 80000000 - 1381950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1381970 ns R psr 81000200 - 1381990 ns MR4_I 01000214 6841d006 - 1382010 ns MR4_I 01000218 d1fc07c9 - 1382010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1382090 ns MR4_D 40006004 00000001 - 1382090 ns R r1 00000001 - 1382090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1382110 ns MR4_I 0100021c 1c5b6002 - 1382110 ns R r1 80000000 - 1382110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1382130 ns R psr 81000200 - 1382150 ns MR4_I 01000214 6841d006 - 1382170 ns MR4_I 01000218 d1fc07c9 - 1382170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1382250 ns MR4_D 40006004 00000001 - 1382250 ns R r1 00000001 - 1382250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1382270 ns MR4_I 0100021c 1c5b6002 - 1382270 ns R r1 80000000 - 1382270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1382290 ns R psr 81000200 - 1382310 ns MR4_I 01000214 6841d006 - 1382330 ns MR4_I 01000218 d1fc07c9 - 1382330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1382410 ns MR4_D 40006004 00000001 - 1382410 ns R r1 00000001 - 1382410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1382430 ns MR4_I 0100021c 1c5b6002 - 1382430 ns R r1 80000000 - 1382430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1382450 ns R psr 81000200 - 1382470 ns MR4_I 01000214 6841d006 - 1382490 ns MR4_I 01000218 d1fc07c9 - 1382490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1382570 ns MR4_D 40006004 00000001 - 1382570 ns R r1 00000001 - 1382570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1382590 ns MR4_I 0100021c 1c5b6002 - 1382590 ns R r1 80000000 - 1382590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1382610 ns R psr 81000200 - 1382630 ns MR4_I 01000214 6841d006 - 1382650 ns MR4_I 01000218 d1fc07c9 - 1382650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1382730 ns MR4_D 40006004 00000001 - 1382730 ns R r1 00000001 - 1382730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1382750 ns MR4_I 0100021c 1c5b6002 - 1382750 ns R r1 80000000 - 1382750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1382770 ns R psr 81000200 - 1382790 ns MR4_I 01000214 6841d006 - 1382810 ns MR4_I 01000218 d1fc07c9 - 1382810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1382890 ns MR4_D 40006004 00000001 - 1382890 ns R r1 00000001 - 1382890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1382910 ns MR4_I 0100021c 1c5b6002 - 1382910 ns R r1 80000000 - 1382910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1382930 ns R psr 81000200 - 1382950 ns MR4_I 01000214 6841d006 - 1382970 ns MR4_I 01000218 d1fc07c9 - 1382970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1383050 ns MR4_D 40006004 00000001 - 1383050 ns R r1 00000001 - 1383050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1383070 ns MR4_I 0100021c 1c5b6002 - 1383070 ns R r1 80000000 - 1383070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1383090 ns R psr 81000200 - 1383110 ns MR4_I 01000214 6841d006 - 1383130 ns MR4_I 01000218 d1fc07c9 - 1383130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1383210 ns MR4_D 40006004 00000001 - 1383210 ns R r1 00000001 - 1383210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1383230 ns MR4_I 0100021c 1c5b6002 - 1383230 ns R r1 80000000 - 1383230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1383250 ns R psr 81000200 - 1383270 ns MR4_I 01000214 6841d006 - 1383290 ns MR4_I 01000218 d1fc07c9 - 1383290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1383370 ns MR4_D 40006004 00000001 - 1383370 ns R r1 00000001 - 1383370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1383390 ns MR4_I 0100021c 1c5b6002 - 1383390 ns R r1 80000000 - 1383390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1383410 ns R psr 81000200 - 1383430 ns MR4_I 01000214 6841d006 - 1383450 ns MR4_I 01000218 d1fc07c9 - 1383450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1383530 ns MR4_D 40006004 00000001 - 1383530 ns R r1 00000001 - 1383530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1383550 ns MR4_I 0100021c 1c5b6002 - 1383550 ns R r1 80000000 - 1383550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1383570 ns R psr 81000200 - 1383590 ns MR4_I 01000214 6841d006 - 1383610 ns MR4_I 01000218 d1fc07c9 - 1383610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1383690 ns MR4_D 40006004 00000001 - 1383690 ns R r1 00000001 - 1383690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1383710 ns MR4_I 0100021c 1c5b6002 - 1383710 ns R r1 80000000 - 1383710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1383730 ns R psr 81000200 - 1383750 ns MR4_I 01000214 6841d006 - 1383770 ns MR4_I 01000218 d1fc07c9 - 1383770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1383850 ns MR4_D 40006004 00000001 - 1383850 ns R r1 00000001 - 1383850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1383870 ns MR4_I 0100021c 1c5b6002 - 1383870 ns R r1 80000000 - 1383870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1383890 ns R psr 81000200 - 1383910 ns MR4_I 01000214 6841d006 - 1383930 ns MR4_I 01000218 d1fc07c9 - 1383930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1384010 ns MR4_D 40006004 00000001 - 1384010 ns R r1 00000001 - 1384010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1384030 ns MR4_I 0100021c 1c5b6002 - 1384030 ns R r1 80000000 - 1384030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1384050 ns R psr 81000200 - 1384070 ns MR4_I 01000214 6841d006 - 1384090 ns MR4_I 01000218 d1fc07c9 - 1384090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1384170 ns MR4_D 40006004 00000001 - 1384170 ns R r1 00000001 - 1384170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1384190 ns MR4_I 0100021c 1c5b6002 - 1384190 ns R r1 80000000 - 1384190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1384210 ns R psr 81000200 - 1384230 ns MR4_I 01000214 6841d006 - 1384250 ns MR4_I 01000218 d1fc07c9 - 1384250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1384330 ns MR4_D 40006004 00000001 - 1384330 ns R r1 00000001 - 1384330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1384350 ns MR4_I 0100021c 1c5b6002 - 1384350 ns R r1 80000000 - 1384350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1384370 ns R psr 81000200 - 1384390 ns MR4_I 01000214 6841d006 - 1384410 ns MR4_I 01000218 d1fc07c9 - 1384410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1384490 ns MR4_D 40006004 00000001 - 1384490 ns R r1 00000001 - 1384490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1384510 ns MR4_I 0100021c 1c5b6002 - 1384510 ns R r1 80000000 - 1384510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1384530 ns R psr 81000200 - 1384550 ns MR4_I 01000214 6841d006 - 1384570 ns MR4_I 01000218 d1fc07c9 - 1384570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1384650 ns MR4_D 40006004 00000001 - 1384650 ns R r1 00000001 - 1384650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1384670 ns MR4_I 0100021c 1c5b6002 - 1384670 ns R r1 80000000 - 1384670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1384690 ns R psr 81000200 - 1384710 ns MR4_I 01000214 6841d006 - 1384730 ns MR4_I 01000218 d1fc07c9 - 1384730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1384810 ns MR4_D 40006004 00000001 - 1384810 ns R r1 00000001 - 1384810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1384830 ns MR4_I 0100021c 1c5b6002 - 1384830 ns R r1 80000000 - 1384830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1384850 ns R psr 81000200 - 1384870 ns MR4_I 01000214 6841d006 - 1384890 ns MR4_I 01000218 d1fc07c9 - 1384890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1384970 ns MR4_D 40006004 00000001 - 1384970 ns R r1 00000001 - 1384970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1384990 ns MR4_I 0100021c 1c5b6002 - 1384990 ns R r1 80000000 - 1384990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1385010 ns R psr 81000200 - 1385030 ns MR4_I 01000214 6841d006 - 1385050 ns MR4_I 01000218 d1fc07c9 - 1385050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1385130 ns MR4_D 40006004 00000001 - 1385130 ns R r1 00000001 - 1385130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1385150 ns MR4_I 0100021c 1c5b6002 - 1385150 ns R r1 80000000 - 1385150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1385170 ns R psr 81000200 - 1385190 ns MR4_I 01000214 6841d006 - 1385210 ns MR4_I 01000218 d1fc07c9 - 1385210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1385290 ns MR4_D 40006004 00000001 - 1385290 ns R r1 00000001 - 1385290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1385310 ns MR4_I 0100021c 1c5b6002 - 1385310 ns R r1 80000000 - 1385310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1385330 ns R psr 81000200 - 1385350 ns MR4_I 01000214 6841d006 - 1385370 ns MR4_I 01000218 d1fc07c9 - 1385370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1385450 ns MR4_D 40006004 00000001 - 1385450 ns R r1 00000001 - 1385450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1385470 ns MR4_I 0100021c 1c5b6002 - 1385470 ns R r1 80000000 - 1385470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1385490 ns R psr 81000200 - 1385510 ns MR4_I 01000214 6841d006 - 1385530 ns MR4_I 01000218 d1fc07c9 - 1385530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1385610 ns MR4_D 40006004 00000001 - 1385610 ns R r1 00000001 - 1385610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1385630 ns MR4_I 0100021c 1c5b6002 - 1385630 ns R r1 80000000 - 1385630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1385650 ns R psr 81000200 - 1385670 ns MR4_I 01000214 6841d006 - 1385690 ns MR4_I 01000218 d1fc07c9 - 1385690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1385770 ns MR4_D 40006004 00000001 - 1385770 ns R r1 00000001 - 1385770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1385790 ns MR4_I 0100021c 1c5b6002 - 1385790 ns R r1 80000000 - 1385790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1385810 ns R psr 81000200 - 1385830 ns MR4_I 01000214 6841d006 - 1385850 ns MR4_I 01000218 d1fc07c9 - 1385850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1385930 ns MR4_D 40006004 00000001 - 1385930 ns R r1 00000001 - 1385930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1385950 ns MR4_I 0100021c 1c5b6002 - 1385950 ns R r1 80000000 - 1385950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1385970 ns R psr 81000200 - 1385990 ns MR4_I 01000214 6841d006 - 1386010 ns MR4_I 01000218 d1fc07c9 - 1386010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1386090 ns MR4_D 40006004 00000001 - 1386090 ns R r1 00000001 - 1386090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1386110 ns MR4_I 0100021c 1c5b6002 - 1386110 ns R r1 80000000 - 1386110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1386130 ns R psr 81000200 - 1386150 ns MR4_I 01000214 6841d006 - 1386170 ns MR4_I 01000218 d1fc07c9 - 1386170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1386250 ns MR4_D 40006004 00000001 - 1386250 ns R r1 00000001 - 1386250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1386270 ns MR4_I 0100021c 1c5b6002 - 1386270 ns R r1 80000000 - 1386270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1386290 ns R psr 81000200 - 1386310 ns MR4_I 01000214 6841d006 - 1386330 ns MR4_I 01000218 d1fc07c9 - 1386330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1386410 ns MR4_D 40006004 00000001 - 1386410 ns R r1 00000001 - 1386410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1386430 ns MR4_I 0100021c 1c5b6002 - 1386430 ns R r1 80000000 - 1386430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1386450 ns R psr 81000200 - 1386470 ns MR4_I 01000214 6841d006 - 1386490 ns MR4_I 01000218 d1fc07c9 - 1386490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1386570 ns MR4_D 40006004 00000001 - 1386570 ns R r1 00000001 - 1386570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1386590 ns MR4_I 0100021c 1c5b6002 - 1386590 ns R r1 80000000 - 1386590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1386610 ns R psr 81000200 - 1386630 ns MR4_I 01000214 6841d006 - 1386650 ns MR4_I 01000218 d1fc07c9 - 1386650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1386730 ns MR4_D 40006004 00000001 - 1386730 ns R r1 00000001 - 1386730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1386750 ns MR4_I 0100021c 1c5b6002 - 1386750 ns R r1 80000000 - 1386750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1386770 ns R psr 81000200 - 1386790 ns MR4_I 01000214 6841d006 - 1386810 ns MR4_I 01000218 d1fc07c9 - 1386810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1386890 ns MR4_D 40006004 00000001 - 1386890 ns R r1 00000001 - 1386890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1386910 ns MR4_I 0100021c 1c5b6002 - 1386910 ns R r1 80000000 - 1386910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1386930 ns R psr 81000200 - 1386950 ns MR4_I 01000214 6841d006 - 1386970 ns MR4_I 01000218 d1fc07c9 - 1386970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1387050 ns MR4_D 40006004 00000001 - 1387050 ns R r1 00000001 - 1387050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1387070 ns MR4_I 0100021c 1c5b6002 - 1387070 ns R r1 80000000 - 1387070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1387090 ns R psr 81000200 - 1387110 ns MR4_I 01000214 6841d006 - 1387130 ns MR4_I 01000218 d1fc07c9 - 1387130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1387210 ns MR4_D 40006004 00000001 - 1387210 ns R r1 00000001 - 1387210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1387230 ns MR4_I 0100021c 1c5b6002 - 1387230 ns R r1 80000000 - 1387230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1387250 ns R psr 81000200 - 1387270 ns MR4_I 01000214 6841d006 - 1387290 ns MR4_I 01000218 d1fc07c9 - 1387290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1387370 ns MR4_D 40006004 00000001 - 1387370 ns R r1 00000001 - 1387370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1387390 ns MR4_I 0100021c 1c5b6002 - 1387390 ns R r1 80000000 - 1387390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1387410 ns R psr 81000200 - 1387430 ns MR4_I 01000214 6841d006 - 1387450 ns MR4_I 01000218 d1fc07c9 - 1387450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1387530 ns MR4_D 40006004 00000001 - 1387530 ns R r1 00000001 - 1387530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1387550 ns MR4_I 0100021c 1c5b6002 - 1387550 ns R r1 80000000 - 1387550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1387570 ns R psr 81000200 - 1387590 ns MR4_I 01000214 6841d006 - 1387610 ns MR4_I 01000218 d1fc07c9 - 1387610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1387690 ns MR4_D 40006004 00000001 - 1387690 ns R r1 00000001 - 1387690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1387710 ns MR4_I 0100021c 1c5b6002 - 1387710 ns R r1 80000000 - 1387710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1387730 ns R psr 81000200 - 1387750 ns MR4_I 01000214 6841d006 - 1387770 ns MR4_I 01000218 d1fc07c9 - 1387770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1387850 ns MR4_D 40006004 00000001 - 1387850 ns R r1 00000001 - 1387850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1387870 ns MR4_I 0100021c 1c5b6002 - 1387870 ns R r1 80000000 - 1387870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1387890 ns R psr 81000200 - 1387910 ns MR4_I 01000214 6841d006 - 1387930 ns MR4_I 01000218 d1fc07c9 - 1387930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1388010 ns MR4_D 40006004 00000001 - 1388010 ns R r1 00000001 - 1388010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1388030 ns MR4_I 0100021c 1c5b6002 - 1388030 ns R r1 80000000 - 1388030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1388050 ns R psr 81000200 - 1388070 ns MR4_I 01000214 6841d006 - 1388090 ns MR4_I 01000218 d1fc07c9 - 1388090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1388170 ns MR4_D 40006004 00000001 - 1388170 ns R r1 00000001 - 1388170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1388190 ns MR4_I 0100021c 1c5b6002 - 1388190 ns R r1 80000000 - 1388190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1388210 ns R psr 81000200 - 1388230 ns MR4_I 01000214 6841d006 - 1388250 ns MR4_I 01000218 d1fc07c9 - 1388250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1388330 ns MR4_D 40006004 00000001 - 1388330 ns R r1 00000001 - 1388330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1388350 ns MR4_I 0100021c 1c5b6002 - 1388350 ns R r1 80000000 - 1388350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1388370 ns R psr 81000200 - 1388390 ns MR4_I 01000214 6841d006 - 1388410 ns MR4_I 01000218 d1fc07c9 - 1388410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1388490 ns MR4_D 40006004 00000001 - 1388490 ns R r1 00000001 - 1388490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1388510 ns MR4_I 0100021c 1c5b6002 - 1388510 ns R r1 80000000 - 1388510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1388530 ns R psr 81000200 - 1388550 ns MR4_I 01000214 6841d006 - 1388570 ns MR4_I 01000218 d1fc07c9 - 1388570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1388650 ns MR4_D 40006004 00000001 - 1388650 ns R r1 00000001 - 1388650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1388670 ns MR4_I 0100021c 1c5b6002 - 1388670 ns R r1 80000000 - 1388670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1388690 ns R psr 81000200 - 1388710 ns MR4_I 01000214 6841d006 - 1388730 ns MR4_I 01000218 d1fc07c9 - 1388730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1388810 ns MR4_D 40006004 00000001 - 1388810 ns R r1 00000001 - 1388810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1388830 ns MR4_I 0100021c 1c5b6002 - 1388830 ns R r1 80000000 - 1388830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1388850 ns R psr 81000200 - 1388870 ns MR4_I 01000214 6841d006 - 1388890 ns MR4_I 01000218 d1fc07c9 - 1388890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1388970 ns MR4_D 40006004 00000001 - 1388970 ns R r1 00000001 - 1388970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1388990 ns MR4_I 0100021c 1c5b6002 - 1388990 ns R r1 80000000 - 1388990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1389010 ns R psr 81000200 - 1389030 ns MR4_I 01000214 6841d006 - 1389050 ns MR4_I 01000218 d1fc07c9 - 1389050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1389130 ns MR4_D 40006004 00000001 - 1389130 ns R r1 00000001 - 1389130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1389150 ns MR4_I 0100021c 1c5b6002 - 1389150 ns R r1 80000000 - 1389150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1389170 ns R psr 81000200 - 1389190 ns MR4_I 01000214 6841d006 - 1389210 ns MR4_I 01000218 d1fc07c9 - 1389210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1389290 ns MR4_D 40006004 00000001 - 1389290 ns R r1 00000001 - 1389290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1389310 ns MR4_I 0100021c 1c5b6002 - 1389310 ns R r1 80000000 - 1389310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1389330 ns R psr 81000200 - 1389350 ns MR4_I 01000214 6841d006 - 1389370 ns MR4_I 01000218 d1fc07c9 - 1389370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1389450 ns MR4_D 40006004 00000001 - 1389450 ns R r1 00000001 - 1389450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1389470 ns MR4_I 0100021c 1c5b6002 - 1389470 ns R r1 80000000 - 1389470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1389490 ns R psr 81000200 - 1389510 ns MR4_I 01000214 6841d006 - 1389530 ns MR4_I 01000218 d1fc07c9 - 1389530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1389610 ns MR4_D 40006004 00000000 - 1389610 ns R r1 00000000 - 1389610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1389630 ns MR4_I 0100021c 1c5b6002 - 1389630 ns R r1 00000000 - 1389630 ns IS 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1389650 ns R psr 41000200 - 1389650 ns IT 0100021c 6002 STR r2,[r0,#0] - 1389670 ns MR4_I 01000220 d1f52a00 - 1389730 ns MW4_D 40006000 0000002d - 1389730 ns IT 0100021e 1c5b ADDS r3,r3,#1 - 1389750 ns R r3 010002ba - 1389750 ns IT 01000220 2a00 CMP r2,#0 - 1389770 ns R psr 01000200 - 1389770 ns MR4_I 01000224 680a4911 - 1389770 ns IT 01000222 d1f5 BNE {pc} - 0x12 ; 0x1000210 - 1389790 ns R psr 21000200 - 1389810 ns MR4_I 01000210 2a00781a - 1389830 ns IT 01000210 781a LDRB r2,[r3,#0] - 1389850 ns MR4_I 01000214 6841d006 - 1389870 ns MR1_D 010002ba 6c202d20 - 1389870 ns R r2 00000020 - 1389870 ns IT 01000212 2a00 CMP r2,#0 - 1389890 ns IS 01000214 d006 BEQ {pc} + 0x10 ; 0x1000224 - 1389910 ns R psr 21000200 - 1389910 ns MR4_I 01000218 d1fc07c9 - 1389910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1389990 ns MR4_D 40006004 00000001 - 1389990 ns R r1 00000001 - 1389990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1390010 ns MR4_I 0100021c 1c5b6002 - 1390010 ns R r1 80000000 - 1390010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1390030 ns R psr 81000200 - 1390050 ns MR4_I 01000214 6841d006 - 1390070 ns MR4_I 01000218 d1fc07c9 - 1390070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1390150 ns MR4_D 40006004 00000001 - 1390150 ns R r1 00000001 - 1390150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1390170 ns MR4_I 0100021c 1c5b6002 - 1390170 ns R r1 80000000 - 1390170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1390190 ns R psr 81000200 - 1390210 ns MR4_I 01000214 6841d006 - 1390230 ns MR4_I 01000218 d1fc07c9 - 1390230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1390310 ns MR4_D 40006004 00000001 - 1390310 ns R r1 00000001 - 1390310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1390330 ns MR4_I 0100021c 1c5b6002 - 1390330 ns R r1 80000000 - 1390330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1390350 ns R psr 81000200 - 1390370 ns MR4_I 01000214 6841d006 - 1390390 ns MR4_I 01000218 d1fc07c9 - 1390390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1390470 ns MR4_D 40006004 00000001 - 1390470 ns R r1 00000001 - 1390470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1390490 ns MR4_I 0100021c 1c5b6002 - 1390490 ns R r1 80000000 - 1390490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1390510 ns R psr 81000200 - 1390530 ns MR4_I 01000214 6841d006 - 1390550 ns MR4_I 01000218 d1fc07c9 - 1390550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1390630 ns MR4_D 40006004 00000001 - 1390630 ns R r1 00000001 - 1390630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1390650 ns MR4_I 0100021c 1c5b6002 - 1390650 ns R r1 80000000 - 1390650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1390670 ns R psr 81000200 - 1390690 ns MR4_I 01000214 6841d006 - 1390710 ns MR4_I 01000218 d1fc07c9 - 1390710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1390790 ns MR4_D 40006004 00000001 - 1390790 ns R r1 00000001 - 1390790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1390810 ns MR4_I 0100021c 1c5b6002 - 1390810 ns R r1 80000000 - 1390810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1390830 ns R psr 81000200 - 1390850 ns MR4_I 01000214 6841d006 - 1390870 ns MR4_I 01000218 d1fc07c9 - 1390870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1390950 ns MR4_D 40006004 00000001 - 1390950 ns R r1 00000001 - 1390950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1390970 ns MR4_I 0100021c 1c5b6002 - 1390970 ns R r1 80000000 - 1390970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1390990 ns R psr 81000200 - 1391010 ns MR4_I 01000214 6841d006 - 1391030 ns MR4_I 01000218 d1fc07c9 - 1391030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1391110 ns MR4_D 40006004 00000001 - 1391110 ns R r1 00000001 - 1391110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1391130 ns MR4_I 0100021c 1c5b6002 - 1391130 ns R r1 80000000 - 1391130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1391150 ns R psr 81000200 - 1391170 ns MR4_I 01000214 6841d006 - 1391190 ns MR4_I 01000218 d1fc07c9 - 1391190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1391270 ns MR4_D 40006004 00000001 - 1391270 ns R r1 00000001 - 1391270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1391290 ns MR4_I 0100021c 1c5b6002 - 1391290 ns R r1 80000000 - 1391290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1391310 ns R psr 81000200 - 1391330 ns MR4_I 01000214 6841d006 - 1391350 ns MR4_I 01000218 d1fc07c9 - 1391350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1391430 ns MR4_D 40006004 00000001 - 1391430 ns R r1 00000001 - 1391430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1391450 ns MR4_I 0100021c 1c5b6002 - 1391450 ns R r1 80000000 - 1391450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1391470 ns R psr 81000200 - 1391490 ns MR4_I 01000214 6841d006 - 1391510 ns MR4_I 01000218 d1fc07c9 - 1391510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1391590 ns MR4_D 40006004 00000001 - 1391590 ns R r1 00000001 - 1391590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1391610 ns MR4_I 0100021c 1c5b6002 - 1391610 ns R r1 80000000 - 1391610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1391630 ns R psr 81000200 - 1391650 ns MR4_I 01000214 6841d006 - 1391670 ns MR4_I 01000218 d1fc07c9 - 1391670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1391750 ns MR4_D 40006004 00000001 - 1391750 ns R r1 00000001 - 1391750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1391770 ns MR4_I 0100021c 1c5b6002 - 1391770 ns R r1 80000000 - 1391770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1391790 ns R psr 81000200 - 1391810 ns MR4_I 01000214 6841d006 - 1391830 ns MR4_I 01000218 d1fc07c9 - 1391830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1391910 ns MR4_D 40006004 00000001 - 1391910 ns R r1 00000001 - 1391910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1391930 ns MR4_I 0100021c 1c5b6002 - 1391930 ns R r1 80000000 - 1391930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1391950 ns R psr 81000200 - 1391970 ns MR4_I 01000214 6841d006 - 1391990 ns MR4_I 01000218 d1fc07c9 - 1391990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1392070 ns MR4_D 40006004 00000001 - 1392070 ns R r1 00000001 - 1392070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1392090 ns MR4_I 0100021c 1c5b6002 - 1392090 ns R r1 80000000 - 1392090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1392110 ns R psr 81000200 - 1392130 ns MR4_I 01000214 6841d006 - 1392150 ns MR4_I 01000218 d1fc07c9 - 1392150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1392230 ns MR4_D 40006004 00000001 - 1392230 ns R r1 00000001 - 1392230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1392250 ns MR4_I 0100021c 1c5b6002 - 1392250 ns R r1 80000000 - 1392250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1392270 ns R psr 81000200 - 1392290 ns MR4_I 01000214 6841d006 - 1392310 ns MR4_I 01000218 d1fc07c9 - 1392310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1392390 ns MR4_D 40006004 00000001 - 1392390 ns R r1 00000001 - 1392390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1392410 ns MR4_I 0100021c 1c5b6002 - 1392410 ns R r1 80000000 - 1392410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1392430 ns R psr 81000200 - 1392450 ns MR4_I 01000214 6841d006 - 1392470 ns MR4_I 01000218 d1fc07c9 - 1392470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1392550 ns MR4_D 40006004 00000001 - 1392550 ns R r1 00000001 - 1392550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1392570 ns MR4_I 0100021c 1c5b6002 - 1392570 ns R r1 80000000 - 1392570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1392590 ns R psr 81000200 - 1392610 ns MR4_I 01000214 6841d006 - 1392630 ns MR4_I 01000218 d1fc07c9 - 1392630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1392710 ns MR4_D 40006004 00000001 - 1392710 ns R r1 00000001 - 1392710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1392730 ns MR4_I 0100021c 1c5b6002 - 1392730 ns R r1 80000000 - 1392730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1392750 ns R psr 81000200 - 1392770 ns MR4_I 01000214 6841d006 - 1392790 ns MR4_I 01000218 d1fc07c9 - 1392790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1392870 ns MR4_D 40006004 00000001 - 1392870 ns R r1 00000001 - 1392870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1392890 ns MR4_I 0100021c 1c5b6002 - 1392890 ns R r1 80000000 - 1392890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1392910 ns R psr 81000200 - 1392930 ns MR4_I 01000214 6841d006 - 1392950 ns MR4_I 01000218 d1fc07c9 - 1392950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1393030 ns MR4_D 40006004 00000001 - 1393030 ns R r1 00000001 - 1393030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1393050 ns MR4_I 0100021c 1c5b6002 - 1393050 ns R r1 80000000 - 1393050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1393070 ns R psr 81000200 - 1393090 ns MR4_I 01000214 6841d006 - 1393110 ns MR4_I 01000218 d1fc07c9 - 1393110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1393190 ns MR4_D 40006004 00000001 - 1393190 ns R r1 00000001 - 1393190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1393210 ns MR4_I 0100021c 1c5b6002 - 1393210 ns R r1 80000000 - 1393210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1393230 ns R psr 81000200 - 1393250 ns MR4_I 01000214 6841d006 - 1393270 ns MR4_I 01000218 d1fc07c9 - 1393270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1393350 ns MR4_D 40006004 00000001 - 1393350 ns R r1 00000001 - 1393350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1393370 ns MR4_I 0100021c 1c5b6002 - 1393370 ns R r1 80000000 - 1393370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1393390 ns R psr 81000200 - 1393410 ns MR4_I 01000214 6841d006 - 1393430 ns MR4_I 01000218 d1fc07c9 - 1393430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1393510 ns MR4_D 40006004 00000001 - 1393510 ns R r1 00000001 - 1393510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1393530 ns MR4_I 0100021c 1c5b6002 - 1393530 ns R r1 80000000 - 1393530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1393550 ns R psr 81000200 - 1393570 ns MR4_I 01000214 6841d006 - 1393590 ns MR4_I 01000218 d1fc07c9 - 1393590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1393670 ns MR4_D 40006004 00000001 - 1393670 ns R r1 00000001 - 1393670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1393690 ns MR4_I 0100021c 1c5b6002 - 1393690 ns R r1 80000000 - 1393690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1393710 ns R psr 81000200 - 1393730 ns MR4_I 01000214 6841d006 - 1393750 ns MR4_I 01000218 d1fc07c9 - 1393750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1393830 ns MR4_D 40006004 00000001 - 1393830 ns R r1 00000001 - 1393830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1393850 ns MR4_I 0100021c 1c5b6002 - 1393850 ns R r1 80000000 - 1393850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1393870 ns R psr 81000200 - 1393890 ns MR4_I 01000214 6841d006 - 1393910 ns MR4_I 01000218 d1fc07c9 - 1393910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1393990 ns MR4_D 40006004 00000001 - 1393990 ns R r1 00000001 - 1393990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1394010 ns MR4_I 0100021c 1c5b6002 - 1394010 ns R r1 80000000 - 1394010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1394030 ns R psr 81000200 - 1394050 ns MR4_I 01000214 6841d006 - 1394070 ns MR4_I 01000218 d1fc07c9 - 1394070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1394150 ns MR4_D 40006004 00000001 - 1394150 ns R r1 00000001 - 1394150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1394170 ns MR4_I 0100021c 1c5b6002 - 1394170 ns R r1 80000000 - 1394170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1394190 ns R psr 81000200 - 1394210 ns MR4_I 01000214 6841d006 - 1394230 ns MR4_I 01000218 d1fc07c9 - 1394230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1394310 ns MR4_D 40006004 00000001 - 1394310 ns R r1 00000001 - 1394310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1394330 ns MR4_I 0100021c 1c5b6002 - 1394330 ns R r1 80000000 - 1394330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1394350 ns R psr 81000200 - 1394370 ns MR4_I 01000214 6841d006 - 1394390 ns MR4_I 01000218 d1fc07c9 - 1394390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1394470 ns MR4_D 40006004 00000001 - 1394470 ns R r1 00000001 - 1394470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1394490 ns MR4_I 0100021c 1c5b6002 - 1394490 ns R r1 80000000 - 1394490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1394510 ns R psr 81000200 - 1394530 ns MR4_I 01000214 6841d006 - 1394550 ns MR4_I 01000218 d1fc07c9 - 1394550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1394630 ns MR4_D 40006004 00000001 - 1394630 ns R r1 00000001 - 1394630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1394650 ns MR4_I 0100021c 1c5b6002 - 1394650 ns R r1 80000000 - 1394650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1394670 ns R psr 81000200 - 1394690 ns MR4_I 01000214 6841d006 - 1394710 ns MR4_I 01000218 d1fc07c9 - 1394710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1394790 ns MR4_D 40006004 00000001 - 1394790 ns R r1 00000001 - 1394790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1394810 ns MR4_I 0100021c 1c5b6002 - 1394810 ns R r1 80000000 - 1394810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1394830 ns R psr 81000200 - 1394850 ns MR4_I 01000214 6841d006 - 1394870 ns MR4_I 01000218 d1fc07c9 - 1394870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1394950 ns MR4_D 40006004 00000001 - 1394950 ns R r1 00000001 - 1394950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1394970 ns MR4_I 0100021c 1c5b6002 - 1394970 ns R r1 80000000 - 1394970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1394990 ns R psr 81000200 - 1395010 ns MR4_I 01000214 6841d006 - 1395030 ns MR4_I 01000218 d1fc07c9 - 1395030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1395110 ns MR4_D 40006004 00000001 - 1395110 ns R r1 00000001 - 1395110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1395130 ns MR4_I 0100021c 1c5b6002 - 1395130 ns R r1 80000000 - 1395130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1395150 ns R psr 81000200 - 1395170 ns MR4_I 01000214 6841d006 - 1395190 ns MR4_I 01000218 d1fc07c9 - 1395190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1395270 ns MR4_D 40006004 00000001 - 1395270 ns R r1 00000001 - 1395270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1395290 ns MR4_I 0100021c 1c5b6002 - 1395290 ns R r1 80000000 - 1395290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1395310 ns R psr 81000200 - 1395330 ns MR4_I 01000214 6841d006 - 1395350 ns MR4_I 01000218 d1fc07c9 - 1395350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1395430 ns MR4_D 40006004 00000001 - 1395430 ns R r1 00000001 - 1395430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1395450 ns MR4_I 0100021c 1c5b6002 - 1395450 ns R r1 80000000 - 1395450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1395470 ns R psr 81000200 - 1395490 ns MR4_I 01000214 6841d006 - 1395510 ns MR4_I 01000218 d1fc07c9 - 1395510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1395590 ns MR4_D 40006004 00000001 - 1395590 ns R r1 00000001 - 1395590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1395610 ns MR4_I 0100021c 1c5b6002 - 1395610 ns R r1 80000000 - 1395610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1395630 ns R psr 81000200 - 1395650 ns MR4_I 01000214 6841d006 - 1395670 ns MR4_I 01000218 d1fc07c9 - 1395670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1395750 ns MR4_D 40006004 00000001 - 1395750 ns R r1 00000001 - 1395750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1395770 ns MR4_I 0100021c 1c5b6002 - 1395770 ns R r1 80000000 - 1395770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1395790 ns R psr 81000200 - 1395810 ns MR4_I 01000214 6841d006 - 1395830 ns MR4_I 01000218 d1fc07c9 - 1395830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1395910 ns MR4_D 40006004 00000001 - 1395910 ns R r1 00000001 - 1395910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1395930 ns MR4_I 0100021c 1c5b6002 - 1395930 ns R r1 80000000 - 1395930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1395950 ns R psr 81000200 - 1395970 ns MR4_I 01000214 6841d006 - 1395990 ns MR4_I 01000218 d1fc07c9 - 1395990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1396070 ns MR4_D 40006004 00000001 - 1396070 ns R r1 00000001 - 1396070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1396090 ns MR4_I 0100021c 1c5b6002 - 1396090 ns R r1 80000000 - 1396090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1396110 ns R psr 81000200 - 1396130 ns MR4_I 01000214 6841d006 - 1396150 ns MR4_I 01000218 d1fc07c9 - 1396150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1396230 ns MR4_D 40006004 00000001 - 1396230 ns R r1 00000001 - 1396230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1396250 ns MR4_I 0100021c 1c5b6002 - 1396250 ns R r1 80000000 - 1396250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1396270 ns R psr 81000200 - 1396290 ns MR4_I 01000214 6841d006 - 1396310 ns MR4_I 01000218 d1fc07c9 - 1396310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1396390 ns MR4_D 40006004 00000001 - 1396390 ns R r1 00000001 - 1396390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1396410 ns MR4_I 0100021c 1c5b6002 - 1396410 ns R r1 80000000 - 1396410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1396430 ns R psr 81000200 - 1396450 ns MR4_I 01000214 6841d006 - 1396470 ns MR4_I 01000218 d1fc07c9 - 1396470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1396550 ns MR4_D 40006004 00000001 - 1396550 ns R r1 00000001 - 1396550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1396570 ns MR4_I 0100021c 1c5b6002 - 1396570 ns R r1 80000000 - 1396570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1396590 ns R psr 81000200 - 1396610 ns MR4_I 01000214 6841d006 - 1396630 ns MR4_I 01000218 d1fc07c9 - 1396630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1396710 ns MR4_D 40006004 00000001 - 1396710 ns R r1 00000001 - 1396710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1396730 ns MR4_I 0100021c 1c5b6002 - 1396730 ns R r1 80000000 - 1396730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1396750 ns R psr 81000200 - 1396770 ns MR4_I 01000214 6841d006 - 1396790 ns MR4_I 01000218 d1fc07c9 - 1396790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1396870 ns MR4_D 40006004 00000001 - 1396870 ns R r1 00000001 - 1396870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1396890 ns MR4_I 0100021c 1c5b6002 - 1396890 ns R r1 80000000 - 1396890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1396910 ns R psr 81000200 - 1396930 ns MR4_I 01000214 6841d006 - 1396950 ns MR4_I 01000218 d1fc07c9 - 1396950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1397030 ns MR4_D 40006004 00000001 - 1397030 ns R r1 00000001 - 1397030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1397050 ns MR4_I 0100021c 1c5b6002 - 1397050 ns R r1 80000000 - 1397050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1397070 ns R psr 81000200 - 1397090 ns MR4_I 01000214 6841d006 - 1397110 ns MR4_I 01000218 d1fc07c9 - 1397110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1397190 ns MR4_D 40006004 00000001 - 1397190 ns R r1 00000001 - 1397190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1397210 ns MR4_I 0100021c 1c5b6002 - 1397210 ns R r1 80000000 - 1397210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1397230 ns R psr 81000200 - 1397250 ns MR4_I 01000214 6841d006 - 1397270 ns MR4_I 01000218 d1fc07c9 - 1397270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1397350 ns MR4_D 40006004 00000001 - 1397350 ns R r1 00000001 - 1397350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1397370 ns MR4_I 0100021c 1c5b6002 - 1397370 ns R r1 80000000 - 1397370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1397390 ns R psr 81000200 - 1397410 ns MR4_I 01000214 6841d006 - 1397430 ns MR4_I 01000218 d1fc07c9 - 1397430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1397510 ns MR4_D 40006004 00000001 - 1397510 ns R r1 00000001 - 1397510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1397530 ns MR4_I 0100021c 1c5b6002 - 1397530 ns R r1 80000000 - 1397530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1397550 ns R psr 81000200 - 1397570 ns MR4_I 01000214 6841d006 - 1397590 ns MR4_I 01000218 d1fc07c9 - 1397590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1397670 ns MR4_D 40006004 00000001 - 1397670 ns R r1 00000001 - 1397670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1397690 ns MR4_I 0100021c 1c5b6002 - 1397690 ns R r1 80000000 - 1397690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1397710 ns R psr 81000200 - 1397730 ns MR4_I 01000214 6841d006 - 1397750 ns MR4_I 01000218 d1fc07c9 - 1397750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1397830 ns MR4_D 40006004 00000001 - 1397830 ns R r1 00000001 - 1397830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1397850 ns MR4_I 0100021c 1c5b6002 - 1397850 ns R r1 80000000 - 1397850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1397870 ns R psr 81000200 - 1397890 ns MR4_I 01000214 6841d006 - 1397910 ns MR4_I 01000218 d1fc07c9 - 1397910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1397990 ns MR4_D 40006004 00000001 - 1397990 ns R r1 00000001 - 1397990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1398010 ns MR4_I 0100021c 1c5b6002 - 1398010 ns R r1 80000000 - 1398010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1398030 ns R psr 81000200 - 1398050 ns MR4_I 01000214 6841d006 - 1398070 ns MR4_I 01000218 d1fc07c9 - 1398070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1398150 ns MR4_D 40006004 00000001 - 1398150 ns R r1 00000001 - 1398150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1398170 ns MR4_I 0100021c 1c5b6002 - 1398170 ns R r1 80000000 - 1398170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1398190 ns R psr 81000200 - 1398210 ns MR4_I 01000214 6841d006 - 1398230 ns MR4_I 01000218 d1fc07c9 - 1398230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1398310 ns MR4_D 40006004 00000001 - 1398310 ns R r1 00000001 - 1398310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1398330 ns MR4_I 0100021c 1c5b6002 - 1398330 ns R r1 80000000 - 1398330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1398350 ns R psr 81000200 - 1398370 ns MR4_I 01000214 6841d006 - 1398390 ns MR4_I 01000218 d1fc07c9 - 1398390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1398470 ns MR4_D 40006004 00000001 - 1398470 ns R r1 00000001 - 1398470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1398490 ns MR4_I 0100021c 1c5b6002 - 1398490 ns R r1 80000000 - 1398490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1398510 ns R psr 81000200 - 1398530 ns MR4_I 01000214 6841d006 - 1398550 ns MR4_I 01000218 d1fc07c9 - 1398550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1398630 ns MR4_D 40006004 00000001 - 1398630 ns R r1 00000001 - 1398630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1398650 ns MR4_I 0100021c 1c5b6002 - 1398650 ns R r1 80000000 - 1398650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1398670 ns R psr 81000200 - 1398690 ns MR4_I 01000214 6841d006 - 1398710 ns MR4_I 01000218 d1fc07c9 - 1398710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1398790 ns MR4_D 40006004 00000001 - 1398790 ns R r1 00000001 - 1398790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1398810 ns MR4_I 0100021c 1c5b6002 - 1398810 ns R r1 80000000 - 1398810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1398830 ns R psr 81000200 - 1398850 ns MR4_I 01000214 6841d006 - 1398870 ns MR4_I 01000218 d1fc07c9 - 1398870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1398950 ns MR4_D 40006004 00000001 - 1398950 ns R r1 00000001 - 1398950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1398970 ns MR4_I 0100021c 1c5b6002 - 1398970 ns R r1 80000000 - 1398970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1398990 ns R psr 81000200 - 1399010 ns MR4_I 01000214 6841d006 - 1399030 ns MR4_I 01000218 d1fc07c9 - 1399030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1399110 ns MR4_D 40006004 00000001 - 1399110 ns R r1 00000001 - 1399110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1399130 ns MR4_I 0100021c 1c5b6002 - 1399130 ns R r1 80000000 - 1399130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1399150 ns R psr 81000200 - 1399170 ns MR4_I 01000214 6841d006 - 1399190 ns MR4_I 01000218 d1fc07c9 - 1399190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1399270 ns MR4_D 40006004 00000001 - 1399270 ns R r1 00000001 - 1399270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1399290 ns MR4_I 0100021c 1c5b6002 - 1399290 ns R r1 80000000 - 1399290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1399310 ns R psr 81000200 - 1399330 ns MR4_I 01000214 6841d006 - 1399350 ns MR4_I 01000218 d1fc07c9 - 1399350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1399430 ns MR4_D 40006004 00000001 - 1399430 ns R r1 00000001 - 1399430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1399450 ns MR4_I 0100021c 1c5b6002 - 1399450 ns R r1 80000000 - 1399450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1399470 ns R psr 81000200 - 1399490 ns MR4_I 01000214 6841d006 - 1399510 ns MR4_I 01000218 d1fc07c9 - 1399510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1399590 ns MR4_D 40006004 00000001 - 1399590 ns R r1 00000001 - 1399590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1399610 ns MR4_I 0100021c 1c5b6002 - 1399610 ns R r1 80000000 - 1399610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1399630 ns R psr 81000200 - 1399650 ns MR4_I 01000214 6841d006 - 1399670 ns MR4_I 01000218 d1fc07c9 - 1399670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1399750 ns MR4_D 40006004 00000001 - 1399750 ns R r1 00000001 - 1399750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1399770 ns MR4_I 0100021c 1c5b6002 - 1399770 ns R r1 80000000 - 1399770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1399790 ns R psr 81000200 - 1399810 ns MR4_I 01000214 6841d006 - 1399830 ns MR4_I 01000218 d1fc07c9 - 1399830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1399910 ns MR4_D 40006004 00000001 - 1399910 ns R r1 00000001 - 1399910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1399930 ns MR4_I 0100021c 1c5b6002 - 1399930 ns R r1 80000000 - 1399930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1399950 ns R psr 81000200 - 1399970 ns MR4_I 01000214 6841d006 - 1399990 ns MR4_I 01000218 d1fc07c9 - 1399990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1400070 ns MR4_D 40006004 00000001 - 1400070 ns R r1 00000001 - 1400070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1400090 ns MR4_I 0100021c 1c5b6002 - 1400090 ns R r1 80000000 - 1400090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1400110 ns R psr 81000200 - 1400130 ns MR4_I 01000214 6841d006 - 1400150 ns MR4_I 01000218 d1fc07c9 - 1400150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1400230 ns MR4_D 40006004 00000001 - 1400230 ns R r1 00000001 - 1400230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1400250 ns MR4_I 0100021c 1c5b6002 - 1400250 ns R r1 80000000 - 1400250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1400270 ns R psr 81000200 - 1400290 ns MR4_I 01000214 6841d006 - 1400310 ns MR4_I 01000218 d1fc07c9 - 1400310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1400390 ns MR4_D 40006004 00000001 - 1400390 ns R r1 00000001 - 1400390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1400410 ns MR4_I 0100021c 1c5b6002 - 1400410 ns R r1 80000000 - 1400410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1400430 ns R psr 81000200 - 1400450 ns MR4_I 01000214 6841d006 - 1400470 ns MR4_I 01000218 d1fc07c9 - 1400470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1400550 ns MR4_D 40006004 00000001 - 1400550 ns R r1 00000001 - 1400550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1400570 ns MR4_I 0100021c 1c5b6002 - 1400570 ns R r1 80000000 - 1400570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1400590 ns R psr 81000200 - 1400610 ns MR4_I 01000214 6841d006 - 1400630 ns MR4_I 01000218 d1fc07c9 - 1400630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1400710 ns MR4_D 40006004 00000001 - 1400710 ns R r1 00000001 - 1400710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1400730 ns MR4_I 0100021c 1c5b6002 - 1400730 ns R r1 80000000 - 1400730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1400750 ns R psr 81000200 - 1400770 ns MR4_I 01000214 6841d006 - 1400790 ns MR4_I 01000218 d1fc07c9 - 1400790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1400870 ns MR4_D 40006004 00000001 - 1400870 ns R r1 00000001 - 1400870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1400890 ns MR4_I 0100021c 1c5b6002 - 1400890 ns R r1 80000000 - 1400890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1400910 ns R psr 81000200 - 1400930 ns MR4_I 01000214 6841d006 - 1400950 ns MR4_I 01000218 d1fc07c9 - 1400950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1401030 ns MR4_D 40006004 00000001 - 1401030 ns R r1 00000001 - 1401030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1401050 ns MR4_I 0100021c 1c5b6002 - 1401050 ns R r1 80000000 - 1401050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1401070 ns R psr 81000200 - 1401090 ns MR4_I 01000214 6841d006 - 1401110 ns MR4_I 01000218 d1fc07c9 - 1401110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1401190 ns MR4_D 40006004 00000001 - 1401190 ns R r1 00000001 - 1401190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1401210 ns MR4_I 0100021c 1c5b6002 - 1401210 ns R r1 80000000 - 1401210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1401230 ns R psr 81000200 - 1401250 ns MR4_I 01000214 6841d006 - 1401270 ns MR4_I 01000218 d1fc07c9 - 1401270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1401350 ns MR4_D 40006004 00000001 - 1401350 ns R r1 00000001 - 1401350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1401370 ns MR4_I 0100021c 1c5b6002 - 1401370 ns R r1 80000000 - 1401370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1401390 ns R psr 81000200 - 1401410 ns MR4_I 01000214 6841d006 - 1401430 ns MR4_I 01000218 d1fc07c9 - 1401430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1401510 ns MR4_D 40006004 00000001 - 1401510 ns R r1 00000001 - 1401510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1401530 ns MR4_I 0100021c 1c5b6002 - 1401530 ns R r1 80000000 - 1401530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1401550 ns R psr 81000200 - 1401570 ns MR4_I 01000214 6841d006 - 1401590 ns MR4_I 01000218 d1fc07c9 - 1401590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1401670 ns MR4_D 40006004 00000001 - 1401670 ns R r1 00000001 - 1401670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1401690 ns MR4_I 0100021c 1c5b6002 - 1401690 ns R r1 80000000 - 1401690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1401710 ns R psr 81000200 - 1401730 ns MR4_I 01000214 6841d006 - 1401750 ns MR4_I 01000218 d1fc07c9 - 1401750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1401830 ns MR4_D 40006004 00000001 - 1401830 ns R r1 00000001 - 1401830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1401850 ns MR4_I 0100021c 1c5b6002 - 1401850 ns R r1 80000000 - 1401850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1401870 ns R psr 81000200 - 1401890 ns MR4_I 01000214 6841d006 - 1401910 ns MR4_I 01000218 d1fc07c9 - 1401910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1401990 ns MR4_D 40006004 00000001 - 1401990 ns R r1 00000001 - 1401990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1402010 ns MR4_I 0100021c 1c5b6002 - 1402010 ns R r1 80000000 - 1402010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1402030 ns R psr 81000200 - 1402050 ns MR4_I 01000214 6841d006 - 1402070 ns MR4_I 01000218 d1fc07c9 - 1402070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1402150 ns MR4_D 40006004 00000001 - 1402150 ns R r1 00000001 - 1402150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1402170 ns MR4_I 0100021c 1c5b6002 - 1402170 ns R r1 80000000 - 1402170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1402190 ns R psr 81000200 - 1402210 ns MR4_I 01000214 6841d006 - 1402230 ns MR4_I 01000218 d1fc07c9 - 1402230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1402310 ns MR4_D 40006004 00000001 - 1402310 ns R r1 00000001 - 1402310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1402330 ns MR4_I 0100021c 1c5b6002 - 1402330 ns R r1 80000000 - 1402330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1402350 ns R psr 81000200 - 1402370 ns MR4_I 01000214 6841d006 - 1402390 ns MR4_I 01000218 d1fc07c9 - 1402390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1402470 ns MR4_D 40006004 00000001 - 1402470 ns R r1 00000001 - 1402470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1402490 ns MR4_I 0100021c 1c5b6002 - 1402490 ns R r1 80000000 - 1402490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1402510 ns R psr 81000200 - 1402530 ns MR4_I 01000214 6841d006 - 1402550 ns MR4_I 01000218 d1fc07c9 - 1402550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1402630 ns MR4_D 40006004 00000001 - 1402630 ns R r1 00000001 - 1402630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1402650 ns MR4_I 0100021c 1c5b6002 - 1402650 ns R r1 80000000 - 1402650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1402670 ns R psr 81000200 - 1402690 ns MR4_I 01000214 6841d006 - 1402710 ns MR4_I 01000218 d1fc07c9 - 1402710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1402790 ns MR4_D 40006004 00000001 - 1402790 ns R r1 00000001 - 1402790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1402810 ns MR4_I 0100021c 1c5b6002 - 1402810 ns R r1 80000000 - 1402810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1402830 ns R psr 81000200 - 1402850 ns MR4_I 01000214 6841d006 - 1402870 ns MR4_I 01000218 d1fc07c9 - 1402870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1402950 ns MR4_D 40006004 00000001 - 1402950 ns R r1 00000001 - 1402950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1402970 ns MR4_I 0100021c 1c5b6002 - 1402970 ns R r1 80000000 - 1402970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1402990 ns R psr 81000200 - 1403010 ns MR4_I 01000214 6841d006 - 1403030 ns MR4_I 01000218 d1fc07c9 - 1403030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1403110 ns MR4_D 40006004 00000001 - 1403110 ns R r1 00000001 - 1403110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1403130 ns MR4_I 0100021c 1c5b6002 - 1403130 ns R r1 80000000 - 1403130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1403150 ns R psr 81000200 - 1403170 ns MR4_I 01000214 6841d006 - 1403190 ns MR4_I 01000218 d1fc07c9 - 1403190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1403270 ns MR4_D 40006004 00000001 - 1403270 ns R r1 00000001 - 1403270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1403290 ns MR4_I 0100021c 1c5b6002 - 1403290 ns R r1 80000000 - 1403290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1403310 ns R psr 81000200 - 1403330 ns MR4_I 01000214 6841d006 - 1403350 ns MR4_I 01000218 d1fc07c9 - 1403350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1403430 ns MR4_D 40006004 00000001 - 1403430 ns R r1 00000001 - 1403430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1403450 ns MR4_I 0100021c 1c5b6002 - 1403450 ns R r1 80000000 - 1403450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1403470 ns R psr 81000200 - 1403490 ns MR4_I 01000214 6841d006 - 1403510 ns MR4_I 01000218 d1fc07c9 - 1403510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1403590 ns MR4_D 40006004 00000001 - 1403590 ns R r1 00000001 - 1403590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1403610 ns MR4_I 0100021c 1c5b6002 - 1403610 ns R r1 80000000 - 1403610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1403630 ns R psr 81000200 - 1403650 ns MR4_I 01000214 6841d006 - 1403670 ns MR4_I 01000218 d1fc07c9 - 1403670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1403750 ns MR4_D 40006004 00000001 - 1403750 ns R r1 00000001 - 1403750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1403770 ns MR4_I 0100021c 1c5b6002 - 1403770 ns R r1 80000000 - 1403770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1403790 ns R psr 81000200 - 1403810 ns MR4_I 01000214 6841d006 - 1403830 ns MR4_I 01000218 d1fc07c9 - 1403830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1403910 ns MR4_D 40006004 00000001 - 1403910 ns R r1 00000001 - 1403910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1403930 ns MR4_I 0100021c 1c5b6002 - 1403930 ns R r1 80000000 - 1403930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1403950 ns R psr 81000200 - 1403970 ns MR4_I 01000214 6841d006 - 1403990 ns MR4_I 01000218 d1fc07c9 - 1403990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1404070 ns MR4_D 40006004 00000001 - 1404070 ns R r1 00000001 - 1404070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1404090 ns MR4_I 0100021c 1c5b6002 - 1404090 ns R r1 80000000 - 1404090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1404110 ns R psr 81000200 - 1404130 ns MR4_I 01000214 6841d006 - 1404150 ns MR4_I 01000218 d1fc07c9 - 1404150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1404230 ns MR4_D 40006004 00000001 - 1404230 ns R r1 00000001 - 1404230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1404250 ns MR4_I 0100021c 1c5b6002 - 1404250 ns R r1 80000000 - 1404250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1404270 ns R psr 81000200 - 1404290 ns MR4_I 01000214 6841d006 - 1404310 ns MR4_I 01000218 d1fc07c9 - 1404310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1404390 ns MR4_D 40006004 00000001 - 1404390 ns R r1 00000001 - 1404390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1404410 ns MR4_I 0100021c 1c5b6002 - 1404410 ns R r1 80000000 - 1404410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1404430 ns R psr 81000200 - 1404450 ns MR4_I 01000214 6841d006 - 1404470 ns MR4_I 01000218 d1fc07c9 - 1404470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1404550 ns MR4_D 40006004 00000001 - 1404550 ns R r1 00000001 - 1404550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1404570 ns MR4_I 0100021c 1c5b6002 - 1404570 ns R r1 80000000 - 1404570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1404590 ns R psr 81000200 - 1404610 ns MR4_I 01000214 6841d006 - 1404630 ns MR4_I 01000218 d1fc07c9 - 1404630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1404710 ns MR4_D 40006004 00000001 - 1404710 ns R r1 00000001 - 1404710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1404730 ns MR4_I 0100021c 1c5b6002 - 1404730 ns R r1 80000000 - 1404730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1404750 ns R psr 81000200 - 1404770 ns MR4_I 01000214 6841d006 - 1404790 ns MR4_I 01000218 d1fc07c9 - 1404790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1404870 ns MR4_D 40006004 00000001 - 1404870 ns R r1 00000001 - 1404870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1404890 ns MR4_I 0100021c 1c5b6002 - 1404890 ns R r1 80000000 - 1404890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1404910 ns R psr 81000200 - 1404930 ns MR4_I 01000214 6841d006 - 1404950 ns MR4_I 01000218 d1fc07c9 - 1404950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1405030 ns MR4_D 40006004 00000001 - 1405030 ns R r1 00000001 - 1405030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1405050 ns MR4_I 0100021c 1c5b6002 - 1405050 ns R r1 80000000 - 1405050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1405070 ns R psr 81000200 - 1405090 ns MR4_I 01000214 6841d006 - 1405110 ns MR4_I 01000218 d1fc07c9 - 1405110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1405190 ns MR4_D 40006004 00000001 - 1405190 ns R r1 00000001 - 1405190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1405210 ns MR4_I 0100021c 1c5b6002 - 1405210 ns R r1 80000000 - 1405210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1405230 ns R psr 81000200 - 1405250 ns MR4_I 01000214 6841d006 - 1405270 ns MR4_I 01000218 d1fc07c9 - 1405270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1405350 ns MR4_D 40006004 00000001 - 1405350 ns R r1 00000001 - 1405350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1405370 ns MR4_I 0100021c 1c5b6002 - 1405370 ns R r1 80000000 - 1405370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1405390 ns R psr 81000200 - 1405410 ns MR4_I 01000214 6841d006 - 1405430 ns MR4_I 01000218 d1fc07c9 - 1405430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1405510 ns MR4_D 40006004 00000001 - 1405510 ns R r1 00000001 - 1405510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1405530 ns MR4_I 0100021c 1c5b6002 - 1405530 ns R r1 80000000 - 1405530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1405550 ns R psr 81000200 - 1405570 ns MR4_I 01000214 6841d006 - 1405590 ns MR4_I 01000218 d1fc07c9 - 1405590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1405670 ns MR4_D 40006004 00000001 - 1405670 ns R r1 00000001 - 1405670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1405690 ns MR4_I 0100021c 1c5b6002 - 1405690 ns R r1 80000000 - 1405690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1405710 ns R psr 81000200 - 1405730 ns MR4_I 01000214 6841d006 - 1405750 ns MR4_I 01000218 d1fc07c9 - 1405750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1405830 ns MR4_D 40006004 00000001 - 1405830 ns R r1 00000001 - 1405830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1405850 ns MR4_I 0100021c 1c5b6002 - 1405850 ns R r1 80000000 - 1405850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1405870 ns R psr 81000200 - 1405890 ns MR4_I 01000214 6841d006 - 1405910 ns MR4_I 01000218 d1fc07c9 - 1405910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1405990 ns MR4_D 40006004 00000001 - 1405990 ns R r1 00000001 - 1405990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1406010 ns MR4_I 0100021c 1c5b6002 - 1406010 ns R r1 80000000 - 1406010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1406030 ns R psr 81000200 - 1406050 ns MR4_I 01000214 6841d006 - 1406070 ns MR4_I 01000218 d1fc07c9 - 1406070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1406150 ns MR4_D 40006004 00000001 - 1406150 ns R r1 00000001 - 1406150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1406170 ns MR4_I 0100021c 1c5b6002 - 1406170 ns R r1 80000000 - 1406170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1406190 ns R psr 81000200 - 1406210 ns MR4_I 01000214 6841d006 - 1406230 ns MR4_I 01000218 d1fc07c9 - 1406230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1406310 ns MR4_D 40006004 00000001 - 1406310 ns R r1 00000001 - 1406310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1406330 ns MR4_I 0100021c 1c5b6002 - 1406330 ns R r1 80000000 - 1406330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1406350 ns R psr 81000200 - 1406370 ns MR4_I 01000214 6841d006 - 1406390 ns MR4_I 01000218 d1fc07c9 - 1406390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1406470 ns MR4_D 40006004 00000001 - 1406470 ns R r1 00000001 - 1406470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1406490 ns MR4_I 0100021c 1c5b6002 - 1406490 ns R r1 80000000 - 1406490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1406510 ns R psr 81000200 - 1406530 ns MR4_I 01000214 6841d006 - 1406550 ns MR4_I 01000218 d1fc07c9 - 1406550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1406630 ns MR4_D 40006004 00000001 - 1406630 ns R r1 00000001 - 1406630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1406650 ns MR4_I 0100021c 1c5b6002 - 1406650 ns R r1 80000000 - 1406650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1406670 ns R psr 81000200 - 1406690 ns MR4_I 01000214 6841d006 - 1406710 ns MR4_I 01000218 d1fc07c9 - 1406710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1406790 ns MR4_D 40006004 00000001 - 1406790 ns R r1 00000001 - 1406790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1406810 ns MR4_I 0100021c 1c5b6002 - 1406810 ns R r1 80000000 - 1406810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1406830 ns R psr 81000200 - 1406850 ns MR4_I 01000214 6841d006 - 1406870 ns MR4_I 01000218 d1fc07c9 - 1406870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1406950 ns MR4_D 40006004 00000001 - 1406950 ns R r1 00000001 - 1406950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1406970 ns MR4_I 0100021c 1c5b6002 - 1406970 ns R r1 80000000 - 1406970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1406990 ns R psr 81000200 - 1407010 ns MR4_I 01000214 6841d006 - 1407030 ns MR4_I 01000218 d1fc07c9 - 1407030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1407110 ns MR4_D 40006004 00000001 - 1407110 ns R r1 00000001 - 1407110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1407130 ns MR4_I 0100021c 1c5b6002 - 1407130 ns R r1 80000000 - 1407130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1407150 ns R psr 81000200 - 1407170 ns MR4_I 01000214 6841d006 - 1407190 ns MR4_I 01000218 d1fc07c9 - 1407190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1407270 ns MR4_D 40006004 00000001 - 1407270 ns R r1 00000001 - 1407270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1407290 ns MR4_I 0100021c 1c5b6002 - 1407290 ns R r1 80000000 - 1407290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1407310 ns R psr 81000200 - 1407330 ns MR4_I 01000214 6841d006 - 1407350 ns MR4_I 01000218 d1fc07c9 - 1407350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1407430 ns MR4_D 40006004 00000001 - 1407430 ns R r1 00000001 - 1407430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1407450 ns MR4_I 0100021c 1c5b6002 - 1407450 ns R r1 80000000 - 1407450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1407470 ns R psr 81000200 - 1407490 ns MR4_I 01000214 6841d006 - 1407510 ns MR4_I 01000218 d1fc07c9 - 1407510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1407590 ns MR4_D 40006004 00000001 - 1407590 ns R r1 00000001 - 1407590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1407610 ns MR4_I 0100021c 1c5b6002 - 1407610 ns R r1 80000000 - 1407610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1407630 ns R psr 81000200 - 1407650 ns MR4_I 01000214 6841d006 - 1407670 ns MR4_I 01000218 d1fc07c9 - 1407670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1407750 ns MR4_D 40006004 00000001 - 1407750 ns R r1 00000001 - 1407750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1407770 ns MR4_I 0100021c 1c5b6002 - 1407770 ns R r1 80000000 - 1407770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1407790 ns R psr 81000200 - 1407810 ns MR4_I 01000214 6841d006 - 1407830 ns MR4_I 01000218 d1fc07c9 - 1407830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1407910 ns MR4_D 40006004 00000001 - 1407910 ns R r1 00000001 - 1407910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1407930 ns MR4_I 0100021c 1c5b6002 - 1407930 ns R r1 80000000 - 1407930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1407950 ns R psr 81000200 - 1407970 ns MR4_I 01000214 6841d006 - 1407990 ns MR4_I 01000218 d1fc07c9 - 1407990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1408070 ns MR4_D 40006004 00000001 - 1408070 ns R r1 00000001 - 1408070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1408090 ns MR4_I 0100021c 1c5b6002 - 1408090 ns R r1 80000000 - 1408090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1408110 ns R psr 81000200 - 1408130 ns MR4_I 01000214 6841d006 - 1408150 ns MR4_I 01000218 d1fc07c9 - 1408150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1408230 ns MR4_D 40006004 00000001 - 1408230 ns R r1 00000001 - 1408230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1408250 ns MR4_I 0100021c 1c5b6002 - 1408250 ns R r1 80000000 - 1408250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1408270 ns R psr 81000200 - 1408290 ns MR4_I 01000214 6841d006 - 1408310 ns MR4_I 01000218 d1fc07c9 - 1408310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1408390 ns MR4_D 40006004 00000001 - 1408390 ns R r1 00000001 - 1408390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1408410 ns MR4_I 0100021c 1c5b6002 - 1408410 ns R r1 80000000 - 1408410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1408430 ns R psr 81000200 - 1408450 ns MR4_I 01000214 6841d006 - 1408470 ns MR4_I 01000218 d1fc07c9 - 1408470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1408550 ns MR4_D 40006004 00000001 - 1408550 ns R r1 00000001 - 1408550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1408570 ns MR4_I 0100021c 1c5b6002 - 1408570 ns R r1 80000000 - 1408570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1408590 ns R psr 81000200 - 1408610 ns MR4_I 01000214 6841d006 - 1408630 ns MR4_I 01000218 d1fc07c9 - 1408630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1408710 ns MR4_D 40006004 00000001 - 1408710 ns R r1 00000001 - 1408710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1408730 ns MR4_I 0100021c 1c5b6002 - 1408730 ns R r1 80000000 - 1408730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1408750 ns R psr 81000200 - 1408770 ns MR4_I 01000214 6841d006 - 1408790 ns MR4_I 01000218 d1fc07c9 - 1408790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1408870 ns MR4_D 40006004 00000001 - 1408870 ns R r1 00000001 - 1408870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1408890 ns MR4_I 0100021c 1c5b6002 - 1408890 ns R r1 80000000 - 1408890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1408910 ns R psr 81000200 - 1408930 ns MR4_I 01000214 6841d006 - 1408950 ns MR4_I 01000218 d1fc07c9 - 1408950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1409030 ns MR4_D 40006004 00000001 - 1409030 ns R r1 00000001 - 1409030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1409050 ns MR4_I 0100021c 1c5b6002 - 1409050 ns R r1 80000000 - 1409050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1409070 ns R psr 81000200 - 1409090 ns MR4_I 01000214 6841d006 - 1409110 ns MR4_I 01000218 d1fc07c9 - 1409110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1409190 ns MR4_D 40006004 00000001 - 1409190 ns R r1 00000001 - 1409190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1409210 ns MR4_I 0100021c 1c5b6002 - 1409210 ns R r1 80000000 - 1409210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1409230 ns R psr 81000200 - 1409250 ns MR4_I 01000214 6841d006 - 1409270 ns MR4_I 01000218 d1fc07c9 - 1409270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1409350 ns MR4_D 40006004 00000001 - 1409350 ns R r1 00000001 - 1409350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1409370 ns MR4_I 0100021c 1c5b6002 - 1409370 ns R r1 80000000 - 1409370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1409390 ns R psr 81000200 - 1409410 ns MR4_I 01000214 6841d006 - 1409430 ns MR4_I 01000218 d1fc07c9 - 1409430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1409510 ns MR4_D 40006004 00000001 - 1409510 ns R r1 00000001 - 1409510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1409530 ns MR4_I 0100021c 1c5b6002 - 1409530 ns R r1 80000000 - 1409530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1409550 ns R psr 81000200 - 1409570 ns MR4_I 01000214 6841d006 - 1409590 ns MR4_I 01000218 d1fc07c9 - 1409590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1409670 ns MR4_D 40006004 00000001 - 1409670 ns R r1 00000001 - 1409670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1409690 ns MR4_I 0100021c 1c5b6002 - 1409690 ns R r1 80000000 - 1409690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1409710 ns R psr 81000200 - 1409730 ns MR4_I 01000214 6841d006 - 1409750 ns MR4_I 01000218 d1fc07c9 - 1409750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1409830 ns MR4_D 40006004 00000001 - 1409830 ns R r1 00000001 - 1409830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1409850 ns MR4_I 0100021c 1c5b6002 - 1409850 ns R r1 80000000 - 1409850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1409870 ns R psr 81000200 - 1409890 ns MR4_I 01000214 6841d006 - 1409910 ns MR4_I 01000218 d1fc07c9 - 1409910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1409990 ns MR4_D 40006004 00000001 - 1409990 ns R r1 00000001 - 1409990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1410010 ns MR4_I 0100021c 1c5b6002 - 1410010 ns R r1 80000000 - 1410010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1410030 ns R psr 81000200 - 1410050 ns MR4_I 01000214 6841d006 - 1410070 ns MR4_I 01000218 d1fc07c9 - 1410070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1410150 ns MR4_D 40006004 00000001 - 1410150 ns R r1 00000001 - 1410150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1410170 ns MR4_I 0100021c 1c5b6002 - 1410170 ns R r1 80000000 - 1410170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1410190 ns R psr 81000200 - 1410210 ns MR4_I 01000214 6841d006 - 1410230 ns MR4_I 01000218 d1fc07c9 - 1410230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1410310 ns MR4_D 40006004 00000001 - 1410310 ns R r1 00000001 - 1410310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1410330 ns MR4_I 0100021c 1c5b6002 - 1410330 ns R r1 80000000 - 1410330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1410350 ns R psr 81000200 - 1410370 ns MR4_I 01000214 6841d006 - 1410390 ns MR4_I 01000218 d1fc07c9 - 1410390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1410470 ns MR4_D 40006004 00000001 - 1410470 ns R r1 00000001 - 1410470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1410490 ns MR4_I 0100021c 1c5b6002 - 1410490 ns R r1 80000000 - 1410490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1410510 ns R psr 81000200 - 1410530 ns MR4_I 01000214 6841d006 - 1410550 ns MR4_I 01000218 d1fc07c9 - 1410550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1410630 ns MR4_D 40006004 00000001 - 1410630 ns R r1 00000001 - 1410630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1410650 ns MR4_I 0100021c 1c5b6002 - 1410650 ns R r1 80000000 - 1410650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1410670 ns R psr 81000200 - 1410690 ns MR4_I 01000214 6841d006 - 1410710 ns MR4_I 01000218 d1fc07c9 - 1410710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1410790 ns MR4_D 40006004 00000001 - 1410790 ns R r1 00000001 - 1410790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1410810 ns MR4_I 0100021c 1c5b6002 - 1410810 ns R r1 80000000 - 1410810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1410830 ns R psr 81000200 - 1410850 ns MR4_I 01000214 6841d006 - 1410870 ns MR4_I 01000218 d1fc07c9 - 1410870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1410950 ns MR4_D 40006004 00000001 - 1410950 ns R r1 00000001 - 1410950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1410970 ns MR4_I 0100021c 1c5b6002 - 1410970 ns R r1 80000000 - 1410970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1410990 ns R psr 81000200 - 1411010 ns MR4_I 01000214 6841d006 - 1411030 ns MR4_I 01000218 d1fc07c9 - 1411030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1411110 ns MR4_D 40006004 00000001 - 1411110 ns R r1 00000001 - 1411110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1411130 ns MR4_I 0100021c 1c5b6002 - 1411130 ns R r1 80000000 - 1411130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1411150 ns R psr 81000200 - 1411170 ns MR4_I 01000214 6841d006 - 1411190 ns MR4_I 01000218 d1fc07c9 - 1411190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1411270 ns MR4_D 40006004 00000001 - 1411270 ns R r1 00000001 - 1411270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1411290 ns MR4_I 0100021c 1c5b6002 - 1411290 ns R r1 80000000 - 1411290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1411310 ns R psr 81000200 - 1411330 ns MR4_I 01000214 6841d006 - 1411350 ns MR4_I 01000218 d1fc07c9 - 1411350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1411430 ns MR4_D 40006004 00000001 - 1411430 ns R r1 00000001 - 1411430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1411450 ns MR4_I 0100021c 1c5b6002 - 1411450 ns R r1 80000000 - 1411450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1411470 ns R psr 81000200 - 1411490 ns MR4_I 01000214 6841d006 - 1411510 ns MR4_I 01000218 d1fc07c9 - 1411510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1411590 ns MR4_D 40006004 00000001 - 1411590 ns R r1 00000001 - 1411590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1411610 ns MR4_I 0100021c 1c5b6002 - 1411610 ns R r1 80000000 - 1411610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1411630 ns R psr 81000200 - 1411650 ns MR4_I 01000214 6841d006 - 1411670 ns MR4_I 01000218 d1fc07c9 - 1411670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1411750 ns MR4_D 40006004 00000001 - 1411750 ns R r1 00000001 - 1411750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1411770 ns MR4_I 0100021c 1c5b6002 - 1411770 ns R r1 80000000 - 1411770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1411790 ns R psr 81000200 - 1411810 ns MR4_I 01000214 6841d006 - 1411830 ns MR4_I 01000218 d1fc07c9 - 1411830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1411910 ns MR4_D 40006004 00000001 - 1411910 ns R r1 00000001 - 1411910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1411930 ns MR4_I 0100021c 1c5b6002 - 1411930 ns R r1 80000000 - 1411930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1411950 ns R psr 81000200 - 1411970 ns MR4_I 01000214 6841d006 - 1411990 ns MR4_I 01000218 d1fc07c9 - 1411990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1412070 ns MR4_D 40006004 00000001 - 1412070 ns R r1 00000001 - 1412070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1412090 ns MR4_I 0100021c 1c5b6002 - 1412090 ns R r1 80000000 - 1412090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1412110 ns R psr 81000200 - 1412130 ns MR4_I 01000214 6841d006 - 1412150 ns MR4_I 01000218 d1fc07c9 - 1412150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1412230 ns MR4_D 40006004 00000001 - 1412230 ns R r1 00000001 - 1412230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1412250 ns MR4_I 0100021c 1c5b6002 - 1412250 ns R r1 80000000 - 1412250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1412270 ns R psr 81000200 - 1412290 ns MR4_I 01000214 6841d006 - 1412310 ns MR4_I 01000218 d1fc07c9 - 1412310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1412390 ns MR4_D 40006004 00000001 - 1412390 ns R r1 00000001 - 1412390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1412410 ns MR4_I 0100021c 1c5b6002 - 1412410 ns R r1 80000000 - 1412410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1412430 ns R psr 81000200 - 1412450 ns MR4_I 01000214 6841d006 - 1412470 ns MR4_I 01000218 d1fc07c9 - 1412470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1412550 ns MR4_D 40006004 00000001 - 1412550 ns R r1 00000001 - 1412550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1412570 ns MR4_I 0100021c 1c5b6002 - 1412570 ns R r1 80000000 - 1412570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1412590 ns R psr 81000200 - 1412610 ns MR4_I 01000214 6841d006 - 1412630 ns MR4_I 01000218 d1fc07c9 - 1412630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1412710 ns MR4_D 40006004 00000001 - 1412710 ns R r1 00000001 - 1412710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1412730 ns MR4_I 0100021c 1c5b6002 - 1412730 ns R r1 80000000 - 1412730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1412750 ns R psr 81000200 - 1412770 ns MR4_I 01000214 6841d006 - 1412790 ns MR4_I 01000218 d1fc07c9 - 1412790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1412870 ns MR4_D 40006004 00000001 - 1412870 ns R r1 00000001 - 1412870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1412890 ns MR4_I 0100021c 1c5b6002 - 1412890 ns R r1 80000000 - 1412890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1412910 ns R psr 81000200 - 1412930 ns MR4_I 01000214 6841d006 - 1412950 ns MR4_I 01000218 d1fc07c9 - 1412950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1413030 ns MR4_D 40006004 00000001 - 1413030 ns R r1 00000001 - 1413030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1413050 ns MR4_I 0100021c 1c5b6002 - 1413050 ns R r1 80000000 - 1413050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1413070 ns R psr 81000200 - 1413090 ns MR4_I 01000214 6841d006 - 1413110 ns MR4_I 01000218 d1fc07c9 - 1413110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1413190 ns MR4_D 40006004 00000001 - 1413190 ns R r1 00000001 - 1413190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1413210 ns MR4_I 0100021c 1c5b6002 - 1413210 ns R r1 80000000 - 1413210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1413230 ns R psr 81000200 - 1413250 ns MR4_I 01000214 6841d006 - 1413270 ns MR4_I 01000218 d1fc07c9 - 1413270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1413350 ns MR4_D 40006004 00000001 - 1413350 ns R r1 00000001 - 1413350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1413370 ns MR4_I 0100021c 1c5b6002 - 1413370 ns R r1 80000000 - 1413370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1413390 ns R psr 81000200 - 1413410 ns MR4_I 01000214 6841d006 - 1413430 ns MR4_I 01000218 d1fc07c9 - 1413430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1413510 ns MR4_D 40006004 00000001 - 1413510 ns R r1 00000001 - 1413510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1413530 ns MR4_I 0100021c 1c5b6002 - 1413530 ns R r1 80000000 - 1413530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1413550 ns R psr 81000200 - 1413570 ns MR4_I 01000214 6841d006 - 1413590 ns MR4_I 01000218 d1fc07c9 - 1413590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1413670 ns MR4_D 40006004 00000001 - 1413670 ns R r1 00000001 - 1413670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1413690 ns MR4_I 0100021c 1c5b6002 - 1413690 ns R r1 80000000 - 1413690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1413710 ns R psr 81000200 - 1413730 ns MR4_I 01000214 6841d006 - 1413750 ns MR4_I 01000218 d1fc07c9 - 1413750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1413830 ns MR4_D 40006004 00000001 - 1413830 ns R r1 00000001 - 1413830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1413850 ns MR4_I 0100021c 1c5b6002 - 1413850 ns R r1 80000000 - 1413850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1413870 ns R psr 81000200 - 1413890 ns MR4_I 01000214 6841d006 - 1413910 ns MR4_I 01000218 d1fc07c9 - 1413910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1413990 ns MR4_D 40006004 00000001 - 1413990 ns R r1 00000001 - 1413990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1414010 ns MR4_I 0100021c 1c5b6002 - 1414010 ns R r1 80000000 - 1414010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1414030 ns R psr 81000200 - 1414050 ns MR4_I 01000214 6841d006 - 1414070 ns MR4_I 01000218 d1fc07c9 - 1414070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1414150 ns MR4_D 40006004 00000001 - 1414150 ns R r1 00000001 - 1414150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1414170 ns MR4_I 0100021c 1c5b6002 - 1414170 ns R r1 80000000 - 1414170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1414190 ns R psr 81000200 - 1414210 ns MR4_I 01000214 6841d006 - 1414230 ns MR4_I 01000218 d1fc07c9 - 1414230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1414310 ns MR4_D 40006004 00000001 - 1414310 ns R r1 00000001 - 1414310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1414330 ns MR4_I 0100021c 1c5b6002 - 1414330 ns R r1 80000000 - 1414330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1414350 ns R psr 81000200 - 1414370 ns MR4_I 01000214 6841d006 - 1414390 ns MR4_I 01000218 d1fc07c9 - 1414390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1414470 ns MR4_D 40006004 00000001 - 1414470 ns R r1 00000001 - 1414470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1414490 ns MR4_I 0100021c 1c5b6002 - 1414490 ns R r1 80000000 - 1414490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1414510 ns R psr 81000200 - 1414530 ns MR4_I 01000214 6841d006 - 1414550 ns MR4_I 01000218 d1fc07c9 - 1414550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1414630 ns MR4_D 40006004 00000001 - 1414630 ns R r1 00000001 - 1414630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1414650 ns MR4_I 0100021c 1c5b6002 - 1414650 ns R r1 80000000 - 1414650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1414670 ns R psr 81000200 - 1414690 ns MR4_I 01000214 6841d006 - 1414710 ns MR4_I 01000218 d1fc07c9 - 1414710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1414790 ns MR4_D 40006004 00000001 - 1414790 ns R r1 00000001 - 1414790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1414810 ns MR4_I 0100021c 1c5b6002 - 1414810 ns R r1 80000000 - 1414810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1414830 ns R psr 81000200 - 1414850 ns MR4_I 01000214 6841d006 - 1414870 ns MR4_I 01000218 d1fc07c9 - 1414870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1414950 ns MR4_D 40006004 00000001 - 1414950 ns R r1 00000001 - 1414950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1414970 ns MR4_I 0100021c 1c5b6002 - 1414970 ns R r1 80000000 - 1414970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1414990 ns R psr 81000200 - 1415010 ns MR4_I 01000214 6841d006 - 1415030 ns MR4_I 01000218 d1fc07c9 - 1415030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1415110 ns MR4_D 40006004 00000001 - 1415110 ns R r1 00000001 - 1415110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1415130 ns MR4_I 0100021c 1c5b6002 - 1415130 ns R r1 80000000 - 1415130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1415150 ns R psr 81000200 - 1415170 ns MR4_I 01000214 6841d006 - 1415190 ns MR4_I 01000218 d1fc07c9 - 1415190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1415270 ns MR4_D 40006004 00000001 - 1415270 ns R r1 00000001 - 1415270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1415290 ns MR4_I 0100021c 1c5b6002 - 1415290 ns R r1 80000000 - 1415290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1415310 ns R psr 81000200 - 1415330 ns MR4_I 01000214 6841d006 - 1415350 ns MR4_I 01000218 d1fc07c9 - 1415350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1415430 ns MR4_D 40006004 00000001 - 1415430 ns R r1 00000001 - 1415430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1415450 ns MR4_I 0100021c 1c5b6002 - 1415450 ns R r1 80000000 - 1415450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1415470 ns R psr 81000200 - 1415490 ns MR4_I 01000214 6841d006 - 1415510 ns MR4_I 01000218 d1fc07c9 - 1415510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1415590 ns MR4_D 40006004 00000001 - 1415590 ns R r1 00000001 - 1415590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1415610 ns MR4_I 0100021c 1c5b6002 - 1415610 ns R r1 80000000 - 1415610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1415630 ns R psr 81000200 - 1415650 ns MR4_I 01000214 6841d006 - 1415670 ns MR4_I 01000218 d1fc07c9 - 1415670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1415750 ns MR4_D 40006004 00000001 - 1415750 ns R r1 00000001 - 1415750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1415770 ns MR4_I 0100021c 1c5b6002 - 1415770 ns R r1 80000000 - 1415770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1415790 ns R psr 81000200 - 1415810 ns MR4_I 01000214 6841d006 - 1415830 ns MR4_I 01000218 d1fc07c9 - 1415830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1415910 ns MR4_D 40006004 00000001 - 1415910 ns R r1 00000001 - 1415910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1415930 ns MR4_I 0100021c 1c5b6002 - 1415930 ns R r1 80000000 - 1415930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1415950 ns R psr 81000200 - 1415970 ns MR4_I 01000214 6841d006 - 1415990 ns MR4_I 01000218 d1fc07c9 - 1415990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1416070 ns MR4_D 40006004 00000001 - 1416070 ns R r1 00000001 - 1416070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1416090 ns MR4_I 0100021c 1c5b6002 - 1416090 ns R r1 80000000 - 1416090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1416110 ns R psr 81000200 - 1416130 ns MR4_I 01000214 6841d006 - 1416150 ns MR4_I 01000218 d1fc07c9 - 1416150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1416230 ns MR4_D 40006004 00000001 - 1416230 ns R r1 00000001 - 1416230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1416250 ns MR4_I 0100021c 1c5b6002 - 1416250 ns R r1 80000000 - 1416250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1416270 ns R psr 81000200 - 1416290 ns MR4_I 01000214 6841d006 - 1416310 ns MR4_I 01000218 d1fc07c9 - 1416310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1416390 ns MR4_D 40006004 00000001 - 1416390 ns R r1 00000001 - 1416390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1416410 ns MR4_I 0100021c 1c5b6002 - 1416410 ns R r1 80000000 - 1416410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1416430 ns R psr 81000200 - 1416450 ns MR4_I 01000214 6841d006 - 1416470 ns MR4_I 01000218 d1fc07c9 - 1416470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1416550 ns MR4_D 40006004 00000001 - 1416550 ns R r1 00000001 - 1416550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1416570 ns MR4_I 0100021c 1c5b6002 - 1416570 ns R r1 80000000 - 1416570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1416590 ns R psr 81000200 - 1416610 ns MR4_I 01000214 6841d006 - 1416630 ns MR4_I 01000218 d1fc07c9 - 1416630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1416710 ns MR4_D 40006004 00000001 - 1416710 ns R r1 00000001 - 1416710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1416730 ns MR4_I 0100021c 1c5b6002 - 1416730 ns R r1 80000000 - 1416730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1416750 ns R psr 81000200 - 1416770 ns MR4_I 01000214 6841d006 - 1416790 ns MR4_I 01000218 d1fc07c9 - 1416790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1416870 ns MR4_D 40006004 00000001 - 1416870 ns R r1 00000001 - 1416870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1416890 ns MR4_I 0100021c 1c5b6002 - 1416890 ns R r1 80000000 - 1416890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1416910 ns R psr 81000200 - 1416930 ns MR4_I 01000214 6841d006 - 1416950 ns MR4_I 01000218 d1fc07c9 - 1416950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1417030 ns MR4_D 40006004 00000001 - 1417030 ns R r1 00000001 - 1417030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1417050 ns MR4_I 0100021c 1c5b6002 - 1417050 ns R r1 80000000 - 1417050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1417070 ns R psr 81000200 - 1417090 ns MR4_I 01000214 6841d006 - 1417110 ns MR4_I 01000218 d1fc07c9 - 1417110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1417190 ns MR4_D 40006004 00000001 - 1417190 ns R r1 00000001 - 1417190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1417210 ns MR4_I 0100021c 1c5b6002 - 1417210 ns R r1 80000000 - 1417210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1417230 ns R psr 81000200 - 1417250 ns MR4_I 01000214 6841d006 - 1417270 ns MR4_I 01000218 d1fc07c9 - 1417270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1417350 ns MR4_D 40006004 00000001 - 1417350 ns R r1 00000001 - 1417350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1417370 ns MR4_I 0100021c 1c5b6002 - 1417370 ns R r1 80000000 - 1417370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1417390 ns R psr 81000200 - 1417410 ns MR4_I 01000214 6841d006 - 1417430 ns MR4_I 01000218 d1fc07c9 - 1417430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1417510 ns MR4_D 40006004 00000001 - 1417510 ns R r1 00000001 - 1417510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1417530 ns MR4_I 0100021c 1c5b6002 - 1417530 ns R r1 80000000 - 1417530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1417550 ns R psr 81000200 - 1417570 ns MR4_I 01000214 6841d006 - 1417590 ns MR4_I 01000218 d1fc07c9 - 1417590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1417670 ns MR4_D 40006004 00000001 - 1417670 ns R r1 00000001 - 1417670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1417690 ns MR4_I 0100021c 1c5b6002 - 1417690 ns R r1 80000000 - 1417690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1417710 ns R psr 81000200 - 1417730 ns MR4_I 01000214 6841d006 - 1417750 ns MR4_I 01000218 d1fc07c9 - 1417750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1417830 ns MR4_D 40006004 00000001 - 1417830 ns R r1 00000001 - 1417830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1417850 ns MR4_I 0100021c 1c5b6002 - 1417850 ns R r1 80000000 - 1417850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1417870 ns R psr 81000200 - 1417890 ns MR4_I 01000214 6841d006 - 1417910 ns MR4_I 01000218 d1fc07c9 - 1417910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1417990 ns MR4_D 40006004 00000001 - 1417990 ns R r1 00000001 - 1417990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1418010 ns MR4_I 0100021c 1c5b6002 - 1418010 ns R r1 80000000 - 1418010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1418030 ns R psr 81000200 - 1418050 ns MR4_I 01000214 6841d006 - 1418070 ns MR4_I 01000218 d1fc07c9 - 1418070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1418150 ns MR4_D 40006004 00000001 - 1418150 ns R r1 00000001 - 1418150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1418170 ns MR4_I 0100021c 1c5b6002 - 1418170 ns R r1 80000000 - 1418170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1418190 ns R psr 81000200 - 1418210 ns MR4_I 01000214 6841d006 - 1418230 ns MR4_I 01000218 d1fc07c9 - 1418230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1418310 ns MR4_D 40006004 00000001 - 1418310 ns R r1 00000001 - 1418310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1418330 ns MR4_I 0100021c 1c5b6002 - 1418330 ns R r1 80000000 - 1418330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1418350 ns R psr 81000200 - 1418370 ns MR4_I 01000214 6841d006 - 1418390 ns MR4_I 01000218 d1fc07c9 - 1418390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1418470 ns MR4_D 40006004 00000001 - 1418470 ns R r1 00000001 - 1418470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1418490 ns MR4_I 0100021c 1c5b6002 - 1418490 ns R r1 80000000 - 1418490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1418510 ns R psr 81000200 - 1418530 ns MR4_I 01000214 6841d006 - 1418550 ns MR4_I 01000218 d1fc07c9 - 1418550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1418630 ns MR4_D 40006004 00000001 - 1418630 ns R r1 00000001 - 1418630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1418650 ns MR4_I 0100021c 1c5b6002 - 1418650 ns R r1 80000000 - 1418650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1418670 ns R psr 81000200 - 1418690 ns MR4_I 01000214 6841d006 - 1418710 ns MR4_I 01000218 d1fc07c9 - 1418710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1418790 ns MR4_D 40006004 00000001 - 1418790 ns R r1 00000001 - 1418790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1418810 ns MR4_I 0100021c 1c5b6002 - 1418810 ns R r1 80000000 - 1418810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1418830 ns R psr 81000200 - 1418850 ns MR4_I 01000214 6841d006 - 1418870 ns MR4_I 01000218 d1fc07c9 - 1418870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1418950 ns MR4_D 40006004 00000001 - 1418950 ns R r1 00000001 - 1418950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1418970 ns MR4_I 0100021c 1c5b6002 - 1418970 ns R r1 80000000 - 1418970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1418990 ns R psr 81000200 - 1419010 ns MR4_I 01000214 6841d006 - 1419030 ns MR4_I 01000218 d1fc07c9 - 1419030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1419110 ns MR4_D 40006004 00000001 - 1419110 ns R r1 00000001 - 1419110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1419130 ns MR4_I 0100021c 1c5b6002 - 1419130 ns R r1 80000000 - 1419130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1419150 ns R psr 81000200 - 1419170 ns MR4_I 01000214 6841d006 - 1419190 ns MR4_I 01000218 d1fc07c9 - 1419190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1419270 ns MR4_D 40006004 00000001 - 1419270 ns R r1 00000001 - 1419270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1419290 ns MR4_I 0100021c 1c5b6002 - 1419290 ns R r1 80000000 - 1419290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1419310 ns R psr 81000200 - 1419330 ns MR4_I 01000214 6841d006 - 1419350 ns MR4_I 01000218 d1fc07c9 - 1419350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1419430 ns MR4_D 40006004 00000001 - 1419430 ns R r1 00000001 - 1419430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1419450 ns MR4_I 0100021c 1c5b6002 - 1419450 ns R r1 80000000 - 1419450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1419470 ns R psr 81000200 - 1419490 ns MR4_I 01000214 6841d006 - 1419510 ns MR4_I 01000218 d1fc07c9 - 1419510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1419590 ns MR4_D 40006004 00000001 - 1419590 ns R r1 00000001 - 1419590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1419610 ns MR4_I 0100021c 1c5b6002 - 1419610 ns R r1 80000000 - 1419610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1419630 ns R psr 81000200 - 1419650 ns MR4_I 01000214 6841d006 - 1419670 ns MR4_I 01000218 d1fc07c9 - 1419670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1419750 ns MR4_D 40006004 00000001 - 1419750 ns R r1 00000001 - 1419750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1419770 ns MR4_I 0100021c 1c5b6002 - 1419770 ns R r1 80000000 - 1419770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1419790 ns R psr 81000200 - 1419810 ns MR4_I 01000214 6841d006 - 1419830 ns MR4_I 01000218 d1fc07c9 - 1419830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1419910 ns MR4_D 40006004 00000001 - 1419910 ns R r1 00000001 - 1419910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1419930 ns MR4_I 0100021c 1c5b6002 - 1419930 ns R r1 80000000 - 1419930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1419950 ns R psr 81000200 - 1419970 ns MR4_I 01000214 6841d006 - 1419990 ns MR4_I 01000218 d1fc07c9 - 1419990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1420070 ns MR4_D 40006004 00000001 - 1420070 ns R r1 00000001 - 1420070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1420090 ns MR4_I 0100021c 1c5b6002 - 1420090 ns R r1 80000000 - 1420090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1420110 ns R psr 81000200 - 1420130 ns MR4_I 01000214 6841d006 - 1420150 ns MR4_I 01000218 d1fc07c9 - 1420150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1420230 ns MR4_D 40006004 00000001 - 1420230 ns R r1 00000001 - 1420230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1420250 ns MR4_I 0100021c 1c5b6002 - 1420250 ns R r1 80000000 - 1420250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1420270 ns R psr 81000200 - 1420290 ns MR4_I 01000214 6841d006 - 1420310 ns MR4_I 01000218 d1fc07c9 - 1420310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1420390 ns MR4_D 40006004 00000001 - 1420390 ns R r1 00000001 - 1420390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1420410 ns MR4_I 0100021c 1c5b6002 - 1420410 ns R r1 80000000 - 1420410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1420430 ns R psr 81000200 - 1420450 ns MR4_I 01000214 6841d006 - 1420470 ns MR4_I 01000218 d1fc07c9 - 1420470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1420550 ns MR4_D 40006004 00000001 - 1420550 ns R r1 00000001 - 1420550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1420570 ns MR4_I 0100021c 1c5b6002 - 1420570 ns R r1 80000000 - 1420570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1420590 ns R psr 81000200 - 1420610 ns MR4_I 01000214 6841d006 - 1420630 ns MR4_I 01000218 d1fc07c9 - 1420630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1420710 ns MR4_D 40006004 00000001 - 1420710 ns R r1 00000001 - 1420710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1420730 ns MR4_I 0100021c 1c5b6002 - 1420730 ns R r1 80000000 - 1420730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1420750 ns R psr 81000200 - 1420770 ns MR4_I 01000214 6841d006 - 1420790 ns MR4_I 01000218 d1fc07c9 - 1420790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1420870 ns MR4_D 40006004 00000001 - 1420870 ns R r1 00000001 - 1420870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1420890 ns MR4_I 0100021c 1c5b6002 - 1420890 ns R r1 80000000 - 1420890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1420910 ns R psr 81000200 - 1420930 ns MR4_I 01000214 6841d006 - 1420950 ns MR4_I 01000218 d1fc07c9 - 1420950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1421030 ns MR4_D 40006004 00000001 - 1421030 ns R r1 00000001 - 1421030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1421050 ns MR4_I 0100021c 1c5b6002 - 1421050 ns R r1 80000000 - 1421050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1421070 ns R psr 81000200 - 1421090 ns MR4_I 01000214 6841d006 - 1421110 ns MR4_I 01000218 d1fc07c9 - 1421110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1421190 ns MR4_D 40006004 00000001 - 1421190 ns R r1 00000001 - 1421190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1421210 ns MR4_I 0100021c 1c5b6002 - 1421210 ns R r1 80000000 - 1421210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1421230 ns R psr 81000200 - 1421250 ns MR4_I 01000214 6841d006 - 1421270 ns MR4_I 01000218 d1fc07c9 - 1421270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1421350 ns MR4_D 40006004 00000001 - 1421350 ns R r1 00000001 - 1421350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1421370 ns MR4_I 0100021c 1c5b6002 - 1421370 ns R r1 80000000 - 1421370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1421390 ns R psr 81000200 - 1421410 ns MR4_I 01000214 6841d006 - 1421430 ns MR4_I 01000218 d1fc07c9 - 1421430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1421510 ns MR4_D 40006004 00000001 - 1421510 ns R r1 00000001 - 1421510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1421530 ns MR4_I 0100021c 1c5b6002 - 1421530 ns R r1 80000000 - 1421530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1421550 ns R psr 81000200 - 1421570 ns MR4_I 01000214 6841d006 - 1421590 ns MR4_I 01000218 d1fc07c9 - 1421590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1421670 ns MR4_D 40006004 00000001 - 1421670 ns R r1 00000001 - 1421670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1421690 ns MR4_I 0100021c 1c5b6002 - 1421690 ns R r1 80000000 - 1421690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1421710 ns R psr 81000200 - 1421730 ns MR4_I 01000214 6841d006 - 1421750 ns MR4_I 01000218 d1fc07c9 - 1421750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1421830 ns MR4_D 40006004 00000001 - 1421830 ns R r1 00000001 - 1421830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1421850 ns MR4_I 0100021c 1c5b6002 - 1421850 ns R r1 80000000 - 1421850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1421870 ns R psr 81000200 - 1421890 ns MR4_I 01000214 6841d006 - 1421910 ns MR4_I 01000218 d1fc07c9 - 1421910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1421990 ns MR4_D 40006004 00000001 - 1421990 ns R r1 00000001 - 1421990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1422010 ns MR4_I 0100021c 1c5b6002 - 1422010 ns R r1 80000000 - 1422010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1422030 ns R psr 81000200 - 1422050 ns MR4_I 01000214 6841d006 - 1422070 ns MR4_I 01000218 d1fc07c9 - 1422070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1422150 ns MR4_D 40006004 00000001 - 1422150 ns R r1 00000001 - 1422150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1422170 ns MR4_I 0100021c 1c5b6002 - 1422170 ns R r1 80000000 - 1422170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1422190 ns R psr 81000200 - 1422210 ns MR4_I 01000214 6841d006 - 1422230 ns MR4_I 01000218 d1fc07c9 - 1422230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1422310 ns MR4_D 40006004 00000001 - 1422310 ns R r1 00000001 - 1422310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1422330 ns MR4_I 0100021c 1c5b6002 - 1422330 ns R r1 80000000 - 1422330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1422350 ns R psr 81000200 - 1422370 ns MR4_I 01000214 6841d006 - 1422390 ns MR4_I 01000218 d1fc07c9 - 1422390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1422470 ns MR4_D 40006004 00000001 - 1422470 ns R r1 00000001 - 1422470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1422490 ns MR4_I 0100021c 1c5b6002 - 1422490 ns R r1 80000000 - 1422490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1422510 ns R psr 81000200 - 1422530 ns MR4_I 01000214 6841d006 - 1422550 ns MR4_I 01000218 d1fc07c9 - 1422550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1422630 ns MR4_D 40006004 00000001 - 1422630 ns R r1 00000001 - 1422630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1422650 ns MR4_I 0100021c 1c5b6002 - 1422650 ns R r1 80000000 - 1422650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1422670 ns R psr 81000200 - 1422690 ns MR4_I 01000214 6841d006 - 1422710 ns MR4_I 01000218 d1fc07c9 - 1422710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1422790 ns MR4_D 40006004 00000001 - 1422790 ns R r1 00000001 - 1422790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1422810 ns MR4_I 0100021c 1c5b6002 - 1422810 ns R r1 80000000 - 1422810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1422830 ns R psr 81000200 - 1422850 ns MR4_I 01000214 6841d006 - 1422870 ns MR4_I 01000218 d1fc07c9 - 1422870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1422950 ns MR4_D 40006004 00000001 - 1422950 ns R r1 00000001 - 1422950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1422970 ns MR4_I 0100021c 1c5b6002 - 1422970 ns R r1 80000000 - 1422970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1422990 ns R psr 81000200 - 1423010 ns MR4_I 01000214 6841d006 - 1423030 ns MR4_I 01000218 d1fc07c9 - 1423030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1423110 ns MR4_D 40006004 00000001 - 1423110 ns R r1 00000001 - 1423110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1423130 ns MR4_I 0100021c 1c5b6002 - 1423130 ns R r1 80000000 - 1423130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1423150 ns R psr 81000200 - 1423170 ns MR4_I 01000214 6841d006 - 1423190 ns MR4_I 01000218 d1fc07c9 - 1423190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1423270 ns MR4_D 40006004 00000001 - 1423270 ns R r1 00000001 - 1423270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1423290 ns MR4_I 0100021c 1c5b6002 - 1423290 ns R r1 80000000 - 1423290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1423310 ns R psr 81000200 - 1423330 ns MR4_I 01000214 6841d006 - 1423350 ns MR4_I 01000218 d1fc07c9 - 1423350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1423430 ns MR4_D 40006004 00000001 - 1423430 ns R r1 00000001 - 1423430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1423450 ns MR4_I 0100021c 1c5b6002 - 1423450 ns R r1 80000000 - 1423450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1423470 ns R psr 81000200 - 1423490 ns MR4_I 01000214 6841d006 - 1423510 ns MR4_I 01000218 d1fc07c9 - 1423510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1423590 ns MR4_D 40006004 00000001 - 1423590 ns R r1 00000001 - 1423590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1423610 ns MR4_I 0100021c 1c5b6002 - 1423610 ns R r1 80000000 - 1423610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1423630 ns R psr 81000200 - 1423650 ns MR4_I 01000214 6841d006 - 1423670 ns MR4_I 01000218 d1fc07c9 - 1423670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1423750 ns MR4_D 40006004 00000001 - 1423750 ns R r1 00000001 - 1423750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1423770 ns MR4_I 0100021c 1c5b6002 - 1423770 ns R r1 80000000 - 1423770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1423790 ns R psr 81000200 - 1423810 ns MR4_I 01000214 6841d006 - 1423830 ns MR4_I 01000218 d1fc07c9 - 1423830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1423910 ns MR4_D 40006004 00000001 - 1423910 ns R r1 00000001 - 1423910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1423930 ns MR4_I 0100021c 1c5b6002 - 1423930 ns R r1 80000000 - 1423930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1423950 ns R psr 81000200 - 1423970 ns MR4_I 01000214 6841d006 - 1423990 ns MR4_I 01000218 d1fc07c9 - 1423990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1424070 ns MR4_D 40006004 00000001 - 1424070 ns R r1 00000001 - 1424070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1424090 ns MR4_I 0100021c 1c5b6002 - 1424090 ns R r1 80000000 - 1424090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1424110 ns R psr 81000200 - 1424130 ns MR4_I 01000214 6841d006 - 1424150 ns MR4_I 01000218 d1fc07c9 - 1424150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1424230 ns MR4_D 40006004 00000001 - 1424230 ns R r1 00000001 - 1424230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1424250 ns MR4_I 0100021c 1c5b6002 - 1424250 ns R r1 80000000 - 1424250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1424270 ns R psr 81000200 - 1424290 ns MR4_I 01000214 6841d006 - 1424310 ns MR4_I 01000218 d1fc07c9 - 1424310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1424390 ns MR4_D 40006004 00000001 - 1424390 ns R r1 00000001 - 1424390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1424410 ns MR4_I 0100021c 1c5b6002 - 1424410 ns R r1 80000000 - 1424410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1424430 ns R psr 81000200 - 1424450 ns MR4_I 01000214 6841d006 - 1424470 ns MR4_I 01000218 d1fc07c9 - 1424470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1424550 ns MR4_D 40006004 00000001 - 1424550 ns R r1 00000001 - 1424550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1424570 ns MR4_I 0100021c 1c5b6002 - 1424570 ns R r1 80000000 - 1424570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1424590 ns R psr 81000200 - 1424610 ns MR4_I 01000214 6841d006 - 1424630 ns MR4_I 01000218 d1fc07c9 - 1424630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1424710 ns MR4_D 40006004 00000001 - 1424710 ns R r1 00000001 - 1424710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1424730 ns MR4_I 0100021c 1c5b6002 - 1424730 ns R r1 80000000 - 1424730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1424750 ns R psr 81000200 - 1424770 ns MR4_I 01000214 6841d006 - 1424790 ns MR4_I 01000218 d1fc07c9 - 1424790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1424870 ns MR4_D 40006004 00000001 - 1424870 ns R r1 00000001 - 1424870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1424890 ns MR4_I 0100021c 1c5b6002 - 1424890 ns R r1 80000000 - 1424890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1424910 ns R psr 81000200 - 1424930 ns MR4_I 01000214 6841d006 - 1424950 ns MR4_I 01000218 d1fc07c9 - 1424950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1425030 ns MR4_D 40006004 00000001 - 1425030 ns R r1 00000001 - 1425030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1425050 ns MR4_I 0100021c 1c5b6002 - 1425050 ns R r1 80000000 - 1425050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1425070 ns R psr 81000200 - 1425090 ns MR4_I 01000214 6841d006 - 1425110 ns MR4_I 01000218 d1fc07c9 - 1425110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1425190 ns MR4_D 40006004 00000001 - 1425190 ns R r1 00000001 - 1425190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1425210 ns MR4_I 0100021c 1c5b6002 - 1425210 ns R r1 80000000 - 1425210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1425230 ns R psr 81000200 - 1425250 ns MR4_I 01000214 6841d006 - 1425270 ns MR4_I 01000218 d1fc07c9 - 1425270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1425350 ns MR4_D 40006004 00000001 - 1425350 ns R r1 00000001 - 1425350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1425370 ns MR4_I 0100021c 1c5b6002 - 1425370 ns R r1 80000000 - 1425370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1425390 ns R psr 81000200 - 1425410 ns MR4_I 01000214 6841d006 - 1425430 ns MR4_I 01000218 d1fc07c9 - 1425430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1425510 ns MR4_D 40006004 00000001 - 1425510 ns R r1 00000001 - 1425510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1425530 ns MR4_I 0100021c 1c5b6002 - 1425530 ns R r1 80000000 - 1425530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1425550 ns R psr 81000200 - 1425570 ns MR4_I 01000214 6841d006 - 1425590 ns MR4_I 01000218 d1fc07c9 - 1425590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1425670 ns MR4_D 40006004 00000001 - 1425670 ns R r1 00000001 - 1425670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1425690 ns MR4_I 0100021c 1c5b6002 - 1425690 ns R r1 80000000 - 1425690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1425710 ns R psr 81000200 - 1425730 ns MR4_I 01000214 6841d006 - 1425750 ns MR4_I 01000218 d1fc07c9 - 1425750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1425830 ns MR4_D 40006004 00000001 - 1425830 ns R r1 00000001 - 1425830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1425850 ns MR4_I 0100021c 1c5b6002 - 1425850 ns R r1 80000000 - 1425850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1425870 ns R psr 81000200 - 1425890 ns MR4_I 01000214 6841d006 - 1425910 ns MR4_I 01000218 d1fc07c9 - 1425910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1425990 ns MR4_D 40006004 00000001 - 1425990 ns R r1 00000001 - 1425990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1426010 ns MR4_I 0100021c 1c5b6002 - 1426010 ns R r1 80000000 - 1426010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1426030 ns R psr 81000200 - 1426050 ns MR4_I 01000214 6841d006 - 1426070 ns MR4_I 01000218 d1fc07c9 - 1426070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1426150 ns MR4_D 40006004 00000001 - 1426150 ns R r1 00000001 - 1426150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1426170 ns MR4_I 0100021c 1c5b6002 - 1426170 ns R r1 80000000 - 1426170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1426190 ns R psr 81000200 - 1426210 ns MR4_I 01000214 6841d006 - 1426230 ns MR4_I 01000218 d1fc07c9 - 1426230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1426310 ns MR4_D 40006004 00000001 - 1426310 ns R r1 00000001 - 1426310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1426330 ns MR4_I 0100021c 1c5b6002 - 1426330 ns R r1 80000000 - 1426330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1426350 ns R psr 81000200 - 1426370 ns MR4_I 01000214 6841d006 - 1426390 ns MR4_I 01000218 d1fc07c9 - 1426390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1426470 ns MR4_D 40006004 00000001 - 1426470 ns R r1 00000001 - 1426470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1426490 ns MR4_I 0100021c 1c5b6002 - 1426490 ns R r1 80000000 - 1426490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1426510 ns R psr 81000200 - 1426530 ns MR4_I 01000214 6841d006 - 1426550 ns MR4_I 01000218 d1fc07c9 - 1426550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1426630 ns MR4_D 40006004 00000001 - 1426630 ns R r1 00000001 - 1426630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1426650 ns MR4_I 0100021c 1c5b6002 - 1426650 ns R r1 80000000 - 1426650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1426670 ns R psr 81000200 - 1426690 ns MR4_I 01000214 6841d006 - 1426710 ns MR4_I 01000218 d1fc07c9 - 1426710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1426790 ns MR4_D 40006004 00000001 - 1426790 ns R r1 00000001 - 1426790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1426810 ns MR4_I 0100021c 1c5b6002 - 1426810 ns R r1 80000000 - 1426810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1426830 ns R psr 81000200 - 1426850 ns MR4_I 01000214 6841d006 - 1426870 ns MR4_I 01000218 d1fc07c9 - 1426870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1426950 ns MR4_D 40006004 00000001 - 1426950 ns R r1 00000001 - 1426950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1426970 ns MR4_I 0100021c 1c5b6002 - 1426970 ns R r1 80000000 - 1426970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1426990 ns R psr 81000200 - 1427010 ns MR4_I 01000214 6841d006 - 1427030 ns MR4_I 01000218 d1fc07c9 - 1427030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1427110 ns MR4_D 40006004 00000001 - 1427110 ns R r1 00000001 - 1427110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1427130 ns MR4_I 0100021c 1c5b6002 - 1427130 ns R r1 80000000 - 1427130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1427150 ns R psr 81000200 - 1427170 ns MR4_I 01000214 6841d006 - 1427190 ns MR4_I 01000218 d1fc07c9 - 1427190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1427270 ns MR4_D 40006004 00000001 - 1427270 ns R r1 00000001 - 1427270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1427290 ns MR4_I 0100021c 1c5b6002 - 1427290 ns R r1 80000000 - 1427290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1427310 ns R psr 81000200 - 1427330 ns MR4_I 01000214 6841d006 - 1427350 ns MR4_I 01000218 d1fc07c9 - 1427350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1427430 ns MR4_D 40006004 00000001 - 1427430 ns R r1 00000001 - 1427430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1427450 ns MR4_I 0100021c 1c5b6002 - 1427450 ns R r1 80000000 - 1427450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1427470 ns R psr 81000200 - 1427490 ns MR4_I 01000214 6841d006 - 1427510 ns MR4_I 01000218 d1fc07c9 - 1427510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1427590 ns MR4_D 40006004 00000001 - 1427590 ns R r1 00000001 - 1427590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1427610 ns MR4_I 0100021c 1c5b6002 - 1427610 ns R r1 80000000 - 1427610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1427630 ns R psr 81000200 - 1427650 ns MR4_I 01000214 6841d006 - 1427670 ns MR4_I 01000218 d1fc07c9 - 1427670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1427750 ns MR4_D 40006004 00000001 - 1427750 ns R r1 00000001 - 1427750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1427770 ns MR4_I 0100021c 1c5b6002 - 1427770 ns R r1 80000000 - 1427770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1427790 ns R psr 81000200 - 1427810 ns MR4_I 01000214 6841d006 - 1427830 ns MR4_I 01000218 d1fc07c9 - 1427830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1427910 ns MR4_D 40006004 00000001 - 1427910 ns R r1 00000001 - 1427910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1427930 ns MR4_I 0100021c 1c5b6002 - 1427930 ns R r1 80000000 - 1427930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1427950 ns R psr 81000200 - 1427970 ns MR4_I 01000214 6841d006 - 1427990 ns MR4_I 01000218 d1fc07c9 - 1427990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1428070 ns MR4_D 40006004 00000001 - 1428070 ns R r1 00000001 - 1428070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1428090 ns MR4_I 0100021c 1c5b6002 - 1428090 ns R r1 80000000 - 1428090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1428110 ns R psr 81000200 - 1428130 ns MR4_I 01000214 6841d006 - 1428150 ns MR4_I 01000218 d1fc07c9 - 1428150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1428230 ns MR4_D 40006004 00000001 - 1428230 ns R r1 00000001 - 1428230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1428250 ns MR4_I 0100021c 1c5b6002 - 1428250 ns R r1 80000000 - 1428250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1428270 ns R psr 81000200 - 1428290 ns MR4_I 01000214 6841d006 - 1428310 ns MR4_I 01000218 d1fc07c9 - 1428310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1428390 ns MR4_D 40006004 00000001 - 1428390 ns R r1 00000001 - 1428390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1428410 ns MR4_I 0100021c 1c5b6002 - 1428410 ns R r1 80000000 - 1428410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1428430 ns R psr 81000200 - 1428450 ns MR4_I 01000214 6841d006 - 1428470 ns MR4_I 01000218 d1fc07c9 - 1428470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1428550 ns MR4_D 40006004 00000001 - 1428550 ns R r1 00000001 - 1428550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1428570 ns MR4_I 0100021c 1c5b6002 - 1428570 ns R r1 80000000 - 1428570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1428590 ns R psr 81000200 - 1428610 ns MR4_I 01000214 6841d006 - 1428630 ns MR4_I 01000218 d1fc07c9 - 1428630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1428710 ns MR4_D 40006004 00000001 - 1428710 ns R r1 00000001 - 1428710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1428730 ns MR4_I 0100021c 1c5b6002 - 1428730 ns R r1 80000000 - 1428730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1428750 ns R psr 81000200 - 1428770 ns MR4_I 01000214 6841d006 - 1428790 ns MR4_I 01000218 d1fc07c9 - 1428790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1428870 ns MR4_D 40006004 00000001 - 1428870 ns R r1 00000001 - 1428870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1428890 ns MR4_I 0100021c 1c5b6002 - 1428890 ns R r1 80000000 - 1428890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1428910 ns R psr 81000200 - 1428930 ns MR4_I 01000214 6841d006 - 1428950 ns MR4_I 01000218 d1fc07c9 - 1428950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1429030 ns MR4_D 40006004 00000001 - 1429030 ns R r1 00000001 - 1429030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1429050 ns MR4_I 0100021c 1c5b6002 - 1429050 ns R r1 80000000 - 1429050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1429070 ns R psr 81000200 - 1429090 ns MR4_I 01000214 6841d006 - 1429110 ns MR4_I 01000218 d1fc07c9 - 1429110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1429190 ns MR4_D 40006004 00000001 - 1429190 ns R r1 00000001 - 1429190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1429210 ns MR4_I 0100021c 1c5b6002 - 1429210 ns R r1 80000000 - 1429210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1429230 ns R psr 81000200 - 1429250 ns MR4_I 01000214 6841d006 - 1429270 ns MR4_I 01000218 d1fc07c9 - 1429270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1429350 ns MR4_D 40006004 00000001 - 1429350 ns R r1 00000001 - 1429350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1429370 ns MR4_I 0100021c 1c5b6002 - 1429370 ns R r1 80000000 - 1429370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1429390 ns R psr 81000200 - 1429410 ns MR4_I 01000214 6841d006 - 1429430 ns MR4_I 01000218 d1fc07c9 - 1429430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1429510 ns MR4_D 40006004 00000001 - 1429510 ns R r1 00000001 - 1429510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1429530 ns MR4_I 0100021c 1c5b6002 - 1429530 ns R r1 80000000 - 1429530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1429550 ns R psr 81000200 - 1429570 ns MR4_I 01000214 6841d006 - 1429590 ns MR4_I 01000218 d1fc07c9 - 1429590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1429670 ns MR4_D 40006004 00000001 - 1429670 ns R r1 00000001 - 1429670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1429690 ns MR4_I 0100021c 1c5b6002 - 1429690 ns R r1 80000000 - 1429690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1429710 ns R psr 81000200 - 1429730 ns MR4_I 01000214 6841d006 - 1429750 ns MR4_I 01000218 d1fc07c9 - 1429750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1429830 ns MR4_D 40006004 00000001 - 1429830 ns R r1 00000001 - 1429830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1429850 ns MR4_I 0100021c 1c5b6002 - 1429850 ns R r1 80000000 - 1429850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1429870 ns R psr 81000200 - 1429890 ns MR4_I 01000214 6841d006 - 1429910 ns MR4_I 01000218 d1fc07c9 - 1429910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1429990 ns MR4_D 40006004 00000001 - 1429990 ns R r1 00000001 - 1429990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1430010 ns MR4_I 0100021c 1c5b6002 - 1430010 ns R r1 80000000 - 1430010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1430030 ns R psr 81000200 - 1430050 ns MR4_I 01000214 6841d006 - 1430070 ns MR4_I 01000218 d1fc07c9 - 1430070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1430150 ns MR4_D 40006004 00000001 - 1430150 ns R r1 00000001 - 1430150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1430170 ns MR4_I 0100021c 1c5b6002 - 1430170 ns R r1 80000000 - 1430170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1430190 ns R psr 81000200 - 1430210 ns MR4_I 01000214 6841d006 - 1430230 ns MR4_I 01000218 d1fc07c9 - 1430230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1430310 ns MR4_D 40006004 00000001 - 1430310 ns R r1 00000001 - 1430310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1430330 ns MR4_I 0100021c 1c5b6002 - 1430330 ns R r1 80000000 - 1430330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1430350 ns R psr 81000200 - 1430370 ns MR4_I 01000214 6841d006 - 1430390 ns MR4_I 01000218 d1fc07c9 - 1430390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1430470 ns MR4_D 40006004 00000001 - 1430470 ns R r1 00000001 - 1430470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1430490 ns MR4_I 0100021c 1c5b6002 - 1430490 ns R r1 80000000 - 1430490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1430510 ns R psr 81000200 - 1430530 ns MR4_I 01000214 6841d006 - 1430550 ns MR4_I 01000218 d1fc07c9 - 1430550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1430630 ns MR4_D 40006004 00000001 - 1430630 ns R r1 00000001 - 1430630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1430650 ns MR4_I 0100021c 1c5b6002 - 1430650 ns R r1 80000000 - 1430650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1430670 ns R psr 81000200 - 1430690 ns MR4_I 01000214 6841d006 - 1430710 ns MR4_I 01000218 d1fc07c9 - 1430710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1430790 ns MR4_D 40006004 00000001 - 1430790 ns R r1 00000001 - 1430790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1430810 ns MR4_I 0100021c 1c5b6002 - 1430810 ns R r1 80000000 - 1430810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1430830 ns R psr 81000200 - 1430850 ns MR4_I 01000214 6841d006 - 1430870 ns MR4_I 01000218 d1fc07c9 - 1430870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1430950 ns MR4_D 40006004 00000001 - 1430950 ns R r1 00000001 - 1430950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1430970 ns MR4_I 0100021c 1c5b6002 - 1430970 ns R r1 80000000 - 1430970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1430990 ns R psr 81000200 - 1431010 ns MR4_I 01000214 6841d006 - 1431030 ns MR4_I 01000218 d1fc07c9 - 1431030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1431110 ns MR4_D 40006004 00000000 - 1431110 ns R r1 00000000 - 1431110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1431130 ns MR4_I 0100021c 1c5b6002 - 1431130 ns R r1 00000000 - 1431130 ns IS 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1431150 ns R psr 41000200 - 1431150 ns IT 0100021c 6002 STR r2,[r0,#0] - 1431170 ns MR4_I 01000220 d1f52a00 - 1431230 ns MW4_D 40006000 00000020 - 1431230 ns IT 0100021e 1c5b ADDS r3,r3,#1 - 1431250 ns R r3 010002bb - 1431250 ns IT 01000220 2a00 CMP r2,#0 - 1431270 ns R psr 01000200 - 1431270 ns MR4_I 01000224 680a4911 - 1431270 ns IT 01000222 d1f5 BNE {pc} - 0x12 ; 0x1000210 - 1431290 ns R psr 21000200 - 1431310 ns MR4_I 01000210 2a00781a - 1431330 ns IT 01000210 781a LDRB r2,[r3,#0] - 1431350 ns MR4_I 01000214 6841d006 - 1431370 ns MR1_D 010002bb 6c202d20 - 1431370 ns R r2 0000006c - 1431370 ns IT 01000212 2a00 CMP r2,#0 - 1431390 ns IS 01000214 d006 BEQ {pc} + 0x10 ; 0x1000224 - 1431410 ns R psr 21000200 - 1431410 ns MR4_I 01000218 d1fc07c9 - 1431410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1431490 ns MR4_D 40006004 00000001 - 1431490 ns R r1 00000001 - 1431490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1431510 ns MR4_I 0100021c 1c5b6002 - 1431510 ns R r1 80000000 - 1431510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1431530 ns R psr 81000200 - 1431550 ns MR4_I 01000214 6841d006 - 1431570 ns MR4_I 01000218 d1fc07c9 - 1431570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1431650 ns MR4_D 40006004 00000001 - 1431650 ns R r1 00000001 - 1431650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1431670 ns MR4_I 0100021c 1c5b6002 - 1431670 ns R r1 80000000 - 1431670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1431690 ns R psr 81000200 - 1431710 ns MR4_I 01000214 6841d006 - 1431730 ns MR4_I 01000218 d1fc07c9 - 1431730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1431810 ns MR4_D 40006004 00000001 - 1431810 ns R r1 00000001 - 1431810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1431830 ns MR4_I 0100021c 1c5b6002 - 1431830 ns R r1 80000000 - 1431830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1431850 ns R psr 81000200 - 1431870 ns MR4_I 01000214 6841d006 - 1431890 ns MR4_I 01000218 d1fc07c9 - 1431890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1431970 ns MR4_D 40006004 00000001 - 1431970 ns R r1 00000001 - 1431970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1431990 ns MR4_I 0100021c 1c5b6002 - 1431990 ns R r1 80000000 - 1431990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1432010 ns R psr 81000200 - 1432030 ns MR4_I 01000214 6841d006 - 1432050 ns MR4_I 01000218 d1fc07c9 - 1432050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1432130 ns MR4_D 40006004 00000001 - 1432130 ns R r1 00000001 - 1432130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1432150 ns MR4_I 0100021c 1c5b6002 - 1432150 ns R r1 80000000 - 1432150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1432170 ns R psr 81000200 - 1432190 ns MR4_I 01000214 6841d006 - 1432210 ns MR4_I 01000218 d1fc07c9 - 1432210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1432290 ns MR4_D 40006004 00000001 - 1432290 ns R r1 00000001 - 1432290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1432310 ns MR4_I 0100021c 1c5b6002 - 1432310 ns R r1 80000000 - 1432310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1432330 ns R psr 81000200 - 1432350 ns MR4_I 01000214 6841d006 - 1432370 ns MR4_I 01000218 d1fc07c9 - 1432370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1432450 ns MR4_D 40006004 00000001 - 1432450 ns R r1 00000001 - 1432450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1432470 ns MR4_I 0100021c 1c5b6002 - 1432470 ns R r1 80000000 - 1432470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1432490 ns R psr 81000200 - 1432510 ns MR4_I 01000214 6841d006 - 1432530 ns MR4_I 01000218 d1fc07c9 - 1432530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1432610 ns MR4_D 40006004 00000001 - 1432610 ns R r1 00000001 - 1432610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1432630 ns MR4_I 0100021c 1c5b6002 - 1432630 ns R r1 80000000 - 1432630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1432650 ns R psr 81000200 - 1432670 ns MR4_I 01000214 6841d006 - 1432690 ns MR4_I 01000218 d1fc07c9 - 1432690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1432770 ns MR4_D 40006004 00000001 - 1432770 ns R r1 00000001 - 1432770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1432790 ns MR4_I 0100021c 1c5b6002 - 1432790 ns R r1 80000000 - 1432790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1432810 ns R psr 81000200 - 1432830 ns MR4_I 01000214 6841d006 - 1432850 ns MR4_I 01000218 d1fc07c9 - 1432850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1432930 ns MR4_D 40006004 00000001 - 1432930 ns R r1 00000001 - 1432930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1432950 ns MR4_I 0100021c 1c5b6002 - 1432950 ns R r1 80000000 - 1432950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1432970 ns R psr 81000200 - 1432990 ns MR4_I 01000214 6841d006 - 1433010 ns MR4_I 01000218 d1fc07c9 - 1433010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1433090 ns MR4_D 40006004 00000001 - 1433090 ns R r1 00000001 - 1433090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1433110 ns MR4_I 0100021c 1c5b6002 - 1433110 ns R r1 80000000 - 1433110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1433130 ns R psr 81000200 - 1433150 ns MR4_I 01000214 6841d006 - 1433170 ns MR4_I 01000218 d1fc07c9 - 1433170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1433250 ns MR4_D 40006004 00000001 - 1433250 ns R r1 00000001 - 1433250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1433270 ns MR4_I 0100021c 1c5b6002 - 1433270 ns R r1 80000000 - 1433270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1433290 ns R psr 81000200 - 1433310 ns MR4_I 01000214 6841d006 - 1433330 ns MR4_I 01000218 d1fc07c9 - 1433330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1433410 ns MR4_D 40006004 00000001 - 1433410 ns R r1 00000001 - 1433410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1433430 ns MR4_I 0100021c 1c5b6002 - 1433430 ns R r1 80000000 - 1433430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1433450 ns R psr 81000200 - 1433470 ns MR4_I 01000214 6841d006 - 1433490 ns MR4_I 01000218 d1fc07c9 - 1433490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1433570 ns MR4_D 40006004 00000001 - 1433570 ns R r1 00000001 - 1433570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1433590 ns MR4_I 0100021c 1c5b6002 - 1433590 ns R r1 80000000 - 1433590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1433610 ns R psr 81000200 - 1433630 ns MR4_I 01000214 6841d006 - 1433650 ns MR4_I 01000218 d1fc07c9 - 1433650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1433730 ns MR4_D 40006004 00000001 - 1433730 ns R r1 00000001 - 1433730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1433750 ns MR4_I 0100021c 1c5b6002 - 1433750 ns R r1 80000000 - 1433750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1433770 ns R psr 81000200 - 1433790 ns MR4_I 01000214 6841d006 - 1433810 ns MR4_I 01000218 d1fc07c9 - 1433810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1433890 ns MR4_D 40006004 00000001 - 1433890 ns R r1 00000001 - 1433890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1433910 ns MR4_I 0100021c 1c5b6002 - 1433910 ns R r1 80000000 - 1433910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1433930 ns R psr 81000200 - 1433950 ns MR4_I 01000214 6841d006 - 1433970 ns MR4_I 01000218 d1fc07c9 - 1433970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1434050 ns MR4_D 40006004 00000001 - 1434050 ns R r1 00000001 - 1434050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1434070 ns MR4_I 0100021c 1c5b6002 - 1434070 ns R r1 80000000 - 1434070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1434090 ns R psr 81000200 - 1434110 ns MR4_I 01000214 6841d006 - 1434130 ns MR4_I 01000218 d1fc07c9 - 1434130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1434210 ns MR4_D 40006004 00000001 - 1434210 ns R r1 00000001 - 1434210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1434230 ns MR4_I 0100021c 1c5b6002 - 1434230 ns R r1 80000000 - 1434230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1434250 ns R psr 81000200 - 1434270 ns MR4_I 01000214 6841d006 - 1434290 ns MR4_I 01000218 d1fc07c9 - 1434290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1434370 ns MR4_D 40006004 00000001 - 1434370 ns R r1 00000001 - 1434370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1434390 ns MR4_I 0100021c 1c5b6002 - 1434390 ns R r1 80000000 - 1434390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1434410 ns R psr 81000200 - 1434430 ns MR4_I 01000214 6841d006 - 1434450 ns MR4_I 01000218 d1fc07c9 - 1434450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1434530 ns MR4_D 40006004 00000001 - 1434530 ns R r1 00000001 - 1434530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1434550 ns MR4_I 0100021c 1c5b6002 - 1434550 ns R r1 80000000 - 1434550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1434570 ns R psr 81000200 - 1434590 ns MR4_I 01000214 6841d006 - 1434610 ns MR4_I 01000218 d1fc07c9 - 1434610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1434690 ns MR4_D 40006004 00000001 - 1434690 ns R r1 00000001 - 1434690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1434710 ns MR4_I 0100021c 1c5b6002 - 1434710 ns R r1 80000000 - 1434710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1434730 ns R psr 81000200 - 1434750 ns MR4_I 01000214 6841d006 - 1434770 ns MR4_I 01000218 d1fc07c9 - 1434770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1434850 ns MR4_D 40006004 00000001 - 1434850 ns R r1 00000001 - 1434850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1434870 ns MR4_I 0100021c 1c5b6002 - 1434870 ns R r1 80000000 - 1434870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1434890 ns R psr 81000200 - 1434910 ns MR4_I 01000214 6841d006 - 1434930 ns MR4_I 01000218 d1fc07c9 - 1434930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1435010 ns MR4_D 40006004 00000001 - 1435010 ns R r1 00000001 - 1435010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1435030 ns MR4_I 0100021c 1c5b6002 - 1435030 ns R r1 80000000 - 1435030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1435050 ns R psr 81000200 - 1435070 ns MR4_I 01000214 6841d006 - 1435090 ns MR4_I 01000218 d1fc07c9 - 1435090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1435170 ns MR4_D 40006004 00000001 - 1435170 ns R r1 00000001 - 1435170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1435190 ns MR4_I 0100021c 1c5b6002 - 1435190 ns R r1 80000000 - 1435190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1435210 ns R psr 81000200 - 1435230 ns MR4_I 01000214 6841d006 - 1435250 ns MR4_I 01000218 d1fc07c9 - 1435250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1435330 ns MR4_D 40006004 00000001 - 1435330 ns R r1 00000001 - 1435330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1435350 ns MR4_I 0100021c 1c5b6002 - 1435350 ns R r1 80000000 - 1435350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1435370 ns R psr 81000200 - 1435390 ns MR4_I 01000214 6841d006 - 1435410 ns MR4_I 01000218 d1fc07c9 - 1435410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1435490 ns MR4_D 40006004 00000001 - 1435490 ns R r1 00000001 - 1435490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1435510 ns MR4_I 0100021c 1c5b6002 - 1435510 ns R r1 80000000 - 1435510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1435530 ns R psr 81000200 - 1435550 ns MR4_I 01000214 6841d006 - 1435570 ns MR4_I 01000218 d1fc07c9 - 1435570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1435650 ns MR4_D 40006004 00000001 - 1435650 ns R r1 00000001 - 1435650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1435670 ns MR4_I 0100021c 1c5b6002 - 1435670 ns R r1 80000000 - 1435670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1435690 ns R psr 81000200 - 1435710 ns MR4_I 01000214 6841d006 - 1435730 ns MR4_I 01000218 d1fc07c9 - 1435730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1435810 ns MR4_D 40006004 00000001 - 1435810 ns R r1 00000001 - 1435810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1435830 ns MR4_I 0100021c 1c5b6002 - 1435830 ns R r1 80000000 - 1435830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1435850 ns R psr 81000200 - 1435870 ns MR4_I 01000214 6841d006 - 1435890 ns MR4_I 01000218 d1fc07c9 - 1435890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1435970 ns MR4_D 40006004 00000001 - 1435970 ns R r1 00000001 - 1435970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1435990 ns MR4_I 0100021c 1c5b6002 - 1435990 ns R r1 80000000 - 1435990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1436010 ns R psr 81000200 - 1436030 ns MR4_I 01000214 6841d006 - 1436050 ns MR4_I 01000218 d1fc07c9 - 1436050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1436130 ns MR4_D 40006004 00000001 - 1436130 ns R r1 00000001 - 1436130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1436150 ns MR4_I 0100021c 1c5b6002 - 1436150 ns R r1 80000000 - 1436150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1436170 ns R psr 81000200 - 1436190 ns MR4_I 01000214 6841d006 - 1436210 ns MR4_I 01000218 d1fc07c9 - 1436210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1436290 ns MR4_D 40006004 00000001 - 1436290 ns R r1 00000001 - 1436290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1436310 ns MR4_I 0100021c 1c5b6002 - 1436310 ns R r1 80000000 - 1436310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1436330 ns R psr 81000200 - 1436350 ns MR4_I 01000214 6841d006 - 1436370 ns MR4_I 01000218 d1fc07c9 - 1436370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1436450 ns MR4_D 40006004 00000001 - 1436450 ns R r1 00000001 - 1436450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1436470 ns MR4_I 0100021c 1c5b6002 - 1436470 ns R r1 80000000 - 1436470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1436490 ns R psr 81000200 - 1436510 ns MR4_I 01000214 6841d006 - 1436530 ns MR4_I 01000218 d1fc07c9 - 1436530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1436610 ns MR4_D 40006004 00000001 - 1436610 ns R r1 00000001 - 1436610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1436630 ns MR4_I 0100021c 1c5b6002 - 1436630 ns R r1 80000000 - 1436630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1436650 ns R psr 81000200 - 1436670 ns MR4_I 01000214 6841d006 - 1436690 ns MR4_I 01000218 d1fc07c9 - 1436690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1436770 ns MR4_D 40006004 00000001 - 1436770 ns R r1 00000001 - 1436770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1436790 ns MR4_I 0100021c 1c5b6002 - 1436790 ns R r1 80000000 - 1436790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1436810 ns R psr 81000200 - 1436830 ns MR4_I 01000214 6841d006 - 1436850 ns MR4_I 01000218 d1fc07c9 - 1436850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1436930 ns MR4_D 40006004 00000001 - 1436930 ns R r1 00000001 - 1436930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1436950 ns MR4_I 0100021c 1c5b6002 - 1436950 ns R r1 80000000 - 1436950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1436970 ns R psr 81000200 - 1436990 ns MR4_I 01000214 6841d006 - 1437010 ns MR4_I 01000218 d1fc07c9 - 1437010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1437090 ns MR4_D 40006004 00000001 - 1437090 ns R r1 00000001 - 1437090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1437110 ns MR4_I 0100021c 1c5b6002 - 1437110 ns R r1 80000000 - 1437110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1437130 ns R psr 81000200 - 1437150 ns MR4_I 01000214 6841d006 - 1437170 ns MR4_I 01000218 d1fc07c9 - 1437170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1437250 ns MR4_D 40006004 00000001 - 1437250 ns R r1 00000001 - 1437250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1437270 ns MR4_I 0100021c 1c5b6002 - 1437270 ns R r1 80000000 - 1437270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1437290 ns R psr 81000200 - 1437310 ns MR4_I 01000214 6841d006 - 1437330 ns MR4_I 01000218 d1fc07c9 - 1437330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1437410 ns MR4_D 40006004 00000001 - 1437410 ns R r1 00000001 - 1437410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1437430 ns MR4_I 0100021c 1c5b6002 - 1437430 ns R r1 80000000 - 1437430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1437450 ns R psr 81000200 - 1437470 ns MR4_I 01000214 6841d006 - 1437490 ns MR4_I 01000218 d1fc07c9 - 1437490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1437570 ns MR4_D 40006004 00000001 - 1437570 ns R r1 00000001 - 1437570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1437590 ns MR4_I 0100021c 1c5b6002 - 1437590 ns R r1 80000000 - 1437590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1437610 ns R psr 81000200 - 1437630 ns MR4_I 01000214 6841d006 - 1437650 ns MR4_I 01000218 d1fc07c9 - 1437650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1437730 ns MR4_D 40006004 00000001 - 1437730 ns R r1 00000001 - 1437730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1437750 ns MR4_I 0100021c 1c5b6002 - 1437750 ns R r1 80000000 - 1437750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1437770 ns R psr 81000200 - 1437790 ns MR4_I 01000214 6841d006 - 1437810 ns MR4_I 01000218 d1fc07c9 - 1437810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1437890 ns MR4_D 40006004 00000001 - 1437890 ns R r1 00000001 - 1437890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1437910 ns MR4_I 0100021c 1c5b6002 - 1437910 ns R r1 80000000 - 1437910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1437930 ns R psr 81000200 - 1437950 ns MR4_I 01000214 6841d006 - 1437970 ns MR4_I 01000218 d1fc07c9 - 1437970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1438050 ns MR4_D 40006004 00000001 - 1438050 ns R r1 00000001 - 1438050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1438070 ns MR4_I 0100021c 1c5b6002 - 1438070 ns R r1 80000000 - 1438070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1438090 ns R psr 81000200 - 1438110 ns MR4_I 01000214 6841d006 - 1438130 ns MR4_I 01000218 d1fc07c9 - 1438130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1438210 ns MR4_D 40006004 00000001 - 1438210 ns R r1 00000001 - 1438210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1438230 ns MR4_I 0100021c 1c5b6002 - 1438230 ns R r1 80000000 - 1438230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1438250 ns R psr 81000200 - 1438270 ns MR4_I 01000214 6841d006 - 1438290 ns MR4_I 01000218 d1fc07c9 - 1438290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1438370 ns MR4_D 40006004 00000001 - 1438370 ns R r1 00000001 - 1438370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1438390 ns MR4_I 0100021c 1c5b6002 - 1438390 ns R r1 80000000 - 1438390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1438410 ns R psr 81000200 - 1438430 ns MR4_I 01000214 6841d006 - 1438450 ns MR4_I 01000218 d1fc07c9 - 1438450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1438530 ns MR4_D 40006004 00000001 - 1438530 ns R r1 00000001 - 1438530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1438550 ns MR4_I 0100021c 1c5b6002 - 1438550 ns R r1 80000000 - 1438550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1438570 ns R psr 81000200 - 1438590 ns MR4_I 01000214 6841d006 - 1438610 ns MR4_I 01000218 d1fc07c9 - 1438610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1438690 ns MR4_D 40006004 00000001 - 1438690 ns R r1 00000001 - 1438690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1438710 ns MR4_I 0100021c 1c5b6002 - 1438710 ns R r1 80000000 - 1438710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1438730 ns R psr 81000200 - 1438750 ns MR4_I 01000214 6841d006 - 1438770 ns MR4_I 01000218 d1fc07c9 - 1438770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1438850 ns MR4_D 40006004 00000001 - 1438850 ns R r1 00000001 - 1438850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1438870 ns MR4_I 0100021c 1c5b6002 - 1438870 ns R r1 80000000 - 1438870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1438890 ns R psr 81000200 - 1438910 ns MR4_I 01000214 6841d006 - 1438930 ns MR4_I 01000218 d1fc07c9 - 1438930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1439010 ns MR4_D 40006004 00000001 - 1439010 ns R r1 00000001 - 1439010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1439030 ns MR4_I 0100021c 1c5b6002 - 1439030 ns R r1 80000000 - 1439030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1439050 ns R psr 81000200 - 1439070 ns MR4_I 01000214 6841d006 - 1439090 ns MR4_I 01000218 d1fc07c9 - 1439090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1439170 ns MR4_D 40006004 00000001 - 1439170 ns R r1 00000001 - 1439170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1439190 ns MR4_I 0100021c 1c5b6002 - 1439190 ns R r1 80000000 - 1439190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1439210 ns R psr 81000200 - 1439230 ns MR4_I 01000214 6841d006 - 1439250 ns MR4_I 01000218 d1fc07c9 - 1439250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1439330 ns MR4_D 40006004 00000001 - 1439330 ns R r1 00000001 - 1439330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1439350 ns MR4_I 0100021c 1c5b6002 - 1439350 ns R r1 80000000 - 1439350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1439370 ns R psr 81000200 - 1439390 ns MR4_I 01000214 6841d006 - 1439410 ns MR4_I 01000218 d1fc07c9 - 1439410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1439490 ns MR4_D 40006004 00000001 - 1439490 ns R r1 00000001 - 1439490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1439510 ns MR4_I 0100021c 1c5b6002 - 1439510 ns R r1 80000000 - 1439510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1439530 ns R psr 81000200 - 1439550 ns MR4_I 01000214 6841d006 - 1439570 ns MR4_I 01000218 d1fc07c9 - 1439570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1439650 ns MR4_D 40006004 00000001 - 1439650 ns R r1 00000001 - 1439650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1439670 ns MR4_I 0100021c 1c5b6002 - 1439670 ns R r1 80000000 - 1439670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1439690 ns R psr 81000200 - 1439710 ns MR4_I 01000214 6841d006 - 1439730 ns MR4_I 01000218 d1fc07c9 - 1439730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1439810 ns MR4_D 40006004 00000001 - 1439810 ns R r1 00000001 - 1439810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1439830 ns MR4_I 0100021c 1c5b6002 - 1439830 ns R r1 80000000 - 1439830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1439850 ns R psr 81000200 - 1439870 ns MR4_I 01000214 6841d006 - 1439890 ns MR4_I 01000218 d1fc07c9 - 1439890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1439970 ns MR4_D 40006004 00000001 - 1439970 ns R r1 00000001 - 1439970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1439990 ns MR4_I 0100021c 1c5b6002 - 1439990 ns R r1 80000000 - 1439990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1440010 ns R psr 81000200 - 1440030 ns MR4_I 01000214 6841d006 - 1440050 ns MR4_I 01000218 d1fc07c9 - 1440050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1440130 ns MR4_D 40006004 00000001 - 1440130 ns R r1 00000001 - 1440130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1440150 ns MR4_I 0100021c 1c5b6002 - 1440150 ns R r1 80000000 - 1440150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1440170 ns R psr 81000200 - 1440190 ns MR4_I 01000214 6841d006 - 1440210 ns MR4_I 01000218 d1fc07c9 - 1440210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1440290 ns MR4_D 40006004 00000001 - 1440290 ns R r1 00000001 - 1440290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1440310 ns MR4_I 0100021c 1c5b6002 - 1440310 ns R r1 80000000 - 1440310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1440330 ns R psr 81000200 - 1440350 ns MR4_I 01000214 6841d006 - 1440370 ns MR4_I 01000218 d1fc07c9 - 1440370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1440450 ns MR4_D 40006004 00000001 - 1440450 ns R r1 00000001 - 1440450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1440470 ns MR4_I 0100021c 1c5b6002 - 1440470 ns R r1 80000000 - 1440470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1440490 ns R psr 81000200 - 1440510 ns MR4_I 01000214 6841d006 - 1440530 ns MR4_I 01000218 d1fc07c9 - 1440530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1440610 ns MR4_D 40006004 00000001 - 1440610 ns R r1 00000001 - 1440610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1440630 ns MR4_I 0100021c 1c5b6002 - 1440630 ns R r1 80000000 - 1440630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1440650 ns R psr 81000200 - 1440670 ns MR4_I 01000214 6841d006 - 1440690 ns MR4_I 01000218 d1fc07c9 - 1440690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1440770 ns MR4_D 40006004 00000001 - 1440770 ns R r1 00000001 - 1440770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1440790 ns MR4_I 0100021c 1c5b6002 - 1440790 ns R r1 80000000 - 1440790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1440810 ns R psr 81000200 - 1440830 ns MR4_I 01000214 6841d006 - 1440850 ns MR4_I 01000218 d1fc07c9 - 1440850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1440930 ns MR4_D 40006004 00000001 - 1440930 ns R r1 00000001 - 1440930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1440950 ns MR4_I 0100021c 1c5b6002 - 1440950 ns R r1 80000000 - 1440950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1440970 ns R psr 81000200 - 1440990 ns MR4_I 01000214 6841d006 - 1441010 ns MR4_I 01000218 d1fc07c9 - 1441010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1441090 ns MR4_D 40006004 00000001 - 1441090 ns R r1 00000001 - 1441090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1441110 ns MR4_I 0100021c 1c5b6002 - 1441110 ns R r1 80000000 - 1441110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1441130 ns R psr 81000200 - 1441150 ns MR4_I 01000214 6841d006 - 1441170 ns MR4_I 01000218 d1fc07c9 - 1441170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1441250 ns MR4_D 40006004 00000001 - 1441250 ns R r1 00000001 - 1441250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1441270 ns MR4_I 0100021c 1c5b6002 - 1441270 ns R r1 80000000 - 1441270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1441290 ns R psr 81000200 - 1441310 ns MR4_I 01000214 6841d006 - 1441330 ns MR4_I 01000218 d1fc07c9 - 1441330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1441410 ns MR4_D 40006004 00000001 - 1441410 ns R r1 00000001 - 1441410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1441430 ns MR4_I 0100021c 1c5b6002 - 1441430 ns R r1 80000000 - 1441430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1441450 ns R psr 81000200 - 1441470 ns MR4_I 01000214 6841d006 - 1441490 ns MR4_I 01000218 d1fc07c9 - 1441490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1441570 ns MR4_D 40006004 00000001 - 1441570 ns R r1 00000001 - 1441570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1441590 ns MR4_I 0100021c 1c5b6002 - 1441590 ns R r1 80000000 - 1441590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1441610 ns R psr 81000200 - 1441630 ns MR4_I 01000214 6841d006 - 1441650 ns MR4_I 01000218 d1fc07c9 - 1441650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1441730 ns MR4_D 40006004 00000001 - 1441730 ns R r1 00000001 - 1441730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1441750 ns MR4_I 0100021c 1c5b6002 - 1441750 ns R r1 80000000 - 1441750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1441770 ns R psr 81000200 - 1441790 ns MR4_I 01000214 6841d006 - 1441810 ns MR4_I 01000218 d1fc07c9 - 1441810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1441890 ns MR4_D 40006004 00000001 - 1441890 ns R r1 00000001 - 1441890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1441910 ns MR4_I 0100021c 1c5b6002 - 1441910 ns R r1 80000000 - 1441910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1441930 ns R psr 81000200 - 1441950 ns MR4_I 01000214 6841d006 - 1441970 ns MR4_I 01000218 d1fc07c9 - 1441970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1442050 ns MR4_D 40006004 00000001 - 1442050 ns R r1 00000001 - 1442050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1442070 ns MR4_I 0100021c 1c5b6002 - 1442070 ns R r1 80000000 - 1442070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1442090 ns R psr 81000200 - 1442110 ns MR4_I 01000214 6841d006 - 1442130 ns MR4_I 01000218 d1fc07c9 - 1442130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1442210 ns MR4_D 40006004 00000001 - 1442210 ns R r1 00000001 - 1442210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1442230 ns MR4_I 0100021c 1c5b6002 - 1442230 ns R r1 80000000 - 1442230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1442250 ns R psr 81000200 - 1442270 ns MR4_I 01000214 6841d006 - 1442290 ns MR4_I 01000218 d1fc07c9 - 1442290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1442370 ns MR4_D 40006004 00000001 - 1442370 ns R r1 00000001 - 1442370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1442390 ns MR4_I 0100021c 1c5b6002 - 1442390 ns R r1 80000000 - 1442390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1442410 ns R psr 81000200 - 1442430 ns MR4_I 01000214 6841d006 - 1442450 ns MR4_I 01000218 d1fc07c9 - 1442450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1442530 ns MR4_D 40006004 00000001 - 1442530 ns R r1 00000001 - 1442530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1442550 ns MR4_I 0100021c 1c5b6002 - 1442550 ns R r1 80000000 - 1442550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1442570 ns R psr 81000200 - 1442590 ns MR4_I 01000214 6841d006 - 1442610 ns MR4_I 01000218 d1fc07c9 - 1442610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1442690 ns MR4_D 40006004 00000001 - 1442690 ns R r1 00000001 - 1442690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1442710 ns MR4_I 0100021c 1c5b6002 - 1442710 ns R r1 80000000 - 1442710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1442730 ns R psr 81000200 - 1442750 ns MR4_I 01000214 6841d006 - 1442770 ns MR4_I 01000218 d1fc07c9 - 1442770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1442850 ns MR4_D 40006004 00000001 - 1442850 ns R r1 00000001 - 1442850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1442870 ns MR4_I 0100021c 1c5b6002 - 1442870 ns R r1 80000000 - 1442870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1442890 ns R psr 81000200 - 1442910 ns MR4_I 01000214 6841d006 - 1442930 ns MR4_I 01000218 d1fc07c9 - 1442930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1443010 ns MR4_D 40006004 00000001 - 1443010 ns R r1 00000001 - 1443010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1443030 ns MR4_I 0100021c 1c5b6002 - 1443030 ns R r1 80000000 - 1443030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1443050 ns R psr 81000200 - 1443070 ns MR4_I 01000214 6841d006 - 1443090 ns MR4_I 01000218 d1fc07c9 - 1443090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1443170 ns MR4_D 40006004 00000001 - 1443170 ns R r1 00000001 - 1443170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1443190 ns MR4_I 0100021c 1c5b6002 - 1443190 ns R r1 80000000 - 1443190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1443210 ns R psr 81000200 - 1443230 ns MR4_I 01000214 6841d006 - 1443250 ns MR4_I 01000218 d1fc07c9 - 1443250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1443330 ns MR4_D 40006004 00000001 - 1443330 ns R r1 00000001 - 1443330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1443350 ns MR4_I 0100021c 1c5b6002 - 1443350 ns R r1 80000000 - 1443350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1443370 ns R psr 81000200 - 1443390 ns MR4_I 01000214 6841d006 - 1443410 ns MR4_I 01000218 d1fc07c9 - 1443410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1443490 ns MR4_D 40006004 00000001 - 1443490 ns R r1 00000001 - 1443490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1443510 ns MR4_I 0100021c 1c5b6002 - 1443510 ns R r1 80000000 - 1443510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1443530 ns R psr 81000200 - 1443550 ns MR4_I 01000214 6841d006 - 1443570 ns MR4_I 01000218 d1fc07c9 - 1443570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1443650 ns MR4_D 40006004 00000001 - 1443650 ns R r1 00000001 - 1443650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1443670 ns MR4_I 0100021c 1c5b6002 - 1443670 ns R r1 80000000 - 1443670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1443690 ns R psr 81000200 - 1443710 ns MR4_I 01000214 6841d006 - 1443730 ns MR4_I 01000218 d1fc07c9 - 1443730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1443810 ns MR4_D 40006004 00000001 - 1443810 ns R r1 00000001 - 1443810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1443830 ns MR4_I 0100021c 1c5b6002 - 1443830 ns R r1 80000000 - 1443830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1443850 ns R psr 81000200 - 1443870 ns MR4_I 01000214 6841d006 - 1443890 ns MR4_I 01000218 d1fc07c9 - 1443890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1443970 ns MR4_D 40006004 00000001 - 1443970 ns R r1 00000001 - 1443970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1443990 ns MR4_I 0100021c 1c5b6002 - 1443990 ns R r1 80000000 - 1443990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1444010 ns R psr 81000200 - 1444030 ns MR4_I 01000214 6841d006 - 1444050 ns MR4_I 01000218 d1fc07c9 - 1444050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1444130 ns MR4_D 40006004 00000001 - 1444130 ns R r1 00000001 - 1444130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1444150 ns MR4_I 0100021c 1c5b6002 - 1444150 ns R r1 80000000 - 1444150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1444170 ns R psr 81000200 - 1444190 ns MR4_I 01000214 6841d006 - 1444210 ns MR4_I 01000218 d1fc07c9 - 1444210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1444290 ns MR4_D 40006004 00000001 - 1444290 ns R r1 00000001 - 1444290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1444310 ns MR4_I 0100021c 1c5b6002 - 1444310 ns R r1 80000000 - 1444310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1444330 ns R psr 81000200 - 1444350 ns MR4_I 01000214 6841d006 - 1444370 ns MR4_I 01000218 d1fc07c9 - 1444370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1444450 ns MR4_D 40006004 00000001 - 1444450 ns R r1 00000001 - 1444450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1444470 ns MR4_I 0100021c 1c5b6002 - 1444470 ns R r1 80000000 - 1444470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1444490 ns R psr 81000200 - 1444510 ns MR4_I 01000214 6841d006 - 1444530 ns MR4_I 01000218 d1fc07c9 - 1444530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1444610 ns MR4_D 40006004 00000001 - 1444610 ns R r1 00000001 - 1444610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1444630 ns MR4_I 0100021c 1c5b6002 - 1444630 ns R r1 80000000 - 1444630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1444650 ns R psr 81000200 - 1444670 ns MR4_I 01000214 6841d006 - 1444690 ns MR4_I 01000218 d1fc07c9 - 1444690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1444770 ns MR4_D 40006004 00000001 - 1444770 ns R r1 00000001 - 1444770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1444790 ns MR4_I 0100021c 1c5b6002 - 1444790 ns R r1 80000000 - 1444790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1444810 ns R psr 81000200 - 1444830 ns MR4_I 01000214 6841d006 - 1444850 ns MR4_I 01000218 d1fc07c9 - 1444850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1444930 ns MR4_D 40006004 00000001 - 1444930 ns R r1 00000001 - 1444930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1444950 ns MR4_I 0100021c 1c5b6002 - 1444950 ns R r1 80000000 - 1444950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1444970 ns R psr 81000200 - 1444990 ns MR4_I 01000214 6841d006 - 1445010 ns MR4_I 01000218 d1fc07c9 - 1445010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1445090 ns MR4_D 40006004 00000001 - 1445090 ns R r1 00000001 - 1445090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1445110 ns MR4_I 0100021c 1c5b6002 - 1445110 ns R r1 80000000 - 1445110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1445130 ns R psr 81000200 - 1445150 ns MR4_I 01000214 6841d006 - 1445170 ns MR4_I 01000218 d1fc07c9 - 1445170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1445250 ns MR4_D 40006004 00000001 - 1445250 ns R r1 00000001 - 1445250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1445270 ns MR4_I 0100021c 1c5b6002 - 1445270 ns R r1 80000000 - 1445270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1445290 ns R psr 81000200 - 1445310 ns MR4_I 01000214 6841d006 - 1445330 ns MR4_I 01000218 d1fc07c9 - 1445330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1445410 ns MR4_D 40006004 00000001 - 1445410 ns R r1 00000001 - 1445410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1445430 ns MR4_I 0100021c 1c5b6002 - 1445430 ns R r1 80000000 - 1445430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1445450 ns R psr 81000200 - 1445470 ns MR4_I 01000214 6841d006 - 1445490 ns MR4_I 01000218 d1fc07c9 - 1445490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1445570 ns MR4_D 40006004 00000001 - 1445570 ns R r1 00000001 - 1445570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1445590 ns MR4_I 0100021c 1c5b6002 - 1445590 ns R r1 80000000 - 1445590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1445610 ns R psr 81000200 - 1445630 ns MR4_I 01000214 6841d006 - 1445650 ns MR4_I 01000218 d1fc07c9 - 1445650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1445730 ns MR4_D 40006004 00000001 - 1445730 ns R r1 00000001 - 1445730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1445750 ns MR4_I 0100021c 1c5b6002 - 1445750 ns R r1 80000000 - 1445750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1445770 ns R psr 81000200 - 1445790 ns MR4_I 01000214 6841d006 - 1445810 ns MR4_I 01000218 d1fc07c9 - 1445810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1445890 ns MR4_D 40006004 00000001 - 1445890 ns R r1 00000001 - 1445890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1445910 ns MR4_I 0100021c 1c5b6002 - 1445910 ns R r1 80000000 - 1445910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1445930 ns R psr 81000200 - 1445950 ns MR4_I 01000214 6841d006 - 1445970 ns MR4_I 01000218 d1fc07c9 - 1445970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1446050 ns MR4_D 40006004 00000001 - 1446050 ns R r1 00000001 - 1446050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1446070 ns MR4_I 0100021c 1c5b6002 - 1446070 ns R r1 80000000 - 1446070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1446090 ns R psr 81000200 - 1446110 ns MR4_I 01000214 6841d006 - 1446130 ns MR4_I 01000218 d1fc07c9 - 1446130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1446210 ns MR4_D 40006004 00000001 - 1446210 ns R r1 00000001 - 1446210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1446230 ns MR4_I 0100021c 1c5b6002 - 1446230 ns R r1 80000000 - 1446230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1446250 ns R psr 81000200 - 1446270 ns MR4_I 01000214 6841d006 - 1446290 ns MR4_I 01000218 d1fc07c9 - 1446290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1446370 ns MR4_D 40006004 00000001 - 1446370 ns R r1 00000001 - 1446370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1446390 ns MR4_I 0100021c 1c5b6002 - 1446390 ns R r1 80000000 - 1446390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1446410 ns R psr 81000200 - 1446430 ns MR4_I 01000214 6841d006 - 1446450 ns MR4_I 01000218 d1fc07c9 - 1446450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1446530 ns MR4_D 40006004 00000001 - 1446530 ns R r1 00000001 - 1446530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1446550 ns MR4_I 0100021c 1c5b6002 - 1446550 ns R r1 80000000 - 1446550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1446570 ns R psr 81000200 - 1446590 ns MR4_I 01000214 6841d006 - 1446610 ns MR4_I 01000218 d1fc07c9 - 1446610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1446690 ns MR4_D 40006004 00000001 - 1446690 ns R r1 00000001 - 1446690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1446710 ns MR4_I 0100021c 1c5b6002 - 1446710 ns R r1 80000000 - 1446710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1446730 ns R psr 81000200 - 1446750 ns MR4_I 01000214 6841d006 - 1446770 ns MR4_I 01000218 d1fc07c9 - 1446770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1446850 ns MR4_D 40006004 00000001 - 1446850 ns R r1 00000001 - 1446850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1446870 ns MR4_I 0100021c 1c5b6002 - 1446870 ns R r1 80000000 - 1446870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1446890 ns R psr 81000200 - 1446910 ns MR4_I 01000214 6841d006 - 1446930 ns MR4_I 01000218 d1fc07c9 - 1446930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1447010 ns MR4_D 40006004 00000001 - 1447010 ns R r1 00000001 - 1447010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1447030 ns MR4_I 0100021c 1c5b6002 - 1447030 ns R r1 80000000 - 1447030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1447050 ns R psr 81000200 - 1447070 ns MR4_I 01000214 6841d006 - 1447090 ns MR4_I 01000218 d1fc07c9 - 1447090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1447170 ns MR4_D 40006004 00000001 - 1447170 ns R r1 00000001 - 1447170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1447190 ns MR4_I 0100021c 1c5b6002 - 1447190 ns R r1 80000000 - 1447190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1447210 ns R psr 81000200 - 1447230 ns MR4_I 01000214 6841d006 - 1447250 ns MR4_I 01000218 d1fc07c9 - 1447250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1447330 ns MR4_D 40006004 00000001 - 1447330 ns R r1 00000001 - 1447330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1447350 ns MR4_I 0100021c 1c5b6002 - 1447350 ns R r1 80000000 - 1447350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1447370 ns R psr 81000200 - 1447390 ns MR4_I 01000214 6841d006 - 1447410 ns MR4_I 01000218 d1fc07c9 - 1447410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1447490 ns MR4_D 40006004 00000001 - 1447490 ns R r1 00000001 - 1447490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1447510 ns MR4_I 0100021c 1c5b6002 - 1447510 ns R r1 80000000 - 1447510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1447530 ns R psr 81000200 - 1447550 ns MR4_I 01000214 6841d006 - 1447570 ns MR4_I 01000218 d1fc07c9 - 1447570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1447650 ns MR4_D 40006004 00000001 - 1447650 ns R r1 00000001 - 1447650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1447670 ns MR4_I 0100021c 1c5b6002 - 1447670 ns R r1 80000000 - 1447670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1447690 ns R psr 81000200 - 1447710 ns MR4_I 01000214 6841d006 - 1447730 ns MR4_I 01000218 d1fc07c9 - 1447730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1447810 ns MR4_D 40006004 00000001 - 1447810 ns R r1 00000001 - 1447810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1447830 ns MR4_I 0100021c 1c5b6002 - 1447830 ns R r1 80000000 - 1447830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1447850 ns R psr 81000200 - 1447870 ns MR4_I 01000214 6841d006 - 1447890 ns MR4_I 01000218 d1fc07c9 - 1447890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1447970 ns MR4_D 40006004 00000001 - 1447970 ns R r1 00000001 - 1447970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1447990 ns MR4_I 0100021c 1c5b6002 - 1447990 ns R r1 80000000 - 1447990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1448010 ns R psr 81000200 - 1448030 ns MR4_I 01000214 6841d006 - 1448050 ns MR4_I 01000218 d1fc07c9 - 1448050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1448130 ns MR4_D 40006004 00000001 - 1448130 ns R r1 00000001 - 1448130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1448150 ns MR4_I 0100021c 1c5b6002 - 1448150 ns R r1 80000000 - 1448150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1448170 ns R psr 81000200 - 1448190 ns MR4_I 01000214 6841d006 - 1448210 ns MR4_I 01000218 d1fc07c9 - 1448210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1448290 ns MR4_D 40006004 00000001 - 1448290 ns R r1 00000001 - 1448290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1448310 ns MR4_I 0100021c 1c5b6002 - 1448310 ns R r1 80000000 - 1448310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1448330 ns R psr 81000200 - 1448350 ns MR4_I 01000214 6841d006 - 1448370 ns MR4_I 01000218 d1fc07c9 - 1448370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1448450 ns MR4_D 40006004 00000001 - 1448450 ns R r1 00000001 - 1448450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1448470 ns MR4_I 0100021c 1c5b6002 - 1448470 ns R r1 80000000 - 1448470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1448490 ns R psr 81000200 - 1448510 ns MR4_I 01000214 6841d006 - 1448530 ns MR4_I 01000218 d1fc07c9 - 1448530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1448610 ns MR4_D 40006004 00000001 - 1448610 ns R r1 00000001 - 1448610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1448630 ns MR4_I 0100021c 1c5b6002 - 1448630 ns R r1 80000000 - 1448630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1448650 ns R psr 81000200 - 1448670 ns MR4_I 01000214 6841d006 - 1448690 ns MR4_I 01000218 d1fc07c9 - 1448690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1448770 ns MR4_D 40006004 00000001 - 1448770 ns R r1 00000001 - 1448770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1448790 ns MR4_I 0100021c 1c5b6002 - 1448790 ns R r1 80000000 - 1448790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1448810 ns R psr 81000200 - 1448830 ns MR4_I 01000214 6841d006 - 1448850 ns MR4_I 01000218 d1fc07c9 - 1448850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1448930 ns MR4_D 40006004 00000001 - 1448930 ns R r1 00000001 - 1448930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1448950 ns MR4_I 0100021c 1c5b6002 - 1448950 ns R r1 80000000 - 1448950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1448970 ns R psr 81000200 - 1448990 ns MR4_I 01000214 6841d006 - 1449010 ns MR4_I 01000218 d1fc07c9 - 1449010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1449090 ns MR4_D 40006004 00000001 - 1449090 ns R r1 00000001 - 1449090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1449110 ns MR4_I 0100021c 1c5b6002 - 1449110 ns R r1 80000000 - 1449110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1449130 ns R psr 81000200 - 1449150 ns MR4_I 01000214 6841d006 - 1449170 ns MR4_I 01000218 d1fc07c9 - 1449170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1449250 ns MR4_D 40006004 00000001 - 1449250 ns R r1 00000001 - 1449250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1449270 ns MR4_I 0100021c 1c5b6002 - 1449270 ns R r1 80000000 - 1449270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1449290 ns R psr 81000200 - 1449310 ns MR4_I 01000214 6841d006 - 1449330 ns MR4_I 01000218 d1fc07c9 - 1449330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1449410 ns MR4_D 40006004 00000001 - 1449410 ns R r1 00000001 - 1449410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1449430 ns MR4_I 0100021c 1c5b6002 - 1449430 ns R r1 80000000 - 1449430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1449450 ns R psr 81000200 - 1449470 ns MR4_I 01000214 6841d006 - 1449490 ns MR4_I 01000218 d1fc07c9 - 1449490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1449570 ns MR4_D 40006004 00000001 - 1449570 ns R r1 00000001 - 1449570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1449590 ns MR4_I 0100021c 1c5b6002 - 1449590 ns R r1 80000000 - 1449590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1449610 ns R psr 81000200 - 1449630 ns MR4_I 01000214 6841d006 - 1449650 ns MR4_I 01000218 d1fc07c9 - 1449650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1449730 ns MR4_D 40006004 00000001 - 1449730 ns R r1 00000001 - 1449730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1449750 ns MR4_I 0100021c 1c5b6002 - 1449750 ns R r1 80000000 - 1449750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1449770 ns R psr 81000200 - 1449790 ns MR4_I 01000214 6841d006 - 1449810 ns MR4_I 01000218 d1fc07c9 - 1449810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1449890 ns MR4_D 40006004 00000001 - 1449890 ns R r1 00000001 - 1449890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1449910 ns MR4_I 0100021c 1c5b6002 - 1449910 ns R r1 80000000 - 1449910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1449930 ns R psr 81000200 - 1449950 ns MR4_I 01000214 6841d006 - 1449970 ns MR4_I 01000218 d1fc07c9 - 1449970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1450050 ns MR4_D 40006004 00000001 - 1450050 ns R r1 00000001 - 1450050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1450070 ns MR4_I 0100021c 1c5b6002 - 1450070 ns R r1 80000000 - 1450070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1450090 ns R psr 81000200 - 1450110 ns MR4_I 01000214 6841d006 - 1450130 ns MR4_I 01000218 d1fc07c9 - 1450130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1450210 ns MR4_D 40006004 00000001 - 1450210 ns R r1 00000001 - 1450210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1450230 ns MR4_I 0100021c 1c5b6002 - 1450230 ns R r1 80000000 - 1450230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1450250 ns R psr 81000200 - 1450270 ns MR4_I 01000214 6841d006 - 1450290 ns MR4_I 01000218 d1fc07c9 - 1450290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1450370 ns MR4_D 40006004 00000001 - 1450370 ns R r1 00000001 - 1450370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1450390 ns MR4_I 0100021c 1c5b6002 - 1450390 ns R r1 80000000 - 1450390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1450410 ns R psr 81000200 - 1450430 ns MR4_I 01000214 6841d006 - 1450450 ns MR4_I 01000218 d1fc07c9 - 1450450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1450530 ns MR4_D 40006004 00000001 - 1450530 ns R r1 00000001 - 1450530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1450550 ns MR4_I 0100021c 1c5b6002 - 1450550 ns R r1 80000000 - 1450550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1450570 ns R psr 81000200 - 1450590 ns MR4_I 01000214 6841d006 - 1450610 ns MR4_I 01000218 d1fc07c9 - 1450610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1450690 ns MR4_D 40006004 00000001 - 1450690 ns R r1 00000001 - 1450690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1450710 ns MR4_I 0100021c 1c5b6002 - 1450710 ns R r1 80000000 - 1450710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1450730 ns R psr 81000200 - 1450750 ns MR4_I 01000214 6841d006 - 1450770 ns MR4_I 01000218 d1fc07c9 - 1450770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1450850 ns MR4_D 40006004 00000001 - 1450850 ns R r1 00000001 - 1450850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1450870 ns MR4_I 0100021c 1c5b6002 - 1450870 ns R r1 80000000 - 1450870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1450890 ns R psr 81000200 - 1450910 ns MR4_I 01000214 6841d006 - 1450930 ns MR4_I 01000218 d1fc07c9 - 1450930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1451010 ns MR4_D 40006004 00000001 - 1451010 ns R r1 00000001 - 1451010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1451030 ns MR4_I 0100021c 1c5b6002 - 1451030 ns R r1 80000000 - 1451030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1451050 ns R psr 81000200 - 1451070 ns MR4_I 01000214 6841d006 - 1451090 ns MR4_I 01000218 d1fc07c9 - 1451090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1451170 ns MR4_D 40006004 00000001 - 1451170 ns R r1 00000001 - 1451170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1451190 ns MR4_I 0100021c 1c5b6002 - 1451190 ns R r1 80000000 - 1451190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1451210 ns R psr 81000200 - 1451230 ns MR4_I 01000214 6841d006 - 1451250 ns MR4_I 01000218 d1fc07c9 - 1451250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1451330 ns MR4_D 40006004 00000001 - 1451330 ns R r1 00000001 - 1451330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1451350 ns MR4_I 0100021c 1c5b6002 - 1451350 ns R r1 80000000 - 1451350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1451370 ns R psr 81000200 - 1451390 ns MR4_I 01000214 6841d006 - 1451410 ns MR4_I 01000218 d1fc07c9 - 1451410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1451490 ns MR4_D 40006004 00000001 - 1451490 ns R r1 00000001 - 1451490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1451510 ns MR4_I 0100021c 1c5b6002 - 1451510 ns R r1 80000000 - 1451510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1451530 ns R psr 81000200 - 1451550 ns MR4_I 01000214 6841d006 - 1451570 ns MR4_I 01000218 d1fc07c9 - 1451570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1451650 ns MR4_D 40006004 00000001 - 1451650 ns R r1 00000001 - 1451650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1451670 ns MR4_I 0100021c 1c5b6002 - 1451670 ns R r1 80000000 - 1451670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1451690 ns R psr 81000200 - 1451710 ns MR4_I 01000214 6841d006 - 1451730 ns MR4_I 01000218 d1fc07c9 - 1451730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1451810 ns MR4_D 40006004 00000001 - 1451810 ns R r1 00000001 - 1451810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1451830 ns MR4_I 0100021c 1c5b6002 - 1451830 ns R r1 80000000 - 1451830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1451850 ns R psr 81000200 - 1451870 ns MR4_I 01000214 6841d006 - 1451890 ns MR4_I 01000218 d1fc07c9 - 1451890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1451970 ns MR4_D 40006004 00000001 - 1451970 ns R r1 00000001 - 1451970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1451990 ns MR4_I 0100021c 1c5b6002 - 1451990 ns R r1 80000000 - 1451990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1452010 ns R psr 81000200 - 1452030 ns MR4_I 01000214 6841d006 - 1452050 ns MR4_I 01000218 d1fc07c9 - 1452050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1452130 ns MR4_D 40006004 00000001 - 1452130 ns R r1 00000001 - 1452130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1452150 ns MR4_I 0100021c 1c5b6002 - 1452150 ns R r1 80000000 - 1452150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1452170 ns R psr 81000200 - 1452190 ns MR4_I 01000214 6841d006 - 1452210 ns MR4_I 01000218 d1fc07c9 - 1452210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1452290 ns MR4_D 40006004 00000001 - 1452290 ns R r1 00000001 - 1452290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1452310 ns MR4_I 0100021c 1c5b6002 - 1452310 ns R r1 80000000 - 1452310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1452330 ns R psr 81000200 - 1452350 ns MR4_I 01000214 6841d006 - 1452370 ns MR4_I 01000218 d1fc07c9 - 1452370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1452450 ns MR4_D 40006004 00000001 - 1452450 ns R r1 00000001 - 1452450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1452470 ns MR4_I 0100021c 1c5b6002 - 1452470 ns R r1 80000000 - 1452470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1452490 ns R psr 81000200 - 1452510 ns MR4_I 01000214 6841d006 - 1452530 ns MR4_I 01000218 d1fc07c9 - 1452530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1452610 ns MR4_D 40006004 00000001 - 1452610 ns R r1 00000001 - 1452610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1452630 ns MR4_I 0100021c 1c5b6002 - 1452630 ns R r1 80000000 - 1452630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1452650 ns R psr 81000200 - 1452670 ns MR4_I 01000214 6841d006 - 1452690 ns MR4_I 01000218 d1fc07c9 - 1452690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1452770 ns MR4_D 40006004 00000001 - 1452770 ns R r1 00000001 - 1452770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1452790 ns MR4_I 0100021c 1c5b6002 - 1452790 ns R r1 80000000 - 1452790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1452810 ns R psr 81000200 - 1452830 ns MR4_I 01000214 6841d006 - 1452850 ns MR4_I 01000218 d1fc07c9 - 1452850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1452930 ns MR4_D 40006004 00000001 - 1452930 ns R r1 00000001 - 1452930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1452950 ns MR4_I 0100021c 1c5b6002 - 1452950 ns R r1 80000000 - 1452950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1452970 ns R psr 81000200 - 1452990 ns MR4_I 01000214 6841d006 - 1453010 ns MR4_I 01000218 d1fc07c9 - 1453010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1453090 ns MR4_D 40006004 00000001 - 1453090 ns R r1 00000001 - 1453090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1453110 ns MR4_I 0100021c 1c5b6002 - 1453110 ns R r1 80000000 - 1453110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1453130 ns R psr 81000200 - 1453150 ns MR4_I 01000214 6841d006 - 1453170 ns MR4_I 01000218 d1fc07c9 - 1453170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1453250 ns MR4_D 40006004 00000001 - 1453250 ns R r1 00000001 - 1453250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1453270 ns MR4_I 0100021c 1c5b6002 - 1453270 ns R r1 80000000 - 1453270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1453290 ns R psr 81000200 - 1453310 ns MR4_I 01000214 6841d006 - 1453330 ns MR4_I 01000218 d1fc07c9 - 1453330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1453410 ns MR4_D 40006004 00000001 - 1453410 ns R r1 00000001 - 1453410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1453430 ns MR4_I 0100021c 1c5b6002 - 1453430 ns R r1 80000000 - 1453430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1453450 ns R psr 81000200 - 1453470 ns MR4_I 01000214 6841d006 - 1453490 ns MR4_I 01000218 d1fc07c9 - 1453490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1453570 ns MR4_D 40006004 00000001 - 1453570 ns R r1 00000001 - 1453570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1453590 ns MR4_I 0100021c 1c5b6002 - 1453590 ns R r1 80000000 - 1453590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1453610 ns R psr 81000200 - 1453630 ns MR4_I 01000214 6841d006 - 1453650 ns MR4_I 01000218 d1fc07c9 - 1453650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1453730 ns MR4_D 40006004 00000001 - 1453730 ns R r1 00000001 - 1453730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1453750 ns MR4_I 0100021c 1c5b6002 - 1453750 ns R r1 80000000 - 1453750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1453770 ns R psr 81000200 - 1453790 ns MR4_I 01000214 6841d006 - 1453810 ns MR4_I 01000218 d1fc07c9 - 1453810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1453890 ns MR4_D 40006004 00000001 - 1453890 ns R r1 00000001 - 1453890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1453910 ns MR4_I 0100021c 1c5b6002 - 1453910 ns R r1 80000000 - 1453910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1453930 ns R psr 81000200 - 1453950 ns MR4_I 01000214 6841d006 - 1453970 ns MR4_I 01000218 d1fc07c9 - 1453970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1454050 ns MR4_D 40006004 00000001 - 1454050 ns R r1 00000001 - 1454050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1454070 ns MR4_I 0100021c 1c5b6002 - 1454070 ns R r1 80000000 - 1454070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1454090 ns R psr 81000200 - 1454110 ns MR4_I 01000214 6841d006 - 1454130 ns MR4_I 01000218 d1fc07c9 - 1454130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1454210 ns MR4_D 40006004 00000001 - 1454210 ns R r1 00000001 - 1454210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1454230 ns MR4_I 0100021c 1c5b6002 - 1454230 ns R r1 80000000 - 1454230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1454250 ns R psr 81000200 - 1454270 ns MR4_I 01000214 6841d006 - 1454290 ns MR4_I 01000218 d1fc07c9 - 1454290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1454370 ns MR4_D 40006004 00000001 - 1454370 ns R r1 00000001 - 1454370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1454390 ns MR4_I 0100021c 1c5b6002 - 1454390 ns R r1 80000000 - 1454390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1454410 ns R psr 81000200 - 1454430 ns MR4_I 01000214 6841d006 - 1454450 ns MR4_I 01000218 d1fc07c9 - 1454450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1454530 ns MR4_D 40006004 00000001 - 1454530 ns R r1 00000001 - 1454530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1454550 ns MR4_I 0100021c 1c5b6002 - 1454550 ns R r1 80000000 - 1454550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1454570 ns R psr 81000200 - 1454590 ns MR4_I 01000214 6841d006 - 1454610 ns MR4_I 01000218 d1fc07c9 - 1454610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1454690 ns MR4_D 40006004 00000001 - 1454690 ns R r1 00000001 - 1454690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1454710 ns MR4_I 0100021c 1c5b6002 - 1454710 ns R r1 80000000 - 1454710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1454730 ns R psr 81000200 - 1454750 ns MR4_I 01000214 6841d006 - 1454770 ns MR4_I 01000218 d1fc07c9 - 1454770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1454850 ns MR4_D 40006004 00000001 - 1454850 ns R r1 00000001 - 1454850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1454870 ns MR4_I 0100021c 1c5b6002 - 1454870 ns R r1 80000000 - 1454870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1454890 ns R psr 81000200 - 1454910 ns MR4_I 01000214 6841d006 - 1454930 ns MR4_I 01000218 d1fc07c9 - 1454930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1455010 ns MR4_D 40006004 00000001 - 1455010 ns R r1 00000001 - 1455010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1455030 ns MR4_I 0100021c 1c5b6002 - 1455030 ns R r1 80000000 - 1455030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1455050 ns R psr 81000200 - 1455070 ns MR4_I 01000214 6841d006 - 1455090 ns MR4_I 01000218 d1fc07c9 - 1455090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1455170 ns MR4_D 40006004 00000001 - 1455170 ns R r1 00000001 - 1455170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1455190 ns MR4_I 0100021c 1c5b6002 - 1455190 ns R r1 80000000 - 1455190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1455210 ns R psr 81000200 - 1455230 ns MR4_I 01000214 6841d006 - 1455250 ns MR4_I 01000218 d1fc07c9 - 1455250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1455330 ns MR4_D 40006004 00000001 - 1455330 ns R r1 00000001 - 1455330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1455350 ns MR4_I 0100021c 1c5b6002 - 1455350 ns R r1 80000000 - 1455350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1455370 ns R psr 81000200 - 1455390 ns MR4_I 01000214 6841d006 - 1455410 ns MR4_I 01000218 d1fc07c9 - 1455410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1455490 ns MR4_D 40006004 00000001 - 1455490 ns R r1 00000001 - 1455490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1455510 ns MR4_I 0100021c 1c5b6002 - 1455510 ns R r1 80000000 - 1455510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1455530 ns R psr 81000200 - 1455550 ns MR4_I 01000214 6841d006 - 1455570 ns MR4_I 01000218 d1fc07c9 - 1455570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1455650 ns MR4_D 40006004 00000001 - 1455650 ns R r1 00000001 - 1455650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1455670 ns MR4_I 0100021c 1c5b6002 - 1455670 ns R r1 80000000 - 1455670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1455690 ns R psr 81000200 - 1455710 ns MR4_I 01000214 6841d006 - 1455730 ns MR4_I 01000218 d1fc07c9 - 1455730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1455810 ns MR4_D 40006004 00000001 - 1455810 ns R r1 00000001 - 1455810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1455830 ns MR4_I 0100021c 1c5b6002 - 1455830 ns R r1 80000000 - 1455830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1455850 ns R psr 81000200 - 1455870 ns MR4_I 01000214 6841d006 - 1455890 ns MR4_I 01000218 d1fc07c9 - 1455890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1455970 ns MR4_D 40006004 00000001 - 1455970 ns R r1 00000001 - 1455970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1455990 ns MR4_I 0100021c 1c5b6002 - 1455990 ns R r1 80000000 - 1455990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1456010 ns R psr 81000200 - 1456030 ns MR4_I 01000214 6841d006 - 1456050 ns MR4_I 01000218 d1fc07c9 - 1456050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1456130 ns MR4_D 40006004 00000001 - 1456130 ns R r1 00000001 - 1456130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1456150 ns MR4_I 0100021c 1c5b6002 - 1456150 ns R r1 80000000 - 1456150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1456170 ns R psr 81000200 - 1456190 ns MR4_I 01000214 6841d006 - 1456210 ns MR4_I 01000218 d1fc07c9 - 1456210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1456290 ns MR4_D 40006004 00000001 - 1456290 ns R r1 00000001 - 1456290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1456310 ns MR4_I 0100021c 1c5b6002 - 1456310 ns R r1 80000000 - 1456310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1456330 ns R psr 81000200 - 1456350 ns MR4_I 01000214 6841d006 - 1456370 ns MR4_I 01000218 d1fc07c9 - 1456370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1456450 ns MR4_D 40006004 00000001 - 1456450 ns R r1 00000001 - 1456450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1456470 ns MR4_I 0100021c 1c5b6002 - 1456470 ns R r1 80000000 - 1456470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1456490 ns R psr 81000200 - 1456510 ns MR4_I 01000214 6841d006 - 1456530 ns MR4_I 01000218 d1fc07c9 - 1456530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1456610 ns MR4_D 40006004 00000001 - 1456610 ns R r1 00000001 - 1456610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1456630 ns MR4_I 0100021c 1c5b6002 - 1456630 ns R r1 80000000 - 1456630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1456650 ns R psr 81000200 - 1456670 ns MR4_I 01000214 6841d006 - 1456690 ns MR4_I 01000218 d1fc07c9 - 1456690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1456770 ns MR4_D 40006004 00000001 - 1456770 ns R r1 00000001 - 1456770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1456790 ns MR4_I 0100021c 1c5b6002 - 1456790 ns R r1 80000000 - 1456790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1456810 ns R psr 81000200 - 1456830 ns MR4_I 01000214 6841d006 - 1456850 ns MR4_I 01000218 d1fc07c9 - 1456850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1456930 ns MR4_D 40006004 00000001 - 1456930 ns R r1 00000001 - 1456930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1456950 ns MR4_I 0100021c 1c5b6002 - 1456950 ns R r1 80000000 - 1456950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1456970 ns R psr 81000200 - 1456990 ns MR4_I 01000214 6841d006 - 1457010 ns MR4_I 01000218 d1fc07c9 - 1457010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1457090 ns MR4_D 40006004 00000001 - 1457090 ns R r1 00000001 - 1457090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1457110 ns MR4_I 0100021c 1c5b6002 - 1457110 ns R r1 80000000 - 1457110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1457130 ns R psr 81000200 - 1457150 ns MR4_I 01000214 6841d006 - 1457170 ns MR4_I 01000218 d1fc07c9 - 1457170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1457250 ns MR4_D 40006004 00000001 - 1457250 ns R r1 00000001 - 1457250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1457270 ns MR4_I 0100021c 1c5b6002 - 1457270 ns R r1 80000000 - 1457270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1457290 ns R psr 81000200 - 1457310 ns MR4_I 01000214 6841d006 - 1457330 ns MR4_I 01000218 d1fc07c9 - 1457330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1457410 ns MR4_D 40006004 00000001 - 1457410 ns R r1 00000001 - 1457410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1457430 ns MR4_I 0100021c 1c5b6002 - 1457430 ns R r1 80000000 - 1457430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1457450 ns R psr 81000200 - 1457470 ns MR4_I 01000214 6841d006 - 1457490 ns MR4_I 01000218 d1fc07c9 - 1457490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1457570 ns MR4_D 40006004 00000001 - 1457570 ns R r1 00000001 - 1457570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1457590 ns MR4_I 0100021c 1c5b6002 - 1457590 ns R r1 80000000 - 1457590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1457610 ns R psr 81000200 - 1457630 ns MR4_I 01000214 6841d006 - 1457650 ns MR4_I 01000218 d1fc07c9 - 1457650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1457730 ns MR4_D 40006004 00000001 - 1457730 ns R r1 00000001 - 1457730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1457750 ns MR4_I 0100021c 1c5b6002 - 1457750 ns R r1 80000000 - 1457750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1457770 ns R psr 81000200 - 1457790 ns MR4_I 01000214 6841d006 - 1457810 ns MR4_I 01000218 d1fc07c9 - 1457810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1457890 ns MR4_D 40006004 00000001 - 1457890 ns R r1 00000001 - 1457890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1457910 ns MR4_I 0100021c 1c5b6002 - 1457910 ns R r1 80000000 - 1457910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1457930 ns R psr 81000200 - 1457950 ns MR4_I 01000214 6841d006 - 1457970 ns MR4_I 01000218 d1fc07c9 - 1457970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1458050 ns MR4_D 40006004 00000001 - 1458050 ns R r1 00000001 - 1458050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1458070 ns MR4_I 0100021c 1c5b6002 - 1458070 ns R r1 80000000 - 1458070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1458090 ns R psr 81000200 - 1458110 ns MR4_I 01000214 6841d006 - 1458130 ns MR4_I 01000218 d1fc07c9 - 1458130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1458210 ns MR4_D 40006004 00000001 - 1458210 ns R r1 00000001 - 1458210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1458230 ns MR4_I 0100021c 1c5b6002 - 1458230 ns R r1 80000000 - 1458230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1458250 ns R psr 81000200 - 1458270 ns MR4_I 01000214 6841d006 - 1458290 ns MR4_I 01000218 d1fc07c9 - 1458290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1458370 ns MR4_D 40006004 00000001 - 1458370 ns R r1 00000001 - 1458370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1458390 ns MR4_I 0100021c 1c5b6002 - 1458390 ns R r1 80000000 - 1458390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1458410 ns R psr 81000200 - 1458430 ns MR4_I 01000214 6841d006 - 1458450 ns MR4_I 01000218 d1fc07c9 - 1458450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1458530 ns MR4_D 40006004 00000001 - 1458530 ns R r1 00000001 - 1458530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1458550 ns MR4_I 0100021c 1c5b6002 - 1458550 ns R r1 80000000 - 1458550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1458570 ns R psr 81000200 - 1458590 ns MR4_I 01000214 6841d006 - 1458610 ns MR4_I 01000218 d1fc07c9 - 1458610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1458690 ns MR4_D 40006004 00000001 - 1458690 ns R r1 00000001 - 1458690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1458710 ns MR4_I 0100021c 1c5b6002 - 1458710 ns R r1 80000000 - 1458710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1458730 ns R psr 81000200 - 1458750 ns MR4_I 01000214 6841d006 - 1458770 ns MR4_I 01000218 d1fc07c9 - 1458770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1458850 ns MR4_D 40006004 00000001 - 1458850 ns R r1 00000001 - 1458850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1458870 ns MR4_I 0100021c 1c5b6002 - 1458870 ns R r1 80000000 - 1458870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1458890 ns R psr 81000200 - 1458910 ns MR4_I 01000214 6841d006 - 1458930 ns MR4_I 01000218 d1fc07c9 - 1458930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1459010 ns MR4_D 40006004 00000001 - 1459010 ns R r1 00000001 - 1459010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1459030 ns MR4_I 0100021c 1c5b6002 - 1459030 ns R r1 80000000 - 1459030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1459050 ns R psr 81000200 - 1459070 ns MR4_I 01000214 6841d006 - 1459090 ns MR4_I 01000218 d1fc07c9 - 1459090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1459170 ns MR4_D 40006004 00000001 - 1459170 ns R r1 00000001 - 1459170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1459190 ns MR4_I 0100021c 1c5b6002 - 1459190 ns R r1 80000000 - 1459190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1459210 ns R psr 81000200 - 1459230 ns MR4_I 01000214 6841d006 - 1459250 ns MR4_I 01000218 d1fc07c9 - 1459250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1459330 ns MR4_D 40006004 00000001 - 1459330 ns R r1 00000001 - 1459330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1459350 ns MR4_I 0100021c 1c5b6002 - 1459350 ns R r1 80000000 - 1459350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1459370 ns R psr 81000200 - 1459390 ns MR4_I 01000214 6841d006 - 1459410 ns MR4_I 01000218 d1fc07c9 - 1459410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1459490 ns MR4_D 40006004 00000001 - 1459490 ns R r1 00000001 - 1459490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1459510 ns MR4_I 0100021c 1c5b6002 - 1459510 ns R r1 80000000 - 1459510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1459530 ns R psr 81000200 - 1459550 ns MR4_I 01000214 6841d006 - 1459570 ns MR4_I 01000218 d1fc07c9 - 1459570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1459650 ns MR4_D 40006004 00000001 - 1459650 ns R r1 00000001 - 1459650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1459670 ns MR4_I 0100021c 1c5b6002 - 1459670 ns R r1 80000000 - 1459670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1459690 ns R psr 81000200 - 1459710 ns MR4_I 01000214 6841d006 - 1459730 ns MR4_I 01000218 d1fc07c9 - 1459730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1459810 ns MR4_D 40006004 00000001 - 1459810 ns R r1 00000001 - 1459810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1459830 ns MR4_I 0100021c 1c5b6002 - 1459830 ns R r1 80000000 - 1459830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1459850 ns R psr 81000200 - 1459870 ns MR4_I 01000214 6841d006 - 1459890 ns MR4_I 01000218 d1fc07c9 - 1459890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1459970 ns MR4_D 40006004 00000001 - 1459970 ns R r1 00000001 - 1459970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1459990 ns MR4_I 0100021c 1c5b6002 - 1459990 ns R r1 80000000 - 1459990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1460010 ns R psr 81000200 - 1460030 ns MR4_I 01000214 6841d006 - 1460050 ns MR4_I 01000218 d1fc07c9 - 1460050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1460130 ns MR4_D 40006004 00000001 - 1460130 ns R r1 00000001 - 1460130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1460150 ns MR4_I 0100021c 1c5b6002 - 1460150 ns R r1 80000000 - 1460150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1460170 ns R psr 81000200 - 1460190 ns MR4_I 01000214 6841d006 - 1460210 ns MR4_I 01000218 d1fc07c9 - 1460210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1460290 ns MR4_D 40006004 00000001 - 1460290 ns R r1 00000001 - 1460290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1460310 ns MR4_I 0100021c 1c5b6002 - 1460310 ns R r1 80000000 - 1460310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1460330 ns R psr 81000200 - 1460350 ns MR4_I 01000214 6841d006 - 1460370 ns MR4_I 01000218 d1fc07c9 - 1460370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1460450 ns MR4_D 40006004 00000001 - 1460450 ns R r1 00000001 - 1460450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1460470 ns MR4_I 0100021c 1c5b6002 - 1460470 ns R r1 80000000 - 1460470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1460490 ns R psr 81000200 - 1460510 ns MR4_I 01000214 6841d006 - 1460530 ns MR4_I 01000218 d1fc07c9 - 1460530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1460610 ns MR4_D 40006004 00000001 - 1460610 ns R r1 00000001 - 1460610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1460630 ns MR4_I 0100021c 1c5b6002 - 1460630 ns R r1 80000000 - 1460630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1460650 ns R psr 81000200 - 1460670 ns MR4_I 01000214 6841d006 - 1460690 ns MR4_I 01000218 d1fc07c9 - 1460690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1460770 ns MR4_D 40006004 00000001 - 1460770 ns R r1 00000001 - 1460770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1460790 ns MR4_I 0100021c 1c5b6002 - 1460790 ns R r1 80000000 - 1460790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1460810 ns R psr 81000200 - 1460830 ns MR4_I 01000214 6841d006 - 1460850 ns MR4_I 01000218 d1fc07c9 - 1460850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1460930 ns MR4_D 40006004 00000001 - 1460930 ns R r1 00000001 - 1460930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1460950 ns MR4_I 0100021c 1c5b6002 - 1460950 ns R r1 80000000 - 1460950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1460970 ns R psr 81000200 - 1460990 ns MR4_I 01000214 6841d006 - 1461010 ns MR4_I 01000218 d1fc07c9 - 1461010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1461090 ns MR4_D 40006004 00000001 - 1461090 ns R r1 00000001 - 1461090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1461110 ns MR4_I 0100021c 1c5b6002 - 1461110 ns R r1 80000000 - 1461110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1461130 ns R psr 81000200 - 1461150 ns MR4_I 01000214 6841d006 - 1461170 ns MR4_I 01000218 d1fc07c9 - 1461170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1461250 ns MR4_D 40006004 00000001 - 1461250 ns R r1 00000001 - 1461250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1461270 ns MR4_I 0100021c 1c5b6002 - 1461270 ns R r1 80000000 - 1461270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1461290 ns R psr 81000200 - 1461310 ns MR4_I 01000214 6841d006 - 1461330 ns MR4_I 01000218 d1fc07c9 - 1461330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1461410 ns MR4_D 40006004 00000001 - 1461410 ns R r1 00000001 - 1461410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1461430 ns MR4_I 0100021c 1c5b6002 - 1461430 ns R r1 80000000 - 1461430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1461450 ns R psr 81000200 - 1461470 ns MR4_I 01000214 6841d006 - 1461490 ns MR4_I 01000218 d1fc07c9 - 1461490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1461570 ns MR4_D 40006004 00000001 - 1461570 ns R r1 00000001 - 1461570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1461590 ns MR4_I 0100021c 1c5b6002 - 1461590 ns R r1 80000000 - 1461590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1461610 ns R psr 81000200 - 1461630 ns MR4_I 01000214 6841d006 - 1461650 ns MR4_I 01000218 d1fc07c9 - 1461650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1461730 ns MR4_D 40006004 00000001 - 1461730 ns R r1 00000001 - 1461730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1461750 ns MR4_I 0100021c 1c5b6002 - 1461750 ns R r1 80000000 - 1461750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1461770 ns R psr 81000200 - 1461790 ns MR4_I 01000214 6841d006 - 1461810 ns MR4_I 01000218 d1fc07c9 - 1461810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1461890 ns MR4_D 40006004 00000001 - 1461890 ns R r1 00000001 - 1461890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1461910 ns MR4_I 0100021c 1c5b6002 - 1461910 ns R r1 80000000 - 1461910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1461930 ns R psr 81000200 - 1461950 ns MR4_I 01000214 6841d006 - 1461970 ns MR4_I 01000218 d1fc07c9 - 1461970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1462050 ns MR4_D 40006004 00000001 - 1462050 ns R r1 00000001 - 1462050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1462070 ns MR4_I 0100021c 1c5b6002 - 1462070 ns R r1 80000000 - 1462070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1462090 ns R psr 81000200 - 1462110 ns MR4_I 01000214 6841d006 - 1462130 ns MR4_I 01000218 d1fc07c9 - 1462130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1462210 ns MR4_D 40006004 00000001 - 1462210 ns R r1 00000001 - 1462210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1462230 ns MR4_I 0100021c 1c5b6002 - 1462230 ns R r1 80000000 - 1462230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1462250 ns R psr 81000200 - 1462270 ns MR4_I 01000214 6841d006 - 1462290 ns MR4_I 01000218 d1fc07c9 - 1462290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1462370 ns MR4_D 40006004 00000001 - 1462370 ns R r1 00000001 - 1462370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1462390 ns MR4_I 0100021c 1c5b6002 - 1462390 ns R r1 80000000 - 1462390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1462410 ns R psr 81000200 - 1462430 ns MR4_I 01000214 6841d006 - 1462450 ns MR4_I 01000218 d1fc07c9 - 1462450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1462530 ns MR4_D 40006004 00000001 - 1462530 ns R r1 00000001 - 1462530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1462550 ns MR4_I 0100021c 1c5b6002 - 1462550 ns R r1 80000000 - 1462550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1462570 ns R psr 81000200 - 1462590 ns MR4_I 01000214 6841d006 - 1462610 ns MR4_I 01000218 d1fc07c9 - 1462610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1462690 ns MR4_D 40006004 00000001 - 1462690 ns R r1 00000001 - 1462690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1462710 ns MR4_I 0100021c 1c5b6002 - 1462710 ns R r1 80000000 - 1462710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1462730 ns R psr 81000200 - 1462750 ns MR4_I 01000214 6841d006 - 1462770 ns MR4_I 01000218 d1fc07c9 - 1462770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1462850 ns MR4_D 40006004 00000001 - 1462850 ns R r1 00000001 - 1462850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1462870 ns MR4_I 0100021c 1c5b6002 - 1462870 ns R r1 80000000 - 1462870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1462890 ns R psr 81000200 - 1462910 ns MR4_I 01000214 6841d006 - 1462930 ns MR4_I 01000218 d1fc07c9 - 1462930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1463010 ns MR4_D 40006004 00000001 - 1463010 ns R r1 00000001 - 1463010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1463030 ns MR4_I 0100021c 1c5b6002 - 1463030 ns R r1 80000000 - 1463030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1463050 ns R psr 81000200 - 1463070 ns MR4_I 01000214 6841d006 - 1463090 ns MR4_I 01000218 d1fc07c9 - 1463090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1463170 ns MR4_D 40006004 00000001 - 1463170 ns R r1 00000001 - 1463170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1463190 ns MR4_I 0100021c 1c5b6002 - 1463190 ns R r1 80000000 - 1463190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1463210 ns R psr 81000200 - 1463230 ns MR4_I 01000214 6841d006 - 1463250 ns MR4_I 01000218 d1fc07c9 - 1463250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1463330 ns MR4_D 40006004 00000001 - 1463330 ns R r1 00000001 - 1463330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1463350 ns MR4_I 0100021c 1c5b6002 - 1463350 ns R r1 80000000 - 1463350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1463370 ns R psr 81000200 - 1463390 ns MR4_I 01000214 6841d006 - 1463410 ns MR4_I 01000218 d1fc07c9 - 1463410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1463490 ns MR4_D 40006004 00000001 - 1463490 ns R r1 00000001 - 1463490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1463510 ns MR4_I 0100021c 1c5b6002 - 1463510 ns R r1 80000000 - 1463510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1463530 ns R psr 81000200 - 1463550 ns MR4_I 01000214 6841d006 - 1463570 ns MR4_I 01000218 d1fc07c9 - 1463570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1463650 ns MR4_D 40006004 00000001 - 1463650 ns R r1 00000001 - 1463650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1463670 ns MR4_I 0100021c 1c5b6002 - 1463670 ns R r1 80000000 - 1463670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1463690 ns R psr 81000200 - 1463710 ns MR4_I 01000214 6841d006 - 1463730 ns MR4_I 01000218 d1fc07c9 - 1463730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1463810 ns MR4_D 40006004 00000001 - 1463810 ns R r1 00000001 - 1463810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1463830 ns MR4_I 0100021c 1c5b6002 - 1463830 ns R r1 80000000 - 1463830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1463850 ns R psr 81000200 - 1463870 ns MR4_I 01000214 6841d006 - 1463890 ns MR4_I 01000218 d1fc07c9 - 1463890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1463970 ns MR4_D 40006004 00000001 - 1463970 ns R r1 00000001 - 1463970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1463990 ns MR4_I 0100021c 1c5b6002 - 1463990 ns R r1 80000000 - 1463990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1464010 ns R psr 81000200 - 1464030 ns MR4_I 01000214 6841d006 - 1464050 ns MR4_I 01000218 d1fc07c9 - 1464050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1464130 ns MR4_D 40006004 00000001 - 1464130 ns R r1 00000001 - 1464130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1464150 ns MR4_I 0100021c 1c5b6002 - 1464150 ns R r1 80000000 - 1464150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1464170 ns R psr 81000200 - 1464190 ns MR4_I 01000214 6841d006 - 1464210 ns MR4_I 01000218 d1fc07c9 - 1464210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1464290 ns MR4_D 40006004 00000001 - 1464290 ns R r1 00000001 - 1464290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1464310 ns MR4_I 0100021c 1c5b6002 - 1464310 ns R r1 80000000 - 1464310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1464330 ns R psr 81000200 - 1464350 ns MR4_I 01000214 6841d006 - 1464370 ns MR4_I 01000218 d1fc07c9 - 1464370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1464450 ns MR4_D 40006004 00000001 - 1464450 ns R r1 00000001 - 1464450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1464470 ns MR4_I 0100021c 1c5b6002 - 1464470 ns R r1 80000000 - 1464470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1464490 ns R psr 81000200 - 1464510 ns MR4_I 01000214 6841d006 - 1464530 ns MR4_I 01000218 d1fc07c9 - 1464530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1464610 ns MR4_D 40006004 00000001 - 1464610 ns R r1 00000001 - 1464610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1464630 ns MR4_I 0100021c 1c5b6002 - 1464630 ns R r1 80000000 - 1464630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1464650 ns R psr 81000200 - 1464670 ns MR4_I 01000214 6841d006 - 1464690 ns MR4_I 01000218 d1fc07c9 - 1464690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1464770 ns MR4_D 40006004 00000001 - 1464770 ns R r1 00000001 - 1464770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1464790 ns MR4_I 0100021c 1c5b6002 - 1464790 ns R r1 80000000 - 1464790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1464810 ns R psr 81000200 - 1464830 ns MR4_I 01000214 6841d006 - 1464850 ns MR4_I 01000218 d1fc07c9 - 1464850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1464930 ns MR4_D 40006004 00000001 - 1464930 ns R r1 00000001 - 1464930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1464950 ns MR4_I 0100021c 1c5b6002 - 1464950 ns R r1 80000000 - 1464950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1464970 ns R psr 81000200 - 1464990 ns MR4_I 01000214 6841d006 - 1465010 ns MR4_I 01000218 d1fc07c9 - 1465010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1465090 ns MR4_D 40006004 00000001 - 1465090 ns R r1 00000001 - 1465090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1465110 ns MR4_I 0100021c 1c5b6002 - 1465110 ns R r1 80000000 - 1465110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1465130 ns R psr 81000200 - 1465150 ns MR4_I 01000214 6841d006 - 1465170 ns MR4_I 01000218 d1fc07c9 - 1465170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1465250 ns MR4_D 40006004 00000001 - 1465250 ns R r1 00000001 - 1465250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1465270 ns MR4_I 0100021c 1c5b6002 - 1465270 ns R r1 80000000 - 1465270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1465290 ns R psr 81000200 - 1465310 ns MR4_I 01000214 6841d006 - 1465330 ns MR4_I 01000218 d1fc07c9 - 1465330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1465410 ns MR4_D 40006004 00000001 - 1465410 ns R r1 00000001 - 1465410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1465430 ns MR4_I 0100021c 1c5b6002 - 1465430 ns R r1 80000000 - 1465430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1465450 ns R psr 81000200 - 1465470 ns MR4_I 01000214 6841d006 - 1465490 ns MR4_I 01000218 d1fc07c9 - 1465490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1465570 ns MR4_D 40006004 00000001 - 1465570 ns R r1 00000001 - 1465570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1465590 ns MR4_I 0100021c 1c5b6002 - 1465590 ns R r1 80000000 - 1465590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1465610 ns R psr 81000200 - 1465630 ns MR4_I 01000214 6841d006 - 1465650 ns MR4_I 01000218 d1fc07c9 - 1465650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1465730 ns MR4_D 40006004 00000001 - 1465730 ns R r1 00000001 - 1465730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1465750 ns MR4_I 0100021c 1c5b6002 - 1465750 ns R r1 80000000 - 1465750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1465770 ns R psr 81000200 - 1465790 ns MR4_I 01000214 6841d006 - 1465810 ns MR4_I 01000218 d1fc07c9 - 1465810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1465890 ns MR4_D 40006004 00000001 - 1465890 ns R r1 00000001 - 1465890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1465910 ns MR4_I 0100021c 1c5b6002 - 1465910 ns R r1 80000000 - 1465910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1465930 ns R psr 81000200 - 1465950 ns MR4_I 01000214 6841d006 - 1465970 ns MR4_I 01000218 d1fc07c9 - 1465970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1466050 ns MR4_D 40006004 00000001 - 1466050 ns R r1 00000001 - 1466050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1466070 ns MR4_I 0100021c 1c5b6002 - 1466070 ns R r1 80000000 - 1466070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1466090 ns R psr 81000200 - 1466110 ns MR4_I 01000214 6841d006 - 1466130 ns MR4_I 01000218 d1fc07c9 - 1466130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1466210 ns MR4_D 40006004 00000001 - 1466210 ns R r1 00000001 - 1466210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1466230 ns MR4_I 0100021c 1c5b6002 - 1466230 ns R r1 80000000 - 1466230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1466250 ns R psr 81000200 - 1466270 ns MR4_I 01000214 6841d006 - 1466290 ns MR4_I 01000218 d1fc07c9 - 1466290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1466370 ns MR4_D 40006004 00000001 - 1466370 ns R r1 00000001 - 1466370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1466390 ns MR4_I 0100021c 1c5b6002 - 1466390 ns R r1 80000000 - 1466390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1466410 ns R psr 81000200 - 1466430 ns MR4_I 01000214 6841d006 - 1466450 ns MR4_I 01000218 d1fc07c9 - 1466450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1466530 ns MR4_D 40006004 00000001 - 1466530 ns R r1 00000001 - 1466530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1466550 ns MR4_I 0100021c 1c5b6002 - 1466550 ns R r1 80000000 - 1466550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1466570 ns R psr 81000200 - 1466590 ns MR4_I 01000214 6841d006 - 1466610 ns MR4_I 01000218 d1fc07c9 - 1466610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1466690 ns MR4_D 40006004 00000001 - 1466690 ns R r1 00000001 - 1466690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1466710 ns MR4_I 0100021c 1c5b6002 - 1466710 ns R r1 80000000 - 1466710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1466730 ns R psr 81000200 - 1466750 ns MR4_I 01000214 6841d006 - 1466770 ns MR4_I 01000218 d1fc07c9 - 1466770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1466850 ns MR4_D 40006004 00000001 - 1466850 ns R r1 00000001 - 1466850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1466870 ns MR4_I 0100021c 1c5b6002 - 1466870 ns R r1 80000000 - 1466870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1466890 ns R psr 81000200 - 1466910 ns MR4_I 01000214 6841d006 - 1466930 ns MR4_I 01000218 d1fc07c9 - 1466930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1467010 ns MR4_D 40006004 00000001 - 1467010 ns R r1 00000001 - 1467010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1467030 ns MR4_I 0100021c 1c5b6002 - 1467030 ns R r1 80000000 - 1467030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1467050 ns R psr 81000200 - 1467070 ns MR4_I 01000214 6841d006 - 1467090 ns MR4_I 01000218 d1fc07c9 - 1467090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1467170 ns MR4_D 40006004 00000001 - 1467170 ns R r1 00000001 - 1467170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1467190 ns MR4_I 0100021c 1c5b6002 - 1467190 ns R r1 80000000 - 1467190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1467210 ns R psr 81000200 - 1467230 ns MR4_I 01000214 6841d006 - 1467250 ns MR4_I 01000218 d1fc07c9 - 1467250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1467330 ns MR4_D 40006004 00000001 - 1467330 ns R r1 00000001 - 1467330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1467350 ns MR4_I 0100021c 1c5b6002 - 1467350 ns R r1 80000000 - 1467350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1467370 ns R psr 81000200 - 1467390 ns MR4_I 01000214 6841d006 - 1467410 ns MR4_I 01000218 d1fc07c9 - 1467410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1467490 ns MR4_D 40006004 00000001 - 1467490 ns R r1 00000001 - 1467490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1467510 ns MR4_I 0100021c 1c5b6002 - 1467510 ns R r1 80000000 - 1467510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1467530 ns R psr 81000200 - 1467550 ns MR4_I 01000214 6841d006 - 1467570 ns MR4_I 01000218 d1fc07c9 - 1467570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1467650 ns MR4_D 40006004 00000001 - 1467650 ns R r1 00000001 - 1467650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1467670 ns MR4_I 0100021c 1c5b6002 - 1467670 ns R r1 80000000 - 1467670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1467690 ns R psr 81000200 - 1467710 ns MR4_I 01000214 6841d006 - 1467730 ns MR4_I 01000218 d1fc07c9 - 1467730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1467810 ns MR4_D 40006004 00000001 - 1467810 ns R r1 00000001 - 1467810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1467830 ns MR4_I 0100021c 1c5b6002 - 1467830 ns R r1 80000000 - 1467830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1467850 ns R psr 81000200 - 1467870 ns MR4_I 01000214 6841d006 - 1467890 ns MR4_I 01000218 d1fc07c9 - 1467890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1467970 ns MR4_D 40006004 00000001 - 1467970 ns R r1 00000001 - 1467970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1467990 ns MR4_I 0100021c 1c5b6002 - 1467990 ns R r1 80000000 - 1467990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1468010 ns R psr 81000200 - 1468030 ns MR4_I 01000214 6841d006 - 1468050 ns MR4_I 01000218 d1fc07c9 - 1468050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1468130 ns MR4_D 40006004 00000001 - 1468130 ns R r1 00000001 - 1468130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1468150 ns MR4_I 0100021c 1c5b6002 - 1468150 ns R r1 80000000 - 1468150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1468170 ns R psr 81000200 - 1468190 ns MR4_I 01000214 6841d006 - 1468210 ns MR4_I 01000218 d1fc07c9 - 1468210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1468290 ns MR4_D 40006004 00000001 - 1468290 ns R r1 00000001 - 1468290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1468310 ns MR4_I 0100021c 1c5b6002 - 1468310 ns R r1 80000000 - 1468310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1468330 ns R psr 81000200 - 1468350 ns MR4_I 01000214 6841d006 - 1468370 ns MR4_I 01000218 d1fc07c9 - 1468370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1468450 ns MR4_D 40006004 00000001 - 1468450 ns R r1 00000001 - 1468450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1468470 ns MR4_I 0100021c 1c5b6002 - 1468470 ns R r1 80000000 - 1468470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1468490 ns R psr 81000200 - 1468510 ns MR4_I 01000214 6841d006 - 1468530 ns MR4_I 01000218 d1fc07c9 - 1468530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1468610 ns MR4_D 40006004 00000001 - 1468610 ns R r1 00000001 - 1468610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1468630 ns MR4_I 0100021c 1c5b6002 - 1468630 ns R r1 80000000 - 1468630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1468650 ns R psr 81000200 - 1468670 ns MR4_I 01000214 6841d006 - 1468690 ns MR4_I 01000218 d1fc07c9 - 1468690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1468770 ns MR4_D 40006004 00000001 - 1468770 ns R r1 00000001 - 1468770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1468790 ns MR4_I 0100021c 1c5b6002 - 1468790 ns R r1 80000000 - 1468790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1468810 ns R psr 81000200 - 1468830 ns MR4_I 01000214 6841d006 - 1468850 ns MR4_I 01000218 d1fc07c9 - 1468850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1468930 ns MR4_D 40006004 00000001 - 1468930 ns R r1 00000001 - 1468930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1468950 ns MR4_I 0100021c 1c5b6002 - 1468950 ns R r1 80000000 - 1468950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1468970 ns R psr 81000200 - 1468990 ns MR4_I 01000214 6841d006 - 1469010 ns MR4_I 01000218 d1fc07c9 - 1469010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1469090 ns MR4_D 40006004 00000001 - 1469090 ns R r1 00000001 - 1469090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1469110 ns MR4_I 0100021c 1c5b6002 - 1469110 ns R r1 80000000 - 1469110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1469130 ns R psr 81000200 - 1469150 ns MR4_I 01000214 6841d006 - 1469170 ns MR4_I 01000218 d1fc07c9 - 1469170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1469250 ns MR4_D 40006004 00000001 - 1469250 ns R r1 00000001 - 1469250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1469270 ns MR4_I 0100021c 1c5b6002 - 1469270 ns R r1 80000000 - 1469270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1469290 ns R psr 81000200 - 1469310 ns MR4_I 01000214 6841d006 - 1469330 ns MR4_I 01000218 d1fc07c9 - 1469330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1469410 ns MR4_D 40006004 00000001 - 1469410 ns R r1 00000001 - 1469410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1469430 ns MR4_I 0100021c 1c5b6002 - 1469430 ns R r1 80000000 - 1469430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1469450 ns R psr 81000200 - 1469470 ns MR4_I 01000214 6841d006 - 1469490 ns MR4_I 01000218 d1fc07c9 - 1469490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1469570 ns MR4_D 40006004 00000001 - 1469570 ns R r1 00000001 - 1469570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1469590 ns MR4_I 0100021c 1c5b6002 - 1469590 ns R r1 80000000 - 1469590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1469610 ns R psr 81000200 - 1469630 ns MR4_I 01000214 6841d006 - 1469650 ns MR4_I 01000218 d1fc07c9 - 1469650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1469730 ns MR4_D 40006004 00000001 - 1469730 ns R r1 00000001 - 1469730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1469750 ns MR4_I 0100021c 1c5b6002 - 1469750 ns R r1 80000000 - 1469750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1469770 ns R psr 81000200 - 1469790 ns MR4_I 01000214 6841d006 - 1469810 ns MR4_I 01000218 d1fc07c9 - 1469810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1469890 ns MR4_D 40006004 00000001 - 1469890 ns R r1 00000001 - 1469890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1469910 ns MR4_I 0100021c 1c5b6002 - 1469910 ns R r1 80000000 - 1469910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1469930 ns R psr 81000200 - 1469950 ns MR4_I 01000214 6841d006 - 1469970 ns MR4_I 01000218 d1fc07c9 - 1469970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1470050 ns MR4_D 40006004 00000001 - 1470050 ns R r1 00000001 - 1470050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1470070 ns MR4_I 0100021c 1c5b6002 - 1470070 ns R r1 80000000 - 1470070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1470090 ns R psr 81000200 - 1470110 ns MR4_I 01000214 6841d006 - 1470130 ns MR4_I 01000218 d1fc07c9 - 1470130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1470210 ns MR4_D 40006004 00000001 - 1470210 ns R r1 00000001 - 1470210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1470230 ns MR4_I 0100021c 1c5b6002 - 1470230 ns R r1 80000000 - 1470230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1470250 ns R psr 81000200 - 1470270 ns MR4_I 01000214 6841d006 - 1470290 ns MR4_I 01000218 d1fc07c9 - 1470290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1470370 ns MR4_D 40006004 00000001 - 1470370 ns R r1 00000001 - 1470370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1470390 ns MR4_I 0100021c 1c5b6002 - 1470390 ns R r1 80000000 - 1470390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1470410 ns R psr 81000200 - 1470430 ns MR4_I 01000214 6841d006 - 1470450 ns MR4_I 01000218 d1fc07c9 - 1470450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1470530 ns MR4_D 40006004 00000001 - 1470530 ns R r1 00000001 - 1470530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1470550 ns MR4_I 0100021c 1c5b6002 - 1470550 ns R r1 80000000 - 1470550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1470570 ns R psr 81000200 - 1470590 ns MR4_I 01000214 6841d006 - 1470610 ns MR4_I 01000218 d1fc07c9 - 1470610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1470690 ns MR4_D 40006004 00000001 - 1470690 ns R r1 00000001 - 1470690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1470710 ns MR4_I 0100021c 1c5b6002 - 1470710 ns R r1 80000000 - 1470710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1470730 ns R psr 81000200 - 1470750 ns MR4_I 01000214 6841d006 - 1470770 ns MR4_I 01000218 d1fc07c9 - 1470770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1470850 ns MR4_D 40006004 00000001 - 1470850 ns R r1 00000001 - 1470850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1470870 ns MR4_I 0100021c 1c5b6002 - 1470870 ns R r1 80000000 - 1470870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1470890 ns R psr 81000200 - 1470910 ns MR4_I 01000214 6841d006 - 1470930 ns MR4_I 01000218 d1fc07c9 - 1470930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1471010 ns MR4_D 40006004 00000001 - 1471010 ns R r1 00000001 - 1471010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1471030 ns MR4_I 0100021c 1c5b6002 - 1471030 ns R r1 80000000 - 1471030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1471050 ns R psr 81000200 - 1471070 ns MR4_I 01000214 6841d006 - 1471090 ns MR4_I 01000218 d1fc07c9 - 1471090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1471170 ns MR4_D 40006004 00000001 - 1471170 ns R r1 00000001 - 1471170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1471190 ns MR4_I 0100021c 1c5b6002 - 1471190 ns R r1 80000000 - 1471190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1471210 ns R psr 81000200 - 1471230 ns MR4_I 01000214 6841d006 - 1471250 ns MR4_I 01000218 d1fc07c9 - 1471250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1471330 ns MR4_D 40006004 00000001 - 1471330 ns R r1 00000001 - 1471330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1471350 ns MR4_I 0100021c 1c5b6002 - 1471350 ns R r1 80000000 - 1471350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1471370 ns R psr 81000200 - 1471390 ns MR4_I 01000214 6841d006 - 1471410 ns MR4_I 01000218 d1fc07c9 - 1471410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1471490 ns MR4_D 40006004 00000001 - 1471490 ns R r1 00000001 - 1471490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1471510 ns MR4_I 0100021c 1c5b6002 - 1471510 ns R r1 80000000 - 1471510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1471530 ns R psr 81000200 - 1471550 ns MR4_I 01000214 6841d006 - 1471570 ns MR4_I 01000218 d1fc07c9 - 1471570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1471650 ns MR4_D 40006004 00000001 - 1471650 ns R r1 00000001 - 1471650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1471670 ns MR4_I 0100021c 1c5b6002 - 1471670 ns R r1 80000000 - 1471670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1471690 ns R psr 81000200 - 1471710 ns MR4_I 01000214 6841d006 - 1471730 ns MR4_I 01000218 d1fc07c9 - 1471730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1471810 ns MR4_D 40006004 00000001 - 1471810 ns R r1 00000001 - 1471810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1471830 ns MR4_I 0100021c 1c5b6002 - 1471830 ns R r1 80000000 - 1471830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1471850 ns R psr 81000200 - 1471870 ns MR4_I 01000214 6841d006 - 1471890 ns MR4_I 01000218 d1fc07c9 - 1471890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1471970 ns MR4_D 40006004 00000001 - 1471970 ns R r1 00000001 - 1471970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1471990 ns MR4_I 0100021c 1c5b6002 - 1471990 ns R r1 80000000 - 1471990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1472010 ns R psr 81000200 - 1472030 ns MR4_I 01000214 6841d006 - 1472050 ns MR4_I 01000218 d1fc07c9 - 1472050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1472130 ns MR4_D 40006004 00000001 - 1472130 ns R r1 00000001 - 1472130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1472150 ns MR4_I 0100021c 1c5b6002 - 1472150 ns R r1 80000000 - 1472150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1472170 ns R psr 81000200 - 1472190 ns MR4_I 01000214 6841d006 - 1472210 ns MR4_I 01000218 d1fc07c9 - 1472210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1472290 ns MR4_D 40006004 00000001 - 1472290 ns R r1 00000001 - 1472290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1472310 ns MR4_I 0100021c 1c5b6002 - 1472310 ns R r1 80000000 - 1472310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1472330 ns R psr 81000200 - 1472350 ns MR4_I 01000214 6841d006 - 1472370 ns MR4_I 01000218 d1fc07c9 - 1472370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1472450 ns MR4_D 40006004 00000001 - 1472450 ns R r1 00000001 - 1472450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1472470 ns MR4_I 0100021c 1c5b6002 - 1472470 ns R r1 80000000 - 1472470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1472490 ns R psr 81000200 - 1472510 ns MR4_I 01000214 6841d006 - 1472530 ns MR4_I 01000218 d1fc07c9 - 1472530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1472610 ns MR4_D 40006004 00000001 - 1472610 ns R r1 00000001 - 1472610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1472630 ns MR4_I 0100021c 1c5b6002 - 1472630 ns R r1 80000000 - 1472630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1472650 ns R psr 81000200 - 1472670 ns MR4_I 01000214 6841d006 - 1472690 ns MR4_I 01000218 d1fc07c9 - 1472690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1472770 ns MR4_D 40006004 00000000 - 1472770 ns R r1 00000000 - 1472770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1472790 ns MR4_I 0100021c 1c5b6002 - 1472790 ns R r1 00000000 - 1472790 ns IS 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1472810 ns R psr 41000200 - 1472810 ns IT 0100021c 6002 STR r2,[r0,#0] - 1472830 ns MR4_I 01000220 d1f52a00 - 1472890 ns MW4_D 40006000 0000006c - 1472890 ns IT 0100021e 1c5b ADDS r3,r3,#1 - 1472910 ns R r3 010002bc - 1472910 ns IT 01000220 2a00 CMP r2,#0 - 1472930 ns R psr 01000200 - 1472930 ns MR4_I 01000224 680a4911 - 1472930 ns IT 01000222 d1f5 BNE {pc} - 0x12 ; 0x1000210 - 1472950 ns R psr 21000200 - 1472970 ns MR4_I 01000210 2a00781a - 1472990 ns IT 01000210 781a LDRB r2,[r3,#0] - 1473010 ns MR4_I 01000214 6841d006 - 1473030 ns MR1_D 010002bc 2064616f - 1473030 ns R r2 0000006f - 1473030 ns IT 01000212 2a00 CMP r2,#0 - 1473050 ns IS 01000214 d006 BEQ {pc} + 0x10 ; 0x1000224 - 1473070 ns R psr 21000200 - 1473070 ns MR4_I 01000218 d1fc07c9 - 1473070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1473150 ns MR4_D 40006004 00000001 - 1473150 ns R r1 00000001 - 1473150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1473170 ns MR4_I 0100021c 1c5b6002 - 1473170 ns R r1 80000000 - 1473170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1473190 ns R psr 81000200 - 1473210 ns MR4_I 01000214 6841d006 - 1473230 ns MR4_I 01000218 d1fc07c9 - 1473230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1473310 ns MR4_D 40006004 00000001 - 1473310 ns R r1 00000001 - 1473310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1473330 ns MR4_I 0100021c 1c5b6002 - 1473330 ns R r1 80000000 - 1473330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1473350 ns R psr 81000200 - 1473370 ns MR4_I 01000214 6841d006 - 1473390 ns MR4_I 01000218 d1fc07c9 - 1473390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1473470 ns MR4_D 40006004 00000001 - 1473470 ns R r1 00000001 - 1473470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1473490 ns MR4_I 0100021c 1c5b6002 - 1473490 ns R r1 80000000 - 1473490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1473510 ns R psr 81000200 - 1473530 ns MR4_I 01000214 6841d006 - 1473550 ns MR4_I 01000218 d1fc07c9 - 1473550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1473630 ns MR4_D 40006004 00000001 - 1473630 ns R r1 00000001 - 1473630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1473650 ns MR4_I 0100021c 1c5b6002 - 1473650 ns R r1 80000000 - 1473650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1473670 ns R psr 81000200 - 1473690 ns MR4_I 01000214 6841d006 - 1473710 ns MR4_I 01000218 d1fc07c9 - 1473710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1473790 ns MR4_D 40006004 00000001 - 1473790 ns R r1 00000001 - 1473790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1473810 ns MR4_I 0100021c 1c5b6002 - 1473810 ns R r1 80000000 - 1473810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1473830 ns R psr 81000200 - 1473850 ns MR4_I 01000214 6841d006 - 1473870 ns MR4_I 01000218 d1fc07c9 - 1473870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1473950 ns MR4_D 40006004 00000001 - 1473950 ns R r1 00000001 - 1473950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1473970 ns MR4_I 0100021c 1c5b6002 - 1473970 ns R r1 80000000 - 1473970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1473990 ns R psr 81000200 - 1474010 ns MR4_I 01000214 6841d006 - 1474030 ns MR4_I 01000218 d1fc07c9 - 1474030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1474110 ns MR4_D 40006004 00000001 - 1474110 ns R r1 00000001 - 1474110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1474130 ns MR4_I 0100021c 1c5b6002 - 1474130 ns R r1 80000000 - 1474130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1474150 ns R psr 81000200 - 1474170 ns MR4_I 01000214 6841d006 - 1474190 ns MR4_I 01000218 d1fc07c9 - 1474190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1474270 ns MR4_D 40006004 00000001 - 1474270 ns R r1 00000001 - 1474270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1474290 ns MR4_I 0100021c 1c5b6002 - 1474290 ns R r1 80000000 - 1474290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1474310 ns R psr 81000200 - 1474330 ns MR4_I 01000214 6841d006 - 1474350 ns MR4_I 01000218 d1fc07c9 - 1474350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1474430 ns MR4_D 40006004 00000001 - 1474430 ns R r1 00000001 - 1474430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1474450 ns MR4_I 0100021c 1c5b6002 - 1474450 ns R r1 80000000 - 1474450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1474470 ns R psr 81000200 - 1474490 ns MR4_I 01000214 6841d006 - 1474510 ns MR4_I 01000218 d1fc07c9 - 1474510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1474590 ns MR4_D 40006004 00000001 - 1474590 ns R r1 00000001 - 1474590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1474610 ns MR4_I 0100021c 1c5b6002 - 1474610 ns R r1 80000000 - 1474610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1474630 ns R psr 81000200 - 1474650 ns MR4_I 01000214 6841d006 - 1474670 ns MR4_I 01000218 d1fc07c9 - 1474670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1474750 ns MR4_D 40006004 00000001 - 1474750 ns R r1 00000001 - 1474750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1474770 ns MR4_I 0100021c 1c5b6002 - 1474770 ns R r1 80000000 - 1474770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1474790 ns R psr 81000200 - 1474810 ns MR4_I 01000214 6841d006 - 1474830 ns MR4_I 01000218 d1fc07c9 - 1474830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1474910 ns MR4_D 40006004 00000001 - 1474910 ns R r1 00000001 - 1474910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1474930 ns MR4_I 0100021c 1c5b6002 - 1474930 ns R r1 80000000 - 1474930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1474950 ns R psr 81000200 - 1474970 ns MR4_I 01000214 6841d006 - 1474990 ns MR4_I 01000218 d1fc07c9 - 1474990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1475070 ns MR4_D 40006004 00000001 - 1475070 ns R r1 00000001 - 1475070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1475090 ns MR4_I 0100021c 1c5b6002 - 1475090 ns R r1 80000000 - 1475090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1475110 ns R psr 81000200 - 1475130 ns MR4_I 01000214 6841d006 - 1475150 ns MR4_I 01000218 d1fc07c9 - 1475150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1475230 ns MR4_D 40006004 00000001 - 1475230 ns R r1 00000001 - 1475230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1475250 ns MR4_I 0100021c 1c5b6002 - 1475250 ns R r1 80000000 - 1475250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1475270 ns R psr 81000200 - 1475290 ns MR4_I 01000214 6841d006 - 1475310 ns MR4_I 01000218 d1fc07c9 - 1475310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1475390 ns MR4_D 40006004 00000001 - 1475390 ns R r1 00000001 - 1475390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1475410 ns MR4_I 0100021c 1c5b6002 - 1475410 ns R r1 80000000 - 1475410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1475430 ns R psr 81000200 - 1475450 ns MR4_I 01000214 6841d006 - 1475470 ns MR4_I 01000218 d1fc07c9 - 1475470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1475550 ns MR4_D 40006004 00000001 - 1475550 ns R r1 00000001 - 1475550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1475570 ns MR4_I 0100021c 1c5b6002 - 1475570 ns R r1 80000000 - 1475570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1475590 ns R psr 81000200 - 1475610 ns MR4_I 01000214 6841d006 - 1475630 ns MR4_I 01000218 d1fc07c9 - 1475630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1475710 ns MR4_D 40006004 00000001 - 1475710 ns R r1 00000001 - 1475710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1475730 ns MR4_I 0100021c 1c5b6002 - 1475730 ns R r1 80000000 - 1475730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1475750 ns R psr 81000200 - 1475770 ns MR4_I 01000214 6841d006 - 1475790 ns MR4_I 01000218 d1fc07c9 - 1475790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1475870 ns MR4_D 40006004 00000001 - 1475870 ns R r1 00000001 - 1475870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1475890 ns MR4_I 0100021c 1c5b6002 - 1475890 ns R r1 80000000 - 1475890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1475910 ns R psr 81000200 - 1475930 ns MR4_I 01000214 6841d006 - 1475950 ns MR4_I 01000218 d1fc07c9 - 1475950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1476030 ns MR4_D 40006004 00000001 - 1476030 ns R r1 00000001 - 1476030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1476050 ns MR4_I 0100021c 1c5b6002 - 1476050 ns R r1 80000000 - 1476050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1476070 ns R psr 81000200 - 1476090 ns MR4_I 01000214 6841d006 - 1476110 ns MR4_I 01000218 d1fc07c9 - 1476110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1476190 ns MR4_D 40006004 00000001 - 1476190 ns R r1 00000001 - 1476190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1476210 ns MR4_I 0100021c 1c5b6002 - 1476210 ns R r1 80000000 - 1476210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1476230 ns R psr 81000200 - 1476250 ns MR4_I 01000214 6841d006 - 1476270 ns MR4_I 01000218 d1fc07c9 - 1476270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1476350 ns MR4_D 40006004 00000001 - 1476350 ns R r1 00000001 - 1476350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1476370 ns MR4_I 0100021c 1c5b6002 - 1476370 ns R r1 80000000 - 1476370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1476390 ns R psr 81000200 - 1476410 ns MR4_I 01000214 6841d006 - 1476430 ns MR4_I 01000218 d1fc07c9 - 1476430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1476510 ns MR4_D 40006004 00000001 - 1476510 ns R r1 00000001 - 1476510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1476530 ns MR4_I 0100021c 1c5b6002 - 1476530 ns R r1 80000000 - 1476530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1476550 ns R psr 81000200 - 1476570 ns MR4_I 01000214 6841d006 - 1476590 ns MR4_I 01000218 d1fc07c9 - 1476590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1476670 ns MR4_D 40006004 00000001 - 1476670 ns R r1 00000001 - 1476670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1476690 ns MR4_I 0100021c 1c5b6002 - 1476690 ns R r1 80000000 - 1476690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1476710 ns R psr 81000200 - 1476730 ns MR4_I 01000214 6841d006 - 1476750 ns MR4_I 01000218 d1fc07c9 - 1476750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1476830 ns MR4_D 40006004 00000001 - 1476830 ns R r1 00000001 - 1476830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1476850 ns MR4_I 0100021c 1c5b6002 - 1476850 ns R r1 80000000 - 1476850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1476870 ns R psr 81000200 - 1476890 ns MR4_I 01000214 6841d006 - 1476910 ns MR4_I 01000218 d1fc07c9 - 1476910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1476990 ns MR4_D 40006004 00000001 - 1476990 ns R r1 00000001 - 1476990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1477010 ns MR4_I 0100021c 1c5b6002 - 1477010 ns R r1 80000000 - 1477010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1477030 ns R psr 81000200 - 1477050 ns MR4_I 01000214 6841d006 - 1477070 ns MR4_I 01000218 d1fc07c9 - 1477070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1477150 ns MR4_D 40006004 00000001 - 1477150 ns R r1 00000001 - 1477150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1477170 ns MR4_I 0100021c 1c5b6002 - 1477170 ns R r1 80000000 - 1477170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1477190 ns R psr 81000200 - 1477210 ns MR4_I 01000214 6841d006 - 1477230 ns MR4_I 01000218 d1fc07c9 - 1477230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1477310 ns MR4_D 40006004 00000001 - 1477310 ns R r1 00000001 - 1477310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1477330 ns MR4_I 0100021c 1c5b6002 - 1477330 ns R r1 80000000 - 1477330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1477350 ns R psr 81000200 - 1477370 ns MR4_I 01000214 6841d006 - 1477390 ns MR4_I 01000218 d1fc07c9 - 1477390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1477470 ns MR4_D 40006004 00000001 - 1477470 ns R r1 00000001 - 1477470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1477490 ns MR4_I 0100021c 1c5b6002 - 1477490 ns R r1 80000000 - 1477490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1477510 ns R psr 81000200 - 1477530 ns MR4_I 01000214 6841d006 - 1477550 ns MR4_I 01000218 d1fc07c9 - 1477550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1477630 ns MR4_D 40006004 00000001 - 1477630 ns R r1 00000001 - 1477630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1477650 ns MR4_I 0100021c 1c5b6002 - 1477650 ns R r1 80000000 - 1477650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1477670 ns R psr 81000200 - 1477690 ns MR4_I 01000214 6841d006 - 1477710 ns MR4_I 01000218 d1fc07c9 - 1477710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1477790 ns MR4_D 40006004 00000001 - 1477790 ns R r1 00000001 - 1477790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1477810 ns MR4_I 0100021c 1c5b6002 - 1477810 ns R r1 80000000 - 1477810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1477830 ns R psr 81000200 - 1477850 ns MR4_I 01000214 6841d006 - 1477870 ns MR4_I 01000218 d1fc07c9 - 1477870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1477950 ns MR4_D 40006004 00000001 - 1477950 ns R r1 00000001 - 1477950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1477970 ns MR4_I 0100021c 1c5b6002 - 1477970 ns R r1 80000000 - 1477970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1477990 ns R psr 81000200 - 1478010 ns MR4_I 01000214 6841d006 - 1478030 ns MR4_I 01000218 d1fc07c9 - 1478030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1478110 ns MR4_D 40006004 00000001 - 1478110 ns R r1 00000001 - 1478110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1478130 ns MR4_I 0100021c 1c5b6002 - 1478130 ns R r1 80000000 - 1478130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1478150 ns R psr 81000200 - 1478170 ns MR4_I 01000214 6841d006 - 1478190 ns MR4_I 01000218 d1fc07c9 - 1478190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1478270 ns MR4_D 40006004 00000001 - 1478270 ns R r1 00000001 - 1478270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1478290 ns MR4_I 0100021c 1c5b6002 - 1478290 ns R r1 80000000 - 1478290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1478310 ns R psr 81000200 - 1478330 ns MR4_I 01000214 6841d006 - 1478350 ns MR4_I 01000218 d1fc07c9 - 1478350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1478430 ns MR4_D 40006004 00000001 - 1478430 ns R r1 00000001 - 1478430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1478450 ns MR4_I 0100021c 1c5b6002 - 1478450 ns R r1 80000000 - 1478450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1478470 ns R psr 81000200 - 1478490 ns MR4_I 01000214 6841d006 - 1478510 ns MR4_I 01000218 d1fc07c9 - 1478510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1478590 ns MR4_D 40006004 00000001 - 1478590 ns R r1 00000001 - 1478590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1478610 ns MR4_I 0100021c 1c5b6002 - 1478610 ns R r1 80000000 - 1478610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1478630 ns R psr 81000200 - 1478650 ns MR4_I 01000214 6841d006 - 1478670 ns MR4_I 01000218 d1fc07c9 - 1478670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1478750 ns MR4_D 40006004 00000001 - 1478750 ns R r1 00000001 - 1478750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1478770 ns MR4_I 0100021c 1c5b6002 - 1478770 ns R r1 80000000 - 1478770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1478790 ns R psr 81000200 - 1478810 ns MR4_I 01000214 6841d006 - 1478830 ns MR4_I 01000218 d1fc07c9 - 1478830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1478910 ns MR4_D 40006004 00000001 - 1478910 ns R r1 00000001 - 1478910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1478930 ns MR4_I 0100021c 1c5b6002 - 1478930 ns R r1 80000000 - 1478930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1478950 ns R psr 81000200 - 1478970 ns MR4_I 01000214 6841d006 - 1478990 ns MR4_I 01000218 d1fc07c9 - 1478990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1479070 ns MR4_D 40006004 00000001 - 1479070 ns R r1 00000001 - 1479070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1479090 ns MR4_I 0100021c 1c5b6002 - 1479090 ns R r1 80000000 - 1479090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1479110 ns R psr 81000200 - 1479130 ns MR4_I 01000214 6841d006 - 1479150 ns MR4_I 01000218 d1fc07c9 - 1479150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1479230 ns MR4_D 40006004 00000001 - 1479230 ns R r1 00000001 - 1479230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1479250 ns MR4_I 0100021c 1c5b6002 - 1479250 ns R r1 80000000 - 1479250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1479270 ns R psr 81000200 - 1479290 ns MR4_I 01000214 6841d006 - 1479310 ns MR4_I 01000218 d1fc07c9 - 1479310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1479390 ns MR4_D 40006004 00000001 - 1479390 ns R r1 00000001 - 1479390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1479410 ns MR4_I 0100021c 1c5b6002 - 1479410 ns R r1 80000000 - 1479410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1479430 ns R psr 81000200 - 1479450 ns MR4_I 01000214 6841d006 - 1479470 ns MR4_I 01000218 d1fc07c9 - 1479470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1479550 ns MR4_D 40006004 00000001 - 1479550 ns R r1 00000001 - 1479550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1479570 ns MR4_I 0100021c 1c5b6002 - 1479570 ns R r1 80000000 - 1479570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1479590 ns R psr 81000200 - 1479610 ns MR4_I 01000214 6841d006 - 1479630 ns MR4_I 01000218 d1fc07c9 - 1479630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1479710 ns MR4_D 40006004 00000001 - 1479710 ns R r1 00000001 - 1479710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1479730 ns MR4_I 0100021c 1c5b6002 - 1479730 ns R r1 80000000 - 1479730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1479750 ns R psr 81000200 - 1479770 ns MR4_I 01000214 6841d006 - 1479790 ns MR4_I 01000218 d1fc07c9 - 1479790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1479870 ns MR4_D 40006004 00000001 - 1479870 ns R r1 00000001 - 1479870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1479890 ns MR4_I 0100021c 1c5b6002 - 1479890 ns R r1 80000000 - 1479890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1479910 ns R psr 81000200 - 1479930 ns MR4_I 01000214 6841d006 - 1479950 ns MR4_I 01000218 d1fc07c9 - 1479950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1480030 ns MR4_D 40006004 00000001 - 1480030 ns R r1 00000001 - 1480030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1480050 ns MR4_I 0100021c 1c5b6002 - 1480050 ns R r1 80000000 - 1480050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1480070 ns R psr 81000200 - 1480090 ns MR4_I 01000214 6841d006 - 1480110 ns MR4_I 01000218 d1fc07c9 - 1480110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1480190 ns MR4_D 40006004 00000001 - 1480190 ns R r1 00000001 - 1480190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1480210 ns MR4_I 0100021c 1c5b6002 - 1480210 ns R r1 80000000 - 1480210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1480230 ns R psr 81000200 - 1480250 ns MR4_I 01000214 6841d006 - 1480270 ns MR4_I 01000218 d1fc07c9 - 1480270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1480350 ns MR4_D 40006004 00000001 - 1480350 ns R r1 00000001 - 1480350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1480370 ns MR4_I 0100021c 1c5b6002 - 1480370 ns R r1 80000000 - 1480370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1480390 ns R psr 81000200 - 1480410 ns MR4_I 01000214 6841d006 - 1480430 ns MR4_I 01000218 d1fc07c9 - 1480430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1480510 ns MR4_D 40006004 00000001 - 1480510 ns R r1 00000001 - 1480510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1480530 ns MR4_I 0100021c 1c5b6002 - 1480530 ns R r1 80000000 - 1480530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1480550 ns R psr 81000200 - 1480570 ns MR4_I 01000214 6841d006 - 1480590 ns MR4_I 01000218 d1fc07c9 - 1480590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1480670 ns MR4_D 40006004 00000001 - 1480670 ns R r1 00000001 - 1480670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1480690 ns MR4_I 0100021c 1c5b6002 - 1480690 ns R r1 80000000 - 1480690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1480710 ns R psr 81000200 - 1480730 ns MR4_I 01000214 6841d006 - 1480750 ns MR4_I 01000218 d1fc07c9 - 1480750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1480830 ns MR4_D 40006004 00000001 - 1480830 ns R r1 00000001 - 1480830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1480850 ns MR4_I 0100021c 1c5b6002 - 1480850 ns R r1 80000000 - 1480850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1480870 ns R psr 81000200 - 1480890 ns MR4_I 01000214 6841d006 - 1480910 ns MR4_I 01000218 d1fc07c9 - 1480910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1480990 ns MR4_D 40006004 00000001 - 1480990 ns R r1 00000001 - 1480990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1481010 ns MR4_I 0100021c 1c5b6002 - 1481010 ns R r1 80000000 - 1481010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1481030 ns R psr 81000200 - 1481050 ns MR4_I 01000214 6841d006 - 1481070 ns MR4_I 01000218 d1fc07c9 - 1481070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1481150 ns MR4_D 40006004 00000001 - 1481150 ns R r1 00000001 - 1481150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1481170 ns MR4_I 0100021c 1c5b6002 - 1481170 ns R r1 80000000 - 1481170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1481190 ns R psr 81000200 - 1481210 ns MR4_I 01000214 6841d006 - 1481230 ns MR4_I 01000218 d1fc07c9 - 1481230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1481310 ns MR4_D 40006004 00000001 - 1481310 ns R r1 00000001 - 1481310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1481330 ns MR4_I 0100021c 1c5b6002 - 1481330 ns R r1 80000000 - 1481330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1481350 ns R psr 81000200 - 1481370 ns MR4_I 01000214 6841d006 - 1481390 ns MR4_I 01000218 d1fc07c9 - 1481390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1481470 ns MR4_D 40006004 00000001 - 1481470 ns R r1 00000001 - 1481470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1481490 ns MR4_I 0100021c 1c5b6002 - 1481490 ns R r1 80000000 - 1481490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1481510 ns R psr 81000200 - 1481530 ns MR4_I 01000214 6841d006 - 1481550 ns MR4_I 01000218 d1fc07c9 - 1481550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1481630 ns MR4_D 40006004 00000001 - 1481630 ns R r1 00000001 - 1481630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1481650 ns MR4_I 0100021c 1c5b6002 - 1481650 ns R r1 80000000 - 1481650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1481670 ns R psr 81000200 - 1481690 ns MR4_I 01000214 6841d006 - 1481710 ns MR4_I 01000218 d1fc07c9 - 1481710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1481790 ns MR4_D 40006004 00000001 - 1481790 ns R r1 00000001 - 1481790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1481810 ns MR4_I 0100021c 1c5b6002 - 1481810 ns R r1 80000000 - 1481810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1481830 ns R psr 81000200 - 1481850 ns MR4_I 01000214 6841d006 - 1481870 ns MR4_I 01000218 d1fc07c9 - 1481870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1481950 ns MR4_D 40006004 00000001 - 1481950 ns R r1 00000001 - 1481950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1481970 ns MR4_I 0100021c 1c5b6002 - 1481970 ns R r1 80000000 - 1481970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1481990 ns R psr 81000200 - 1482010 ns MR4_I 01000214 6841d006 - 1482030 ns MR4_I 01000218 d1fc07c9 - 1482030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1482110 ns MR4_D 40006004 00000001 - 1482110 ns R r1 00000001 - 1482110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1482130 ns MR4_I 0100021c 1c5b6002 - 1482130 ns R r1 80000000 - 1482130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1482150 ns R psr 81000200 - 1482170 ns MR4_I 01000214 6841d006 - 1482190 ns MR4_I 01000218 d1fc07c9 - 1482190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1482270 ns MR4_D 40006004 00000001 - 1482270 ns R r1 00000001 - 1482270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1482290 ns MR4_I 0100021c 1c5b6002 - 1482290 ns R r1 80000000 - 1482290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1482310 ns R psr 81000200 - 1482330 ns MR4_I 01000214 6841d006 - 1482350 ns MR4_I 01000218 d1fc07c9 - 1482350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1482430 ns MR4_D 40006004 00000001 - 1482430 ns R r1 00000001 - 1482430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1482450 ns MR4_I 0100021c 1c5b6002 - 1482450 ns R r1 80000000 - 1482450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1482470 ns R psr 81000200 - 1482490 ns MR4_I 01000214 6841d006 - 1482510 ns MR4_I 01000218 d1fc07c9 - 1482510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1482590 ns MR4_D 40006004 00000001 - 1482590 ns R r1 00000001 - 1482590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1482610 ns MR4_I 0100021c 1c5b6002 - 1482610 ns R r1 80000000 - 1482610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1482630 ns R psr 81000200 - 1482650 ns MR4_I 01000214 6841d006 - 1482670 ns MR4_I 01000218 d1fc07c9 - 1482670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1482750 ns MR4_D 40006004 00000001 - 1482750 ns R r1 00000001 - 1482750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1482770 ns MR4_I 0100021c 1c5b6002 - 1482770 ns R r1 80000000 - 1482770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1482790 ns R psr 81000200 - 1482810 ns MR4_I 01000214 6841d006 - 1482830 ns MR4_I 01000218 d1fc07c9 - 1482830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1482910 ns MR4_D 40006004 00000001 - 1482910 ns R r1 00000001 - 1482910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1482930 ns MR4_I 0100021c 1c5b6002 - 1482930 ns R r1 80000000 - 1482930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1482950 ns R psr 81000200 - 1482970 ns MR4_I 01000214 6841d006 - 1482990 ns MR4_I 01000218 d1fc07c9 - 1482990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1483070 ns MR4_D 40006004 00000001 - 1483070 ns R r1 00000001 - 1483070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1483090 ns MR4_I 0100021c 1c5b6002 - 1483090 ns R r1 80000000 - 1483090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1483110 ns R psr 81000200 - 1483130 ns MR4_I 01000214 6841d006 - 1483150 ns MR4_I 01000218 d1fc07c9 - 1483150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1483230 ns MR4_D 40006004 00000001 - 1483230 ns R r1 00000001 - 1483230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1483250 ns MR4_I 0100021c 1c5b6002 - 1483250 ns R r1 80000000 - 1483250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1483270 ns R psr 81000200 - 1483290 ns MR4_I 01000214 6841d006 - 1483310 ns MR4_I 01000218 d1fc07c9 - 1483310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1483390 ns MR4_D 40006004 00000001 - 1483390 ns R r1 00000001 - 1483390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1483410 ns MR4_I 0100021c 1c5b6002 - 1483410 ns R r1 80000000 - 1483410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1483430 ns R psr 81000200 - 1483450 ns MR4_I 01000214 6841d006 - 1483470 ns MR4_I 01000218 d1fc07c9 - 1483470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1483550 ns MR4_D 40006004 00000001 - 1483550 ns R r1 00000001 - 1483550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1483570 ns MR4_I 0100021c 1c5b6002 - 1483570 ns R r1 80000000 - 1483570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1483590 ns R psr 81000200 - 1483610 ns MR4_I 01000214 6841d006 - 1483630 ns MR4_I 01000218 d1fc07c9 - 1483630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1483710 ns MR4_D 40006004 00000001 - 1483710 ns R r1 00000001 - 1483710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1483730 ns MR4_I 0100021c 1c5b6002 - 1483730 ns R r1 80000000 - 1483730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1483750 ns R psr 81000200 - 1483770 ns MR4_I 01000214 6841d006 - 1483790 ns MR4_I 01000218 d1fc07c9 - 1483790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1483870 ns MR4_D 40006004 00000001 - 1483870 ns R r1 00000001 - 1483870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1483890 ns MR4_I 0100021c 1c5b6002 - 1483890 ns R r1 80000000 - 1483890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1483910 ns R psr 81000200 - 1483930 ns MR4_I 01000214 6841d006 - 1483950 ns MR4_I 01000218 d1fc07c9 - 1483950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1484030 ns MR4_D 40006004 00000001 - 1484030 ns R r1 00000001 - 1484030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1484050 ns MR4_I 0100021c 1c5b6002 - 1484050 ns R r1 80000000 - 1484050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1484070 ns R psr 81000200 - 1484090 ns MR4_I 01000214 6841d006 - 1484110 ns MR4_I 01000218 d1fc07c9 - 1484110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1484190 ns MR4_D 40006004 00000001 - 1484190 ns R r1 00000001 - 1484190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1484210 ns MR4_I 0100021c 1c5b6002 - 1484210 ns R r1 80000000 - 1484210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1484230 ns R psr 81000200 - 1484250 ns MR4_I 01000214 6841d006 - 1484270 ns MR4_I 01000218 d1fc07c9 - 1484270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1484350 ns MR4_D 40006004 00000001 - 1484350 ns R r1 00000001 - 1484350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1484370 ns MR4_I 0100021c 1c5b6002 - 1484370 ns R r1 80000000 - 1484370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1484390 ns R psr 81000200 - 1484410 ns MR4_I 01000214 6841d006 - 1484430 ns MR4_I 01000218 d1fc07c9 - 1484430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1484510 ns MR4_D 40006004 00000001 - 1484510 ns R r1 00000001 - 1484510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1484530 ns MR4_I 0100021c 1c5b6002 - 1484530 ns R r1 80000000 - 1484530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1484550 ns R psr 81000200 - 1484570 ns MR4_I 01000214 6841d006 - 1484590 ns MR4_I 01000218 d1fc07c9 - 1484590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1484670 ns MR4_D 40006004 00000001 - 1484670 ns R r1 00000001 - 1484670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1484690 ns MR4_I 0100021c 1c5b6002 - 1484690 ns R r1 80000000 - 1484690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1484710 ns R psr 81000200 - 1484730 ns MR4_I 01000214 6841d006 - 1484750 ns MR4_I 01000218 d1fc07c9 - 1484750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1484830 ns MR4_D 40006004 00000001 - 1484830 ns R r1 00000001 - 1484830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1484850 ns MR4_I 0100021c 1c5b6002 - 1484850 ns R r1 80000000 - 1484850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1484870 ns R psr 81000200 - 1484890 ns MR4_I 01000214 6841d006 - 1484910 ns MR4_I 01000218 d1fc07c9 - 1484910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1484990 ns MR4_D 40006004 00000001 - 1484990 ns R r1 00000001 - 1484990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1485010 ns MR4_I 0100021c 1c5b6002 - 1485010 ns R r1 80000000 - 1485010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1485030 ns R psr 81000200 - 1485050 ns MR4_I 01000214 6841d006 - 1485070 ns MR4_I 01000218 d1fc07c9 - 1485070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1485150 ns MR4_D 40006004 00000001 - 1485150 ns R r1 00000001 - 1485150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1485170 ns MR4_I 0100021c 1c5b6002 - 1485170 ns R r1 80000000 - 1485170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1485190 ns R psr 81000200 - 1485210 ns MR4_I 01000214 6841d006 - 1485230 ns MR4_I 01000218 d1fc07c9 - 1485230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1485310 ns MR4_D 40006004 00000001 - 1485310 ns R r1 00000001 - 1485310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1485330 ns MR4_I 0100021c 1c5b6002 - 1485330 ns R r1 80000000 - 1485330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1485350 ns R psr 81000200 - 1485370 ns MR4_I 01000214 6841d006 - 1485390 ns MR4_I 01000218 d1fc07c9 - 1485390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1485470 ns MR4_D 40006004 00000001 - 1485470 ns R r1 00000001 - 1485470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1485490 ns MR4_I 0100021c 1c5b6002 - 1485490 ns R r1 80000000 - 1485490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1485510 ns R psr 81000200 - 1485530 ns MR4_I 01000214 6841d006 - 1485550 ns MR4_I 01000218 d1fc07c9 - 1485550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1485630 ns MR4_D 40006004 00000001 - 1485630 ns R r1 00000001 - 1485630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1485650 ns MR4_I 0100021c 1c5b6002 - 1485650 ns R r1 80000000 - 1485650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1485670 ns R psr 81000200 - 1485690 ns MR4_I 01000214 6841d006 - 1485710 ns MR4_I 01000218 d1fc07c9 - 1485710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1485790 ns MR4_D 40006004 00000001 - 1485790 ns R r1 00000001 - 1485790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1485810 ns MR4_I 0100021c 1c5b6002 - 1485810 ns R r1 80000000 - 1485810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1485830 ns R psr 81000200 - 1485850 ns MR4_I 01000214 6841d006 - 1485870 ns MR4_I 01000218 d1fc07c9 - 1485870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1485950 ns MR4_D 40006004 00000001 - 1485950 ns R r1 00000001 - 1485950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1485970 ns MR4_I 0100021c 1c5b6002 - 1485970 ns R r1 80000000 - 1485970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1485990 ns R psr 81000200 - 1486010 ns MR4_I 01000214 6841d006 - 1486030 ns MR4_I 01000218 d1fc07c9 - 1486030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1486110 ns MR4_D 40006004 00000001 - 1486110 ns R r1 00000001 - 1486110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1486130 ns MR4_I 0100021c 1c5b6002 - 1486130 ns R r1 80000000 - 1486130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1486150 ns R psr 81000200 - 1486170 ns MR4_I 01000214 6841d006 - 1486190 ns MR4_I 01000218 d1fc07c9 - 1486190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1486270 ns MR4_D 40006004 00000001 - 1486270 ns R r1 00000001 - 1486270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1486290 ns MR4_I 0100021c 1c5b6002 - 1486290 ns R r1 80000000 - 1486290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1486310 ns R psr 81000200 - 1486330 ns MR4_I 01000214 6841d006 - 1486350 ns MR4_I 01000218 d1fc07c9 - 1486350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1486430 ns MR4_D 40006004 00000001 - 1486430 ns R r1 00000001 - 1486430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1486450 ns MR4_I 0100021c 1c5b6002 - 1486450 ns R r1 80000000 - 1486450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1486470 ns R psr 81000200 - 1486490 ns MR4_I 01000214 6841d006 - 1486510 ns MR4_I 01000218 d1fc07c9 - 1486510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1486590 ns MR4_D 40006004 00000001 - 1486590 ns R r1 00000001 - 1486590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1486610 ns MR4_I 0100021c 1c5b6002 - 1486610 ns R r1 80000000 - 1486610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1486630 ns R psr 81000200 - 1486650 ns MR4_I 01000214 6841d006 - 1486670 ns MR4_I 01000218 d1fc07c9 - 1486670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1486750 ns MR4_D 40006004 00000001 - 1486750 ns R r1 00000001 - 1486750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1486770 ns MR4_I 0100021c 1c5b6002 - 1486770 ns R r1 80000000 - 1486770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1486790 ns R psr 81000200 - 1486810 ns MR4_I 01000214 6841d006 - 1486830 ns MR4_I 01000218 d1fc07c9 - 1486830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1486910 ns MR4_D 40006004 00000001 - 1486910 ns R r1 00000001 - 1486910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1486930 ns MR4_I 0100021c 1c5b6002 - 1486930 ns R r1 80000000 - 1486930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1486950 ns R psr 81000200 - 1486970 ns MR4_I 01000214 6841d006 - 1486990 ns MR4_I 01000218 d1fc07c9 - 1486990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1487070 ns MR4_D 40006004 00000001 - 1487070 ns R r1 00000001 - 1487070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1487090 ns MR4_I 0100021c 1c5b6002 - 1487090 ns R r1 80000000 - 1487090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1487110 ns R psr 81000200 - 1487130 ns MR4_I 01000214 6841d006 - 1487150 ns MR4_I 01000218 d1fc07c9 - 1487150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1487230 ns MR4_D 40006004 00000001 - 1487230 ns R r1 00000001 - 1487230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1487250 ns MR4_I 0100021c 1c5b6002 - 1487250 ns R r1 80000000 - 1487250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1487270 ns R psr 81000200 - 1487290 ns MR4_I 01000214 6841d006 - 1487310 ns MR4_I 01000218 d1fc07c9 - 1487310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1487390 ns MR4_D 40006004 00000001 - 1487390 ns R r1 00000001 - 1487390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1487410 ns MR4_I 0100021c 1c5b6002 - 1487410 ns R r1 80000000 - 1487410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1487430 ns R psr 81000200 - 1487450 ns MR4_I 01000214 6841d006 - 1487470 ns MR4_I 01000218 d1fc07c9 - 1487470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1487550 ns MR4_D 40006004 00000001 - 1487550 ns R r1 00000001 - 1487550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1487570 ns MR4_I 0100021c 1c5b6002 - 1487570 ns R r1 80000000 - 1487570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1487590 ns R psr 81000200 - 1487610 ns MR4_I 01000214 6841d006 - 1487630 ns MR4_I 01000218 d1fc07c9 - 1487630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1487710 ns MR4_D 40006004 00000001 - 1487710 ns R r1 00000001 - 1487710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1487730 ns MR4_I 0100021c 1c5b6002 - 1487730 ns R r1 80000000 - 1487730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1487750 ns R psr 81000200 - 1487770 ns MR4_I 01000214 6841d006 - 1487790 ns MR4_I 01000218 d1fc07c9 - 1487790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1487870 ns MR4_D 40006004 00000001 - 1487870 ns R r1 00000001 - 1487870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1487890 ns MR4_I 0100021c 1c5b6002 - 1487890 ns R r1 80000000 - 1487890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1487910 ns R psr 81000200 - 1487930 ns MR4_I 01000214 6841d006 - 1487950 ns MR4_I 01000218 d1fc07c9 - 1487950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1488030 ns MR4_D 40006004 00000001 - 1488030 ns R r1 00000001 - 1488030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1488050 ns MR4_I 0100021c 1c5b6002 - 1488050 ns R r1 80000000 - 1488050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1488070 ns R psr 81000200 - 1488090 ns MR4_I 01000214 6841d006 - 1488110 ns MR4_I 01000218 d1fc07c9 - 1488110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1488190 ns MR4_D 40006004 00000001 - 1488190 ns R r1 00000001 - 1488190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1488210 ns MR4_I 0100021c 1c5b6002 - 1488210 ns R r1 80000000 - 1488210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1488230 ns R psr 81000200 - 1488250 ns MR4_I 01000214 6841d006 - 1488270 ns MR4_I 01000218 d1fc07c9 - 1488270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1488350 ns MR4_D 40006004 00000001 - 1488350 ns R r1 00000001 - 1488350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1488370 ns MR4_I 0100021c 1c5b6002 - 1488370 ns R r1 80000000 - 1488370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1488390 ns R psr 81000200 - 1488410 ns MR4_I 01000214 6841d006 - 1488430 ns MR4_I 01000218 d1fc07c9 - 1488430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1488510 ns MR4_D 40006004 00000001 - 1488510 ns R r1 00000001 - 1488510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1488530 ns MR4_I 0100021c 1c5b6002 - 1488530 ns R r1 80000000 - 1488530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1488550 ns R psr 81000200 - 1488570 ns MR4_I 01000214 6841d006 - 1488590 ns MR4_I 01000218 d1fc07c9 - 1488590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1488670 ns MR4_D 40006004 00000001 - 1488670 ns R r1 00000001 - 1488670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1488690 ns MR4_I 0100021c 1c5b6002 - 1488690 ns R r1 80000000 - 1488690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1488710 ns R psr 81000200 - 1488730 ns MR4_I 01000214 6841d006 - 1488750 ns MR4_I 01000218 d1fc07c9 - 1488750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1488830 ns MR4_D 40006004 00000001 - 1488830 ns R r1 00000001 - 1488830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1488850 ns MR4_I 0100021c 1c5b6002 - 1488850 ns R r1 80000000 - 1488850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1488870 ns R psr 81000200 - 1488890 ns MR4_I 01000214 6841d006 - 1488910 ns MR4_I 01000218 d1fc07c9 - 1488910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1488990 ns MR4_D 40006004 00000001 - 1488990 ns R r1 00000001 - 1488990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1489010 ns MR4_I 0100021c 1c5b6002 - 1489010 ns R r1 80000000 - 1489010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1489030 ns R psr 81000200 - 1489050 ns MR4_I 01000214 6841d006 - 1489070 ns MR4_I 01000218 d1fc07c9 - 1489070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1489150 ns MR4_D 40006004 00000001 - 1489150 ns R r1 00000001 - 1489150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1489170 ns MR4_I 0100021c 1c5b6002 - 1489170 ns R r1 80000000 - 1489170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1489190 ns R psr 81000200 - 1489210 ns MR4_I 01000214 6841d006 - 1489230 ns MR4_I 01000218 d1fc07c9 - 1489230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1489310 ns MR4_D 40006004 00000001 - 1489310 ns R r1 00000001 - 1489310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1489330 ns MR4_I 0100021c 1c5b6002 - 1489330 ns R r1 80000000 - 1489330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1489350 ns R psr 81000200 - 1489370 ns MR4_I 01000214 6841d006 - 1489390 ns MR4_I 01000218 d1fc07c9 - 1489390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1489470 ns MR4_D 40006004 00000001 - 1489470 ns R r1 00000001 - 1489470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1489490 ns MR4_I 0100021c 1c5b6002 - 1489490 ns R r1 80000000 - 1489490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1489510 ns R psr 81000200 - 1489530 ns MR4_I 01000214 6841d006 - 1489550 ns MR4_I 01000218 d1fc07c9 - 1489550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1489630 ns MR4_D 40006004 00000001 - 1489630 ns R r1 00000001 - 1489630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1489650 ns MR4_I 0100021c 1c5b6002 - 1489650 ns R r1 80000000 - 1489650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1489670 ns R psr 81000200 - 1489690 ns MR4_I 01000214 6841d006 - 1489710 ns MR4_I 01000218 d1fc07c9 - 1489710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1489790 ns MR4_D 40006004 00000001 - 1489790 ns R r1 00000001 - 1489790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1489810 ns MR4_I 0100021c 1c5b6002 - 1489810 ns R r1 80000000 - 1489810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1489830 ns R psr 81000200 - 1489850 ns MR4_I 01000214 6841d006 - 1489870 ns MR4_I 01000218 d1fc07c9 - 1489870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1489950 ns MR4_D 40006004 00000001 - 1489950 ns R r1 00000001 - 1489950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1489970 ns MR4_I 0100021c 1c5b6002 - 1489970 ns R r1 80000000 - 1489970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1489990 ns R psr 81000200 - 1490010 ns MR4_I 01000214 6841d006 - 1490030 ns MR4_I 01000218 d1fc07c9 - 1490030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1490110 ns MR4_D 40006004 00000001 - 1490110 ns R r1 00000001 - 1490110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1490130 ns MR4_I 0100021c 1c5b6002 - 1490130 ns R r1 80000000 - 1490130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1490150 ns R psr 81000200 - 1490170 ns MR4_I 01000214 6841d006 - 1490190 ns MR4_I 01000218 d1fc07c9 - 1490190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1490270 ns MR4_D 40006004 00000001 - 1490270 ns R r1 00000001 - 1490270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1490290 ns MR4_I 0100021c 1c5b6002 - 1490290 ns R r1 80000000 - 1490290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1490310 ns R psr 81000200 - 1490330 ns MR4_I 01000214 6841d006 - 1490350 ns MR4_I 01000218 d1fc07c9 - 1490350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1490430 ns MR4_D 40006004 00000001 - 1490430 ns R r1 00000001 - 1490430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1490450 ns MR4_I 0100021c 1c5b6002 - 1490450 ns R r1 80000000 - 1490450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1490470 ns R psr 81000200 - 1490490 ns MR4_I 01000214 6841d006 - 1490510 ns MR4_I 01000218 d1fc07c9 - 1490510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1490590 ns MR4_D 40006004 00000001 - 1490590 ns R r1 00000001 - 1490590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1490610 ns MR4_I 0100021c 1c5b6002 - 1490610 ns R r1 80000000 - 1490610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1490630 ns R psr 81000200 - 1490650 ns MR4_I 01000214 6841d006 - 1490670 ns MR4_I 01000218 d1fc07c9 - 1490670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1490750 ns MR4_D 40006004 00000001 - 1490750 ns R r1 00000001 - 1490750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1490770 ns MR4_I 0100021c 1c5b6002 - 1490770 ns R r1 80000000 - 1490770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1490790 ns R psr 81000200 - 1490810 ns MR4_I 01000214 6841d006 - 1490830 ns MR4_I 01000218 d1fc07c9 - 1490830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1490910 ns MR4_D 40006004 00000001 - 1490910 ns R r1 00000001 - 1490910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1490930 ns MR4_I 0100021c 1c5b6002 - 1490930 ns R r1 80000000 - 1490930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1490950 ns R psr 81000200 - 1490970 ns MR4_I 01000214 6841d006 - 1490990 ns MR4_I 01000218 d1fc07c9 - 1490990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1491070 ns MR4_D 40006004 00000001 - 1491070 ns R r1 00000001 - 1491070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1491090 ns MR4_I 0100021c 1c5b6002 - 1491090 ns R r1 80000000 - 1491090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1491110 ns R psr 81000200 - 1491130 ns MR4_I 01000214 6841d006 - 1491150 ns MR4_I 01000218 d1fc07c9 - 1491150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1491230 ns MR4_D 40006004 00000001 - 1491230 ns R r1 00000001 - 1491230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1491250 ns MR4_I 0100021c 1c5b6002 - 1491250 ns R r1 80000000 - 1491250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1491270 ns R psr 81000200 - 1491290 ns MR4_I 01000214 6841d006 - 1491310 ns MR4_I 01000218 d1fc07c9 - 1491310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1491390 ns MR4_D 40006004 00000001 - 1491390 ns R r1 00000001 - 1491390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1491410 ns MR4_I 0100021c 1c5b6002 - 1491410 ns R r1 80000000 - 1491410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1491430 ns R psr 81000200 - 1491450 ns MR4_I 01000214 6841d006 - 1491470 ns MR4_I 01000218 d1fc07c9 - 1491470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1491550 ns MR4_D 40006004 00000001 - 1491550 ns R r1 00000001 - 1491550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1491570 ns MR4_I 0100021c 1c5b6002 - 1491570 ns R r1 80000000 - 1491570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1491590 ns R psr 81000200 - 1491610 ns MR4_I 01000214 6841d006 - 1491630 ns MR4_I 01000218 d1fc07c9 - 1491630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1491710 ns MR4_D 40006004 00000001 - 1491710 ns R r1 00000001 - 1491710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1491730 ns MR4_I 0100021c 1c5b6002 - 1491730 ns R r1 80000000 - 1491730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1491750 ns R psr 81000200 - 1491770 ns MR4_I 01000214 6841d006 - 1491790 ns MR4_I 01000218 d1fc07c9 - 1491790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1491870 ns MR4_D 40006004 00000001 - 1491870 ns R r1 00000001 - 1491870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1491890 ns MR4_I 0100021c 1c5b6002 - 1491890 ns R r1 80000000 - 1491890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1491910 ns R psr 81000200 - 1491930 ns MR4_I 01000214 6841d006 - 1491950 ns MR4_I 01000218 d1fc07c9 - 1491950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1492030 ns MR4_D 40006004 00000001 - 1492030 ns R r1 00000001 - 1492030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1492050 ns MR4_I 0100021c 1c5b6002 - 1492050 ns R r1 80000000 - 1492050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1492070 ns R psr 81000200 - 1492090 ns MR4_I 01000214 6841d006 - 1492110 ns MR4_I 01000218 d1fc07c9 - 1492110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1492190 ns MR4_D 40006004 00000001 - 1492190 ns R r1 00000001 - 1492190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1492210 ns MR4_I 0100021c 1c5b6002 - 1492210 ns R r1 80000000 - 1492210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1492230 ns R psr 81000200 - 1492250 ns MR4_I 01000214 6841d006 - 1492270 ns MR4_I 01000218 d1fc07c9 - 1492270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1492350 ns MR4_D 40006004 00000001 - 1492350 ns R r1 00000001 - 1492350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1492370 ns MR4_I 0100021c 1c5b6002 - 1492370 ns R r1 80000000 - 1492370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1492390 ns R psr 81000200 - 1492410 ns MR4_I 01000214 6841d006 - 1492430 ns MR4_I 01000218 d1fc07c9 - 1492430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1492510 ns MR4_D 40006004 00000001 - 1492510 ns R r1 00000001 - 1492510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1492530 ns MR4_I 0100021c 1c5b6002 - 1492530 ns R r1 80000000 - 1492530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1492550 ns R psr 81000200 - 1492570 ns MR4_I 01000214 6841d006 - 1492590 ns MR4_I 01000218 d1fc07c9 - 1492590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1492670 ns MR4_D 40006004 00000001 - 1492670 ns R r1 00000001 - 1492670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1492690 ns MR4_I 0100021c 1c5b6002 - 1492690 ns R r1 80000000 - 1492690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1492710 ns R psr 81000200 - 1492730 ns MR4_I 01000214 6841d006 - 1492750 ns MR4_I 01000218 d1fc07c9 - 1492750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1492830 ns MR4_D 40006004 00000001 - 1492830 ns R r1 00000001 - 1492830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1492850 ns MR4_I 0100021c 1c5b6002 - 1492850 ns R r1 80000000 - 1492850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1492870 ns R psr 81000200 - 1492890 ns MR4_I 01000214 6841d006 - 1492910 ns MR4_I 01000218 d1fc07c9 - 1492910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1492990 ns MR4_D 40006004 00000001 - 1492990 ns R r1 00000001 - 1492990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1493010 ns MR4_I 0100021c 1c5b6002 - 1493010 ns R r1 80000000 - 1493010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1493030 ns R psr 81000200 - 1493050 ns MR4_I 01000214 6841d006 - 1493070 ns MR4_I 01000218 d1fc07c9 - 1493070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1493150 ns MR4_D 40006004 00000001 - 1493150 ns R r1 00000001 - 1493150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1493170 ns MR4_I 0100021c 1c5b6002 - 1493170 ns R r1 80000000 - 1493170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1493190 ns R psr 81000200 - 1493210 ns MR4_I 01000214 6841d006 - 1493230 ns MR4_I 01000218 d1fc07c9 - 1493230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1493310 ns MR4_D 40006004 00000001 - 1493310 ns R r1 00000001 - 1493310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1493330 ns MR4_I 0100021c 1c5b6002 - 1493330 ns R r1 80000000 - 1493330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1493350 ns R psr 81000200 - 1493370 ns MR4_I 01000214 6841d006 - 1493390 ns MR4_I 01000218 d1fc07c9 - 1493390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1493470 ns MR4_D 40006004 00000001 - 1493470 ns R r1 00000001 - 1493470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1493490 ns MR4_I 0100021c 1c5b6002 - 1493490 ns R r1 80000000 - 1493490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1493510 ns R psr 81000200 - 1493530 ns MR4_I 01000214 6841d006 - 1493550 ns MR4_I 01000218 d1fc07c9 - 1493550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1493630 ns MR4_D 40006004 00000001 - 1493630 ns R r1 00000001 - 1493630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1493650 ns MR4_I 0100021c 1c5b6002 - 1493650 ns R r1 80000000 - 1493650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1493670 ns R psr 81000200 - 1493690 ns MR4_I 01000214 6841d006 - 1493710 ns MR4_I 01000218 d1fc07c9 - 1493710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1493790 ns MR4_D 40006004 00000001 - 1493790 ns R r1 00000001 - 1493790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1493810 ns MR4_I 0100021c 1c5b6002 - 1493810 ns R r1 80000000 - 1493810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1493830 ns R psr 81000200 - 1493850 ns MR4_I 01000214 6841d006 - 1493870 ns MR4_I 01000218 d1fc07c9 - 1493870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1493950 ns MR4_D 40006004 00000001 - 1493950 ns R r1 00000001 - 1493950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1493970 ns MR4_I 0100021c 1c5b6002 - 1493970 ns R r1 80000000 - 1493970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1493990 ns R psr 81000200 - 1494010 ns MR4_I 01000214 6841d006 - 1494030 ns MR4_I 01000218 d1fc07c9 - 1494030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1494110 ns MR4_D 40006004 00000001 - 1494110 ns R r1 00000001 - 1494110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1494130 ns MR4_I 0100021c 1c5b6002 - 1494130 ns R r1 80000000 - 1494130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1494150 ns R psr 81000200 - 1494170 ns MR4_I 01000214 6841d006 - 1494190 ns MR4_I 01000218 d1fc07c9 - 1494190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1494270 ns MR4_D 40006004 00000001 - 1494270 ns R r1 00000001 - 1494270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1494290 ns MR4_I 0100021c 1c5b6002 - 1494290 ns R r1 80000000 - 1494290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1494310 ns R psr 81000200 - 1494330 ns MR4_I 01000214 6841d006 - 1494350 ns MR4_I 01000218 d1fc07c9 - 1494350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1494430 ns MR4_D 40006004 00000001 - 1494430 ns R r1 00000001 - 1494430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1494450 ns MR4_I 0100021c 1c5b6002 - 1494450 ns R r1 80000000 - 1494450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1494470 ns R psr 81000200 - 1494490 ns MR4_I 01000214 6841d006 - 1494510 ns MR4_I 01000218 d1fc07c9 - 1494510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1494590 ns MR4_D 40006004 00000001 - 1494590 ns R r1 00000001 - 1494590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1494610 ns MR4_I 0100021c 1c5b6002 - 1494610 ns R r1 80000000 - 1494610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1494630 ns R psr 81000200 - 1494650 ns MR4_I 01000214 6841d006 - 1494670 ns MR4_I 01000218 d1fc07c9 - 1494670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1494750 ns MR4_D 40006004 00000001 - 1494750 ns R r1 00000001 - 1494750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1494770 ns MR4_I 0100021c 1c5b6002 - 1494770 ns R r1 80000000 - 1494770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1494790 ns R psr 81000200 - 1494810 ns MR4_I 01000214 6841d006 - 1494830 ns MR4_I 01000218 d1fc07c9 - 1494830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1494910 ns MR4_D 40006004 00000001 - 1494910 ns R r1 00000001 - 1494910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1494930 ns MR4_I 0100021c 1c5b6002 - 1494930 ns R r1 80000000 - 1494930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1494950 ns R psr 81000200 - 1494970 ns MR4_I 01000214 6841d006 - 1494990 ns MR4_I 01000218 d1fc07c9 - 1494990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1495070 ns MR4_D 40006004 00000001 - 1495070 ns R r1 00000001 - 1495070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1495090 ns MR4_I 0100021c 1c5b6002 - 1495090 ns R r1 80000000 - 1495090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1495110 ns R psr 81000200 - 1495130 ns MR4_I 01000214 6841d006 - 1495150 ns MR4_I 01000218 d1fc07c9 - 1495150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1495230 ns MR4_D 40006004 00000001 - 1495230 ns R r1 00000001 - 1495230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1495250 ns MR4_I 0100021c 1c5b6002 - 1495250 ns R r1 80000000 - 1495250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1495270 ns R psr 81000200 - 1495290 ns MR4_I 01000214 6841d006 - 1495310 ns MR4_I 01000218 d1fc07c9 - 1495310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1495390 ns MR4_D 40006004 00000001 - 1495390 ns R r1 00000001 - 1495390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1495410 ns MR4_I 0100021c 1c5b6002 - 1495410 ns R r1 80000000 - 1495410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1495430 ns R psr 81000200 - 1495450 ns MR4_I 01000214 6841d006 - 1495470 ns MR4_I 01000218 d1fc07c9 - 1495470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1495550 ns MR4_D 40006004 00000001 - 1495550 ns R r1 00000001 - 1495550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1495570 ns MR4_I 0100021c 1c5b6002 - 1495570 ns R r1 80000000 - 1495570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1495590 ns R psr 81000200 - 1495610 ns MR4_I 01000214 6841d006 - 1495630 ns MR4_I 01000218 d1fc07c9 - 1495630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1495710 ns MR4_D 40006004 00000001 - 1495710 ns R r1 00000001 - 1495710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1495730 ns MR4_I 0100021c 1c5b6002 - 1495730 ns R r1 80000000 - 1495730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1495750 ns R psr 81000200 - 1495770 ns MR4_I 01000214 6841d006 - 1495790 ns MR4_I 01000218 d1fc07c9 - 1495790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1495870 ns MR4_D 40006004 00000001 - 1495870 ns R r1 00000001 - 1495870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1495890 ns MR4_I 0100021c 1c5b6002 - 1495890 ns R r1 80000000 - 1495890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1495910 ns R psr 81000200 - 1495930 ns MR4_I 01000214 6841d006 - 1495950 ns MR4_I 01000218 d1fc07c9 - 1495950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1496030 ns MR4_D 40006004 00000001 - 1496030 ns R r1 00000001 - 1496030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1496050 ns MR4_I 0100021c 1c5b6002 - 1496050 ns R r1 80000000 - 1496050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1496070 ns R psr 81000200 - 1496090 ns MR4_I 01000214 6841d006 - 1496110 ns MR4_I 01000218 d1fc07c9 - 1496110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1496190 ns MR4_D 40006004 00000001 - 1496190 ns R r1 00000001 - 1496190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1496210 ns MR4_I 0100021c 1c5b6002 - 1496210 ns R r1 80000000 - 1496210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1496230 ns R psr 81000200 - 1496250 ns MR4_I 01000214 6841d006 - 1496270 ns MR4_I 01000218 d1fc07c9 - 1496270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1496350 ns MR4_D 40006004 00000001 - 1496350 ns R r1 00000001 - 1496350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1496370 ns MR4_I 0100021c 1c5b6002 - 1496370 ns R r1 80000000 - 1496370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1496390 ns R psr 81000200 - 1496410 ns MR4_I 01000214 6841d006 - 1496430 ns MR4_I 01000218 d1fc07c9 - 1496430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1496510 ns MR4_D 40006004 00000001 - 1496510 ns R r1 00000001 - 1496510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1496530 ns MR4_I 0100021c 1c5b6002 - 1496530 ns R r1 80000000 - 1496530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1496550 ns R psr 81000200 - 1496570 ns MR4_I 01000214 6841d006 - 1496590 ns MR4_I 01000218 d1fc07c9 - 1496590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1496670 ns MR4_D 40006004 00000001 - 1496670 ns R r1 00000001 - 1496670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1496690 ns MR4_I 0100021c 1c5b6002 - 1496690 ns R r1 80000000 - 1496690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1496710 ns R psr 81000200 - 1496730 ns MR4_I 01000214 6841d006 - 1496750 ns MR4_I 01000218 d1fc07c9 - 1496750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1496830 ns MR4_D 40006004 00000001 - 1496830 ns R r1 00000001 - 1496830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1496850 ns MR4_I 0100021c 1c5b6002 - 1496850 ns R r1 80000000 - 1496850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1496870 ns R psr 81000200 - 1496890 ns MR4_I 01000214 6841d006 - 1496910 ns MR4_I 01000218 d1fc07c9 - 1496910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1496990 ns MR4_D 40006004 00000001 - 1496990 ns R r1 00000001 - 1496990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1497010 ns MR4_I 0100021c 1c5b6002 - 1497010 ns R r1 80000000 - 1497010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1497030 ns R psr 81000200 - 1497050 ns MR4_I 01000214 6841d006 - 1497070 ns MR4_I 01000218 d1fc07c9 - 1497070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1497150 ns MR4_D 40006004 00000001 - 1497150 ns R r1 00000001 - 1497150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1497170 ns MR4_I 0100021c 1c5b6002 - 1497170 ns R r1 80000000 - 1497170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1497190 ns R psr 81000200 - 1497210 ns MR4_I 01000214 6841d006 - 1497230 ns MR4_I 01000218 d1fc07c9 - 1497230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1497310 ns MR4_D 40006004 00000001 - 1497310 ns R r1 00000001 - 1497310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1497330 ns MR4_I 0100021c 1c5b6002 - 1497330 ns R r1 80000000 - 1497330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1497350 ns R psr 81000200 - 1497370 ns MR4_I 01000214 6841d006 - 1497390 ns MR4_I 01000218 d1fc07c9 - 1497390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1497470 ns MR4_D 40006004 00000001 - 1497470 ns R r1 00000001 - 1497470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1497490 ns MR4_I 0100021c 1c5b6002 - 1497490 ns R r1 80000000 - 1497490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1497510 ns R psr 81000200 - 1497530 ns MR4_I 01000214 6841d006 - 1497550 ns MR4_I 01000218 d1fc07c9 - 1497550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1497630 ns MR4_D 40006004 00000001 - 1497630 ns R r1 00000001 - 1497630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1497650 ns MR4_I 0100021c 1c5b6002 - 1497650 ns R r1 80000000 - 1497650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1497670 ns R psr 81000200 - 1497690 ns MR4_I 01000214 6841d006 - 1497710 ns MR4_I 01000218 d1fc07c9 - 1497710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1497790 ns MR4_D 40006004 00000001 - 1497790 ns R r1 00000001 - 1497790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1497810 ns MR4_I 0100021c 1c5b6002 - 1497810 ns R r1 80000000 - 1497810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1497830 ns R psr 81000200 - 1497850 ns MR4_I 01000214 6841d006 - 1497870 ns MR4_I 01000218 d1fc07c9 - 1497870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1497950 ns MR4_D 40006004 00000001 - 1497950 ns R r1 00000001 - 1497950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1497970 ns MR4_I 0100021c 1c5b6002 - 1497970 ns R r1 80000000 - 1497970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1497990 ns R psr 81000200 - 1498010 ns MR4_I 01000214 6841d006 - 1498030 ns MR4_I 01000218 d1fc07c9 - 1498030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1498110 ns MR4_D 40006004 00000001 - 1498110 ns R r1 00000001 - 1498110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1498130 ns MR4_I 0100021c 1c5b6002 - 1498130 ns R r1 80000000 - 1498130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1498150 ns R psr 81000200 - 1498170 ns MR4_I 01000214 6841d006 - 1498190 ns MR4_I 01000218 d1fc07c9 - 1498190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1498270 ns MR4_D 40006004 00000001 - 1498270 ns R r1 00000001 - 1498270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1498290 ns MR4_I 0100021c 1c5b6002 - 1498290 ns R r1 80000000 - 1498290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1498310 ns R psr 81000200 - 1498330 ns MR4_I 01000214 6841d006 - 1498350 ns MR4_I 01000218 d1fc07c9 - 1498350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1498430 ns MR4_D 40006004 00000001 - 1498430 ns R r1 00000001 - 1498430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1498450 ns MR4_I 0100021c 1c5b6002 - 1498450 ns R r1 80000000 - 1498450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1498470 ns R psr 81000200 - 1498490 ns MR4_I 01000214 6841d006 - 1498510 ns MR4_I 01000218 d1fc07c9 - 1498510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1498590 ns MR4_D 40006004 00000001 - 1498590 ns R r1 00000001 - 1498590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1498610 ns MR4_I 0100021c 1c5b6002 - 1498610 ns R r1 80000000 - 1498610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1498630 ns R psr 81000200 - 1498650 ns MR4_I 01000214 6841d006 - 1498670 ns MR4_I 01000218 d1fc07c9 - 1498670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1498750 ns MR4_D 40006004 00000001 - 1498750 ns R r1 00000001 - 1498750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1498770 ns MR4_I 0100021c 1c5b6002 - 1498770 ns R r1 80000000 - 1498770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1498790 ns R psr 81000200 - 1498810 ns MR4_I 01000214 6841d006 - 1498830 ns MR4_I 01000218 d1fc07c9 - 1498830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1498910 ns MR4_D 40006004 00000001 - 1498910 ns R r1 00000001 - 1498910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1498930 ns MR4_I 0100021c 1c5b6002 - 1498930 ns R r1 80000000 - 1498930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1498950 ns R psr 81000200 - 1498970 ns MR4_I 01000214 6841d006 - 1498990 ns MR4_I 01000218 d1fc07c9 - 1498990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1499070 ns MR4_D 40006004 00000001 - 1499070 ns R r1 00000001 - 1499070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1499090 ns MR4_I 0100021c 1c5b6002 - 1499090 ns R r1 80000000 - 1499090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1499110 ns R psr 81000200 - 1499130 ns MR4_I 01000214 6841d006 - 1499150 ns MR4_I 01000218 d1fc07c9 - 1499150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1499230 ns MR4_D 40006004 00000001 - 1499230 ns R r1 00000001 - 1499230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1499250 ns MR4_I 0100021c 1c5b6002 - 1499250 ns R r1 80000000 - 1499250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1499270 ns R psr 81000200 - 1499290 ns MR4_I 01000214 6841d006 - 1499310 ns MR4_I 01000218 d1fc07c9 - 1499310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1499390 ns MR4_D 40006004 00000001 - 1499390 ns R r1 00000001 - 1499390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1499410 ns MR4_I 0100021c 1c5b6002 - 1499410 ns R r1 80000000 - 1499410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1499430 ns R psr 81000200 - 1499450 ns MR4_I 01000214 6841d006 - 1499470 ns MR4_I 01000218 d1fc07c9 - 1499470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1499550 ns MR4_D 40006004 00000001 - 1499550 ns R r1 00000001 - 1499550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1499570 ns MR4_I 0100021c 1c5b6002 - 1499570 ns R r1 80000000 - 1499570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1499590 ns R psr 81000200 - 1499610 ns MR4_I 01000214 6841d006 - 1499630 ns MR4_I 01000218 d1fc07c9 - 1499630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1499710 ns MR4_D 40006004 00000001 - 1499710 ns R r1 00000001 - 1499710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1499730 ns MR4_I 0100021c 1c5b6002 - 1499730 ns R r1 80000000 - 1499730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1499750 ns R psr 81000200 - 1499770 ns MR4_I 01000214 6841d006 - 1499790 ns MR4_I 01000218 d1fc07c9 - 1499790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1499870 ns MR4_D 40006004 00000001 - 1499870 ns R r1 00000001 - 1499870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1499890 ns MR4_I 0100021c 1c5b6002 - 1499890 ns R r1 80000000 - 1499890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1499910 ns R psr 81000200 - 1499930 ns MR4_I 01000214 6841d006 - 1499950 ns MR4_I 01000218 d1fc07c9 - 1499950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1500030 ns MR4_D 40006004 00000001 - 1500030 ns R r1 00000001 - 1500030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1500050 ns MR4_I 0100021c 1c5b6002 - 1500050 ns R r1 80000000 - 1500050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1500070 ns R psr 81000200 - 1500090 ns MR4_I 01000214 6841d006 - 1500110 ns MR4_I 01000218 d1fc07c9 - 1500110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1500190 ns MR4_D 40006004 00000001 - 1500190 ns R r1 00000001 - 1500190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1500210 ns MR4_I 0100021c 1c5b6002 - 1500210 ns R r1 80000000 - 1500210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1500230 ns R psr 81000200 - 1500250 ns MR4_I 01000214 6841d006 - 1500270 ns MR4_I 01000218 d1fc07c9 - 1500270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1500350 ns MR4_D 40006004 00000001 - 1500350 ns R r1 00000001 - 1500350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1500370 ns MR4_I 0100021c 1c5b6002 - 1500370 ns R r1 80000000 - 1500370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1500390 ns R psr 81000200 - 1500410 ns MR4_I 01000214 6841d006 - 1500430 ns MR4_I 01000218 d1fc07c9 - 1500430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1500510 ns MR4_D 40006004 00000001 - 1500510 ns R r1 00000001 - 1500510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1500530 ns MR4_I 0100021c 1c5b6002 - 1500530 ns R r1 80000000 - 1500530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1500550 ns R psr 81000200 - 1500570 ns MR4_I 01000214 6841d006 - 1500590 ns MR4_I 01000218 d1fc07c9 - 1500590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1500670 ns MR4_D 40006004 00000001 - 1500670 ns R r1 00000001 - 1500670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1500690 ns MR4_I 0100021c 1c5b6002 - 1500690 ns R r1 80000000 - 1500690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1500710 ns R psr 81000200 - 1500730 ns MR4_I 01000214 6841d006 - 1500750 ns MR4_I 01000218 d1fc07c9 - 1500750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1500830 ns MR4_D 40006004 00000001 - 1500830 ns R r1 00000001 - 1500830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1500850 ns MR4_I 0100021c 1c5b6002 - 1500850 ns R r1 80000000 - 1500850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1500870 ns R psr 81000200 - 1500890 ns MR4_I 01000214 6841d006 - 1500910 ns MR4_I 01000218 d1fc07c9 - 1500910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1500990 ns MR4_D 40006004 00000001 - 1500990 ns R r1 00000001 - 1500990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1501010 ns MR4_I 0100021c 1c5b6002 - 1501010 ns R r1 80000000 - 1501010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1501030 ns R psr 81000200 - 1501050 ns MR4_I 01000214 6841d006 - 1501070 ns MR4_I 01000218 d1fc07c9 - 1501070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1501150 ns MR4_D 40006004 00000001 - 1501150 ns R r1 00000001 - 1501150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1501170 ns MR4_I 0100021c 1c5b6002 - 1501170 ns R r1 80000000 - 1501170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1501190 ns R psr 81000200 - 1501210 ns MR4_I 01000214 6841d006 - 1501230 ns MR4_I 01000218 d1fc07c9 - 1501230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1501310 ns MR4_D 40006004 00000001 - 1501310 ns R r1 00000001 - 1501310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1501330 ns MR4_I 0100021c 1c5b6002 - 1501330 ns R r1 80000000 - 1501330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1501350 ns R psr 81000200 - 1501370 ns MR4_I 01000214 6841d006 - 1501390 ns MR4_I 01000218 d1fc07c9 - 1501390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1501470 ns MR4_D 40006004 00000001 - 1501470 ns R r1 00000001 - 1501470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1501490 ns MR4_I 0100021c 1c5b6002 - 1501490 ns R r1 80000000 - 1501490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1501510 ns R psr 81000200 - 1501530 ns MR4_I 01000214 6841d006 - 1501550 ns MR4_I 01000218 d1fc07c9 - 1501550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1501630 ns MR4_D 40006004 00000001 - 1501630 ns R r1 00000001 - 1501630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1501650 ns MR4_I 0100021c 1c5b6002 - 1501650 ns R r1 80000000 - 1501650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1501670 ns R psr 81000200 - 1501690 ns MR4_I 01000214 6841d006 - 1501710 ns MR4_I 01000218 d1fc07c9 - 1501710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1501790 ns MR4_D 40006004 00000001 - 1501790 ns R r1 00000001 - 1501790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1501810 ns MR4_I 0100021c 1c5b6002 - 1501810 ns R r1 80000000 - 1501810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1501830 ns R psr 81000200 - 1501850 ns MR4_I 01000214 6841d006 - 1501870 ns MR4_I 01000218 d1fc07c9 - 1501870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1501950 ns MR4_D 40006004 00000001 - 1501950 ns R r1 00000001 - 1501950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1501970 ns MR4_I 0100021c 1c5b6002 - 1501970 ns R r1 80000000 - 1501970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1501990 ns R psr 81000200 - 1502010 ns MR4_I 01000214 6841d006 - 1502030 ns MR4_I 01000218 d1fc07c9 - 1502030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1502110 ns MR4_D 40006004 00000001 - 1502110 ns R r1 00000001 - 1502110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1502130 ns MR4_I 0100021c 1c5b6002 - 1502130 ns R r1 80000000 - 1502130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1502150 ns R psr 81000200 - 1502170 ns MR4_I 01000214 6841d006 - 1502190 ns MR4_I 01000218 d1fc07c9 - 1502190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1502270 ns MR4_D 40006004 00000001 - 1502270 ns R r1 00000001 - 1502270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1502290 ns MR4_I 0100021c 1c5b6002 - 1502290 ns R r1 80000000 - 1502290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1502310 ns R psr 81000200 - 1502330 ns MR4_I 01000214 6841d006 - 1502350 ns MR4_I 01000218 d1fc07c9 - 1502350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1502430 ns MR4_D 40006004 00000001 - 1502430 ns R r1 00000001 - 1502430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1502450 ns MR4_I 0100021c 1c5b6002 - 1502450 ns R r1 80000000 - 1502450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1502470 ns R psr 81000200 - 1502490 ns MR4_I 01000214 6841d006 - 1502510 ns MR4_I 01000218 d1fc07c9 - 1502510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1502590 ns MR4_D 40006004 00000001 - 1502590 ns R r1 00000001 - 1502590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1502610 ns MR4_I 0100021c 1c5b6002 - 1502610 ns R r1 80000000 - 1502610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1502630 ns R psr 81000200 - 1502650 ns MR4_I 01000214 6841d006 - 1502670 ns MR4_I 01000218 d1fc07c9 - 1502670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1502750 ns MR4_D 40006004 00000001 - 1502750 ns R r1 00000001 - 1502750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1502770 ns MR4_I 0100021c 1c5b6002 - 1502770 ns R r1 80000000 - 1502770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1502790 ns R psr 81000200 - 1502810 ns MR4_I 01000214 6841d006 - 1502830 ns MR4_I 01000218 d1fc07c9 - 1502830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1502910 ns MR4_D 40006004 00000001 - 1502910 ns R r1 00000001 - 1502910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1502930 ns MR4_I 0100021c 1c5b6002 - 1502930 ns R r1 80000000 - 1502930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1502950 ns R psr 81000200 - 1502970 ns MR4_I 01000214 6841d006 - 1502990 ns MR4_I 01000218 d1fc07c9 - 1502990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1503070 ns MR4_D 40006004 00000001 - 1503070 ns R r1 00000001 - 1503070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1503090 ns MR4_I 0100021c 1c5b6002 - 1503090 ns R r1 80000000 - 1503090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1503110 ns R psr 81000200 - 1503130 ns MR4_I 01000214 6841d006 - 1503150 ns MR4_I 01000218 d1fc07c9 - 1503150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1503230 ns MR4_D 40006004 00000001 - 1503230 ns R r1 00000001 - 1503230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1503250 ns MR4_I 0100021c 1c5b6002 - 1503250 ns R r1 80000000 - 1503250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1503270 ns R psr 81000200 - 1503290 ns MR4_I 01000214 6841d006 - 1503310 ns MR4_I 01000218 d1fc07c9 - 1503310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1503390 ns MR4_D 40006004 00000001 - 1503390 ns R r1 00000001 - 1503390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1503410 ns MR4_I 0100021c 1c5b6002 - 1503410 ns R r1 80000000 - 1503410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1503430 ns R psr 81000200 - 1503450 ns MR4_I 01000214 6841d006 - 1503470 ns MR4_I 01000218 d1fc07c9 - 1503470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1503550 ns MR4_D 40006004 00000001 - 1503550 ns R r1 00000001 - 1503550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1503570 ns MR4_I 0100021c 1c5b6002 - 1503570 ns R r1 80000000 - 1503570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1503590 ns R psr 81000200 - 1503610 ns MR4_I 01000214 6841d006 - 1503630 ns MR4_I 01000218 d1fc07c9 - 1503630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1503710 ns MR4_D 40006004 00000001 - 1503710 ns R r1 00000001 - 1503710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1503730 ns MR4_I 0100021c 1c5b6002 - 1503730 ns R r1 80000000 - 1503730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1503750 ns R psr 81000200 - 1503770 ns MR4_I 01000214 6841d006 - 1503790 ns MR4_I 01000218 d1fc07c9 - 1503790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1503870 ns MR4_D 40006004 00000001 - 1503870 ns R r1 00000001 - 1503870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1503890 ns MR4_I 0100021c 1c5b6002 - 1503890 ns R r1 80000000 - 1503890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1503910 ns R psr 81000200 - 1503930 ns MR4_I 01000214 6841d006 - 1503950 ns MR4_I 01000218 d1fc07c9 - 1503950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1504030 ns MR4_D 40006004 00000001 - 1504030 ns R r1 00000001 - 1504030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1504050 ns MR4_I 0100021c 1c5b6002 - 1504050 ns R r1 80000000 - 1504050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1504070 ns R psr 81000200 - 1504090 ns MR4_I 01000214 6841d006 - 1504110 ns MR4_I 01000218 d1fc07c9 - 1504110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1504190 ns MR4_D 40006004 00000001 - 1504190 ns R r1 00000001 - 1504190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1504210 ns MR4_I 0100021c 1c5b6002 - 1504210 ns R r1 80000000 - 1504210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1504230 ns R psr 81000200 - 1504250 ns MR4_I 01000214 6841d006 - 1504270 ns MR4_I 01000218 d1fc07c9 - 1504270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1504350 ns MR4_D 40006004 00000001 - 1504350 ns R r1 00000001 - 1504350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1504370 ns MR4_I 0100021c 1c5b6002 - 1504370 ns R r1 80000000 - 1504370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1504390 ns R psr 81000200 - 1504410 ns MR4_I 01000214 6841d006 - 1504430 ns MR4_I 01000218 d1fc07c9 - 1504430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1504510 ns MR4_D 40006004 00000001 - 1504510 ns R r1 00000001 - 1504510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1504530 ns MR4_I 0100021c 1c5b6002 - 1504530 ns R r1 80000000 - 1504530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1504550 ns R psr 81000200 - 1504570 ns MR4_I 01000214 6841d006 - 1504590 ns MR4_I 01000218 d1fc07c9 - 1504590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1504670 ns MR4_D 40006004 00000001 - 1504670 ns R r1 00000001 - 1504670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1504690 ns MR4_I 0100021c 1c5b6002 - 1504690 ns R r1 80000000 - 1504690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1504710 ns R psr 81000200 - 1504730 ns MR4_I 01000214 6841d006 - 1504750 ns MR4_I 01000218 d1fc07c9 - 1504750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1504830 ns MR4_D 40006004 00000001 - 1504830 ns R r1 00000001 - 1504830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1504850 ns MR4_I 0100021c 1c5b6002 - 1504850 ns R r1 80000000 - 1504850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1504870 ns R psr 81000200 - 1504890 ns MR4_I 01000214 6841d006 - 1504910 ns MR4_I 01000218 d1fc07c9 - 1504910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1504990 ns MR4_D 40006004 00000001 - 1504990 ns R r1 00000001 - 1504990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1505010 ns MR4_I 0100021c 1c5b6002 - 1505010 ns R r1 80000000 - 1505010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1505030 ns R psr 81000200 - 1505050 ns MR4_I 01000214 6841d006 - 1505070 ns MR4_I 01000218 d1fc07c9 - 1505070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1505150 ns MR4_D 40006004 00000001 - 1505150 ns R r1 00000001 - 1505150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1505170 ns MR4_I 0100021c 1c5b6002 - 1505170 ns R r1 80000000 - 1505170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1505190 ns R psr 81000200 - 1505210 ns MR4_I 01000214 6841d006 - 1505230 ns MR4_I 01000218 d1fc07c9 - 1505230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1505310 ns MR4_D 40006004 00000001 - 1505310 ns R r1 00000001 - 1505310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1505330 ns MR4_I 0100021c 1c5b6002 - 1505330 ns R r1 80000000 - 1505330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1505350 ns R psr 81000200 - 1505370 ns MR4_I 01000214 6841d006 - 1505390 ns MR4_I 01000218 d1fc07c9 - 1505390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1505470 ns MR4_D 40006004 00000001 - 1505470 ns R r1 00000001 - 1505470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1505490 ns MR4_I 0100021c 1c5b6002 - 1505490 ns R r1 80000000 - 1505490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1505510 ns R psr 81000200 - 1505530 ns MR4_I 01000214 6841d006 - 1505550 ns MR4_I 01000218 d1fc07c9 - 1505550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1505630 ns MR4_D 40006004 00000001 - 1505630 ns R r1 00000001 - 1505630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1505650 ns MR4_I 0100021c 1c5b6002 - 1505650 ns R r1 80000000 - 1505650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1505670 ns R psr 81000200 - 1505690 ns MR4_I 01000214 6841d006 - 1505710 ns MR4_I 01000218 d1fc07c9 - 1505710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1505790 ns MR4_D 40006004 00000001 - 1505790 ns R r1 00000001 - 1505790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1505810 ns MR4_I 0100021c 1c5b6002 - 1505810 ns R r1 80000000 - 1505810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1505830 ns R psr 81000200 - 1505850 ns MR4_I 01000214 6841d006 - 1505870 ns MR4_I 01000218 d1fc07c9 - 1505870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1505950 ns MR4_D 40006004 00000001 - 1505950 ns R r1 00000001 - 1505950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1505970 ns MR4_I 0100021c 1c5b6002 - 1505970 ns R r1 80000000 - 1505970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1505990 ns R psr 81000200 - 1506010 ns MR4_I 01000214 6841d006 - 1506030 ns MR4_I 01000218 d1fc07c9 - 1506030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1506110 ns MR4_D 40006004 00000001 - 1506110 ns R r1 00000001 - 1506110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1506130 ns MR4_I 0100021c 1c5b6002 - 1506130 ns R r1 80000000 - 1506130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1506150 ns R psr 81000200 - 1506170 ns MR4_I 01000214 6841d006 - 1506190 ns MR4_I 01000218 d1fc07c9 - 1506190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1506270 ns MR4_D 40006004 00000001 - 1506270 ns R r1 00000001 - 1506270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1506290 ns MR4_I 0100021c 1c5b6002 - 1506290 ns R r1 80000000 - 1506290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1506310 ns R psr 81000200 - 1506330 ns MR4_I 01000214 6841d006 - 1506350 ns MR4_I 01000218 d1fc07c9 - 1506350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1506430 ns MR4_D 40006004 00000001 - 1506430 ns R r1 00000001 - 1506430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1506450 ns MR4_I 0100021c 1c5b6002 - 1506450 ns R r1 80000000 - 1506450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1506470 ns R psr 81000200 - 1506490 ns MR4_I 01000214 6841d006 - 1506510 ns MR4_I 01000218 d1fc07c9 - 1506510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1506590 ns MR4_D 40006004 00000001 - 1506590 ns R r1 00000001 - 1506590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1506610 ns MR4_I 0100021c 1c5b6002 - 1506610 ns R r1 80000000 - 1506610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1506630 ns R psr 81000200 - 1506650 ns MR4_I 01000214 6841d006 - 1506670 ns MR4_I 01000218 d1fc07c9 - 1506670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1506750 ns MR4_D 40006004 00000001 - 1506750 ns R r1 00000001 - 1506750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1506770 ns MR4_I 0100021c 1c5b6002 - 1506770 ns R r1 80000000 - 1506770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1506790 ns R psr 81000200 - 1506810 ns MR4_I 01000214 6841d006 - 1506830 ns MR4_I 01000218 d1fc07c9 - 1506830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1506910 ns MR4_D 40006004 00000001 - 1506910 ns R r1 00000001 - 1506910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1506930 ns MR4_I 0100021c 1c5b6002 - 1506930 ns R r1 80000000 - 1506930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1506950 ns R psr 81000200 - 1506970 ns MR4_I 01000214 6841d006 - 1506990 ns MR4_I 01000218 d1fc07c9 - 1506990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1507070 ns MR4_D 40006004 00000001 - 1507070 ns R r1 00000001 - 1507070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1507090 ns MR4_I 0100021c 1c5b6002 - 1507090 ns R r1 80000000 - 1507090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1507110 ns R psr 81000200 - 1507130 ns MR4_I 01000214 6841d006 - 1507150 ns MR4_I 01000218 d1fc07c9 - 1507150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1507230 ns MR4_D 40006004 00000001 - 1507230 ns R r1 00000001 - 1507230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1507250 ns MR4_I 0100021c 1c5b6002 - 1507250 ns R r1 80000000 - 1507250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1507270 ns R psr 81000200 - 1507290 ns MR4_I 01000214 6841d006 - 1507310 ns MR4_I 01000218 d1fc07c9 - 1507310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1507390 ns MR4_D 40006004 00000001 - 1507390 ns R r1 00000001 - 1507390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1507410 ns MR4_I 0100021c 1c5b6002 - 1507410 ns R r1 80000000 - 1507410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1507430 ns R psr 81000200 - 1507450 ns MR4_I 01000214 6841d006 - 1507470 ns MR4_I 01000218 d1fc07c9 - 1507470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1507550 ns MR4_D 40006004 00000001 - 1507550 ns R r1 00000001 - 1507550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1507570 ns MR4_I 0100021c 1c5b6002 - 1507570 ns R r1 80000000 - 1507570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1507590 ns R psr 81000200 - 1507610 ns MR4_I 01000214 6841d006 - 1507630 ns MR4_I 01000218 d1fc07c9 - 1507630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1507710 ns MR4_D 40006004 00000001 - 1507710 ns R r1 00000001 - 1507710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1507730 ns MR4_I 0100021c 1c5b6002 - 1507730 ns R r1 80000000 - 1507730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1507750 ns R psr 81000200 - 1507770 ns MR4_I 01000214 6841d006 - 1507790 ns MR4_I 01000218 d1fc07c9 - 1507790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1507870 ns MR4_D 40006004 00000001 - 1507870 ns R r1 00000001 - 1507870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1507890 ns MR4_I 0100021c 1c5b6002 - 1507890 ns R r1 80000000 - 1507890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1507910 ns R psr 81000200 - 1507930 ns MR4_I 01000214 6841d006 - 1507950 ns MR4_I 01000218 d1fc07c9 - 1507950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1508030 ns MR4_D 40006004 00000001 - 1508030 ns R r1 00000001 - 1508030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1508050 ns MR4_I 0100021c 1c5b6002 - 1508050 ns R r1 80000000 - 1508050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1508070 ns R psr 81000200 - 1508090 ns MR4_I 01000214 6841d006 - 1508110 ns MR4_I 01000218 d1fc07c9 - 1508110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1508190 ns MR4_D 40006004 00000001 - 1508190 ns R r1 00000001 - 1508190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1508210 ns MR4_I 0100021c 1c5b6002 - 1508210 ns R r1 80000000 - 1508210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1508230 ns R psr 81000200 - 1508250 ns MR4_I 01000214 6841d006 - 1508270 ns MR4_I 01000218 d1fc07c9 - 1508270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1508350 ns MR4_D 40006004 00000001 - 1508350 ns R r1 00000001 - 1508350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1508370 ns MR4_I 0100021c 1c5b6002 - 1508370 ns R r1 80000000 - 1508370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1508390 ns R psr 81000200 - 1508410 ns MR4_I 01000214 6841d006 - 1508430 ns MR4_I 01000218 d1fc07c9 - 1508430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1508510 ns MR4_D 40006004 00000001 - 1508510 ns R r1 00000001 - 1508510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1508530 ns MR4_I 0100021c 1c5b6002 - 1508530 ns R r1 80000000 - 1508530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1508550 ns R psr 81000200 - 1508570 ns MR4_I 01000214 6841d006 - 1508590 ns MR4_I 01000218 d1fc07c9 - 1508590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1508670 ns MR4_D 40006004 00000001 - 1508670 ns R r1 00000001 - 1508670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1508690 ns MR4_I 0100021c 1c5b6002 - 1508690 ns R r1 80000000 - 1508690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1508710 ns R psr 81000200 - 1508730 ns MR4_I 01000214 6841d006 - 1508750 ns MR4_I 01000218 d1fc07c9 - 1508750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1508830 ns MR4_D 40006004 00000001 - 1508830 ns R r1 00000001 - 1508830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1508850 ns MR4_I 0100021c 1c5b6002 - 1508850 ns R r1 80000000 - 1508850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1508870 ns R psr 81000200 - 1508890 ns MR4_I 01000214 6841d006 - 1508910 ns MR4_I 01000218 d1fc07c9 - 1508910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1508990 ns MR4_D 40006004 00000001 - 1508990 ns R r1 00000001 - 1508990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1509010 ns MR4_I 0100021c 1c5b6002 - 1509010 ns R r1 80000000 - 1509010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1509030 ns R psr 81000200 - 1509050 ns MR4_I 01000214 6841d006 - 1509070 ns MR4_I 01000218 d1fc07c9 - 1509070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1509150 ns MR4_D 40006004 00000001 - 1509150 ns R r1 00000001 - 1509150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1509170 ns MR4_I 0100021c 1c5b6002 - 1509170 ns R r1 80000000 - 1509170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1509190 ns R psr 81000200 - 1509210 ns MR4_I 01000214 6841d006 - 1509230 ns MR4_I 01000218 d1fc07c9 - 1509230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1509310 ns MR4_D 40006004 00000001 - 1509310 ns R r1 00000001 - 1509310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1509330 ns MR4_I 0100021c 1c5b6002 - 1509330 ns R r1 80000000 - 1509330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1509350 ns R psr 81000200 - 1509370 ns MR4_I 01000214 6841d006 - 1509390 ns MR4_I 01000218 d1fc07c9 - 1509390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1509470 ns MR4_D 40006004 00000001 - 1509470 ns R r1 00000001 - 1509470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1509490 ns MR4_I 0100021c 1c5b6002 - 1509490 ns R r1 80000000 - 1509490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1509510 ns R psr 81000200 - 1509530 ns MR4_I 01000214 6841d006 - 1509550 ns MR4_I 01000218 d1fc07c9 - 1509550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1509630 ns MR4_D 40006004 00000001 - 1509630 ns R r1 00000001 - 1509630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1509650 ns MR4_I 0100021c 1c5b6002 - 1509650 ns R r1 80000000 - 1509650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1509670 ns R psr 81000200 - 1509690 ns MR4_I 01000214 6841d006 - 1509710 ns MR4_I 01000218 d1fc07c9 - 1509710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1509790 ns MR4_D 40006004 00000001 - 1509790 ns R r1 00000001 - 1509790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1509810 ns MR4_I 0100021c 1c5b6002 - 1509810 ns R r1 80000000 - 1509810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1509830 ns R psr 81000200 - 1509850 ns MR4_I 01000214 6841d006 - 1509870 ns MR4_I 01000218 d1fc07c9 - 1509870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1509950 ns MR4_D 40006004 00000001 - 1509950 ns R r1 00000001 - 1509950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1509970 ns MR4_I 0100021c 1c5b6002 - 1509970 ns R r1 80000000 - 1509970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1509990 ns R psr 81000200 - 1510010 ns MR4_I 01000214 6841d006 - 1510030 ns MR4_I 01000218 d1fc07c9 - 1510030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1510110 ns MR4_D 40006004 00000001 - 1510110 ns R r1 00000001 - 1510110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1510130 ns MR4_I 0100021c 1c5b6002 - 1510130 ns R r1 80000000 - 1510130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1510150 ns R psr 81000200 - 1510170 ns MR4_I 01000214 6841d006 - 1510190 ns MR4_I 01000218 d1fc07c9 - 1510190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1510270 ns MR4_D 40006004 00000001 - 1510270 ns R r1 00000001 - 1510270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1510290 ns MR4_I 0100021c 1c5b6002 - 1510290 ns R r1 80000000 - 1510290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1510310 ns R psr 81000200 - 1510330 ns MR4_I 01000214 6841d006 - 1510350 ns MR4_I 01000218 d1fc07c9 - 1510350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1510430 ns MR4_D 40006004 00000001 - 1510430 ns R r1 00000001 - 1510430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1510450 ns MR4_I 0100021c 1c5b6002 - 1510450 ns R r1 80000000 - 1510450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1510470 ns R psr 81000200 - 1510490 ns MR4_I 01000214 6841d006 - 1510510 ns MR4_I 01000218 d1fc07c9 - 1510510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1510590 ns MR4_D 40006004 00000001 - 1510590 ns R r1 00000001 - 1510590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1510610 ns MR4_I 0100021c 1c5b6002 - 1510610 ns R r1 80000000 - 1510610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1510630 ns R psr 81000200 - 1510650 ns MR4_I 01000214 6841d006 - 1510670 ns MR4_I 01000218 d1fc07c9 - 1510670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1510750 ns MR4_D 40006004 00000001 - 1510750 ns R r1 00000001 - 1510750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1510770 ns MR4_I 0100021c 1c5b6002 - 1510770 ns R r1 80000000 - 1510770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1510790 ns R psr 81000200 - 1510810 ns MR4_I 01000214 6841d006 - 1510830 ns MR4_I 01000218 d1fc07c9 - 1510830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1510910 ns MR4_D 40006004 00000001 - 1510910 ns R r1 00000001 - 1510910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1510930 ns MR4_I 0100021c 1c5b6002 - 1510930 ns R r1 80000000 - 1510930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1510950 ns R psr 81000200 - 1510970 ns MR4_I 01000214 6841d006 - 1510990 ns MR4_I 01000218 d1fc07c9 - 1510990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1511070 ns MR4_D 40006004 00000001 - 1511070 ns R r1 00000001 - 1511070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1511090 ns MR4_I 0100021c 1c5b6002 - 1511090 ns R r1 80000000 - 1511090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1511110 ns R psr 81000200 - 1511130 ns MR4_I 01000214 6841d006 - 1511150 ns MR4_I 01000218 d1fc07c9 - 1511150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1511230 ns MR4_D 40006004 00000001 - 1511230 ns R r1 00000001 - 1511230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1511250 ns MR4_I 0100021c 1c5b6002 - 1511250 ns R r1 80000000 - 1511250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1511270 ns R psr 81000200 - 1511290 ns MR4_I 01000214 6841d006 - 1511310 ns MR4_I 01000218 d1fc07c9 - 1511310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1511390 ns MR4_D 40006004 00000001 - 1511390 ns R r1 00000001 - 1511390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1511410 ns MR4_I 0100021c 1c5b6002 - 1511410 ns R r1 80000000 - 1511410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1511430 ns R psr 81000200 - 1511450 ns MR4_I 01000214 6841d006 - 1511470 ns MR4_I 01000218 d1fc07c9 - 1511470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1511550 ns MR4_D 40006004 00000001 - 1511550 ns R r1 00000001 - 1511550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1511570 ns MR4_I 0100021c 1c5b6002 - 1511570 ns R r1 80000000 - 1511570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1511590 ns R psr 81000200 - 1511610 ns MR4_I 01000214 6841d006 - 1511630 ns MR4_I 01000218 d1fc07c9 - 1511630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1511710 ns MR4_D 40006004 00000001 - 1511710 ns R r1 00000001 - 1511710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1511730 ns MR4_I 0100021c 1c5b6002 - 1511730 ns R r1 80000000 - 1511730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1511750 ns R psr 81000200 - 1511770 ns MR4_I 01000214 6841d006 - 1511790 ns MR4_I 01000218 d1fc07c9 - 1511790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1511870 ns MR4_D 40006004 00000001 - 1511870 ns R r1 00000001 - 1511870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1511890 ns MR4_I 0100021c 1c5b6002 - 1511890 ns R r1 80000000 - 1511890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1511910 ns R psr 81000200 - 1511930 ns MR4_I 01000214 6841d006 - 1511950 ns MR4_I 01000218 d1fc07c9 - 1511950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1512030 ns MR4_D 40006004 00000001 - 1512030 ns R r1 00000001 - 1512030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1512050 ns MR4_I 0100021c 1c5b6002 - 1512050 ns R r1 80000000 - 1512050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1512070 ns R psr 81000200 - 1512090 ns MR4_I 01000214 6841d006 - 1512110 ns MR4_I 01000218 d1fc07c9 - 1512110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1512190 ns MR4_D 40006004 00000001 - 1512190 ns R r1 00000001 - 1512190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1512210 ns MR4_I 0100021c 1c5b6002 - 1512210 ns R r1 80000000 - 1512210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1512230 ns R psr 81000200 - 1512250 ns MR4_I 01000214 6841d006 - 1512270 ns MR4_I 01000218 d1fc07c9 - 1512270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1512350 ns MR4_D 40006004 00000001 - 1512350 ns R r1 00000001 - 1512350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1512370 ns MR4_I 0100021c 1c5b6002 - 1512370 ns R r1 80000000 - 1512370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1512390 ns R psr 81000200 - 1512410 ns MR4_I 01000214 6841d006 - 1512430 ns MR4_I 01000218 d1fc07c9 - 1512430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1512510 ns MR4_D 40006004 00000001 - 1512510 ns R r1 00000001 - 1512510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1512530 ns MR4_I 0100021c 1c5b6002 - 1512530 ns R r1 80000000 - 1512530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1512550 ns R psr 81000200 - 1512570 ns MR4_I 01000214 6841d006 - 1512590 ns MR4_I 01000218 d1fc07c9 - 1512590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1512670 ns MR4_D 40006004 00000001 - 1512670 ns R r1 00000001 - 1512670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1512690 ns MR4_I 0100021c 1c5b6002 - 1512690 ns R r1 80000000 - 1512690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1512710 ns R psr 81000200 - 1512730 ns MR4_I 01000214 6841d006 - 1512750 ns MR4_I 01000218 d1fc07c9 - 1512750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1512830 ns MR4_D 40006004 00000001 - 1512830 ns R r1 00000001 - 1512830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1512850 ns MR4_I 0100021c 1c5b6002 - 1512850 ns R r1 80000000 - 1512850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1512870 ns R psr 81000200 - 1512890 ns MR4_I 01000214 6841d006 - 1512910 ns MR4_I 01000218 d1fc07c9 - 1512910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1512990 ns MR4_D 40006004 00000001 - 1512990 ns R r1 00000001 - 1512990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1513010 ns MR4_I 0100021c 1c5b6002 - 1513010 ns R r1 80000000 - 1513010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1513030 ns R psr 81000200 - 1513050 ns MR4_I 01000214 6841d006 - 1513070 ns MR4_I 01000218 d1fc07c9 - 1513070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1513150 ns MR4_D 40006004 00000001 - 1513150 ns R r1 00000001 - 1513150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1513170 ns MR4_I 0100021c 1c5b6002 - 1513170 ns R r1 80000000 - 1513170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1513190 ns R psr 81000200 - 1513210 ns MR4_I 01000214 6841d006 - 1513230 ns MR4_I 01000218 d1fc07c9 - 1513230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1513310 ns MR4_D 40006004 00000001 - 1513310 ns R r1 00000001 - 1513310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1513330 ns MR4_I 0100021c 1c5b6002 - 1513330 ns R r1 80000000 - 1513330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1513350 ns R psr 81000200 - 1513370 ns MR4_I 01000214 6841d006 - 1513390 ns MR4_I 01000218 d1fc07c9 - 1513390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1513470 ns MR4_D 40006004 00000001 - 1513470 ns R r1 00000001 - 1513470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1513490 ns MR4_I 0100021c 1c5b6002 - 1513490 ns R r1 80000000 - 1513490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1513510 ns R psr 81000200 - 1513530 ns MR4_I 01000214 6841d006 - 1513550 ns MR4_I 01000218 d1fc07c9 - 1513550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1513630 ns MR4_D 40006004 00000001 - 1513630 ns R r1 00000001 - 1513630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1513650 ns MR4_I 0100021c 1c5b6002 - 1513650 ns R r1 80000000 - 1513650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1513670 ns R psr 81000200 - 1513690 ns MR4_I 01000214 6841d006 - 1513710 ns MR4_I 01000218 d1fc07c9 - 1513710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1513790 ns MR4_D 40006004 00000001 - 1513790 ns R r1 00000001 - 1513790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1513810 ns MR4_I 0100021c 1c5b6002 - 1513810 ns R r1 80000000 - 1513810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1513830 ns R psr 81000200 - 1513850 ns MR4_I 01000214 6841d006 - 1513870 ns MR4_I 01000218 d1fc07c9 - 1513870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1513950 ns MR4_D 40006004 00000001 - 1513950 ns R r1 00000001 - 1513950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1513970 ns MR4_I 0100021c 1c5b6002 - 1513970 ns R r1 80000000 - 1513970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1513990 ns R psr 81000200 - 1514010 ns MR4_I 01000214 6841d006 - 1514030 ns MR4_I 01000218 d1fc07c9 - 1514030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1514110 ns MR4_D 40006004 00000001 - 1514110 ns R r1 00000001 - 1514110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1514130 ns MR4_I 0100021c 1c5b6002 - 1514130 ns R r1 80000000 - 1514130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1514150 ns R psr 81000200 - 1514170 ns MR4_I 01000214 6841d006 - 1514190 ns MR4_I 01000218 d1fc07c9 - 1514190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1514270 ns MR4_D 40006004 00000000 - 1514270 ns R r1 00000000 - 1514270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1514290 ns MR4_I 0100021c 1c5b6002 - 1514290 ns R r1 00000000 - 1514290 ns IS 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1514310 ns R psr 41000200 - 1514310 ns IT 0100021c 6002 STR r2,[r0,#0] - 1514330 ns MR4_I 01000220 d1f52a00 - 1514390 ns MW4_D 40006000 0000006f - 1514390 ns IT 0100021e 1c5b ADDS r3,r3,#1 - 1514410 ns R r3 010002bd - 1514410 ns IT 01000220 2a00 CMP r2,#0 - 1514430 ns R psr 01000200 - 1514430 ns MR4_I 01000224 680a4911 - 1514430 ns IT 01000222 d1f5 BNE {pc} - 0x12 ; 0x1000210 - 1514450 ns R psr 21000200 - 1514470 ns MR4_I 01000210 2a00781a - 1514490 ns IT 01000210 781a LDRB r2,[r3,#0] - 1514510 ns MR4_I 01000214 6841d006 - 1514530 ns MR1_D 010002bd 2064616f - 1514530 ns R r2 00000061 - 1514530 ns IT 01000212 2a00 CMP r2,#0 - 1514550 ns IS 01000214 d006 BEQ {pc} + 0x10 ; 0x1000224 - 1514570 ns R psr 21000200 - 1514570 ns MR4_I 01000218 d1fc07c9 - 1514570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1514650 ns MR4_D 40006004 00000001 - 1514650 ns R r1 00000001 - 1514650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1514670 ns MR4_I 0100021c 1c5b6002 - 1514670 ns R r1 80000000 - 1514670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1514690 ns R psr 81000200 - 1514710 ns MR4_I 01000214 6841d006 - 1514730 ns MR4_I 01000218 d1fc07c9 - 1514730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1514810 ns MR4_D 40006004 00000001 - 1514810 ns R r1 00000001 - 1514810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1514830 ns MR4_I 0100021c 1c5b6002 - 1514830 ns R r1 80000000 - 1514830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1514850 ns R psr 81000200 - 1514870 ns MR4_I 01000214 6841d006 - 1514890 ns MR4_I 01000218 d1fc07c9 - 1514890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1514970 ns MR4_D 40006004 00000001 - 1514970 ns R r1 00000001 - 1514970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1514990 ns MR4_I 0100021c 1c5b6002 - 1514990 ns R r1 80000000 - 1514990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1515010 ns R psr 81000200 - 1515030 ns MR4_I 01000214 6841d006 - 1515050 ns MR4_I 01000218 d1fc07c9 - 1515050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1515130 ns MR4_D 40006004 00000001 - 1515130 ns R r1 00000001 - 1515130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1515150 ns MR4_I 0100021c 1c5b6002 - 1515150 ns R r1 80000000 - 1515150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1515170 ns R psr 81000200 - 1515190 ns MR4_I 01000214 6841d006 - 1515210 ns MR4_I 01000218 d1fc07c9 - 1515210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1515290 ns MR4_D 40006004 00000001 - 1515290 ns R r1 00000001 - 1515290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1515310 ns MR4_I 0100021c 1c5b6002 - 1515310 ns R r1 80000000 - 1515310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1515330 ns R psr 81000200 - 1515350 ns MR4_I 01000214 6841d006 - 1515370 ns MR4_I 01000218 d1fc07c9 - 1515370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1515450 ns MR4_D 40006004 00000001 - 1515450 ns R r1 00000001 - 1515450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1515470 ns MR4_I 0100021c 1c5b6002 - 1515470 ns R r1 80000000 - 1515470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1515490 ns R psr 81000200 - 1515510 ns MR4_I 01000214 6841d006 - 1515530 ns MR4_I 01000218 d1fc07c9 - 1515530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1515610 ns MR4_D 40006004 00000001 - 1515610 ns R r1 00000001 - 1515610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1515630 ns MR4_I 0100021c 1c5b6002 - 1515630 ns R r1 80000000 - 1515630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1515650 ns R psr 81000200 - 1515670 ns MR4_I 01000214 6841d006 - 1515690 ns MR4_I 01000218 d1fc07c9 - 1515690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1515770 ns MR4_D 40006004 00000001 - 1515770 ns R r1 00000001 - 1515770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1515790 ns MR4_I 0100021c 1c5b6002 - 1515790 ns R r1 80000000 - 1515790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1515810 ns R psr 81000200 - 1515830 ns MR4_I 01000214 6841d006 - 1515850 ns MR4_I 01000218 d1fc07c9 - 1515850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1515930 ns MR4_D 40006004 00000001 - 1515930 ns R r1 00000001 - 1515930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1515950 ns MR4_I 0100021c 1c5b6002 - 1515950 ns R r1 80000000 - 1515950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1515970 ns R psr 81000200 - 1515990 ns MR4_I 01000214 6841d006 - 1516010 ns MR4_I 01000218 d1fc07c9 - 1516010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1516090 ns MR4_D 40006004 00000001 - 1516090 ns R r1 00000001 - 1516090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1516110 ns MR4_I 0100021c 1c5b6002 - 1516110 ns R r1 80000000 - 1516110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1516130 ns R psr 81000200 - 1516150 ns MR4_I 01000214 6841d006 - 1516170 ns MR4_I 01000218 d1fc07c9 - 1516170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1516250 ns MR4_D 40006004 00000001 - 1516250 ns R r1 00000001 - 1516250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1516270 ns MR4_I 0100021c 1c5b6002 - 1516270 ns R r1 80000000 - 1516270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1516290 ns R psr 81000200 - 1516310 ns MR4_I 01000214 6841d006 - 1516330 ns MR4_I 01000218 d1fc07c9 - 1516330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1516410 ns MR4_D 40006004 00000001 - 1516410 ns R r1 00000001 - 1516410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1516430 ns MR4_I 0100021c 1c5b6002 - 1516430 ns R r1 80000000 - 1516430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1516450 ns R psr 81000200 - 1516470 ns MR4_I 01000214 6841d006 - 1516490 ns MR4_I 01000218 d1fc07c9 - 1516490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1516570 ns MR4_D 40006004 00000001 - 1516570 ns R r1 00000001 - 1516570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1516590 ns MR4_I 0100021c 1c5b6002 - 1516590 ns R r1 80000000 - 1516590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1516610 ns R psr 81000200 - 1516630 ns MR4_I 01000214 6841d006 - 1516650 ns MR4_I 01000218 d1fc07c9 - 1516650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1516730 ns MR4_D 40006004 00000001 - 1516730 ns R r1 00000001 - 1516730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1516750 ns MR4_I 0100021c 1c5b6002 - 1516750 ns R r1 80000000 - 1516750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1516770 ns R psr 81000200 - 1516790 ns MR4_I 01000214 6841d006 - 1516810 ns MR4_I 01000218 d1fc07c9 - 1516810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1516890 ns MR4_D 40006004 00000001 - 1516890 ns R r1 00000001 - 1516890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1516910 ns MR4_I 0100021c 1c5b6002 - 1516910 ns R r1 80000000 - 1516910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1516930 ns R psr 81000200 - 1516950 ns MR4_I 01000214 6841d006 - 1516970 ns MR4_I 01000218 d1fc07c9 - 1516970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1517050 ns MR4_D 40006004 00000001 - 1517050 ns R r1 00000001 - 1517050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1517070 ns MR4_I 0100021c 1c5b6002 - 1517070 ns R r1 80000000 - 1517070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1517090 ns R psr 81000200 - 1517110 ns MR4_I 01000214 6841d006 - 1517130 ns MR4_I 01000218 d1fc07c9 - 1517130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1517210 ns MR4_D 40006004 00000001 - 1517210 ns R r1 00000001 - 1517210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1517230 ns MR4_I 0100021c 1c5b6002 - 1517230 ns R r1 80000000 - 1517230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1517250 ns R psr 81000200 - 1517270 ns MR4_I 01000214 6841d006 - 1517290 ns MR4_I 01000218 d1fc07c9 - 1517290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1517370 ns MR4_D 40006004 00000001 - 1517370 ns R r1 00000001 - 1517370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1517390 ns MR4_I 0100021c 1c5b6002 - 1517390 ns R r1 80000000 - 1517390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1517410 ns R psr 81000200 - 1517430 ns MR4_I 01000214 6841d006 - 1517450 ns MR4_I 01000218 d1fc07c9 - 1517450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1517530 ns MR4_D 40006004 00000001 - 1517530 ns R r1 00000001 - 1517530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1517550 ns MR4_I 0100021c 1c5b6002 - 1517550 ns R r1 80000000 - 1517550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1517570 ns R psr 81000200 - 1517590 ns MR4_I 01000214 6841d006 - 1517610 ns MR4_I 01000218 d1fc07c9 - 1517610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1517690 ns MR4_D 40006004 00000001 - 1517690 ns R r1 00000001 - 1517690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1517710 ns MR4_I 0100021c 1c5b6002 - 1517710 ns R r1 80000000 - 1517710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1517730 ns R psr 81000200 - 1517750 ns MR4_I 01000214 6841d006 - 1517770 ns MR4_I 01000218 d1fc07c9 - 1517770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1517850 ns MR4_D 40006004 00000001 - 1517850 ns R r1 00000001 - 1517850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1517870 ns MR4_I 0100021c 1c5b6002 - 1517870 ns R r1 80000000 - 1517870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1517890 ns R psr 81000200 - 1517910 ns MR4_I 01000214 6841d006 - 1517930 ns MR4_I 01000218 d1fc07c9 - 1517930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1518010 ns MR4_D 40006004 00000001 - 1518010 ns R r1 00000001 - 1518010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1518030 ns MR4_I 0100021c 1c5b6002 - 1518030 ns R r1 80000000 - 1518030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1518050 ns R psr 81000200 - 1518070 ns MR4_I 01000214 6841d006 - 1518090 ns MR4_I 01000218 d1fc07c9 - 1518090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1518170 ns MR4_D 40006004 00000001 - 1518170 ns R r1 00000001 - 1518170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1518190 ns MR4_I 0100021c 1c5b6002 - 1518190 ns R r1 80000000 - 1518190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1518210 ns R psr 81000200 - 1518230 ns MR4_I 01000214 6841d006 - 1518250 ns MR4_I 01000218 d1fc07c9 - 1518250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1518330 ns MR4_D 40006004 00000001 - 1518330 ns R r1 00000001 - 1518330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1518350 ns MR4_I 0100021c 1c5b6002 - 1518350 ns R r1 80000000 - 1518350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1518370 ns R psr 81000200 - 1518390 ns MR4_I 01000214 6841d006 - 1518410 ns MR4_I 01000218 d1fc07c9 - 1518410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1518490 ns MR4_D 40006004 00000001 - 1518490 ns R r1 00000001 - 1518490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1518510 ns MR4_I 0100021c 1c5b6002 - 1518510 ns R r1 80000000 - 1518510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1518530 ns R psr 81000200 - 1518550 ns MR4_I 01000214 6841d006 - 1518570 ns MR4_I 01000218 d1fc07c9 - 1518570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1518650 ns MR4_D 40006004 00000001 - 1518650 ns R r1 00000001 - 1518650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1518670 ns MR4_I 0100021c 1c5b6002 - 1518670 ns R r1 80000000 - 1518670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1518690 ns R psr 81000200 - 1518710 ns MR4_I 01000214 6841d006 - 1518730 ns MR4_I 01000218 d1fc07c9 - 1518730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1518810 ns MR4_D 40006004 00000001 - 1518810 ns R r1 00000001 - 1518810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1518830 ns MR4_I 0100021c 1c5b6002 - 1518830 ns R r1 80000000 - 1518830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1518850 ns R psr 81000200 - 1518870 ns MR4_I 01000214 6841d006 - 1518890 ns MR4_I 01000218 d1fc07c9 - 1518890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1518970 ns MR4_D 40006004 00000001 - 1518970 ns R r1 00000001 - 1518970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1518990 ns MR4_I 0100021c 1c5b6002 - 1518990 ns R r1 80000000 - 1518990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1519010 ns R psr 81000200 - 1519030 ns MR4_I 01000214 6841d006 - 1519050 ns MR4_I 01000218 d1fc07c9 - 1519050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1519130 ns MR4_D 40006004 00000001 - 1519130 ns R r1 00000001 - 1519130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1519150 ns MR4_I 0100021c 1c5b6002 - 1519150 ns R r1 80000000 - 1519150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1519170 ns R psr 81000200 - 1519190 ns MR4_I 01000214 6841d006 - 1519210 ns MR4_I 01000218 d1fc07c9 - 1519210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1519290 ns MR4_D 40006004 00000001 - 1519290 ns R r1 00000001 - 1519290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1519310 ns MR4_I 0100021c 1c5b6002 - 1519310 ns R r1 80000000 - 1519310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1519330 ns R psr 81000200 - 1519350 ns MR4_I 01000214 6841d006 - 1519370 ns MR4_I 01000218 d1fc07c9 - 1519370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1519450 ns MR4_D 40006004 00000001 - 1519450 ns R r1 00000001 - 1519450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1519470 ns MR4_I 0100021c 1c5b6002 - 1519470 ns R r1 80000000 - 1519470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1519490 ns R psr 81000200 - 1519510 ns MR4_I 01000214 6841d006 - 1519530 ns MR4_I 01000218 d1fc07c9 - 1519530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1519610 ns MR4_D 40006004 00000001 - 1519610 ns R r1 00000001 - 1519610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1519630 ns MR4_I 0100021c 1c5b6002 - 1519630 ns R r1 80000000 - 1519630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1519650 ns R psr 81000200 - 1519670 ns MR4_I 01000214 6841d006 - 1519690 ns MR4_I 01000218 d1fc07c9 - 1519690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1519770 ns MR4_D 40006004 00000001 - 1519770 ns R r1 00000001 - 1519770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1519790 ns MR4_I 0100021c 1c5b6002 - 1519790 ns R r1 80000000 - 1519790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1519810 ns R psr 81000200 - 1519830 ns MR4_I 01000214 6841d006 - 1519850 ns MR4_I 01000218 d1fc07c9 - 1519850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1519930 ns MR4_D 40006004 00000001 - 1519930 ns R r1 00000001 - 1519930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1519950 ns MR4_I 0100021c 1c5b6002 - 1519950 ns R r1 80000000 - 1519950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1519970 ns R psr 81000200 - 1519990 ns MR4_I 01000214 6841d006 - 1520010 ns MR4_I 01000218 d1fc07c9 - 1520010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1520090 ns MR4_D 40006004 00000001 - 1520090 ns R r1 00000001 - 1520090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1520110 ns MR4_I 0100021c 1c5b6002 - 1520110 ns R r1 80000000 - 1520110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1520130 ns R psr 81000200 - 1520150 ns MR4_I 01000214 6841d006 - 1520170 ns MR4_I 01000218 d1fc07c9 - 1520170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1520250 ns MR4_D 40006004 00000001 - 1520250 ns R r1 00000001 - 1520250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1520270 ns MR4_I 0100021c 1c5b6002 - 1520270 ns R r1 80000000 - 1520270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1520290 ns R psr 81000200 - 1520310 ns MR4_I 01000214 6841d006 - 1520330 ns MR4_I 01000218 d1fc07c9 - 1520330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1520410 ns MR4_D 40006004 00000001 - 1520410 ns R r1 00000001 - 1520410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1520430 ns MR4_I 0100021c 1c5b6002 - 1520430 ns R r1 80000000 - 1520430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1520450 ns R psr 81000200 - 1520470 ns MR4_I 01000214 6841d006 - 1520490 ns MR4_I 01000218 d1fc07c9 - 1520490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1520570 ns MR4_D 40006004 00000001 - 1520570 ns R r1 00000001 - 1520570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1520590 ns MR4_I 0100021c 1c5b6002 - 1520590 ns R r1 80000000 - 1520590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1520610 ns R psr 81000200 - 1520630 ns MR4_I 01000214 6841d006 - 1520650 ns MR4_I 01000218 d1fc07c9 - 1520650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1520730 ns MR4_D 40006004 00000001 - 1520730 ns R r1 00000001 - 1520730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1520750 ns MR4_I 0100021c 1c5b6002 - 1520750 ns R r1 80000000 - 1520750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1520770 ns R psr 81000200 - 1520790 ns MR4_I 01000214 6841d006 - 1520810 ns MR4_I 01000218 d1fc07c9 - 1520810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1520890 ns MR4_D 40006004 00000001 - 1520890 ns R r1 00000001 - 1520890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1520910 ns MR4_I 0100021c 1c5b6002 - 1520910 ns R r1 80000000 - 1520910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1520930 ns R psr 81000200 - 1520950 ns MR4_I 01000214 6841d006 - 1520970 ns MR4_I 01000218 d1fc07c9 - 1520970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1521050 ns MR4_D 40006004 00000001 - 1521050 ns R r1 00000001 - 1521050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1521070 ns MR4_I 0100021c 1c5b6002 - 1521070 ns R r1 80000000 - 1521070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1521090 ns R psr 81000200 - 1521110 ns MR4_I 01000214 6841d006 - 1521130 ns MR4_I 01000218 d1fc07c9 - 1521130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1521210 ns MR4_D 40006004 00000001 - 1521210 ns R r1 00000001 - 1521210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1521230 ns MR4_I 0100021c 1c5b6002 - 1521230 ns R r1 80000000 - 1521230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1521250 ns R psr 81000200 - 1521270 ns MR4_I 01000214 6841d006 - 1521290 ns MR4_I 01000218 d1fc07c9 - 1521290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1521370 ns MR4_D 40006004 00000001 - 1521370 ns R r1 00000001 - 1521370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1521390 ns MR4_I 0100021c 1c5b6002 - 1521390 ns R r1 80000000 - 1521390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1521410 ns R psr 81000200 - 1521430 ns MR4_I 01000214 6841d006 - 1521450 ns MR4_I 01000218 d1fc07c9 - 1521450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1521530 ns MR4_D 40006004 00000001 - 1521530 ns R r1 00000001 - 1521530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1521550 ns MR4_I 0100021c 1c5b6002 - 1521550 ns R r1 80000000 - 1521550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1521570 ns R psr 81000200 - 1521590 ns MR4_I 01000214 6841d006 - 1521610 ns MR4_I 01000218 d1fc07c9 - 1521610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1521690 ns MR4_D 40006004 00000001 - 1521690 ns R r1 00000001 - 1521690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1521710 ns MR4_I 0100021c 1c5b6002 - 1521710 ns R r1 80000000 - 1521710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1521730 ns R psr 81000200 - 1521750 ns MR4_I 01000214 6841d006 - 1521770 ns MR4_I 01000218 d1fc07c9 - 1521770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1521850 ns MR4_D 40006004 00000001 - 1521850 ns R r1 00000001 - 1521850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1521870 ns MR4_I 0100021c 1c5b6002 - 1521870 ns R r1 80000000 - 1521870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1521890 ns R psr 81000200 - 1521910 ns MR4_I 01000214 6841d006 - 1521930 ns MR4_I 01000218 d1fc07c9 - 1521930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1522010 ns MR4_D 40006004 00000001 - 1522010 ns R r1 00000001 - 1522010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1522030 ns MR4_I 0100021c 1c5b6002 - 1522030 ns R r1 80000000 - 1522030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1522050 ns R psr 81000200 - 1522070 ns MR4_I 01000214 6841d006 - 1522090 ns MR4_I 01000218 d1fc07c9 - 1522090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1522170 ns MR4_D 40006004 00000001 - 1522170 ns R r1 00000001 - 1522170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1522190 ns MR4_I 0100021c 1c5b6002 - 1522190 ns R r1 80000000 - 1522190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1522210 ns R psr 81000200 - 1522230 ns MR4_I 01000214 6841d006 - 1522250 ns MR4_I 01000218 d1fc07c9 - 1522250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1522330 ns MR4_D 40006004 00000001 - 1522330 ns R r1 00000001 - 1522330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1522350 ns MR4_I 0100021c 1c5b6002 - 1522350 ns R r1 80000000 - 1522350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1522370 ns R psr 81000200 - 1522390 ns MR4_I 01000214 6841d006 - 1522410 ns MR4_I 01000218 d1fc07c9 - 1522410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1522490 ns MR4_D 40006004 00000001 - 1522490 ns R r1 00000001 - 1522490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1522510 ns MR4_I 0100021c 1c5b6002 - 1522510 ns R r1 80000000 - 1522510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1522530 ns R psr 81000200 - 1522550 ns MR4_I 01000214 6841d006 - 1522570 ns MR4_I 01000218 d1fc07c9 - 1522570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1522650 ns MR4_D 40006004 00000001 - 1522650 ns R r1 00000001 - 1522650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1522670 ns MR4_I 0100021c 1c5b6002 - 1522670 ns R r1 80000000 - 1522670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1522690 ns R psr 81000200 - 1522710 ns MR4_I 01000214 6841d006 - 1522730 ns MR4_I 01000218 d1fc07c9 - 1522730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1522810 ns MR4_D 40006004 00000001 - 1522810 ns R r1 00000001 - 1522810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1522830 ns MR4_I 0100021c 1c5b6002 - 1522830 ns R r1 80000000 - 1522830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1522850 ns R psr 81000200 - 1522870 ns MR4_I 01000214 6841d006 - 1522890 ns MR4_I 01000218 d1fc07c9 - 1522890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1522970 ns MR4_D 40006004 00000001 - 1522970 ns R r1 00000001 - 1522970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1522990 ns MR4_I 0100021c 1c5b6002 - 1522990 ns R r1 80000000 - 1522990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1523010 ns R psr 81000200 - 1523030 ns MR4_I 01000214 6841d006 - 1523050 ns MR4_I 01000218 d1fc07c9 - 1523050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1523130 ns MR4_D 40006004 00000001 - 1523130 ns R r1 00000001 - 1523130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1523150 ns MR4_I 0100021c 1c5b6002 - 1523150 ns R r1 80000000 - 1523150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1523170 ns R psr 81000200 - 1523190 ns MR4_I 01000214 6841d006 - 1523210 ns MR4_I 01000218 d1fc07c9 - 1523210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1523290 ns MR4_D 40006004 00000001 - 1523290 ns R r1 00000001 - 1523290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1523310 ns MR4_I 0100021c 1c5b6002 - 1523310 ns R r1 80000000 - 1523310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1523330 ns R psr 81000200 - 1523350 ns MR4_I 01000214 6841d006 - 1523370 ns MR4_I 01000218 d1fc07c9 - 1523370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1523450 ns MR4_D 40006004 00000001 - 1523450 ns R r1 00000001 - 1523450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1523470 ns MR4_I 0100021c 1c5b6002 - 1523470 ns R r1 80000000 - 1523470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1523490 ns R psr 81000200 - 1523510 ns MR4_I 01000214 6841d006 - 1523530 ns MR4_I 01000218 d1fc07c9 - 1523530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1523610 ns MR4_D 40006004 00000001 - 1523610 ns R r1 00000001 - 1523610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1523630 ns MR4_I 0100021c 1c5b6002 - 1523630 ns R r1 80000000 - 1523630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1523650 ns R psr 81000200 - 1523670 ns MR4_I 01000214 6841d006 - 1523690 ns MR4_I 01000218 d1fc07c9 - 1523690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1523770 ns MR4_D 40006004 00000001 - 1523770 ns R r1 00000001 - 1523770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1523790 ns MR4_I 0100021c 1c5b6002 - 1523790 ns R r1 80000000 - 1523790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1523810 ns R psr 81000200 - 1523830 ns MR4_I 01000214 6841d006 - 1523850 ns MR4_I 01000218 d1fc07c9 - 1523850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1523930 ns MR4_D 40006004 00000001 - 1523930 ns R r1 00000001 - 1523930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1523950 ns MR4_I 0100021c 1c5b6002 - 1523950 ns R r1 80000000 - 1523950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1523970 ns R psr 81000200 - 1523990 ns MR4_I 01000214 6841d006 - 1524010 ns MR4_I 01000218 d1fc07c9 - 1524010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1524090 ns MR4_D 40006004 00000001 - 1524090 ns R r1 00000001 - 1524090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1524110 ns MR4_I 0100021c 1c5b6002 - 1524110 ns R r1 80000000 - 1524110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1524130 ns R psr 81000200 - 1524150 ns MR4_I 01000214 6841d006 - 1524170 ns MR4_I 01000218 d1fc07c9 - 1524170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1524250 ns MR4_D 40006004 00000001 - 1524250 ns R r1 00000001 - 1524250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1524270 ns MR4_I 0100021c 1c5b6002 - 1524270 ns R r1 80000000 - 1524270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1524290 ns R psr 81000200 - 1524310 ns MR4_I 01000214 6841d006 - 1524330 ns MR4_I 01000218 d1fc07c9 - 1524330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1524410 ns MR4_D 40006004 00000001 - 1524410 ns R r1 00000001 - 1524410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1524430 ns MR4_I 0100021c 1c5b6002 - 1524430 ns R r1 80000000 - 1524430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1524450 ns R psr 81000200 - 1524470 ns MR4_I 01000214 6841d006 - 1524490 ns MR4_I 01000218 d1fc07c9 - 1524490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1524570 ns MR4_D 40006004 00000001 - 1524570 ns R r1 00000001 - 1524570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1524590 ns MR4_I 0100021c 1c5b6002 - 1524590 ns R r1 80000000 - 1524590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1524610 ns R psr 81000200 - 1524630 ns MR4_I 01000214 6841d006 - 1524650 ns MR4_I 01000218 d1fc07c9 - 1524650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1524730 ns MR4_D 40006004 00000001 - 1524730 ns R r1 00000001 - 1524730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1524750 ns MR4_I 0100021c 1c5b6002 - 1524750 ns R r1 80000000 - 1524750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1524770 ns R psr 81000200 - 1524790 ns MR4_I 01000214 6841d006 - 1524810 ns MR4_I 01000218 d1fc07c9 - 1524810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1524890 ns MR4_D 40006004 00000001 - 1524890 ns R r1 00000001 - 1524890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1524910 ns MR4_I 0100021c 1c5b6002 - 1524910 ns R r1 80000000 - 1524910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1524930 ns R psr 81000200 - 1524950 ns MR4_I 01000214 6841d006 - 1524970 ns MR4_I 01000218 d1fc07c9 - 1524970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1525050 ns MR4_D 40006004 00000001 - 1525050 ns R r1 00000001 - 1525050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1525070 ns MR4_I 0100021c 1c5b6002 - 1525070 ns R r1 80000000 - 1525070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1525090 ns R psr 81000200 - 1525110 ns MR4_I 01000214 6841d006 - 1525130 ns MR4_I 01000218 d1fc07c9 - 1525130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1525210 ns MR4_D 40006004 00000001 - 1525210 ns R r1 00000001 - 1525210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1525230 ns MR4_I 0100021c 1c5b6002 - 1525230 ns R r1 80000000 - 1525230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1525250 ns R psr 81000200 - 1525270 ns MR4_I 01000214 6841d006 - 1525290 ns MR4_I 01000218 d1fc07c9 - 1525290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1525370 ns MR4_D 40006004 00000001 - 1525370 ns R r1 00000001 - 1525370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1525390 ns MR4_I 0100021c 1c5b6002 - 1525390 ns R r1 80000000 - 1525390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1525410 ns R psr 81000200 - 1525430 ns MR4_I 01000214 6841d006 - 1525450 ns MR4_I 01000218 d1fc07c9 - 1525450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1525530 ns MR4_D 40006004 00000001 - 1525530 ns R r1 00000001 - 1525530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1525550 ns MR4_I 0100021c 1c5b6002 - 1525550 ns R r1 80000000 - 1525550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1525570 ns R psr 81000200 - 1525590 ns MR4_I 01000214 6841d006 - 1525610 ns MR4_I 01000218 d1fc07c9 - 1525610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1525690 ns MR4_D 40006004 00000001 - 1525690 ns R r1 00000001 - 1525690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1525710 ns MR4_I 0100021c 1c5b6002 - 1525710 ns R r1 80000000 - 1525710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1525730 ns R psr 81000200 - 1525750 ns MR4_I 01000214 6841d006 - 1525770 ns MR4_I 01000218 d1fc07c9 - 1525770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1525850 ns MR4_D 40006004 00000001 - 1525850 ns R r1 00000001 - 1525850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1525870 ns MR4_I 0100021c 1c5b6002 - 1525870 ns R r1 80000000 - 1525870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1525890 ns R psr 81000200 - 1525910 ns MR4_I 01000214 6841d006 - 1525930 ns MR4_I 01000218 d1fc07c9 - 1525930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1526010 ns MR4_D 40006004 00000001 - 1526010 ns R r1 00000001 - 1526010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1526030 ns MR4_I 0100021c 1c5b6002 - 1526030 ns R r1 80000000 - 1526030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1526050 ns R psr 81000200 - 1526070 ns MR4_I 01000214 6841d006 - 1526090 ns MR4_I 01000218 d1fc07c9 - 1526090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1526170 ns MR4_D 40006004 00000001 - 1526170 ns R r1 00000001 - 1526170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1526190 ns MR4_I 0100021c 1c5b6002 - 1526190 ns R r1 80000000 - 1526190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1526210 ns R psr 81000200 - 1526230 ns MR4_I 01000214 6841d006 - 1526250 ns MR4_I 01000218 d1fc07c9 - 1526250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1526330 ns MR4_D 40006004 00000001 - 1526330 ns R r1 00000001 - 1526330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1526350 ns MR4_I 0100021c 1c5b6002 - 1526350 ns R r1 80000000 - 1526350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1526370 ns R psr 81000200 - 1526390 ns MR4_I 01000214 6841d006 - 1526410 ns MR4_I 01000218 d1fc07c9 - 1526410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1526490 ns MR4_D 40006004 00000001 - 1526490 ns R r1 00000001 - 1526490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1526510 ns MR4_I 0100021c 1c5b6002 - 1526510 ns R r1 80000000 - 1526510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1526530 ns R psr 81000200 - 1526550 ns MR4_I 01000214 6841d006 - 1526570 ns MR4_I 01000218 d1fc07c9 - 1526570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1526650 ns MR4_D 40006004 00000001 - 1526650 ns R r1 00000001 - 1526650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1526670 ns MR4_I 0100021c 1c5b6002 - 1526670 ns R r1 80000000 - 1526670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1526690 ns R psr 81000200 - 1526710 ns MR4_I 01000214 6841d006 - 1526730 ns MR4_I 01000218 d1fc07c9 - 1526730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1526810 ns MR4_D 40006004 00000001 - 1526810 ns R r1 00000001 - 1526810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1526830 ns MR4_I 0100021c 1c5b6002 - 1526830 ns R r1 80000000 - 1526830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1526850 ns R psr 81000200 - 1526870 ns MR4_I 01000214 6841d006 - 1526890 ns MR4_I 01000218 d1fc07c9 - 1526890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1526970 ns MR4_D 40006004 00000001 - 1526970 ns R r1 00000001 - 1526970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1526990 ns MR4_I 0100021c 1c5b6002 - 1526990 ns R r1 80000000 - 1526990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1527010 ns R psr 81000200 - 1527030 ns MR4_I 01000214 6841d006 - 1527050 ns MR4_I 01000218 d1fc07c9 - 1527050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1527130 ns MR4_D 40006004 00000001 - 1527130 ns R r1 00000001 - 1527130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1527150 ns MR4_I 0100021c 1c5b6002 - 1527150 ns R r1 80000000 - 1527150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1527170 ns R psr 81000200 - 1527190 ns MR4_I 01000214 6841d006 - 1527210 ns MR4_I 01000218 d1fc07c9 - 1527210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1527290 ns MR4_D 40006004 00000001 - 1527290 ns R r1 00000001 - 1527290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1527310 ns MR4_I 0100021c 1c5b6002 - 1527310 ns R r1 80000000 - 1527310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1527330 ns R psr 81000200 - 1527350 ns MR4_I 01000214 6841d006 - 1527370 ns MR4_I 01000218 d1fc07c9 - 1527370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1527450 ns MR4_D 40006004 00000001 - 1527450 ns R r1 00000001 - 1527450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1527470 ns MR4_I 0100021c 1c5b6002 - 1527470 ns R r1 80000000 - 1527470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1527490 ns R psr 81000200 - 1527510 ns MR4_I 01000214 6841d006 - 1527530 ns MR4_I 01000218 d1fc07c9 - 1527530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1527610 ns MR4_D 40006004 00000001 - 1527610 ns R r1 00000001 - 1527610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1527630 ns MR4_I 0100021c 1c5b6002 - 1527630 ns R r1 80000000 - 1527630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1527650 ns R psr 81000200 - 1527670 ns MR4_I 01000214 6841d006 - 1527690 ns MR4_I 01000218 d1fc07c9 - 1527690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1527770 ns MR4_D 40006004 00000001 - 1527770 ns R r1 00000001 - 1527770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1527790 ns MR4_I 0100021c 1c5b6002 - 1527790 ns R r1 80000000 - 1527790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1527810 ns R psr 81000200 - 1527830 ns MR4_I 01000214 6841d006 - 1527850 ns MR4_I 01000218 d1fc07c9 - 1527850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1527930 ns MR4_D 40006004 00000001 - 1527930 ns R r1 00000001 - 1527930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1527950 ns MR4_I 0100021c 1c5b6002 - 1527950 ns R r1 80000000 - 1527950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1527970 ns R psr 81000200 - 1527990 ns MR4_I 01000214 6841d006 - 1528010 ns MR4_I 01000218 d1fc07c9 - 1528010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1528090 ns MR4_D 40006004 00000001 - 1528090 ns R r1 00000001 - 1528090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1528110 ns MR4_I 0100021c 1c5b6002 - 1528110 ns R r1 80000000 - 1528110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1528130 ns R psr 81000200 - 1528150 ns MR4_I 01000214 6841d006 - 1528170 ns MR4_I 01000218 d1fc07c9 - 1528170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1528250 ns MR4_D 40006004 00000001 - 1528250 ns R r1 00000001 - 1528250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1528270 ns MR4_I 0100021c 1c5b6002 - 1528270 ns R r1 80000000 - 1528270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1528290 ns R psr 81000200 - 1528310 ns MR4_I 01000214 6841d006 - 1528330 ns MR4_I 01000218 d1fc07c9 - 1528330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1528410 ns MR4_D 40006004 00000001 - 1528410 ns R r1 00000001 - 1528410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1528430 ns MR4_I 0100021c 1c5b6002 - 1528430 ns R r1 80000000 - 1528430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1528450 ns R psr 81000200 - 1528470 ns MR4_I 01000214 6841d006 - 1528490 ns MR4_I 01000218 d1fc07c9 - 1528490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1528570 ns MR4_D 40006004 00000001 - 1528570 ns R r1 00000001 - 1528570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1528590 ns MR4_I 0100021c 1c5b6002 - 1528590 ns R r1 80000000 - 1528590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1528610 ns R psr 81000200 - 1528630 ns MR4_I 01000214 6841d006 - 1528650 ns MR4_I 01000218 d1fc07c9 - 1528650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1528730 ns MR4_D 40006004 00000001 - 1528730 ns R r1 00000001 - 1528730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1528750 ns MR4_I 0100021c 1c5b6002 - 1528750 ns R r1 80000000 - 1528750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1528770 ns R psr 81000200 - 1528790 ns MR4_I 01000214 6841d006 - 1528810 ns MR4_I 01000218 d1fc07c9 - 1528810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1528890 ns MR4_D 40006004 00000001 - 1528890 ns R r1 00000001 - 1528890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1528910 ns MR4_I 0100021c 1c5b6002 - 1528910 ns R r1 80000000 - 1528910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1528930 ns R psr 81000200 - 1528950 ns MR4_I 01000214 6841d006 - 1528970 ns MR4_I 01000218 d1fc07c9 - 1528970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1529050 ns MR4_D 40006004 00000001 - 1529050 ns R r1 00000001 - 1529050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1529070 ns MR4_I 0100021c 1c5b6002 - 1529070 ns R r1 80000000 - 1529070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1529090 ns R psr 81000200 - 1529110 ns MR4_I 01000214 6841d006 - 1529130 ns MR4_I 01000218 d1fc07c9 - 1529130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1529210 ns MR4_D 40006004 00000001 - 1529210 ns R r1 00000001 - 1529210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1529230 ns MR4_I 0100021c 1c5b6002 - 1529230 ns R r1 80000000 - 1529230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1529250 ns R psr 81000200 - 1529270 ns MR4_I 01000214 6841d006 - 1529290 ns MR4_I 01000218 d1fc07c9 - 1529290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1529370 ns MR4_D 40006004 00000001 - 1529370 ns R r1 00000001 - 1529370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1529390 ns MR4_I 0100021c 1c5b6002 - 1529390 ns R r1 80000000 - 1529390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1529410 ns R psr 81000200 - 1529430 ns MR4_I 01000214 6841d006 - 1529450 ns MR4_I 01000218 d1fc07c9 - 1529450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1529530 ns MR4_D 40006004 00000001 - 1529530 ns R r1 00000001 - 1529530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1529550 ns MR4_I 0100021c 1c5b6002 - 1529550 ns R r1 80000000 - 1529550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1529570 ns R psr 81000200 - 1529590 ns MR4_I 01000214 6841d006 - 1529610 ns MR4_I 01000218 d1fc07c9 - 1529610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1529690 ns MR4_D 40006004 00000001 - 1529690 ns R r1 00000001 - 1529690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1529710 ns MR4_I 0100021c 1c5b6002 - 1529710 ns R r1 80000000 - 1529710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1529730 ns R psr 81000200 - 1529750 ns MR4_I 01000214 6841d006 - 1529770 ns MR4_I 01000218 d1fc07c9 - 1529770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1529850 ns MR4_D 40006004 00000001 - 1529850 ns R r1 00000001 - 1529850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1529870 ns MR4_I 0100021c 1c5b6002 - 1529870 ns R r1 80000000 - 1529870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1529890 ns R psr 81000200 - 1529910 ns MR4_I 01000214 6841d006 - 1529930 ns MR4_I 01000218 d1fc07c9 - 1529930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1530010 ns MR4_D 40006004 00000001 - 1530010 ns R r1 00000001 - 1530010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1530030 ns MR4_I 0100021c 1c5b6002 - 1530030 ns R r1 80000000 - 1530030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1530050 ns R psr 81000200 - 1530070 ns MR4_I 01000214 6841d006 - 1530090 ns MR4_I 01000218 d1fc07c9 - 1530090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1530170 ns MR4_D 40006004 00000001 - 1530170 ns R r1 00000001 - 1530170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1530190 ns MR4_I 0100021c 1c5b6002 - 1530190 ns R r1 80000000 - 1530190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1530210 ns R psr 81000200 - 1530230 ns MR4_I 01000214 6841d006 - 1530250 ns MR4_I 01000218 d1fc07c9 - 1530250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1530330 ns MR4_D 40006004 00000001 - 1530330 ns R r1 00000001 - 1530330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1530350 ns MR4_I 0100021c 1c5b6002 - 1530350 ns R r1 80000000 - 1530350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1530370 ns R psr 81000200 - 1530390 ns MR4_I 01000214 6841d006 - 1530410 ns MR4_I 01000218 d1fc07c9 - 1530410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1530490 ns MR4_D 40006004 00000001 - 1530490 ns R r1 00000001 - 1530490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1530510 ns MR4_I 0100021c 1c5b6002 - 1530510 ns R r1 80000000 - 1530510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1530530 ns R psr 81000200 - 1530550 ns MR4_I 01000214 6841d006 - 1530570 ns MR4_I 01000218 d1fc07c9 - 1530570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1530650 ns MR4_D 40006004 00000001 - 1530650 ns R r1 00000001 - 1530650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1530670 ns MR4_I 0100021c 1c5b6002 - 1530670 ns R r1 80000000 - 1530670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1530690 ns R psr 81000200 - 1530710 ns MR4_I 01000214 6841d006 - 1530730 ns MR4_I 01000218 d1fc07c9 - 1530730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1530810 ns MR4_D 40006004 00000001 - 1530810 ns R r1 00000001 - 1530810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1530830 ns MR4_I 0100021c 1c5b6002 - 1530830 ns R r1 80000000 - 1530830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1530850 ns R psr 81000200 - 1530870 ns MR4_I 01000214 6841d006 - 1530890 ns MR4_I 01000218 d1fc07c9 - 1530890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1530970 ns MR4_D 40006004 00000001 - 1530970 ns R r1 00000001 - 1530970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1530990 ns MR4_I 0100021c 1c5b6002 - 1530990 ns R r1 80000000 - 1530990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1531010 ns R psr 81000200 - 1531030 ns MR4_I 01000214 6841d006 - 1531050 ns MR4_I 01000218 d1fc07c9 - 1531050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1531130 ns MR4_D 40006004 00000001 - 1531130 ns R r1 00000001 - 1531130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1531150 ns MR4_I 0100021c 1c5b6002 - 1531150 ns R r1 80000000 - 1531150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1531170 ns R psr 81000200 - 1531190 ns MR4_I 01000214 6841d006 - 1531210 ns MR4_I 01000218 d1fc07c9 - 1531210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1531290 ns MR4_D 40006004 00000001 - 1531290 ns R r1 00000001 - 1531290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1531310 ns MR4_I 0100021c 1c5b6002 - 1531310 ns R r1 80000000 - 1531310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1531330 ns R psr 81000200 - 1531350 ns MR4_I 01000214 6841d006 - 1531370 ns MR4_I 01000218 d1fc07c9 - 1531370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1531450 ns MR4_D 40006004 00000001 - 1531450 ns R r1 00000001 - 1531450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1531470 ns MR4_I 0100021c 1c5b6002 - 1531470 ns R r1 80000000 - 1531470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1531490 ns R psr 81000200 - 1531510 ns MR4_I 01000214 6841d006 - 1531530 ns MR4_I 01000218 d1fc07c9 - 1531530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1531610 ns MR4_D 40006004 00000001 - 1531610 ns R r1 00000001 - 1531610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1531630 ns MR4_I 0100021c 1c5b6002 - 1531630 ns R r1 80000000 - 1531630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1531650 ns R psr 81000200 - 1531670 ns MR4_I 01000214 6841d006 - 1531690 ns MR4_I 01000218 d1fc07c9 - 1531690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1531770 ns MR4_D 40006004 00000001 - 1531770 ns R r1 00000001 - 1531770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1531790 ns MR4_I 0100021c 1c5b6002 - 1531790 ns R r1 80000000 - 1531790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1531810 ns R psr 81000200 - 1531830 ns MR4_I 01000214 6841d006 - 1531850 ns MR4_I 01000218 d1fc07c9 - 1531850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1531930 ns MR4_D 40006004 00000001 - 1531930 ns R r1 00000001 - 1531930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1531950 ns MR4_I 0100021c 1c5b6002 - 1531950 ns R r1 80000000 - 1531950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1531970 ns R psr 81000200 - 1531990 ns MR4_I 01000214 6841d006 - 1532010 ns MR4_I 01000218 d1fc07c9 - 1532010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1532090 ns MR4_D 40006004 00000001 - 1532090 ns R r1 00000001 - 1532090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1532110 ns MR4_I 0100021c 1c5b6002 - 1532110 ns R r1 80000000 - 1532110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1532130 ns R psr 81000200 - 1532150 ns MR4_I 01000214 6841d006 - 1532170 ns MR4_I 01000218 d1fc07c9 - 1532170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1532250 ns MR4_D 40006004 00000001 - 1532250 ns R r1 00000001 - 1532250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1532270 ns MR4_I 0100021c 1c5b6002 - 1532270 ns R r1 80000000 - 1532270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1532290 ns R psr 81000200 - 1532310 ns MR4_I 01000214 6841d006 - 1532330 ns MR4_I 01000218 d1fc07c9 - 1532330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1532410 ns MR4_D 40006004 00000001 - 1532410 ns R r1 00000001 - 1532410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1532430 ns MR4_I 0100021c 1c5b6002 - 1532430 ns R r1 80000000 - 1532430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1532450 ns R psr 81000200 - 1532470 ns MR4_I 01000214 6841d006 - 1532490 ns MR4_I 01000218 d1fc07c9 - 1532490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1532570 ns MR4_D 40006004 00000001 - 1532570 ns R r1 00000001 - 1532570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1532590 ns MR4_I 0100021c 1c5b6002 - 1532590 ns R r1 80000000 - 1532590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1532610 ns R psr 81000200 - 1532630 ns MR4_I 01000214 6841d006 - 1532650 ns MR4_I 01000218 d1fc07c9 - 1532650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1532730 ns MR4_D 40006004 00000001 - 1532730 ns R r1 00000001 - 1532730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1532750 ns MR4_I 0100021c 1c5b6002 - 1532750 ns R r1 80000000 - 1532750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1532770 ns R psr 81000200 - 1532790 ns MR4_I 01000214 6841d006 - 1532810 ns MR4_I 01000218 d1fc07c9 - 1532810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1532890 ns MR4_D 40006004 00000001 - 1532890 ns R r1 00000001 - 1532890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1532910 ns MR4_I 0100021c 1c5b6002 - 1532910 ns R r1 80000000 - 1532910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1532930 ns R psr 81000200 - 1532950 ns MR4_I 01000214 6841d006 - 1532970 ns MR4_I 01000218 d1fc07c9 - 1532970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1533050 ns MR4_D 40006004 00000001 - 1533050 ns R r1 00000001 - 1533050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1533070 ns MR4_I 0100021c 1c5b6002 - 1533070 ns R r1 80000000 - 1533070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1533090 ns R psr 81000200 - 1533110 ns MR4_I 01000214 6841d006 - 1533130 ns MR4_I 01000218 d1fc07c9 - 1533130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1533210 ns MR4_D 40006004 00000001 - 1533210 ns R r1 00000001 - 1533210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1533230 ns MR4_I 0100021c 1c5b6002 - 1533230 ns R r1 80000000 - 1533230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1533250 ns R psr 81000200 - 1533270 ns MR4_I 01000214 6841d006 - 1533290 ns MR4_I 01000218 d1fc07c9 - 1533290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1533370 ns MR4_D 40006004 00000001 - 1533370 ns R r1 00000001 - 1533370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1533390 ns MR4_I 0100021c 1c5b6002 - 1533390 ns R r1 80000000 - 1533390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1533410 ns R psr 81000200 - 1533430 ns MR4_I 01000214 6841d006 - 1533450 ns MR4_I 01000218 d1fc07c9 - 1533450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1533530 ns MR4_D 40006004 00000001 - 1533530 ns R r1 00000001 - 1533530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1533550 ns MR4_I 0100021c 1c5b6002 - 1533550 ns R r1 80000000 - 1533550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1533570 ns R psr 81000200 - 1533590 ns MR4_I 01000214 6841d006 - 1533610 ns MR4_I 01000218 d1fc07c9 - 1533610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1533690 ns MR4_D 40006004 00000001 - 1533690 ns R r1 00000001 - 1533690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1533710 ns MR4_I 0100021c 1c5b6002 - 1533710 ns R r1 80000000 - 1533710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1533730 ns R psr 81000200 - 1533750 ns MR4_I 01000214 6841d006 - 1533770 ns MR4_I 01000218 d1fc07c9 - 1533770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1533850 ns MR4_D 40006004 00000001 - 1533850 ns R r1 00000001 - 1533850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1533870 ns MR4_I 0100021c 1c5b6002 - 1533870 ns R r1 80000000 - 1533870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1533890 ns R psr 81000200 - 1533910 ns MR4_I 01000214 6841d006 - 1533930 ns MR4_I 01000218 d1fc07c9 - 1533930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1534010 ns MR4_D 40006004 00000001 - 1534010 ns R r1 00000001 - 1534010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1534030 ns MR4_I 0100021c 1c5b6002 - 1534030 ns R r1 80000000 - 1534030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1534050 ns R psr 81000200 - 1534070 ns MR4_I 01000214 6841d006 - 1534090 ns MR4_I 01000218 d1fc07c9 - 1534090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1534170 ns MR4_D 40006004 00000001 - 1534170 ns R r1 00000001 - 1534170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1534190 ns MR4_I 0100021c 1c5b6002 - 1534190 ns R r1 80000000 - 1534190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1534210 ns R psr 81000200 - 1534230 ns MR4_I 01000214 6841d006 - 1534250 ns MR4_I 01000218 d1fc07c9 - 1534250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1534330 ns MR4_D 40006004 00000001 - 1534330 ns R r1 00000001 - 1534330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1534350 ns MR4_I 0100021c 1c5b6002 - 1534350 ns R r1 80000000 - 1534350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1534370 ns R psr 81000200 - 1534390 ns MR4_I 01000214 6841d006 - 1534410 ns MR4_I 01000218 d1fc07c9 - 1534410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1534490 ns MR4_D 40006004 00000001 - 1534490 ns R r1 00000001 - 1534490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1534510 ns MR4_I 0100021c 1c5b6002 - 1534510 ns R r1 80000000 - 1534510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1534530 ns R psr 81000200 - 1534550 ns MR4_I 01000214 6841d006 - 1534570 ns MR4_I 01000218 d1fc07c9 - 1534570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1534650 ns MR4_D 40006004 00000001 - 1534650 ns R r1 00000001 - 1534650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1534670 ns MR4_I 0100021c 1c5b6002 - 1534670 ns R r1 80000000 - 1534670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1534690 ns R psr 81000200 - 1534710 ns MR4_I 01000214 6841d006 - 1534730 ns MR4_I 01000218 d1fc07c9 - 1534730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1534810 ns MR4_D 40006004 00000001 - 1534810 ns R r1 00000001 - 1534810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1534830 ns MR4_I 0100021c 1c5b6002 - 1534830 ns R r1 80000000 - 1534830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1534850 ns R psr 81000200 - 1534870 ns MR4_I 01000214 6841d006 - 1534890 ns MR4_I 01000218 d1fc07c9 - 1534890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1534970 ns MR4_D 40006004 00000001 - 1534970 ns R r1 00000001 - 1534970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1534990 ns MR4_I 0100021c 1c5b6002 - 1534990 ns R r1 80000000 - 1534990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1535010 ns R psr 81000200 - 1535030 ns MR4_I 01000214 6841d006 - 1535050 ns MR4_I 01000218 d1fc07c9 - 1535050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1535130 ns MR4_D 40006004 00000001 - 1535130 ns R r1 00000001 - 1535130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1535150 ns MR4_I 0100021c 1c5b6002 - 1535150 ns R r1 80000000 - 1535150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1535170 ns R psr 81000200 - 1535190 ns MR4_I 01000214 6841d006 - 1535210 ns MR4_I 01000218 d1fc07c9 - 1535210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1535290 ns MR4_D 40006004 00000001 - 1535290 ns R r1 00000001 - 1535290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1535310 ns MR4_I 0100021c 1c5b6002 - 1535310 ns R r1 80000000 - 1535310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1535330 ns R psr 81000200 - 1535350 ns MR4_I 01000214 6841d006 - 1535370 ns MR4_I 01000218 d1fc07c9 - 1535370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1535450 ns MR4_D 40006004 00000001 - 1535450 ns R r1 00000001 - 1535450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1535470 ns MR4_I 0100021c 1c5b6002 - 1535470 ns R r1 80000000 - 1535470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1535490 ns R psr 81000200 - 1535510 ns MR4_I 01000214 6841d006 - 1535530 ns MR4_I 01000218 d1fc07c9 - 1535530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1535610 ns MR4_D 40006004 00000001 - 1535610 ns R r1 00000001 - 1535610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1535630 ns MR4_I 0100021c 1c5b6002 - 1535630 ns R r1 80000000 - 1535630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1535650 ns R psr 81000200 - 1535670 ns MR4_I 01000214 6841d006 - 1535690 ns MR4_I 01000218 d1fc07c9 - 1535690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1535770 ns MR4_D 40006004 00000001 - 1535770 ns R r1 00000001 - 1535770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1535790 ns MR4_I 0100021c 1c5b6002 - 1535790 ns R r1 80000000 - 1535790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1535810 ns R psr 81000200 - 1535830 ns MR4_I 01000214 6841d006 - 1535850 ns MR4_I 01000218 d1fc07c9 - 1535850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1535930 ns MR4_D 40006004 00000001 - 1535930 ns R r1 00000001 - 1535930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1535950 ns MR4_I 0100021c 1c5b6002 - 1535950 ns R r1 80000000 - 1535950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1535970 ns R psr 81000200 - 1535990 ns MR4_I 01000214 6841d006 - 1536010 ns MR4_I 01000218 d1fc07c9 - 1536010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1536090 ns MR4_D 40006004 00000001 - 1536090 ns R r1 00000001 - 1536090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1536110 ns MR4_I 0100021c 1c5b6002 - 1536110 ns R r1 80000000 - 1536110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1536130 ns R psr 81000200 - 1536150 ns MR4_I 01000214 6841d006 - 1536170 ns MR4_I 01000218 d1fc07c9 - 1536170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1536250 ns MR4_D 40006004 00000001 - 1536250 ns R r1 00000001 - 1536250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1536270 ns MR4_I 0100021c 1c5b6002 - 1536270 ns R r1 80000000 - 1536270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1536290 ns R psr 81000200 - 1536310 ns MR4_I 01000214 6841d006 - 1536330 ns MR4_I 01000218 d1fc07c9 - 1536330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1536410 ns MR4_D 40006004 00000001 - 1536410 ns R r1 00000001 - 1536410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1536430 ns MR4_I 0100021c 1c5b6002 - 1536430 ns R r1 80000000 - 1536430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1536450 ns R psr 81000200 - 1536470 ns MR4_I 01000214 6841d006 - 1536490 ns MR4_I 01000218 d1fc07c9 - 1536490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1536570 ns MR4_D 40006004 00000001 - 1536570 ns R r1 00000001 - 1536570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1536590 ns MR4_I 0100021c 1c5b6002 - 1536590 ns R r1 80000000 - 1536590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1536610 ns R psr 81000200 - 1536630 ns MR4_I 01000214 6841d006 - 1536650 ns MR4_I 01000218 d1fc07c9 - 1536650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1536730 ns MR4_D 40006004 00000001 - 1536730 ns R r1 00000001 - 1536730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1536750 ns MR4_I 0100021c 1c5b6002 - 1536750 ns R r1 80000000 - 1536750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1536770 ns R psr 81000200 - 1536790 ns MR4_I 01000214 6841d006 - 1536810 ns MR4_I 01000218 d1fc07c9 - 1536810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1536890 ns MR4_D 40006004 00000001 - 1536890 ns R r1 00000001 - 1536890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1536910 ns MR4_I 0100021c 1c5b6002 - 1536910 ns R r1 80000000 - 1536910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1536930 ns R psr 81000200 - 1536950 ns MR4_I 01000214 6841d006 - 1536970 ns MR4_I 01000218 d1fc07c9 - 1536970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1537050 ns MR4_D 40006004 00000001 - 1537050 ns R r1 00000001 - 1537050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1537070 ns MR4_I 0100021c 1c5b6002 - 1537070 ns R r1 80000000 - 1537070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1537090 ns R psr 81000200 - 1537110 ns MR4_I 01000214 6841d006 - 1537130 ns MR4_I 01000218 d1fc07c9 - 1537130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1537210 ns MR4_D 40006004 00000001 - 1537210 ns R r1 00000001 - 1537210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1537230 ns MR4_I 0100021c 1c5b6002 - 1537230 ns R r1 80000000 - 1537230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1537250 ns R psr 81000200 - 1537270 ns MR4_I 01000214 6841d006 - 1537290 ns MR4_I 01000218 d1fc07c9 - 1537290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1537370 ns MR4_D 40006004 00000001 - 1537370 ns R r1 00000001 - 1537370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1537390 ns MR4_I 0100021c 1c5b6002 - 1537390 ns R r1 80000000 - 1537390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1537410 ns R psr 81000200 - 1537430 ns MR4_I 01000214 6841d006 - 1537450 ns MR4_I 01000218 d1fc07c9 - 1537450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1537530 ns MR4_D 40006004 00000001 - 1537530 ns R r1 00000001 - 1537530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1537550 ns MR4_I 0100021c 1c5b6002 - 1537550 ns R r1 80000000 - 1537550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1537570 ns R psr 81000200 - 1537590 ns MR4_I 01000214 6841d006 - 1537610 ns MR4_I 01000218 d1fc07c9 - 1537610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1537690 ns MR4_D 40006004 00000001 - 1537690 ns R r1 00000001 - 1537690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1537710 ns MR4_I 0100021c 1c5b6002 - 1537710 ns R r1 80000000 - 1537710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1537730 ns R psr 81000200 - 1537750 ns MR4_I 01000214 6841d006 - 1537770 ns MR4_I 01000218 d1fc07c9 - 1537770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1537850 ns MR4_D 40006004 00000001 - 1537850 ns R r1 00000001 - 1537850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1537870 ns MR4_I 0100021c 1c5b6002 - 1537870 ns R r1 80000000 - 1537870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1537890 ns R psr 81000200 - 1537910 ns MR4_I 01000214 6841d006 - 1537930 ns MR4_I 01000218 d1fc07c9 - 1537930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1538010 ns MR4_D 40006004 00000001 - 1538010 ns R r1 00000001 - 1538010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1538030 ns MR4_I 0100021c 1c5b6002 - 1538030 ns R r1 80000000 - 1538030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1538050 ns R psr 81000200 - 1538070 ns MR4_I 01000214 6841d006 - 1538090 ns MR4_I 01000218 d1fc07c9 - 1538090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1538170 ns MR4_D 40006004 00000001 - 1538170 ns R r1 00000001 - 1538170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1538190 ns MR4_I 0100021c 1c5b6002 - 1538190 ns R r1 80000000 - 1538190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1538210 ns R psr 81000200 - 1538230 ns MR4_I 01000214 6841d006 - 1538250 ns MR4_I 01000218 d1fc07c9 - 1538250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1538330 ns MR4_D 40006004 00000001 - 1538330 ns R r1 00000001 - 1538330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1538350 ns MR4_I 0100021c 1c5b6002 - 1538350 ns R r1 80000000 - 1538350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1538370 ns R psr 81000200 - 1538390 ns MR4_I 01000214 6841d006 - 1538410 ns MR4_I 01000218 d1fc07c9 - 1538410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1538490 ns MR4_D 40006004 00000001 - 1538490 ns R r1 00000001 - 1538490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1538510 ns MR4_I 0100021c 1c5b6002 - 1538510 ns R r1 80000000 - 1538510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1538530 ns R psr 81000200 - 1538550 ns MR4_I 01000214 6841d006 - 1538570 ns MR4_I 01000218 d1fc07c9 - 1538570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1538650 ns MR4_D 40006004 00000001 - 1538650 ns R r1 00000001 - 1538650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1538670 ns MR4_I 0100021c 1c5b6002 - 1538670 ns R r1 80000000 - 1538670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1538690 ns R psr 81000200 - 1538710 ns MR4_I 01000214 6841d006 - 1538730 ns MR4_I 01000218 d1fc07c9 - 1538730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1538810 ns MR4_D 40006004 00000001 - 1538810 ns R r1 00000001 - 1538810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1538830 ns MR4_I 0100021c 1c5b6002 - 1538830 ns R r1 80000000 - 1538830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1538850 ns R psr 81000200 - 1538870 ns MR4_I 01000214 6841d006 - 1538890 ns MR4_I 01000218 d1fc07c9 - 1538890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1538970 ns MR4_D 40006004 00000001 - 1538970 ns R r1 00000001 - 1538970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1538990 ns MR4_I 0100021c 1c5b6002 - 1538990 ns R r1 80000000 - 1538990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1539010 ns R psr 81000200 - 1539030 ns MR4_I 01000214 6841d006 - 1539050 ns MR4_I 01000218 d1fc07c9 - 1539050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1539130 ns MR4_D 40006004 00000001 - 1539130 ns R r1 00000001 - 1539130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1539150 ns MR4_I 0100021c 1c5b6002 - 1539150 ns R r1 80000000 - 1539150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1539170 ns R psr 81000200 - 1539190 ns MR4_I 01000214 6841d006 - 1539210 ns MR4_I 01000218 d1fc07c9 - 1539210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1539290 ns MR4_D 40006004 00000001 - 1539290 ns R r1 00000001 - 1539290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1539310 ns MR4_I 0100021c 1c5b6002 - 1539310 ns R r1 80000000 - 1539310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1539330 ns R psr 81000200 - 1539350 ns MR4_I 01000214 6841d006 - 1539370 ns MR4_I 01000218 d1fc07c9 - 1539370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1539450 ns MR4_D 40006004 00000001 - 1539450 ns R r1 00000001 - 1539450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1539470 ns MR4_I 0100021c 1c5b6002 - 1539470 ns R r1 80000000 - 1539470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1539490 ns R psr 81000200 - 1539510 ns MR4_I 01000214 6841d006 - 1539530 ns MR4_I 01000218 d1fc07c9 - 1539530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1539610 ns MR4_D 40006004 00000001 - 1539610 ns R r1 00000001 - 1539610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1539630 ns MR4_I 0100021c 1c5b6002 - 1539630 ns R r1 80000000 - 1539630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1539650 ns R psr 81000200 - 1539670 ns MR4_I 01000214 6841d006 - 1539690 ns MR4_I 01000218 d1fc07c9 - 1539690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1539770 ns MR4_D 40006004 00000001 - 1539770 ns R r1 00000001 - 1539770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1539790 ns MR4_I 0100021c 1c5b6002 - 1539790 ns R r1 80000000 - 1539790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1539810 ns R psr 81000200 - 1539830 ns MR4_I 01000214 6841d006 - 1539850 ns MR4_I 01000218 d1fc07c9 - 1539850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1539930 ns MR4_D 40006004 00000001 - 1539930 ns R r1 00000001 - 1539930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1539950 ns MR4_I 0100021c 1c5b6002 - 1539950 ns R r1 80000000 - 1539950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1539970 ns R psr 81000200 - 1539990 ns MR4_I 01000214 6841d006 - 1540010 ns MR4_I 01000218 d1fc07c9 - 1540010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1540090 ns MR4_D 40006004 00000001 - 1540090 ns R r1 00000001 - 1540090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1540110 ns MR4_I 0100021c 1c5b6002 - 1540110 ns R r1 80000000 - 1540110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1540130 ns R psr 81000200 - 1540150 ns MR4_I 01000214 6841d006 - 1540170 ns MR4_I 01000218 d1fc07c9 - 1540170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1540250 ns MR4_D 40006004 00000001 - 1540250 ns R r1 00000001 - 1540250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1540270 ns MR4_I 0100021c 1c5b6002 - 1540270 ns R r1 80000000 - 1540270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1540290 ns R psr 81000200 - 1540310 ns MR4_I 01000214 6841d006 - 1540330 ns MR4_I 01000218 d1fc07c9 - 1540330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1540410 ns MR4_D 40006004 00000001 - 1540410 ns R r1 00000001 - 1540410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1540430 ns MR4_I 0100021c 1c5b6002 - 1540430 ns R r1 80000000 - 1540430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1540450 ns R psr 81000200 - 1540470 ns MR4_I 01000214 6841d006 - 1540490 ns MR4_I 01000218 d1fc07c9 - 1540490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1540570 ns MR4_D 40006004 00000001 - 1540570 ns R r1 00000001 - 1540570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1540590 ns MR4_I 0100021c 1c5b6002 - 1540590 ns R r1 80000000 - 1540590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1540610 ns R psr 81000200 - 1540630 ns MR4_I 01000214 6841d006 - 1540650 ns MR4_I 01000218 d1fc07c9 - 1540650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1540730 ns MR4_D 40006004 00000001 - 1540730 ns R r1 00000001 - 1540730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1540750 ns MR4_I 0100021c 1c5b6002 - 1540750 ns R r1 80000000 - 1540750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1540770 ns R psr 81000200 - 1540790 ns MR4_I 01000214 6841d006 - 1540810 ns MR4_I 01000218 d1fc07c9 - 1540810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1540890 ns MR4_D 40006004 00000001 - 1540890 ns R r1 00000001 - 1540890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1540910 ns MR4_I 0100021c 1c5b6002 - 1540910 ns R r1 80000000 - 1540910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1540930 ns R psr 81000200 - 1540950 ns MR4_I 01000214 6841d006 - 1540970 ns MR4_I 01000218 d1fc07c9 - 1540970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1541050 ns MR4_D 40006004 00000001 - 1541050 ns R r1 00000001 - 1541050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1541070 ns MR4_I 0100021c 1c5b6002 - 1541070 ns R r1 80000000 - 1541070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1541090 ns R psr 81000200 - 1541110 ns MR4_I 01000214 6841d006 - 1541130 ns MR4_I 01000218 d1fc07c9 - 1541130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1541210 ns MR4_D 40006004 00000001 - 1541210 ns R r1 00000001 - 1541210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1541230 ns MR4_I 0100021c 1c5b6002 - 1541230 ns R r1 80000000 - 1541230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1541250 ns R psr 81000200 - 1541270 ns MR4_I 01000214 6841d006 - 1541290 ns MR4_I 01000218 d1fc07c9 - 1541290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1541370 ns MR4_D 40006004 00000001 - 1541370 ns R r1 00000001 - 1541370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1541390 ns MR4_I 0100021c 1c5b6002 - 1541390 ns R r1 80000000 - 1541390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1541410 ns R psr 81000200 - 1541430 ns MR4_I 01000214 6841d006 - 1541450 ns MR4_I 01000218 d1fc07c9 - 1541450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1541530 ns MR4_D 40006004 00000001 - 1541530 ns R r1 00000001 - 1541530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1541550 ns MR4_I 0100021c 1c5b6002 - 1541550 ns R r1 80000000 - 1541550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1541570 ns R psr 81000200 - 1541590 ns MR4_I 01000214 6841d006 - 1541610 ns MR4_I 01000218 d1fc07c9 - 1541610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1541690 ns MR4_D 40006004 00000001 - 1541690 ns R r1 00000001 - 1541690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1541710 ns MR4_I 0100021c 1c5b6002 - 1541710 ns R r1 80000000 - 1541710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1541730 ns R psr 81000200 - 1541750 ns MR4_I 01000214 6841d006 - 1541770 ns MR4_I 01000218 d1fc07c9 - 1541770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1541850 ns MR4_D 40006004 00000001 - 1541850 ns R r1 00000001 - 1541850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1541870 ns MR4_I 0100021c 1c5b6002 - 1541870 ns R r1 80000000 - 1541870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1541890 ns R psr 81000200 - 1541910 ns MR4_I 01000214 6841d006 - 1541930 ns MR4_I 01000218 d1fc07c9 - 1541930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1542010 ns MR4_D 40006004 00000001 - 1542010 ns R r1 00000001 - 1542010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1542030 ns MR4_I 0100021c 1c5b6002 - 1542030 ns R r1 80000000 - 1542030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1542050 ns R psr 81000200 - 1542070 ns MR4_I 01000214 6841d006 - 1542090 ns MR4_I 01000218 d1fc07c9 - 1542090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1542170 ns MR4_D 40006004 00000001 - 1542170 ns R r1 00000001 - 1542170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1542190 ns MR4_I 0100021c 1c5b6002 - 1542190 ns R r1 80000000 - 1542190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1542210 ns R psr 81000200 - 1542230 ns MR4_I 01000214 6841d006 - 1542250 ns MR4_I 01000218 d1fc07c9 - 1542250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1542330 ns MR4_D 40006004 00000001 - 1542330 ns R r1 00000001 - 1542330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1542350 ns MR4_I 0100021c 1c5b6002 - 1542350 ns R r1 80000000 - 1542350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1542370 ns R psr 81000200 - 1542390 ns MR4_I 01000214 6841d006 - 1542410 ns MR4_I 01000218 d1fc07c9 - 1542410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1542490 ns MR4_D 40006004 00000001 - 1542490 ns R r1 00000001 - 1542490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1542510 ns MR4_I 0100021c 1c5b6002 - 1542510 ns R r1 80000000 - 1542510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1542530 ns R psr 81000200 - 1542550 ns MR4_I 01000214 6841d006 - 1542570 ns MR4_I 01000218 d1fc07c9 - 1542570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1542650 ns MR4_D 40006004 00000001 - 1542650 ns R r1 00000001 - 1542650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1542670 ns MR4_I 0100021c 1c5b6002 - 1542670 ns R r1 80000000 - 1542670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1542690 ns R psr 81000200 - 1542710 ns MR4_I 01000214 6841d006 - 1542730 ns MR4_I 01000218 d1fc07c9 - 1542730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1542810 ns MR4_D 40006004 00000001 - 1542810 ns R r1 00000001 - 1542810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1542830 ns MR4_I 0100021c 1c5b6002 - 1542830 ns R r1 80000000 - 1542830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1542850 ns R psr 81000200 - 1542870 ns MR4_I 01000214 6841d006 - 1542890 ns MR4_I 01000218 d1fc07c9 - 1542890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1542970 ns MR4_D 40006004 00000001 - 1542970 ns R r1 00000001 - 1542970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1542990 ns MR4_I 0100021c 1c5b6002 - 1542990 ns R r1 80000000 - 1542990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1543010 ns R psr 81000200 - 1543030 ns MR4_I 01000214 6841d006 - 1543050 ns MR4_I 01000218 d1fc07c9 - 1543050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1543130 ns MR4_D 40006004 00000001 - 1543130 ns R r1 00000001 - 1543130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1543150 ns MR4_I 0100021c 1c5b6002 - 1543150 ns R r1 80000000 - 1543150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1543170 ns R psr 81000200 - 1543190 ns MR4_I 01000214 6841d006 - 1543210 ns MR4_I 01000218 d1fc07c9 - 1543210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1543290 ns MR4_D 40006004 00000001 - 1543290 ns R r1 00000001 - 1543290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1543310 ns MR4_I 0100021c 1c5b6002 - 1543310 ns R r1 80000000 - 1543310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1543330 ns R psr 81000200 - 1543350 ns MR4_I 01000214 6841d006 - 1543370 ns MR4_I 01000218 d1fc07c9 - 1543370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1543450 ns MR4_D 40006004 00000001 - 1543450 ns R r1 00000001 - 1543450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1543470 ns MR4_I 0100021c 1c5b6002 - 1543470 ns R r1 80000000 - 1543470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1543490 ns R psr 81000200 - 1543510 ns MR4_I 01000214 6841d006 - 1543530 ns MR4_I 01000218 d1fc07c9 - 1543530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1543610 ns MR4_D 40006004 00000001 - 1543610 ns R r1 00000001 - 1543610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1543630 ns MR4_I 0100021c 1c5b6002 - 1543630 ns R r1 80000000 - 1543630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1543650 ns R psr 81000200 - 1543670 ns MR4_I 01000214 6841d006 - 1543690 ns MR4_I 01000218 d1fc07c9 - 1543690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1543770 ns MR4_D 40006004 00000001 - 1543770 ns R r1 00000001 - 1543770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1543790 ns MR4_I 0100021c 1c5b6002 - 1543790 ns R r1 80000000 - 1543790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1543810 ns R psr 81000200 - 1543830 ns MR4_I 01000214 6841d006 - 1543850 ns MR4_I 01000218 d1fc07c9 - 1543850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1543930 ns MR4_D 40006004 00000001 - 1543930 ns R r1 00000001 - 1543930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1543950 ns MR4_I 0100021c 1c5b6002 - 1543950 ns R r1 80000000 - 1543950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1543970 ns R psr 81000200 - 1543990 ns MR4_I 01000214 6841d006 - 1544010 ns MR4_I 01000218 d1fc07c9 - 1544010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1544090 ns MR4_D 40006004 00000001 - 1544090 ns R r1 00000001 - 1544090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1544110 ns MR4_I 0100021c 1c5b6002 - 1544110 ns R r1 80000000 - 1544110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1544130 ns R psr 81000200 - 1544150 ns MR4_I 01000214 6841d006 - 1544170 ns MR4_I 01000218 d1fc07c9 - 1544170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1544250 ns MR4_D 40006004 00000001 - 1544250 ns R r1 00000001 - 1544250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1544270 ns MR4_I 0100021c 1c5b6002 - 1544270 ns R r1 80000000 - 1544270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1544290 ns R psr 81000200 - 1544310 ns MR4_I 01000214 6841d006 - 1544330 ns MR4_I 01000218 d1fc07c9 - 1544330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1544410 ns MR4_D 40006004 00000001 - 1544410 ns R r1 00000001 - 1544410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1544430 ns MR4_I 0100021c 1c5b6002 - 1544430 ns R r1 80000000 - 1544430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1544450 ns R psr 81000200 - 1544470 ns MR4_I 01000214 6841d006 - 1544490 ns MR4_I 01000218 d1fc07c9 - 1544490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1544570 ns MR4_D 40006004 00000001 - 1544570 ns R r1 00000001 - 1544570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1544590 ns MR4_I 0100021c 1c5b6002 - 1544590 ns R r1 80000000 - 1544590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1544610 ns R psr 81000200 - 1544630 ns MR4_I 01000214 6841d006 - 1544650 ns MR4_I 01000218 d1fc07c9 - 1544650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1544730 ns MR4_D 40006004 00000001 - 1544730 ns R r1 00000001 - 1544730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1544750 ns MR4_I 0100021c 1c5b6002 - 1544750 ns R r1 80000000 - 1544750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1544770 ns R psr 81000200 - 1544790 ns MR4_I 01000214 6841d006 - 1544810 ns MR4_I 01000218 d1fc07c9 - 1544810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1544890 ns MR4_D 40006004 00000001 - 1544890 ns R r1 00000001 - 1544890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1544910 ns MR4_I 0100021c 1c5b6002 - 1544910 ns R r1 80000000 - 1544910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1544930 ns R psr 81000200 - 1544950 ns MR4_I 01000214 6841d006 - 1544970 ns MR4_I 01000218 d1fc07c9 - 1544970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1545050 ns MR4_D 40006004 00000001 - 1545050 ns R r1 00000001 - 1545050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1545070 ns MR4_I 0100021c 1c5b6002 - 1545070 ns R r1 80000000 - 1545070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1545090 ns R psr 81000200 - 1545110 ns MR4_I 01000214 6841d006 - 1545130 ns MR4_I 01000218 d1fc07c9 - 1545130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1545210 ns MR4_D 40006004 00000001 - 1545210 ns R r1 00000001 - 1545210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1545230 ns MR4_I 0100021c 1c5b6002 - 1545230 ns R r1 80000000 - 1545230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1545250 ns R psr 81000200 - 1545270 ns MR4_I 01000214 6841d006 - 1545290 ns MR4_I 01000218 d1fc07c9 - 1545290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1545370 ns MR4_D 40006004 00000001 - 1545370 ns R r1 00000001 - 1545370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1545390 ns MR4_I 0100021c 1c5b6002 - 1545390 ns R r1 80000000 - 1545390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1545410 ns R psr 81000200 - 1545430 ns MR4_I 01000214 6841d006 - 1545450 ns MR4_I 01000218 d1fc07c9 - 1545450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1545530 ns MR4_D 40006004 00000001 - 1545530 ns R r1 00000001 - 1545530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1545550 ns MR4_I 0100021c 1c5b6002 - 1545550 ns R r1 80000000 - 1545550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1545570 ns R psr 81000200 - 1545590 ns MR4_I 01000214 6841d006 - 1545610 ns MR4_I 01000218 d1fc07c9 - 1545610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1545690 ns MR4_D 40006004 00000001 - 1545690 ns R r1 00000001 - 1545690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1545710 ns MR4_I 0100021c 1c5b6002 - 1545710 ns R r1 80000000 - 1545710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1545730 ns R psr 81000200 - 1545750 ns MR4_I 01000214 6841d006 - 1545770 ns MR4_I 01000218 d1fc07c9 - 1545770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1545850 ns MR4_D 40006004 00000001 - 1545850 ns R r1 00000001 - 1545850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1545870 ns MR4_I 0100021c 1c5b6002 - 1545870 ns R r1 80000000 - 1545870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1545890 ns R psr 81000200 - 1545910 ns MR4_I 01000214 6841d006 - 1545930 ns MR4_I 01000218 d1fc07c9 - 1545930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1546010 ns MR4_D 40006004 00000001 - 1546010 ns R r1 00000001 - 1546010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1546030 ns MR4_I 0100021c 1c5b6002 - 1546030 ns R r1 80000000 - 1546030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1546050 ns R psr 81000200 - 1546070 ns MR4_I 01000214 6841d006 - 1546090 ns MR4_I 01000218 d1fc07c9 - 1546090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1546170 ns MR4_D 40006004 00000001 - 1546170 ns R r1 00000001 - 1546170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1546190 ns MR4_I 0100021c 1c5b6002 - 1546190 ns R r1 80000000 - 1546190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1546210 ns R psr 81000200 - 1546230 ns MR4_I 01000214 6841d006 - 1546250 ns MR4_I 01000218 d1fc07c9 - 1546250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1546330 ns MR4_D 40006004 00000001 - 1546330 ns R r1 00000001 - 1546330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1546350 ns MR4_I 0100021c 1c5b6002 - 1546350 ns R r1 80000000 - 1546350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1546370 ns R psr 81000200 - 1546390 ns MR4_I 01000214 6841d006 - 1546410 ns MR4_I 01000218 d1fc07c9 - 1546410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1546490 ns MR4_D 40006004 00000001 - 1546490 ns R r1 00000001 - 1546490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1546510 ns MR4_I 0100021c 1c5b6002 - 1546510 ns R r1 80000000 - 1546510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1546530 ns R psr 81000200 - 1546550 ns MR4_I 01000214 6841d006 - 1546570 ns MR4_I 01000218 d1fc07c9 - 1546570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1546650 ns MR4_D 40006004 00000001 - 1546650 ns R r1 00000001 - 1546650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1546670 ns MR4_I 0100021c 1c5b6002 - 1546670 ns R r1 80000000 - 1546670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1546690 ns R psr 81000200 - 1546710 ns MR4_I 01000214 6841d006 - 1546730 ns MR4_I 01000218 d1fc07c9 - 1546730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1546810 ns MR4_D 40006004 00000001 - 1546810 ns R r1 00000001 - 1546810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1546830 ns MR4_I 0100021c 1c5b6002 - 1546830 ns R r1 80000000 - 1546830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1546850 ns R psr 81000200 - 1546870 ns MR4_I 01000214 6841d006 - 1546890 ns MR4_I 01000218 d1fc07c9 - 1546890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1546970 ns MR4_D 40006004 00000001 - 1546970 ns R r1 00000001 - 1546970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1546990 ns MR4_I 0100021c 1c5b6002 - 1546990 ns R r1 80000000 - 1546990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1547010 ns R psr 81000200 - 1547030 ns MR4_I 01000214 6841d006 - 1547050 ns MR4_I 01000218 d1fc07c9 - 1547050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1547130 ns MR4_D 40006004 00000001 - 1547130 ns R r1 00000001 - 1547130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1547150 ns MR4_I 0100021c 1c5b6002 - 1547150 ns R r1 80000000 - 1547150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1547170 ns R psr 81000200 - 1547190 ns MR4_I 01000214 6841d006 - 1547210 ns MR4_I 01000218 d1fc07c9 - 1547210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1547290 ns MR4_D 40006004 00000001 - 1547290 ns R r1 00000001 - 1547290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1547310 ns MR4_I 0100021c 1c5b6002 - 1547310 ns R r1 80000000 - 1547310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1547330 ns R psr 81000200 - 1547350 ns MR4_I 01000214 6841d006 - 1547370 ns MR4_I 01000218 d1fc07c9 - 1547370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1547450 ns MR4_D 40006004 00000001 - 1547450 ns R r1 00000001 - 1547450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1547470 ns MR4_I 0100021c 1c5b6002 - 1547470 ns R r1 80000000 - 1547470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1547490 ns R psr 81000200 - 1547510 ns MR4_I 01000214 6841d006 - 1547530 ns MR4_I 01000218 d1fc07c9 - 1547530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1547610 ns MR4_D 40006004 00000001 - 1547610 ns R r1 00000001 - 1547610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1547630 ns MR4_I 0100021c 1c5b6002 - 1547630 ns R r1 80000000 - 1547630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1547650 ns R psr 81000200 - 1547670 ns MR4_I 01000214 6841d006 - 1547690 ns MR4_I 01000218 d1fc07c9 - 1547690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1547770 ns MR4_D 40006004 00000001 - 1547770 ns R r1 00000001 - 1547770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1547790 ns MR4_I 0100021c 1c5b6002 - 1547790 ns R r1 80000000 - 1547790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1547810 ns R psr 81000200 - 1547830 ns MR4_I 01000214 6841d006 - 1547850 ns MR4_I 01000218 d1fc07c9 - 1547850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1547930 ns MR4_D 40006004 00000001 - 1547930 ns R r1 00000001 - 1547930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1547950 ns MR4_I 0100021c 1c5b6002 - 1547950 ns R r1 80000000 - 1547950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1547970 ns R psr 81000200 - 1547990 ns MR4_I 01000214 6841d006 - 1548010 ns MR4_I 01000218 d1fc07c9 - 1548010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1548090 ns MR4_D 40006004 00000001 - 1548090 ns R r1 00000001 - 1548090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1548110 ns MR4_I 0100021c 1c5b6002 - 1548110 ns R r1 80000000 - 1548110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1548130 ns R psr 81000200 - 1548150 ns MR4_I 01000214 6841d006 - 1548170 ns MR4_I 01000218 d1fc07c9 - 1548170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1548250 ns MR4_D 40006004 00000001 - 1548250 ns R r1 00000001 - 1548250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1548270 ns MR4_I 0100021c 1c5b6002 - 1548270 ns R r1 80000000 - 1548270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1548290 ns R psr 81000200 - 1548310 ns MR4_I 01000214 6841d006 - 1548330 ns MR4_I 01000218 d1fc07c9 - 1548330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1548410 ns MR4_D 40006004 00000001 - 1548410 ns R r1 00000001 - 1548410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1548430 ns MR4_I 0100021c 1c5b6002 - 1548430 ns R r1 80000000 - 1548430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1548450 ns R psr 81000200 - 1548470 ns MR4_I 01000214 6841d006 - 1548490 ns MR4_I 01000218 d1fc07c9 - 1548490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1548570 ns MR4_D 40006004 00000001 - 1548570 ns R r1 00000001 - 1548570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1548590 ns MR4_I 0100021c 1c5b6002 - 1548590 ns R r1 80000000 - 1548590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1548610 ns R psr 81000200 - 1548630 ns MR4_I 01000214 6841d006 - 1548650 ns MR4_I 01000218 d1fc07c9 - 1548650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1548730 ns MR4_D 40006004 00000001 - 1548730 ns R r1 00000001 - 1548730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1548750 ns MR4_I 0100021c 1c5b6002 - 1548750 ns R r1 80000000 - 1548750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1548770 ns R psr 81000200 - 1548790 ns MR4_I 01000214 6841d006 - 1548810 ns MR4_I 01000218 d1fc07c9 - 1548810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1548890 ns MR4_D 40006004 00000001 - 1548890 ns R r1 00000001 - 1548890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1548910 ns MR4_I 0100021c 1c5b6002 - 1548910 ns R r1 80000000 - 1548910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1548930 ns R psr 81000200 - 1548950 ns MR4_I 01000214 6841d006 - 1548970 ns MR4_I 01000218 d1fc07c9 - 1548970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1549050 ns MR4_D 40006004 00000001 - 1549050 ns R r1 00000001 - 1549050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1549070 ns MR4_I 0100021c 1c5b6002 - 1549070 ns R r1 80000000 - 1549070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1549090 ns R psr 81000200 - 1549110 ns MR4_I 01000214 6841d006 - 1549130 ns MR4_I 01000218 d1fc07c9 - 1549130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1549210 ns MR4_D 40006004 00000001 - 1549210 ns R r1 00000001 - 1549210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1549230 ns MR4_I 0100021c 1c5b6002 - 1549230 ns R r1 80000000 - 1549230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1549250 ns R psr 81000200 - 1549270 ns MR4_I 01000214 6841d006 - 1549290 ns MR4_I 01000218 d1fc07c9 - 1549290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1549370 ns MR4_D 40006004 00000001 - 1549370 ns R r1 00000001 - 1549370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1549390 ns MR4_I 0100021c 1c5b6002 - 1549390 ns R r1 80000000 - 1549390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1549410 ns R psr 81000200 - 1549430 ns MR4_I 01000214 6841d006 - 1549450 ns MR4_I 01000218 d1fc07c9 - 1549450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1549530 ns MR4_D 40006004 00000001 - 1549530 ns R r1 00000001 - 1549530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1549550 ns MR4_I 0100021c 1c5b6002 - 1549550 ns R r1 80000000 - 1549550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1549570 ns R psr 81000200 - 1549590 ns MR4_I 01000214 6841d006 - 1549610 ns MR4_I 01000218 d1fc07c9 - 1549610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1549690 ns MR4_D 40006004 00000001 - 1549690 ns R r1 00000001 - 1549690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1549710 ns MR4_I 0100021c 1c5b6002 - 1549710 ns R r1 80000000 - 1549710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1549730 ns R psr 81000200 - 1549750 ns MR4_I 01000214 6841d006 - 1549770 ns MR4_I 01000218 d1fc07c9 - 1549770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1549850 ns MR4_D 40006004 00000001 - 1549850 ns R r1 00000001 - 1549850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1549870 ns MR4_I 0100021c 1c5b6002 - 1549870 ns R r1 80000000 - 1549870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1549890 ns R psr 81000200 - 1549910 ns MR4_I 01000214 6841d006 - 1549930 ns MR4_I 01000218 d1fc07c9 - 1549930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1550010 ns MR4_D 40006004 00000001 - 1550010 ns R r1 00000001 - 1550010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1550030 ns MR4_I 0100021c 1c5b6002 - 1550030 ns R r1 80000000 - 1550030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1550050 ns R psr 81000200 - 1550070 ns MR4_I 01000214 6841d006 - 1550090 ns MR4_I 01000218 d1fc07c9 - 1550090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1550170 ns MR4_D 40006004 00000001 - 1550170 ns R r1 00000001 - 1550170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1550190 ns MR4_I 0100021c 1c5b6002 - 1550190 ns R r1 80000000 - 1550190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1550210 ns R psr 81000200 - 1550230 ns MR4_I 01000214 6841d006 - 1550250 ns MR4_I 01000218 d1fc07c9 - 1550250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1550330 ns MR4_D 40006004 00000001 - 1550330 ns R r1 00000001 - 1550330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1550350 ns MR4_I 0100021c 1c5b6002 - 1550350 ns R r1 80000000 - 1550350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1550370 ns R psr 81000200 - 1550390 ns MR4_I 01000214 6841d006 - 1550410 ns MR4_I 01000218 d1fc07c9 - 1550410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1550490 ns MR4_D 40006004 00000001 - 1550490 ns R r1 00000001 - 1550490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1550510 ns MR4_I 0100021c 1c5b6002 - 1550510 ns R r1 80000000 - 1550510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1550530 ns R psr 81000200 - 1550550 ns MR4_I 01000214 6841d006 - 1550570 ns MR4_I 01000218 d1fc07c9 - 1550570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1550650 ns MR4_D 40006004 00000001 - 1550650 ns R r1 00000001 - 1550650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1550670 ns MR4_I 0100021c 1c5b6002 - 1550670 ns R r1 80000000 - 1550670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1550690 ns R psr 81000200 - 1550710 ns MR4_I 01000214 6841d006 - 1550730 ns MR4_I 01000218 d1fc07c9 - 1550730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1550810 ns MR4_D 40006004 00000001 - 1550810 ns R r1 00000001 - 1550810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1550830 ns MR4_I 0100021c 1c5b6002 - 1550830 ns R r1 80000000 - 1550830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1550850 ns R psr 81000200 - 1550870 ns MR4_I 01000214 6841d006 - 1550890 ns MR4_I 01000218 d1fc07c9 - 1550890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1550970 ns MR4_D 40006004 00000001 - 1550970 ns R r1 00000001 - 1550970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1550990 ns MR4_I 0100021c 1c5b6002 - 1550990 ns R r1 80000000 - 1550990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1551010 ns R psr 81000200 - 1551030 ns MR4_I 01000214 6841d006 - 1551050 ns MR4_I 01000218 d1fc07c9 - 1551050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1551130 ns MR4_D 40006004 00000001 - 1551130 ns R r1 00000001 - 1551130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1551150 ns MR4_I 0100021c 1c5b6002 - 1551150 ns R r1 80000000 - 1551150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1551170 ns R psr 81000200 - 1551190 ns MR4_I 01000214 6841d006 - 1551210 ns MR4_I 01000218 d1fc07c9 - 1551210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1551290 ns MR4_D 40006004 00000001 - 1551290 ns R r1 00000001 - 1551290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1551310 ns MR4_I 0100021c 1c5b6002 - 1551310 ns R r1 80000000 - 1551310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1551330 ns R psr 81000200 - 1551350 ns MR4_I 01000214 6841d006 - 1551370 ns MR4_I 01000218 d1fc07c9 - 1551370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1551450 ns MR4_D 40006004 00000001 - 1551450 ns R r1 00000001 - 1551450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1551470 ns MR4_I 0100021c 1c5b6002 - 1551470 ns R r1 80000000 - 1551470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1551490 ns R psr 81000200 - 1551510 ns MR4_I 01000214 6841d006 - 1551530 ns MR4_I 01000218 d1fc07c9 - 1551530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1551610 ns MR4_D 40006004 00000001 - 1551610 ns R r1 00000001 - 1551610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1551630 ns MR4_I 0100021c 1c5b6002 - 1551630 ns R r1 80000000 - 1551630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1551650 ns R psr 81000200 - 1551670 ns MR4_I 01000214 6841d006 - 1551690 ns MR4_I 01000218 d1fc07c9 - 1551690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1551770 ns MR4_D 40006004 00000001 - 1551770 ns R r1 00000001 - 1551770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1551790 ns MR4_I 0100021c 1c5b6002 - 1551790 ns R r1 80000000 - 1551790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1551810 ns R psr 81000200 - 1551830 ns MR4_I 01000214 6841d006 - 1551850 ns MR4_I 01000218 d1fc07c9 - 1551850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1551930 ns MR4_D 40006004 00000001 - 1551930 ns R r1 00000001 - 1551930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1551950 ns MR4_I 0100021c 1c5b6002 - 1551950 ns R r1 80000000 - 1551950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1551970 ns R psr 81000200 - 1551990 ns MR4_I 01000214 6841d006 - 1552010 ns MR4_I 01000218 d1fc07c9 - 1552010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1552090 ns MR4_D 40006004 00000001 - 1552090 ns R r1 00000001 - 1552090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1552110 ns MR4_I 0100021c 1c5b6002 - 1552110 ns R r1 80000000 - 1552110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1552130 ns R psr 81000200 - 1552150 ns MR4_I 01000214 6841d006 - 1552170 ns MR4_I 01000218 d1fc07c9 - 1552170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1552250 ns MR4_D 40006004 00000001 - 1552250 ns R r1 00000001 - 1552250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1552270 ns MR4_I 0100021c 1c5b6002 - 1552270 ns R r1 80000000 - 1552270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1552290 ns R psr 81000200 - 1552310 ns MR4_I 01000214 6841d006 - 1552330 ns MR4_I 01000218 d1fc07c9 - 1552330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1552410 ns MR4_D 40006004 00000001 - 1552410 ns R r1 00000001 - 1552410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1552430 ns MR4_I 0100021c 1c5b6002 - 1552430 ns R r1 80000000 - 1552430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1552450 ns R psr 81000200 - 1552470 ns MR4_I 01000214 6841d006 - 1552490 ns MR4_I 01000218 d1fc07c9 - 1552490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1552570 ns MR4_D 40006004 00000001 - 1552570 ns R r1 00000001 - 1552570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1552590 ns MR4_I 0100021c 1c5b6002 - 1552590 ns R r1 80000000 - 1552590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1552610 ns R psr 81000200 - 1552630 ns MR4_I 01000214 6841d006 - 1552650 ns MR4_I 01000218 d1fc07c9 - 1552650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1552730 ns MR4_D 40006004 00000001 - 1552730 ns R r1 00000001 - 1552730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1552750 ns MR4_I 0100021c 1c5b6002 - 1552750 ns R r1 80000000 - 1552750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1552770 ns R psr 81000200 - 1552790 ns MR4_I 01000214 6841d006 - 1552810 ns MR4_I 01000218 d1fc07c9 - 1552810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1552890 ns MR4_D 40006004 00000001 - 1552890 ns R r1 00000001 - 1552890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1552910 ns MR4_I 0100021c 1c5b6002 - 1552910 ns R r1 80000000 - 1552910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1552930 ns R psr 81000200 - 1552950 ns MR4_I 01000214 6841d006 - 1552970 ns MR4_I 01000218 d1fc07c9 - 1552970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1553050 ns MR4_D 40006004 00000001 - 1553050 ns R r1 00000001 - 1553050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1553070 ns MR4_I 0100021c 1c5b6002 - 1553070 ns R r1 80000000 - 1553070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1553090 ns R psr 81000200 - 1553110 ns MR4_I 01000214 6841d006 - 1553130 ns MR4_I 01000218 d1fc07c9 - 1553130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1553210 ns MR4_D 40006004 00000001 - 1553210 ns R r1 00000001 - 1553210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1553230 ns MR4_I 0100021c 1c5b6002 - 1553230 ns R r1 80000000 - 1553230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1553250 ns R psr 81000200 - 1553270 ns MR4_I 01000214 6841d006 - 1553290 ns MR4_I 01000218 d1fc07c9 - 1553290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1553370 ns MR4_D 40006004 00000001 - 1553370 ns R r1 00000001 - 1553370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1553390 ns MR4_I 0100021c 1c5b6002 - 1553390 ns R r1 80000000 - 1553390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1553410 ns R psr 81000200 - 1553430 ns MR4_I 01000214 6841d006 - 1553450 ns MR4_I 01000218 d1fc07c9 - 1553450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1553530 ns MR4_D 40006004 00000001 - 1553530 ns R r1 00000001 - 1553530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1553550 ns MR4_I 0100021c 1c5b6002 - 1553550 ns R r1 80000000 - 1553550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1553570 ns R psr 81000200 - 1553590 ns MR4_I 01000214 6841d006 - 1553610 ns MR4_I 01000218 d1fc07c9 - 1553610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1553690 ns MR4_D 40006004 00000001 - 1553690 ns R r1 00000001 - 1553690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1553710 ns MR4_I 0100021c 1c5b6002 - 1553710 ns R r1 80000000 - 1553710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1553730 ns R psr 81000200 - 1553750 ns MR4_I 01000214 6841d006 - 1553770 ns MR4_I 01000218 d1fc07c9 - 1553770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1553850 ns MR4_D 40006004 00000001 - 1553850 ns R r1 00000001 - 1553850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1553870 ns MR4_I 0100021c 1c5b6002 - 1553870 ns R r1 80000000 - 1553870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1553890 ns R psr 81000200 - 1553910 ns MR4_I 01000214 6841d006 - 1553930 ns MR4_I 01000218 d1fc07c9 - 1553930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1554010 ns MR4_D 40006004 00000001 - 1554010 ns R r1 00000001 - 1554010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1554030 ns MR4_I 0100021c 1c5b6002 - 1554030 ns R r1 80000000 - 1554030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1554050 ns R psr 81000200 - 1554070 ns MR4_I 01000214 6841d006 - 1554090 ns MR4_I 01000218 d1fc07c9 - 1554090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1554170 ns MR4_D 40006004 00000001 - 1554170 ns R r1 00000001 - 1554170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1554190 ns MR4_I 0100021c 1c5b6002 - 1554190 ns R r1 80000000 - 1554190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1554210 ns R psr 81000200 - 1554230 ns MR4_I 01000214 6841d006 - 1554250 ns MR4_I 01000218 d1fc07c9 - 1554250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1554330 ns MR4_D 40006004 00000001 - 1554330 ns R r1 00000001 - 1554330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1554350 ns MR4_I 0100021c 1c5b6002 - 1554350 ns R r1 80000000 - 1554350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1554370 ns R psr 81000200 - 1554390 ns MR4_I 01000214 6841d006 - 1554410 ns MR4_I 01000218 d1fc07c9 - 1554410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1554490 ns MR4_D 40006004 00000001 - 1554490 ns R r1 00000001 - 1554490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1554510 ns MR4_I 0100021c 1c5b6002 - 1554510 ns R r1 80000000 - 1554510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1554530 ns R psr 81000200 - 1554550 ns MR4_I 01000214 6841d006 - 1554570 ns MR4_I 01000218 d1fc07c9 - 1554570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1554650 ns MR4_D 40006004 00000001 - 1554650 ns R r1 00000001 - 1554650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1554670 ns MR4_I 0100021c 1c5b6002 - 1554670 ns R r1 80000000 - 1554670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1554690 ns R psr 81000200 - 1554710 ns MR4_I 01000214 6841d006 - 1554730 ns MR4_I 01000218 d1fc07c9 - 1554730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1554810 ns MR4_D 40006004 00000001 - 1554810 ns R r1 00000001 - 1554810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1554830 ns MR4_I 0100021c 1c5b6002 - 1554830 ns R r1 80000000 - 1554830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1554850 ns R psr 81000200 - 1554870 ns MR4_I 01000214 6841d006 - 1554890 ns MR4_I 01000218 d1fc07c9 - 1554890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1554970 ns MR4_D 40006004 00000001 - 1554970 ns R r1 00000001 - 1554970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1554990 ns MR4_I 0100021c 1c5b6002 - 1554990 ns R r1 80000000 - 1554990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1555010 ns R psr 81000200 - 1555030 ns MR4_I 01000214 6841d006 - 1555050 ns MR4_I 01000218 d1fc07c9 - 1555050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1555130 ns MR4_D 40006004 00000001 - 1555130 ns R r1 00000001 - 1555130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1555150 ns MR4_I 0100021c 1c5b6002 - 1555150 ns R r1 80000000 - 1555150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1555170 ns R psr 81000200 - 1555190 ns MR4_I 01000214 6841d006 - 1555210 ns MR4_I 01000218 d1fc07c9 - 1555210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1555290 ns MR4_D 40006004 00000001 - 1555290 ns R r1 00000001 - 1555290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1555310 ns MR4_I 0100021c 1c5b6002 - 1555310 ns R r1 80000000 - 1555310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1555330 ns R psr 81000200 - 1555350 ns MR4_I 01000214 6841d006 - 1555370 ns MR4_I 01000218 d1fc07c9 - 1555370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1555450 ns MR4_D 40006004 00000001 - 1555450 ns R r1 00000001 - 1555450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1555470 ns MR4_I 0100021c 1c5b6002 - 1555470 ns R r1 80000000 - 1555470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1555490 ns R psr 81000200 - 1555510 ns MR4_I 01000214 6841d006 - 1555530 ns MR4_I 01000218 d1fc07c9 - 1555530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1555610 ns MR4_D 40006004 00000001 - 1555610 ns R r1 00000001 - 1555610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1555630 ns MR4_I 0100021c 1c5b6002 - 1555630 ns R r1 80000000 - 1555630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1555650 ns R psr 81000200 - 1555670 ns MR4_I 01000214 6841d006 - 1555690 ns MR4_I 01000218 d1fc07c9 - 1555690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1555770 ns MR4_D 40006004 00000001 - 1555770 ns R r1 00000001 - 1555770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1555790 ns MR4_I 0100021c 1c5b6002 - 1555790 ns R r1 80000000 - 1555790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1555810 ns R psr 81000200 - 1555830 ns MR4_I 01000214 6841d006 - 1555850 ns MR4_I 01000218 d1fc07c9 - 1555850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1555930 ns MR4_D 40006004 00000000 - 1555930 ns R r1 00000000 - 1555930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1555950 ns MR4_I 0100021c 1c5b6002 - 1555950 ns R r1 00000000 - 1555950 ns IS 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1555970 ns R psr 41000200 - 1555970 ns IT 0100021c 6002 STR r2,[r0,#0] - 1555990 ns MR4_I 01000220 d1f52a00 - 1556050 ns MW4_D 40006000 00000061 - 1556050 ns IT 0100021e 1c5b ADDS r3,r3,#1 - 1556070 ns R r3 010002be - 1556070 ns IT 01000220 2a00 CMP r2,#0 - 1556090 ns R psr 01000200 - 1556090 ns MR4_I 01000224 680a4911 - 1556090 ns IT 01000222 d1f5 BNE {pc} - 0x12 ; 0x1000210 - 1556110 ns R psr 21000200 - 1556130 ns MR4_I 01000210 2a00781a - 1556150 ns IT 01000210 781a LDRB r2,[r3,#0] - 1556170 ns MR4_I 01000214 6841d006 - 1556190 ns MR1_D 010002be 2064616f - 1556190 ns R r2 00000064 - 1556190 ns IT 01000212 2a00 CMP r2,#0 - 1556210 ns IS 01000214 d006 BEQ {pc} + 0x10 ; 0x1000224 - 1556230 ns R psr 21000200 - 1556230 ns MR4_I 01000218 d1fc07c9 - 1556230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1556310 ns MR4_D 40006004 00000001 - 1556310 ns R r1 00000001 - 1556310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1556330 ns MR4_I 0100021c 1c5b6002 - 1556330 ns R r1 80000000 - 1556330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1556350 ns R psr 81000200 - 1556370 ns MR4_I 01000214 6841d006 - 1556390 ns MR4_I 01000218 d1fc07c9 - 1556390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1556470 ns MR4_D 40006004 00000001 - 1556470 ns R r1 00000001 - 1556470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1556490 ns MR4_I 0100021c 1c5b6002 - 1556490 ns R r1 80000000 - 1556490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1556510 ns R psr 81000200 - 1556530 ns MR4_I 01000214 6841d006 - 1556550 ns MR4_I 01000218 d1fc07c9 - 1556550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1556630 ns MR4_D 40006004 00000001 - 1556630 ns R r1 00000001 - 1556630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1556650 ns MR4_I 0100021c 1c5b6002 - 1556650 ns R r1 80000000 - 1556650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1556670 ns R psr 81000200 - 1556690 ns MR4_I 01000214 6841d006 - 1556710 ns MR4_I 01000218 d1fc07c9 - 1556710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1556790 ns MR4_D 40006004 00000001 - 1556790 ns R r1 00000001 - 1556790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1556810 ns MR4_I 0100021c 1c5b6002 - 1556810 ns R r1 80000000 - 1556810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1556830 ns R psr 81000200 - 1556850 ns MR4_I 01000214 6841d006 - 1556870 ns MR4_I 01000218 d1fc07c9 - 1556870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1556950 ns MR4_D 40006004 00000001 - 1556950 ns R r1 00000001 - 1556950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1556970 ns MR4_I 0100021c 1c5b6002 - 1556970 ns R r1 80000000 - 1556970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1556990 ns R psr 81000200 - 1557010 ns MR4_I 01000214 6841d006 - 1557030 ns MR4_I 01000218 d1fc07c9 - 1557030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1557110 ns MR4_D 40006004 00000001 - 1557110 ns R r1 00000001 - 1557110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1557130 ns MR4_I 0100021c 1c5b6002 - 1557130 ns R r1 80000000 - 1557130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1557150 ns R psr 81000200 - 1557170 ns MR4_I 01000214 6841d006 - 1557190 ns MR4_I 01000218 d1fc07c9 - 1557190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1557270 ns MR4_D 40006004 00000001 - 1557270 ns R r1 00000001 - 1557270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1557290 ns MR4_I 0100021c 1c5b6002 - 1557290 ns R r1 80000000 - 1557290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1557310 ns R psr 81000200 - 1557330 ns MR4_I 01000214 6841d006 - 1557350 ns MR4_I 01000218 d1fc07c9 - 1557350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1557430 ns MR4_D 40006004 00000001 - 1557430 ns R r1 00000001 - 1557430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1557450 ns MR4_I 0100021c 1c5b6002 - 1557450 ns R r1 80000000 - 1557450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1557470 ns R psr 81000200 - 1557490 ns MR4_I 01000214 6841d006 - 1557510 ns MR4_I 01000218 d1fc07c9 - 1557510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1557590 ns MR4_D 40006004 00000001 - 1557590 ns R r1 00000001 - 1557590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1557610 ns MR4_I 0100021c 1c5b6002 - 1557610 ns R r1 80000000 - 1557610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1557630 ns R psr 81000200 - 1557650 ns MR4_I 01000214 6841d006 - 1557670 ns MR4_I 01000218 d1fc07c9 - 1557670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1557750 ns MR4_D 40006004 00000001 - 1557750 ns R r1 00000001 - 1557750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1557770 ns MR4_I 0100021c 1c5b6002 - 1557770 ns R r1 80000000 - 1557770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1557790 ns R psr 81000200 - 1557810 ns MR4_I 01000214 6841d006 - 1557830 ns MR4_I 01000218 d1fc07c9 - 1557830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1557910 ns MR4_D 40006004 00000001 - 1557910 ns R r1 00000001 - 1557910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1557930 ns MR4_I 0100021c 1c5b6002 - 1557930 ns R r1 80000000 - 1557930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1557950 ns R psr 81000200 - 1557970 ns MR4_I 01000214 6841d006 - 1557990 ns MR4_I 01000218 d1fc07c9 - 1557990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1558070 ns MR4_D 40006004 00000001 - 1558070 ns R r1 00000001 - 1558070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1558090 ns MR4_I 0100021c 1c5b6002 - 1558090 ns R r1 80000000 - 1558090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1558110 ns R psr 81000200 - 1558130 ns MR4_I 01000214 6841d006 - 1558150 ns MR4_I 01000218 d1fc07c9 - 1558150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1558230 ns MR4_D 40006004 00000001 - 1558230 ns R r1 00000001 - 1558230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1558250 ns MR4_I 0100021c 1c5b6002 - 1558250 ns R r1 80000000 - 1558250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1558270 ns R psr 81000200 - 1558290 ns MR4_I 01000214 6841d006 - 1558310 ns MR4_I 01000218 d1fc07c9 - 1558310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1558390 ns MR4_D 40006004 00000001 - 1558390 ns R r1 00000001 - 1558390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1558410 ns MR4_I 0100021c 1c5b6002 - 1558410 ns R r1 80000000 - 1558410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1558430 ns R psr 81000200 - 1558450 ns MR4_I 01000214 6841d006 - 1558470 ns MR4_I 01000218 d1fc07c9 - 1558470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1558550 ns MR4_D 40006004 00000001 - 1558550 ns R r1 00000001 - 1558550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1558570 ns MR4_I 0100021c 1c5b6002 - 1558570 ns R r1 80000000 - 1558570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1558590 ns R psr 81000200 - 1558610 ns MR4_I 01000214 6841d006 - 1558630 ns MR4_I 01000218 d1fc07c9 - 1558630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1558710 ns MR4_D 40006004 00000001 - 1558710 ns R r1 00000001 - 1558710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1558730 ns MR4_I 0100021c 1c5b6002 - 1558730 ns R r1 80000000 - 1558730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1558750 ns R psr 81000200 - 1558770 ns MR4_I 01000214 6841d006 - 1558790 ns MR4_I 01000218 d1fc07c9 - 1558790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1558870 ns MR4_D 40006004 00000001 - 1558870 ns R r1 00000001 - 1558870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1558890 ns MR4_I 0100021c 1c5b6002 - 1558890 ns R r1 80000000 - 1558890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1558910 ns R psr 81000200 - 1558930 ns MR4_I 01000214 6841d006 - 1558950 ns MR4_I 01000218 d1fc07c9 - 1558950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1559030 ns MR4_D 40006004 00000001 - 1559030 ns R r1 00000001 - 1559030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1559050 ns MR4_I 0100021c 1c5b6002 - 1559050 ns R r1 80000000 - 1559050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1559070 ns R psr 81000200 - 1559090 ns MR4_I 01000214 6841d006 - 1559110 ns MR4_I 01000218 d1fc07c9 - 1559110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1559190 ns MR4_D 40006004 00000001 - 1559190 ns R r1 00000001 - 1559190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1559210 ns MR4_I 0100021c 1c5b6002 - 1559210 ns R r1 80000000 - 1559210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1559230 ns R psr 81000200 - 1559250 ns MR4_I 01000214 6841d006 - 1559270 ns MR4_I 01000218 d1fc07c9 - 1559270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1559350 ns MR4_D 40006004 00000001 - 1559350 ns R r1 00000001 - 1559350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1559370 ns MR4_I 0100021c 1c5b6002 - 1559370 ns R r1 80000000 - 1559370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1559390 ns R psr 81000200 - 1559410 ns MR4_I 01000214 6841d006 - 1559430 ns MR4_I 01000218 d1fc07c9 - 1559430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1559510 ns MR4_D 40006004 00000001 - 1559510 ns R r1 00000001 - 1559510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1559530 ns MR4_I 0100021c 1c5b6002 - 1559530 ns R r1 80000000 - 1559530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1559550 ns R psr 81000200 - 1559570 ns MR4_I 01000214 6841d006 - 1559590 ns MR4_I 01000218 d1fc07c9 - 1559590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1559670 ns MR4_D 40006004 00000001 - 1559670 ns R r1 00000001 - 1559670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1559690 ns MR4_I 0100021c 1c5b6002 - 1559690 ns R r1 80000000 - 1559690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1559710 ns R psr 81000200 - 1559730 ns MR4_I 01000214 6841d006 - 1559750 ns MR4_I 01000218 d1fc07c9 - 1559750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1559830 ns MR4_D 40006004 00000001 - 1559830 ns R r1 00000001 - 1559830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1559850 ns MR4_I 0100021c 1c5b6002 - 1559850 ns R r1 80000000 - 1559850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1559870 ns R psr 81000200 - 1559890 ns MR4_I 01000214 6841d006 - 1559910 ns MR4_I 01000218 d1fc07c9 - 1559910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1559990 ns MR4_D 40006004 00000001 - 1559990 ns R r1 00000001 - 1559990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1560010 ns MR4_I 0100021c 1c5b6002 - 1560010 ns R r1 80000000 - 1560010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1560030 ns R psr 81000200 - 1560050 ns MR4_I 01000214 6841d006 - 1560070 ns MR4_I 01000218 d1fc07c9 - 1560070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1560150 ns MR4_D 40006004 00000001 - 1560150 ns R r1 00000001 - 1560150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1560170 ns MR4_I 0100021c 1c5b6002 - 1560170 ns R r1 80000000 - 1560170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1560190 ns R psr 81000200 - 1560210 ns MR4_I 01000214 6841d006 - 1560230 ns MR4_I 01000218 d1fc07c9 - 1560230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1560310 ns MR4_D 40006004 00000001 - 1560310 ns R r1 00000001 - 1560310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1560330 ns MR4_I 0100021c 1c5b6002 - 1560330 ns R r1 80000000 - 1560330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1560350 ns R psr 81000200 - 1560370 ns MR4_I 01000214 6841d006 - 1560390 ns MR4_I 01000218 d1fc07c9 - 1560390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1560470 ns MR4_D 40006004 00000001 - 1560470 ns R r1 00000001 - 1560470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1560490 ns MR4_I 0100021c 1c5b6002 - 1560490 ns R r1 80000000 - 1560490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1560510 ns R psr 81000200 - 1560530 ns MR4_I 01000214 6841d006 - 1560550 ns MR4_I 01000218 d1fc07c9 - 1560550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1560630 ns MR4_D 40006004 00000001 - 1560630 ns R r1 00000001 - 1560630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1560650 ns MR4_I 0100021c 1c5b6002 - 1560650 ns R r1 80000000 - 1560650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1560670 ns R psr 81000200 - 1560690 ns MR4_I 01000214 6841d006 - 1560710 ns MR4_I 01000218 d1fc07c9 - 1560710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1560790 ns MR4_D 40006004 00000001 - 1560790 ns R r1 00000001 - 1560790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1560810 ns MR4_I 0100021c 1c5b6002 - 1560810 ns R r1 80000000 - 1560810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1560830 ns R psr 81000200 - 1560850 ns MR4_I 01000214 6841d006 - 1560870 ns MR4_I 01000218 d1fc07c9 - 1560870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1560950 ns MR4_D 40006004 00000001 - 1560950 ns R r1 00000001 - 1560950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1560970 ns MR4_I 0100021c 1c5b6002 - 1560970 ns R r1 80000000 - 1560970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1560990 ns R psr 81000200 - 1561010 ns MR4_I 01000214 6841d006 - 1561030 ns MR4_I 01000218 d1fc07c9 - 1561030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1561110 ns MR4_D 40006004 00000001 - 1561110 ns R r1 00000001 - 1561110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1561130 ns MR4_I 0100021c 1c5b6002 - 1561130 ns R r1 80000000 - 1561130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1561150 ns R psr 81000200 - 1561170 ns MR4_I 01000214 6841d006 - 1561190 ns MR4_I 01000218 d1fc07c9 - 1561190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1561270 ns MR4_D 40006004 00000001 - 1561270 ns R r1 00000001 - 1561270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1561290 ns MR4_I 0100021c 1c5b6002 - 1561290 ns R r1 80000000 - 1561290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1561310 ns R psr 81000200 - 1561330 ns MR4_I 01000214 6841d006 - 1561350 ns MR4_I 01000218 d1fc07c9 - 1561350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1561430 ns MR4_D 40006004 00000001 - 1561430 ns R r1 00000001 - 1561430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1561450 ns MR4_I 0100021c 1c5b6002 - 1561450 ns R r1 80000000 - 1561450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1561470 ns R psr 81000200 - 1561490 ns MR4_I 01000214 6841d006 - 1561510 ns MR4_I 01000218 d1fc07c9 - 1561510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1561590 ns MR4_D 40006004 00000001 - 1561590 ns R r1 00000001 - 1561590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1561610 ns MR4_I 0100021c 1c5b6002 - 1561610 ns R r1 80000000 - 1561610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1561630 ns R psr 81000200 - 1561650 ns MR4_I 01000214 6841d006 - 1561670 ns MR4_I 01000218 d1fc07c9 - 1561670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1561750 ns MR4_D 40006004 00000001 - 1561750 ns R r1 00000001 - 1561750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1561770 ns MR4_I 0100021c 1c5b6002 - 1561770 ns R r1 80000000 - 1561770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1561790 ns R psr 81000200 - 1561810 ns MR4_I 01000214 6841d006 - 1561830 ns MR4_I 01000218 d1fc07c9 - 1561830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1561910 ns MR4_D 40006004 00000001 - 1561910 ns R r1 00000001 - 1561910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1561930 ns MR4_I 0100021c 1c5b6002 - 1561930 ns R r1 80000000 - 1561930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1561950 ns R psr 81000200 - 1561970 ns MR4_I 01000214 6841d006 - 1561990 ns MR4_I 01000218 d1fc07c9 - 1561990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1562070 ns MR4_D 40006004 00000001 - 1562070 ns R r1 00000001 - 1562070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1562090 ns MR4_I 0100021c 1c5b6002 - 1562090 ns R r1 80000000 - 1562090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1562110 ns R psr 81000200 - 1562130 ns MR4_I 01000214 6841d006 - 1562150 ns MR4_I 01000218 d1fc07c9 - 1562150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1562230 ns MR4_D 40006004 00000001 - 1562230 ns R r1 00000001 - 1562230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1562250 ns MR4_I 0100021c 1c5b6002 - 1562250 ns R r1 80000000 - 1562250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1562270 ns R psr 81000200 - 1562290 ns MR4_I 01000214 6841d006 - 1562310 ns MR4_I 01000218 d1fc07c9 - 1562310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1562390 ns MR4_D 40006004 00000001 - 1562390 ns R r1 00000001 - 1562390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1562410 ns MR4_I 0100021c 1c5b6002 - 1562410 ns R r1 80000000 - 1562410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1562430 ns R psr 81000200 - 1562450 ns MR4_I 01000214 6841d006 - 1562470 ns MR4_I 01000218 d1fc07c9 - 1562470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1562550 ns MR4_D 40006004 00000001 - 1562550 ns R r1 00000001 - 1562550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1562570 ns MR4_I 0100021c 1c5b6002 - 1562570 ns R r1 80000000 - 1562570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1562590 ns R psr 81000200 - 1562610 ns MR4_I 01000214 6841d006 - 1562630 ns MR4_I 01000218 d1fc07c9 - 1562630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1562710 ns MR4_D 40006004 00000001 - 1562710 ns R r1 00000001 - 1562710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1562730 ns MR4_I 0100021c 1c5b6002 - 1562730 ns R r1 80000000 - 1562730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1562750 ns R psr 81000200 - 1562770 ns MR4_I 01000214 6841d006 - 1562790 ns MR4_I 01000218 d1fc07c9 - 1562790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1562870 ns MR4_D 40006004 00000001 - 1562870 ns R r1 00000001 - 1562870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1562890 ns MR4_I 0100021c 1c5b6002 - 1562890 ns R r1 80000000 - 1562890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1562910 ns R psr 81000200 - 1562930 ns MR4_I 01000214 6841d006 - 1562950 ns MR4_I 01000218 d1fc07c9 - 1562950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1563030 ns MR4_D 40006004 00000001 - 1563030 ns R r1 00000001 - 1563030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1563050 ns MR4_I 0100021c 1c5b6002 - 1563050 ns R r1 80000000 - 1563050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1563070 ns R psr 81000200 - 1563090 ns MR4_I 01000214 6841d006 - 1563110 ns MR4_I 01000218 d1fc07c9 - 1563110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1563190 ns MR4_D 40006004 00000001 - 1563190 ns R r1 00000001 - 1563190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1563210 ns MR4_I 0100021c 1c5b6002 - 1563210 ns R r1 80000000 - 1563210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1563230 ns R psr 81000200 - 1563250 ns MR4_I 01000214 6841d006 - 1563270 ns MR4_I 01000218 d1fc07c9 - 1563270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1563350 ns MR4_D 40006004 00000001 - 1563350 ns R r1 00000001 - 1563350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1563370 ns MR4_I 0100021c 1c5b6002 - 1563370 ns R r1 80000000 - 1563370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1563390 ns R psr 81000200 - 1563410 ns MR4_I 01000214 6841d006 - 1563430 ns MR4_I 01000218 d1fc07c9 - 1563430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1563510 ns MR4_D 40006004 00000001 - 1563510 ns R r1 00000001 - 1563510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1563530 ns MR4_I 0100021c 1c5b6002 - 1563530 ns R r1 80000000 - 1563530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1563550 ns R psr 81000200 - 1563570 ns MR4_I 01000214 6841d006 - 1563590 ns MR4_I 01000218 d1fc07c9 - 1563590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1563670 ns MR4_D 40006004 00000001 - 1563670 ns R r1 00000001 - 1563670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1563690 ns MR4_I 0100021c 1c5b6002 - 1563690 ns R r1 80000000 - 1563690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1563710 ns R psr 81000200 - 1563730 ns MR4_I 01000214 6841d006 - 1563750 ns MR4_I 01000218 d1fc07c9 - 1563750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1563830 ns MR4_D 40006004 00000001 - 1563830 ns R r1 00000001 - 1563830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1563850 ns MR4_I 0100021c 1c5b6002 - 1563850 ns R r1 80000000 - 1563850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1563870 ns R psr 81000200 - 1563890 ns MR4_I 01000214 6841d006 - 1563910 ns MR4_I 01000218 d1fc07c9 - 1563910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1563990 ns MR4_D 40006004 00000001 - 1563990 ns R r1 00000001 - 1563990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1564010 ns MR4_I 0100021c 1c5b6002 - 1564010 ns R r1 80000000 - 1564010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1564030 ns R psr 81000200 - 1564050 ns MR4_I 01000214 6841d006 - 1564070 ns MR4_I 01000218 d1fc07c9 - 1564070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1564150 ns MR4_D 40006004 00000001 - 1564150 ns R r1 00000001 - 1564150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1564170 ns MR4_I 0100021c 1c5b6002 - 1564170 ns R r1 80000000 - 1564170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1564190 ns R psr 81000200 - 1564210 ns MR4_I 01000214 6841d006 - 1564230 ns MR4_I 01000218 d1fc07c9 - 1564230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1564310 ns MR4_D 40006004 00000001 - 1564310 ns R r1 00000001 - 1564310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1564330 ns MR4_I 0100021c 1c5b6002 - 1564330 ns R r1 80000000 - 1564330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1564350 ns R psr 81000200 - 1564370 ns MR4_I 01000214 6841d006 - 1564390 ns MR4_I 01000218 d1fc07c9 - 1564390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1564470 ns MR4_D 40006004 00000001 - 1564470 ns R r1 00000001 - 1564470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1564490 ns MR4_I 0100021c 1c5b6002 - 1564490 ns R r1 80000000 - 1564490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1564510 ns R psr 81000200 - 1564530 ns MR4_I 01000214 6841d006 - 1564550 ns MR4_I 01000218 d1fc07c9 - 1564550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1564630 ns MR4_D 40006004 00000001 - 1564630 ns R r1 00000001 - 1564630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1564650 ns MR4_I 0100021c 1c5b6002 - 1564650 ns R r1 80000000 - 1564650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1564670 ns R psr 81000200 - 1564690 ns MR4_I 01000214 6841d006 - 1564710 ns MR4_I 01000218 d1fc07c9 - 1564710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1564790 ns MR4_D 40006004 00000001 - 1564790 ns R r1 00000001 - 1564790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1564810 ns MR4_I 0100021c 1c5b6002 - 1564810 ns R r1 80000000 - 1564810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1564830 ns R psr 81000200 - 1564850 ns MR4_I 01000214 6841d006 - 1564870 ns MR4_I 01000218 d1fc07c9 - 1564870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1564950 ns MR4_D 40006004 00000001 - 1564950 ns R r1 00000001 - 1564950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1564970 ns MR4_I 0100021c 1c5b6002 - 1564970 ns R r1 80000000 - 1564970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1564990 ns R psr 81000200 - 1565010 ns MR4_I 01000214 6841d006 - 1565030 ns MR4_I 01000218 d1fc07c9 - 1565030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1565110 ns MR4_D 40006004 00000001 - 1565110 ns R r1 00000001 - 1565110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1565130 ns MR4_I 0100021c 1c5b6002 - 1565130 ns R r1 80000000 - 1565130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1565150 ns R psr 81000200 - 1565170 ns MR4_I 01000214 6841d006 - 1565190 ns MR4_I 01000218 d1fc07c9 - 1565190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1565270 ns MR4_D 40006004 00000001 - 1565270 ns R r1 00000001 - 1565270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1565290 ns MR4_I 0100021c 1c5b6002 - 1565290 ns R r1 80000000 - 1565290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1565310 ns R psr 81000200 - 1565330 ns MR4_I 01000214 6841d006 - 1565350 ns MR4_I 01000218 d1fc07c9 - 1565350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1565430 ns MR4_D 40006004 00000001 - 1565430 ns R r1 00000001 - 1565430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1565450 ns MR4_I 0100021c 1c5b6002 - 1565450 ns R r1 80000000 - 1565450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1565470 ns R psr 81000200 - 1565490 ns MR4_I 01000214 6841d006 - 1565510 ns MR4_I 01000218 d1fc07c9 - 1565510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1565590 ns MR4_D 40006004 00000001 - 1565590 ns R r1 00000001 - 1565590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1565610 ns MR4_I 0100021c 1c5b6002 - 1565610 ns R r1 80000000 - 1565610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1565630 ns R psr 81000200 - 1565650 ns MR4_I 01000214 6841d006 - 1565670 ns MR4_I 01000218 d1fc07c9 - 1565670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1565750 ns MR4_D 40006004 00000001 - 1565750 ns R r1 00000001 - 1565750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1565770 ns MR4_I 0100021c 1c5b6002 - 1565770 ns R r1 80000000 - 1565770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1565790 ns R psr 81000200 - 1565810 ns MR4_I 01000214 6841d006 - 1565830 ns MR4_I 01000218 d1fc07c9 - 1565830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1565910 ns MR4_D 40006004 00000001 - 1565910 ns R r1 00000001 - 1565910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1565930 ns MR4_I 0100021c 1c5b6002 - 1565930 ns R r1 80000000 - 1565930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1565950 ns R psr 81000200 - 1565970 ns MR4_I 01000214 6841d006 - 1565990 ns MR4_I 01000218 d1fc07c9 - 1565990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1566070 ns MR4_D 40006004 00000001 - 1566070 ns R r1 00000001 - 1566070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1566090 ns MR4_I 0100021c 1c5b6002 - 1566090 ns R r1 80000000 - 1566090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1566110 ns R psr 81000200 - 1566130 ns MR4_I 01000214 6841d006 - 1566150 ns MR4_I 01000218 d1fc07c9 - 1566150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1566230 ns MR4_D 40006004 00000001 - 1566230 ns R r1 00000001 - 1566230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1566250 ns MR4_I 0100021c 1c5b6002 - 1566250 ns R r1 80000000 - 1566250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1566270 ns R psr 81000200 - 1566290 ns MR4_I 01000214 6841d006 - 1566310 ns MR4_I 01000218 d1fc07c9 - 1566310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1566390 ns MR4_D 40006004 00000001 - 1566390 ns R r1 00000001 - 1566390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1566410 ns MR4_I 0100021c 1c5b6002 - 1566410 ns R r1 80000000 - 1566410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1566430 ns R psr 81000200 - 1566450 ns MR4_I 01000214 6841d006 - 1566470 ns MR4_I 01000218 d1fc07c9 - 1566470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1566550 ns MR4_D 40006004 00000001 - 1566550 ns R r1 00000001 - 1566550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1566570 ns MR4_I 0100021c 1c5b6002 - 1566570 ns R r1 80000000 - 1566570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1566590 ns R psr 81000200 - 1566610 ns MR4_I 01000214 6841d006 - 1566630 ns MR4_I 01000218 d1fc07c9 - 1566630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1566710 ns MR4_D 40006004 00000001 - 1566710 ns R r1 00000001 - 1566710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1566730 ns MR4_I 0100021c 1c5b6002 - 1566730 ns R r1 80000000 - 1566730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1566750 ns R psr 81000200 - 1566770 ns MR4_I 01000214 6841d006 - 1566790 ns MR4_I 01000218 d1fc07c9 - 1566790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1566870 ns MR4_D 40006004 00000001 - 1566870 ns R r1 00000001 - 1566870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1566890 ns MR4_I 0100021c 1c5b6002 - 1566890 ns R r1 80000000 - 1566890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1566910 ns R psr 81000200 - 1566930 ns MR4_I 01000214 6841d006 - 1566950 ns MR4_I 01000218 d1fc07c9 - 1566950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1567030 ns MR4_D 40006004 00000001 - 1567030 ns R r1 00000001 - 1567030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1567050 ns MR4_I 0100021c 1c5b6002 - 1567050 ns R r1 80000000 - 1567050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1567070 ns R psr 81000200 - 1567090 ns MR4_I 01000214 6841d006 - 1567110 ns MR4_I 01000218 d1fc07c9 - 1567110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1567190 ns MR4_D 40006004 00000001 - 1567190 ns R r1 00000001 - 1567190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1567210 ns MR4_I 0100021c 1c5b6002 - 1567210 ns R r1 80000000 - 1567210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1567230 ns R psr 81000200 - 1567250 ns MR4_I 01000214 6841d006 - 1567270 ns MR4_I 01000218 d1fc07c9 - 1567270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1567350 ns MR4_D 40006004 00000001 - 1567350 ns R r1 00000001 - 1567350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1567370 ns MR4_I 0100021c 1c5b6002 - 1567370 ns R r1 80000000 - 1567370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1567390 ns R psr 81000200 - 1567410 ns MR4_I 01000214 6841d006 - 1567430 ns MR4_I 01000218 d1fc07c9 - 1567430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1567510 ns MR4_D 40006004 00000001 - 1567510 ns R r1 00000001 - 1567510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1567530 ns MR4_I 0100021c 1c5b6002 - 1567530 ns R r1 80000000 - 1567530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1567550 ns R psr 81000200 - 1567570 ns MR4_I 01000214 6841d006 - 1567590 ns MR4_I 01000218 d1fc07c9 - 1567590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1567670 ns MR4_D 40006004 00000001 - 1567670 ns R r1 00000001 - 1567670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1567690 ns MR4_I 0100021c 1c5b6002 - 1567690 ns R r1 80000000 - 1567690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1567710 ns R psr 81000200 - 1567730 ns MR4_I 01000214 6841d006 - 1567750 ns MR4_I 01000218 d1fc07c9 - 1567750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1567830 ns MR4_D 40006004 00000001 - 1567830 ns R r1 00000001 - 1567830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1567850 ns MR4_I 0100021c 1c5b6002 - 1567850 ns R r1 80000000 - 1567850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1567870 ns R psr 81000200 - 1567890 ns MR4_I 01000214 6841d006 - 1567910 ns MR4_I 01000218 d1fc07c9 - 1567910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1567990 ns MR4_D 40006004 00000001 - 1567990 ns R r1 00000001 - 1567990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1568010 ns MR4_I 0100021c 1c5b6002 - 1568010 ns R r1 80000000 - 1568010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1568030 ns R psr 81000200 - 1568050 ns MR4_I 01000214 6841d006 - 1568070 ns MR4_I 01000218 d1fc07c9 - 1568070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1568150 ns MR4_D 40006004 00000001 - 1568150 ns R r1 00000001 - 1568150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1568170 ns MR4_I 0100021c 1c5b6002 - 1568170 ns R r1 80000000 - 1568170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1568190 ns R psr 81000200 - 1568210 ns MR4_I 01000214 6841d006 - 1568230 ns MR4_I 01000218 d1fc07c9 - 1568230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1568310 ns MR4_D 40006004 00000001 - 1568310 ns R r1 00000001 - 1568310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1568330 ns MR4_I 0100021c 1c5b6002 - 1568330 ns R r1 80000000 - 1568330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1568350 ns R psr 81000200 - 1568370 ns MR4_I 01000214 6841d006 - 1568390 ns MR4_I 01000218 d1fc07c9 - 1568390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1568470 ns MR4_D 40006004 00000001 - 1568470 ns R r1 00000001 - 1568470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1568490 ns MR4_I 0100021c 1c5b6002 - 1568490 ns R r1 80000000 - 1568490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1568510 ns R psr 81000200 - 1568530 ns MR4_I 01000214 6841d006 - 1568550 ns MR4_I 01000218 d1fc07c9 - 1568550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1568630 ns MR4_D 40006004 00000001 - 1568630 ns R r1 00000001 - 1568630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1568650 ns MR4_I 0100021c 1c5b6002 - 1568650 ns R r1 80000000 - 1568650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1568670 ns R psr 81000200 - 1568690 ns MR4_I 01000214 6841d006 - 1568710 ns MR4_I 01000218 d1fc07c9 - 1568710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1568790 ns MR4_D 40006004 00000001 - 1568790 ns R r1 00000001 - 1568790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1568810 ns MR4_I 0100021c 1c5b6002 - 1568810 ns R r1 80000000 - 1568810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1568830 ns R psr 81000200 - 1568850 ns MR4_I 01000214 6841d006 - 1568870 ns MR4_I 01000218 d1fc07c9 - 1568870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1568950 ns MR4_D 40006004 00000001 - 1568950 ns R r1 00000001 - 1568950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1568970 ns MR4_I 0100021c 1c5b6002 - 1568970 ns R r1 80000000 - 1568970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1568990 ns R psr 81000200 - 1569010 ns MR4_I 01000214 6841d006 - 1569030 ns MR4_I 01000218 d1fc07c9 - 1569030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1569110 ns MR4_D 40006004 00000001 - 1569110 ns R r1 00000001 - 1569110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1569130 ns MR4_I 0100021c 1c5b6002 - 1569130 ns R r1 80000000 - 1569130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1569150 ns R psr 81000200 - 1569170 ns MR4_I 01000214 6841d006 - 1569190 ns MR4_I 01000218 d1fc07c9 - 1569190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1569270 ns MR4_D 40006004 00000001 - 1569270 ns R r1 00000001 - 1569270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1569290 ns MR4_I 0100021c 1c5b6002 - 1569290 ns R r1 80000000 - 1569290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1569310 ns R psr 81000200 - 1569330 ns MR4_I 01000214 6841d006 - 1569350 ns MR4_I 01000218 d1fc07c9 - 1569350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1569430 ns MR4_D 40006004 00000001 - 1569430 ns R r1 00000001 - 1569430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1569450 ns MR4_I 0100021c 1c5b6002 - 1569450 ns R r1 80000000 - 1569450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1569470 ns R psr 81000200 - 1569490 ns MR4_I 01000214 6841d006 - 1569510 ns MR4_I 01000218 d1fc07c9 - 1569510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1569590 ns MR4_D 40006004 00000001 - 1569590 ns R r1 00000001 - 1569590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1569610 ns MR4_I 0100021c 1c5b6002 - 1569610 ns R r1 80000000 - 1569610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1569630 ns R psr 81000200 - 1569650 ns MR4_I 01000214 6841d006 - 1569670 ns MR4_I 01000218 d1fc07c9 - 1569670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1569750 ns MR4_D 40006004 00000001 - 1569750 ns R r1 00000001 - 1569750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1569770 ns MR4_I 0100021c 1c5b6002 - 1569770 ns R r1 80000000 - 1569770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1569790 ns R psr 81000200 - 1569810 ns MR4_I 01000214 6841d006 - 1569830 ns MR4_I 01000218 d1fc07c9 - 1569830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1569910 ns MR4_D 40006004 00000001 - 1569910 ns R r1 00000001 - 1569910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1569930 ns MR4_I 0100021c 1c5b6002 - 1569930 ns R r1 80000000 - 1569930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1569950 ns R psr 81000200 - 1569970 ns MR4_I 01000214 6841d006 - 1569990 ns MR4_I 01000218 d1fc07c9 - 1569990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1570070 ns MR4_D 40006004 00000001 - 1570070 ns R r1 00000001 - 1570070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1570090 ns MR4_I 0100021c 1c5b6002 - 1570090 ns R r1 80000000 - 1570090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1570110 ns R psr 81000200 - 1570130 ns MR4_I 01000214 6841d006 - 1570150 ns MR4_I 01000218 d1fc07c9 - 1570150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1570230 ns MR4_D 40006004 00000001 - 1570230 ns R r1 00000001 - 1570230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1570250 ns MR4_I 0100021c 1c5b6002 - 1570250 ns R r1 80000000 - 1570250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1570270 ns R psr 81000200 - 1570290 ns MR4_I 01000214 6841d006 - 1570310 ns MR4_I 01000218 d1fc07c9 - 1570310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1570390 ns MR4_D 40006004 00000001 - 1570390 ns R r1 00000001 - 1570390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1570410 ns MR4_I 0100021c 1c5b6002 - 1570410 ns R r1 80000000 - 1570410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1570430 ns R psr 81000200 - 1570450 ns MR4_I 01000214 6841d006 - 1570470 ns MR4_I 01000218 d1fc07c9 - 1570470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1570550 ns MR4_D 40006004 00000001 - 1570550 ns R r1 00000001 - 1570550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1570570 ns MR4_I 0100021c 1c5b6002 - 1570570 ns R r1 80000000 - 1570570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1570590 ns R psr 81000200 - 1570610 ns MR4_I 01000214 6841d006 - 1570630 ns MR4_I 01000218 d1fc07c9 - 1570630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1570710 ns MR4_D 40006004 00000001 - 1570710 ns R r1 00000001 - 1570710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1570730 ns MR4_I 0100021c 1c5b6002 - 1570730 ns R r1 80000000 - 1570730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1570750 ns R psr 81000200 - 1570770 ns MR4_I 01000214 6841d006 - 1570790 ns MR4_I 01000218 d1fc07c9 - 1570790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1570870 ns MR4_D 40006004 00000001 - 1570870 ns R r1 00000001 - 1570870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1570890 ns MR4_I 0100021c 1c5b6002 - 1570890 ns R r1 80000000 - 1570890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1570910 ns R psr 81000200 - 1570930 ns MR4_I 01000214 6841d006 - 1570950 ns MR4_I 01000218 d1fc07c9 - 1570950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1571030 ns MR4_D 40006004 00000001 - 1571030 ns R r1 00000001 - 1571030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1571050 ns MR4_I 0100021c 1c5b6002 - 1571050 ns R r1 80000000 - 1571050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1571070 ns R psr 81000200 - 1571090 ns MR4_I 01000214 6841d006 - 1571110 ns MR4_I 01000218 d1fc07c9 - 1571110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1571190 ns MR4_D 40006004 00000001 - 1571190 ns R r1 00000001 - 1571190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1571210 ns MR4_I 0100021c 1c5b6002 - 1571210 ns R r1 80000000 - 1571210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1571230 ns R psr 81000200 - 1571250 ns MR4_I 01000214 6841d006 - 1571270 ns MR4_I 01000218 d1fc07c9 - 1571270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1571350 ns MR4_D 40006004 00000001 - 1571350 ns R r1 00000001 - 1571350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1571370 ns MR4_I 0100021c 1c5b6002 - 1571370 ns R r1 80000000 - 1571370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1571390 ns R psr 81000200 - 1571410 ns MR4_I 01000214 6841d006 - 1571430 ns MR4_I 01000218 d1fc07c9 - 1571430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1571510 ns MR4_D 40006004 00000001 - 1571510 ns R r1 00000001 - 1571510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1571530 ns MR4_I 0100021c 1c5b6002 - 1571530 ns R r1 80000000 - 1571530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1571550 ns R psr 81000200 - 1571570 ns MR4_I 01000214 6841d006 - 1571590 ns MR4_I 01000218 d1fc07c9 - 1571590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1571670 ns MR4_D 40006004 00000001 - 1571670 ns R r1 00000001 - 1571670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1571690 ns MR4_I 0100021c 1c5b6002 - 1571690 ns R r1 80000000 - 1571690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1571710 ns R psr 81000200 - 1571730 ns MR4_I 01000214 6841d006 - 1571750 ns MR4_I 01000218 d1fc07c9 - 1571750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1571830 ns MR4_D 40006004 00000001 - 1571830 ns R r1 00000001 - 1571830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1571850 ns MR4_I 0100021c 1c5b6002 - 1571850 ns R r1 80000000 - 1571850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1571870 ns R psr 81000200 - 1571890 ns MR4_I 01000214 6841d006 - 1571910 ns MR4_I 01000218 d1fc07c9 - 1571910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1571990 ns MR4_D 40006004 00000001 - 1571990 ns R r1 00000001 - 1571990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1572010 ns MR4_I 0100021c 1c5b6002 - 1572010 ns R r1 80000000 - 1572010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1572030 ns R psr 81000200 - 1572050 ns MR4_I 01000214 6841d006 - 1572070 ns MR4_I 01000218 d1fc07c9 - 1572070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1572150 ns MR4_D 40006004 00000001 - 1572150 ns R r1 00000001 - 1572150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1572170 ns MR4_I 0100021c 1c5b6002 - 1572170 ns R r1 80000000 - 1572170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1572190 ns R psr 81000200 - 1572210 ns MR4_I 01000214 6841d006 - 1572230 ns MR4_I 01000218 d1fc07c9 - 1572230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1572310 ns MR4_D 40006004 00000001 - 1572310 ns R r1 00000001 - 1572310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1572330 ns MR4_I 0100021c 1c5b6002 - 1572330 ns R r1 80000000 - 1572330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1572350 ns R psr 81000200 - 1572370 ns MR4_I 01000214 6841d006 - 1572390 ns MR4_I 01000218 d1fc07c9 - 1572390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1572470 ns MR4_D 40006004 00000001 - 1572470 ns R r1 00000001 - 1572470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1572490 ns MR4_I 0100021c 1c5b6002 - 1572490 ns R r1 80000000 - 1572490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1572510 ns R psr 81000200 - 1572530 ns MR4_I 01000214 6841d006 - 1572550 ns MR4_I 01000218 d1fc07c9 - 1572550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1572630 ns MR4_D 40006004 00000001 - 1572630 ns R r1 00000001 - 1572630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1572650 ns MR4_I 0100021c 1c5b6002 - 1572650 ns R r1 80000000 - 1572650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1572670 ns R psr 81000200 - 1572690 ns MR4_I 01000214 6841d006 - 1572710 ns MR4_I 01000218 d1fc07c9 - 1572710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1572790 ns MR4_D 40006004 00000001 - 1572790 ns R r1 00000001 - 1572790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1572810 ns MR4_I 0100021c 1c5b6002 - 1572810 ns R r1 80000000 - 1572810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1572830 ns R psr 81000200 - 1572850 ns MR4_I 01000214 6841d006 - 1572870 ns MR4_I 01000218 d1fc07c9 - 1572870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1572950 ns MR4_D 40006004 00000001 - 1572950 ns R r1 00000001 - 1572950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1572970 ns MR4_I 0100021c 1c5b6002 - 1572970 ns R r1 80000000 - 1572970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1572990 ns R psr 81000200 - 1573010 ns MR4_I 01000214 6841d006 - 1573030 ns MR4_I 01000218 d1fc07c9 - 1573030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1573110 ns MR4_D 40006004 00000001 - 1573110 ns R r1 00000001 - 1573110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1573130 ns MR4_I 0100021c 1c5b6002 - 1573130 ns R r1 80000000 - 1573130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1573150 ns R psr 81000200 - 1573170 ns MR4_I 01000214 6841d006 - 1573190 ns MR4_I 01000218 d1fc07c9 - 1573190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1573270 ns MR4_D 40006004 00000001 - 1573270 ns R r1 00000001 - 1573270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1573290 ns MR4_I 0100021c 1c5b6002 - 1573290 ns R r1 80000000 - 1573290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1573310 ns R psr 81000200 - 1573330 ns MR4_I 01000214 6841d006 - 1573350 ns MR4_I 01000218 d1fc07c9 - 1573350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1573430 ns MR4_D 40006004 00000001 - 1573430 ns R r1 00000001 - 1573430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1573450 ns MR4_I 0100021c 1c5b6002 - 1573450 ns R r1 80000000 - 1573450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1573470 ns R psr 81000200 - 1573490 ns MR4_I 01000214 6841d006 - 1573510 ns MR4_I 01000218 d1fc07c9 - 1573510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1573590 ns MR4_D 40006004 00000001 - 1573590 ns R r1 00000001 - 1573590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1573610 ns MR4_I 0100021c 1c5b6002 - 1573610 ns R r1 80000000 - 1573610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1573630 ns R psr 81000200 - 1573650 ns MR4_I 01000214 6841d006 - 1573670 ns MR4_I 01000218 d1fc07c9 - 1573670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1573750 ns MR4_D 40006004 00000001 - 1573750 ns R r1 00000001 - 1573750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1573770 ns MR4_I 0100021c 1c5b6002 - 1573770 ns R r1 80000000 - 1573770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1573790 ns R psr 81000200 - 1573810 ns MR4_I 01000214 6841d006 - 1573830 ns MR4_I 01000218 d1fc07c9 - 1573830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1573910 ns MR4_D 40006004 00000001 - 1573910 ns R r1 00000001 - 1573910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1573930 ns MR4_I 0100021c 1c5b6002 - 1573930 ns R r1 80000000 - 1573930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1573950 ns R psr 81000200 - 1573970 ns MR4_I 01000214 6841d006 - 1573990 ns MR4_I 01000218 d1fc07c9 - 1573990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1574070 ns MR4_D 40006004 00000001 - 1574070 ns R r1 00000001 - 1574070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1574090 ns MR4_I 0100021c 1c5b6002 - 1574090 ns R r1 80000000 - 1574090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1574110 ns R psr 81000200 - 1574130 ns MR4_I 01000214 6841d006 - 1574150 ns MR4_I 01000218 d1fc07c9 - 1574150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1574230 ns MR4_D 40006004 00000001 - 1574230 ns R r1 00000001 - 1574230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1574250 ns MR4_I 0100021c 1c5b6002 - 1574250 ns R r1 80000000 - 1574250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1574270 ns R psr 81000200 - 1574290 ns MR4_I 01000214 6841d006 - 1574310 ns MR4_I 01000218 d1fc07c9 - 1574310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1574390 ns MR4_D 40006004 00000001 - 1574390 ns R r1 00000001 - 1574390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1574410 ns MR4_I 0100021c 1c5b6002 - 1574410 ns R r1 80000000 - 1574410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1574430 ns R psr 81000200 - 1574450 ns MR4_I 01000214 6841d006 - 1574470 ns MR4_I 01000218 d1fc07c9 - 1574470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1574550 ns MR4_D 40006004 00000001 - 1574550 ns R r1 00000001 - 1574550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1574570 ns MR4_I 0100021c 1c5b6002 - 1574570 ns R r1 80000000 - 1574570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1574590 ns R psr 81000200 - 1574610 ns MR4_I 01000214 6841d006 - 1574630 ns MR4_I 01000218 d1fc07c9 - 1574630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1574710 ns MR4_D 40006004 00000001 - 1574710 ns R r1 00000001 - 1574710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1574730 ns MR4_I 0100021c 1c5b6002 - 1574730 ns R r1 80000000 - 1574730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1574750 ns R psr 81000200 - 1574770 ns MR4_I 01000214 6841d006 - 1574790 ns MR4_I 01000218 d1fc07c9 - 1574790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1574870 ns MR4_D 40006004 00000001 - 1574870 ns R r1 00000001 - 1574870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1574890 ns MR4_I 0100021c 1c5b6002 - 1574890 ns R r1 80000000 - 1574890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1574910 ns R psr 81000200 - 1574930 ns MR4_I 01000214 6841d006 - 1574950 ns MR4_I 01000218 d1fc07c9 - 1574950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1575030 ns MR4_D 40006004 00000001 - 1575030 ns R r1 00000001 - 1575030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1575050 ns MR4_I 0100021c 1c5b6002 - 1575050 ns R r1 80000000 - 1575050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1575070 ns R psr 81000200 - 1575090 ns MR4_I 01000214 6841d006 - 1575110 ns MR4_I 01000218 d1fc07c9 - 1575110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1575190 ns MR4_D 40006004 00000001 - 1575190 ns R r1 00000001 - 1575190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1575210 ns MR4_I 0100021c 1c5b6002 - 1575210 ns R r1 80000000 - 1575210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1575230 ns R psr 81000200 - 1575250 ns MR4_I 01000214 6841d006 - 1575270 ns MR4_I 01000218 d1fc07c9 - 1575270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1575350 ns MR4_D 40006004 00000001 - 1575350 ns R r1 00000001 - 1575350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1575370 ns MR4_I 0100021c 1c5b6002 - 1575370 ns R r1 80000000 - 1575370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1575390 ns R psr 81000200 - 1575410 ns MR4_I 01000214 6841d006 - 1575430 ns MR4_I 01000218 d1fc07c9 - 1575430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1575510 ns MR4_D 40006004 00000001 - 1575510 ns R r1 00000001 - 1575510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1575530 ns MR4_I 0100021c 1c5b6002 - 1575530 ns R r1 80000000 - 1575530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1575550 ns R psr 81000200 - 1575570 ns MR4_I 01000214 6841d006 - 1575590 ns MR4_I 01000218 d1fc07c9 - 1575590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1575670 ns MR4_D 40006004 00000001 - 1575670 ns R r1 00000001 - 1575670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1575690 ns MR4_I 0100021c 1c5b6002 - 1575690 ns R r1 80000000 - 1575690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1575710 ns R psr 81000200 - 1575730 ns MR4_I 01000214 6841d006 - 1575750 ns MR4_I 01000218 d1fc07c9 - 1575750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1575830 ns MR4_D 40006004 00000001 - 1575830 ns R r1 00000001 - 1575830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1575850 ns MR4_I 0100021c 1c5b6002 - 1575850 ns R r1 80000000 - 1575850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1575870 ns R psr 81000200 - 1575890 ns MR4_I 01000214 6841d006 - 1575910 ns MR4_I 01000218 d1fc07c9 - 1575910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1575990 ns MR4_D 40006004 00000001 - 1575990 ns R r1 00000001 - 1575990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1576010 ns MR4_I 0100021c 1c5b6002 - 1576010 ns R r1 80000000 - 1576010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1576030 ns R psr 81000200 - 1576050 ns MR4_I 01000214 6841d006 - 1576070 ns MR4_I 01000218 d1fc07c9 - 1576070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1576150 ns MR4_D 40006004 00000001 - 1576150 ns R r1 00000001 - 1576150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1576170 ns MR4_I 0100021c 1c5b6002 - 1576170 ns R r1 80000000 - 1576170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1576190 ns R psr 81000200 - 1576210 ns MR4_I 01000214 6841d006 - 1576230 ns MR4_I 01000218 d1fc07c9 - 1576230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1576310 ns MR4_D 40006004 00000001 - 1576310 ns R r1 00000001 - 1576310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1576330 ns MR4_I 0100021c 1c5b6002 - 1576330 ns R r1 80000000 - 1576330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1576350 ns R psr 81000200 - 1576370 ns MR4_I 01000214 6841d006 - 1576390 ns MR4_I 01000218 d1fc07c9 - 1576390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1576470 ns MR4_D 40006004 00000001 - 1576470 ns R r1 00000001 - 1576470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1576490 ns MR4_I 0100021c 1c5b6002 - 1576490 ns R r1 80000000 - 1576490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1576510 ns R psr 81000200 - 1576530 ns MR4_I 01000214 6841d006 - 1576550 ns MR4_I 01000218 d1fc07c9 - 1576550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1576630 ns MR4_D 40006004 00000001 - 1576630 ns R r1 00000001 - 1576630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1576650 ns MR4_I 0100021c 1c5b6002 - 1576650 ns R r1 80000000 - 1576650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1576670 ns R psr 81000200 - 1576690 ns MR4_I 01000214 6841d006 - 1576710 ns MR4_I 01000218 d1fc07c9 - 1576710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1576790 ns MR4_D 40006004 00000001 - 1576790 ns R r1 00000001 - 1576790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1576810 ns MR4_I 0100021c 1c5b6002 - 1576810 ns R r1 80000000 - 1576810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1576830 ns R psr 81000200 - 1576850 ns MR4_I 01000214 6841d006 - 1576870 ns MR4_I 01000218 d1fc07c9 - 1576870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1576950 ns MR4_D 40006004 00000001 - 1576950 ns R r1 00000001 - 1576950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1576970 ns MR4_I 0100021c 1c5b6002 - 1576970 ns R r1 80000000 - 1576970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1576990 ns R psr 81000200 - 1577010 ns MR4_I 01000214 6841d006 - 1577030 ns MR4_I 01000218 d1fc07c9 - 1577030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1577110 ns MR4_D 40006004 00000001 - 1577110 ns R r1 00000001 - 1577110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1577130 ns MR4_I 0100021c 1c5b6002 - 1577130 ns R r1 80000000 - 1577130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1577150 ns R psr 81000200 - 1577170 ns MR4_I 01000214 6841d006 - 1577190 ns MR4_I 01000218 d1fc07c9 - 1577190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1577270 ns MR4_D 40006004 00000001 - 1577270 ns R r1 00000001 - 1577270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1577290 ns MR4_I 0100021c 1c5b6002 - 1577290 ns R r1 80000000 - 1577290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1577310 ns R psr 81000200 - 1577330 ns MR4_I 01000214 6841d006 - 1577350 ns MR4_I 01000218 d1fc07c9 - 1577350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1577430 ns MR4_D 40006004 00000001 - 1577430 ns R r1 00000001 - 1577430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1577450 ns MR4_I 0100021c 1c5b6002 - 1577450 ns R r1 80000000 - 1577450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1577470 ns R psr 81000200 - 1577490 ns MR4_I 01000214 6841d006 - 1577510 ns MR4_I 01000218 d1fc07c9 - 1577510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1577590 ns MR4_D 40006004 00000001 - 1577590 ns R r1 00000001 - 1577590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1577610 ns MR4_I 0100021c 1c5b6002 - 1577610 ns R r1 80000000 - 1577610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1577630 ns R psr 81000200 - 1577650 ns MR4_I 01000214 6841d006 - 1577670 ns MR4_I 01000218 d1fc07c9 - 1577670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1577750 ns MR4_D 40006004 00000001 - 1577750 ns R r1 00000001 - 1577750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1577770 ns MR4_I 0100021c 1c5b6002 - 1577770 ns R r1 80000000 - 1577770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1577790 ns R psr 81000200 - 1577810 ns MR4_I 01000214 6841d006 - 1577830 ns MR4_I 01000218 d1fc07c9 - 1577830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1577910 ns MR4_D 40006004 00000001 - 1577910 ns R r1 00000001 - 1577910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1577930 ns MR4_I 0100021c 1c5b6002 - 1577930 ns R r1 80000000 - 1577930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1577950 ns R psr 81000200 - 1577970 ns MR4_I 01000214 6841d006 - 1577990 ns MR4_I 01000218 d1fc07c9 - 1577990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1578070 ns MR4_D 40006004 00000001 - 1578070 ns R r1 00000001 - 1578070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1578090 ns MR4_I 0100021c 1c5b6002 - 1578090 ns R r1 80000000 - 1578090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1578110 ns R psr 81000200 - 1578130 ns MR4_I 01000214 6841d006 - 1578150 ns MR4_I 01000218 d1fc07c9 - 1578150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1578230 ns MR4_D 40006004 00000001 - 1578230 ns R r1 00000001 - 1578230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1578250 ns MR4_I 0100021c 1c5b6002 - 1578250 ns R r1 80000000 - 1578250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1578270 ns R psr 81000200 - 1578290 ns MR4_I 01000214 6841d006 - 1578310 ns MR4_I 01000218 d1fc07c9 - 1578310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1578390 ns MR4_D 40006004 00000001 - 1578390 ns R r1 00000001 - 1578390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1578410 ns MR4_I 0100021c 1c5b6002 - 1578410 ns R r1 80000000 - 1578410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1578430 ns R psr 81000200 - 1578450 ns MR4_I 01000214 6841d006 - 1578470 ns MR4_I 01000218 d1fc07c9 - 1578470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1578550 ns MR4_D 40006004 00000001 - 1578550 ns R r1 00000001 - 1578550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1578570 ns MR4_I 0100021c 1c5b6002 - 1578570 ns R r1 80000000 - 1578570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1578590 ns R psr 81000200 - 1578610 ns MR4_I 01000214 6841d006 - 1578630 ns MR4_I 01000218 d1fc07c9 - 1578630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1578710 ns MR4_D 40006004 00000001 - 1578710 ns R r1 00000001 - 1578710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1578730 ns MR4_I 0100021c 1c5b6002 - 1578730 ns R r1 80000000 - 1578730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1578750 ns R psr 81000200 - 1578770 ns MR4_I 01000214 6841d006 - 1578790 ns MR4_I 01000218 d1fc07c9 - 1578790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1578870 ns MR4_D 40006004 00000001 - 1578870 ns R r1 00000001 - 1578870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1578890 ns MR4_I 0100021c 1c5b6002 - 1578890 ns R r1 80000000 - 1578890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1578910 ns R psr 81000200 - 1578930 ns MR4_I 01000214 6841d006 - 1578950 ns MR4_I 01000218 d1fc07c9 - 1578950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1579030 ns MR4_D 40006004 00000001 - 1579030 ns R r1 00000001 - 1579030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1579050 ns MR4_I 0100021c 1c5b6002 - 1579050 ns R r1 80000000 - 1579050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1579070 ns R psr 81000200 - 1579090 ns MR4_I 01000214 6841d006 - 1579110 ns MR4_I 01000218 d1fc07c9 - 1579110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1579190 ns MR4_D 40006004 00000001 - 1579190 ns R r1 00000001 - 1579190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1579210 ns MR4_I 0100021c 1c5b6002 - 1579210 ns R r1 80000000 - 1579210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1579230 ns R psr 81000200 - 1579250 ns MR4_I 01000214 6841d006 - 1579270 ns MR4_I 01000218 d1fc07c9 - 1579270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1579350 ns MR4_D 40006004 00000001 - 1579350 ns R r1 00000001 - 1579350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1579370 ns MR4_I 0100021c 1c5b6002 - 1579370 ns R r1 80000000 - 1579370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1579390 ns R psr 81000200 - 1579410 ns MR4_I 01000214 6841d006 - 1579430 ns MR4_I 01000218 d1fc07c9 - 1579430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1579510 ns MR4_D 40006004 00000001 - 1579510 ns R r1 00000001 - 1579510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1579530 ns MR4_I 0100021c 1c5b6002 - 1579530 ns R r1 80000000 - 1579530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1579550 ns R psr 81000200 - 1579570 ns MR4_I 01000214 6841d006 - 1579590 ns MR4_I 01000218 d1fc07c9 - 1579590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1579670 ns MR4_D 40006004 00000001 - 1579670 ns R r1 00000001 - 1579670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1579690 ns MR4_I 0100021c 1c5b6002 - 1579690 ns R r1 80000000 - 1579690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1579710 ns R psr 81000200 - 1579730 ns MR4_I 01000214 6841d006 - 1579750 ns MR4_I 01000218 d1fc07c9 - 1579750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1579830 ns MR4_D 40006004 00000001 - 1579830 ns R r1 00000001 - 1579830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1579850 ns MR4_I 0100021c 1c5b6002 - 1579850 ns R r1 80000000 - 1579850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1579870 ns R psr 81000200 - 1579890 ns MR4_I 01000214 6841d006 - 1579910 ns MR4_I 01000218 d1fc07c9 - 1579910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1579990 ns MR4_D 40006004 00000001 - 1579990 ns R r1 00000001 - 1579990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1580010 ns MR4_I 0100021c 1c5b6002 - 1580010 ns R r1 80000000 - 1580010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1580030 ns R psr 81000200 - 1580050 ns MR4_I 01000214 6841d006 - 1580070 ns MR4_I 01000218 d1fc07c9 - 1580070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1580150 ns MR4_D 40006004 00000001 - 1580150 ns R r1 00000001 - 1580150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1580170 ns MR4_I 0100021c 1c5b6002 - 1580170 ns R r1 80000000 - 1580170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1580190 ns R psr 81000200 - 1580210 ns MR4_I 01000214 6841d006 - 1580230 ns MR4_I 01000218 d1fc07c9 - 1580230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1580310 ns MR4_D 40006004 00000001 - 1580310 ns R r1 00000001 - 1580310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1580330 ns MR4_I 0100021c 1c5b6002 - 1580330 ns R r1 80000000 - 1580330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1580350 ns R psr 81000200 - 1580370 ns MR4_I 01000214 6841d006 - 1580390 ns MR4_I 01000218 d1fc07c9 - 1580390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1580470 ns MR4_D 40006004 00000001 - 1580470 ns R r1 00000001 - 1580470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1580490 ns MR4_I 0100021c 1c5b6002 - 1580490 ns R r1 80000000 - 1580490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1580510 ns R psr 81000200 - 1580530 ns MR4_I 01000214 6841d006 - 1580550 ns MR4_I 01000218 d1fc07c9 - 1580550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1580630 ns MR4_D 40006004 00000001 - 1580630 ns R r1 00000001 - 1580630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1580650 ns MR4_I 0100021c 1c5b6002 - 1580650 ns R r1 80000000 - 1580650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1580670 ns R psr 81000200 - 1580690 ns MR4_I 01000214 6841d006 - 1580710 ns MR4_I 01000218 d1fc07c9 - 1580710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1580790 ns MR4_D 40006004 00000001 - 1580790 ns R r1 00000001 - 1580790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1580810 ns MR4_I 0100021c 1c5b6002 - 1580810 ns R r1 80000000 - 1580810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1580830 ns R psr 81000200 - 1580850 ns MR4_I 01000214 6841d006 - 1580870 ns MR4_I 01000218 d1fc07c9 - 1580870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1580950 ns MR4_D 40006004 00000001 - 1580950 ns R r1 00000001 - 1580950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1580970 ns MR4_I 0100021c 1c5b6002 - 1580970 ns R r1 80000000 - 1580970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1580990 ns R psr 81000200 - 1581010 ns MR4_I 01000214 6841d006 - 1581030 ns MR4_I 01000218 d1fc07c9 - 1581030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1581110 ns MR4_D 40006004 00000001 - 1581110 ns R r1 00000001 - 1581110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1581130 ns MR4_I 0100021c 1c5b6002 - 1581130 ns R r1 80000000 - 1581130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1581150 ns R psr 81000200 - 1581170 ns MR4_I 01000214 6841d006 - 1581190 ns MR4_I 01000218 d1fc07c9 - 1581190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1581270 ns MR4_D 40006004 00000001 - 1581270 ns R r1 00000001 - 1581270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1581290 ns MR4_I 0100021c 1c5b6002 - 1581290 ns R r1 80000000 - 1581290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1581310 ns R psr 81000200 - 1581330 ns MR4_I 01000214 6841d006 - 1581350 ns MR4_I 01000218 d1fc07c9 - 1581350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1581430 ns MR4_D 40006004 00000001 - 1581430 ns R r1 00000001 - 1581430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1581450 ns MR4_I 0100021c 1c5b6002 - 1581450 ns R r1 80000000 - 1581450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1581470 ns R psr 81000200 - 1581490 ns MR4_I 01000214 6841d006 - 1581510 ns MR4_I 01000218 d1fc07c9 - 1581510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1581590 ns MR4_D 40006004 00000001 - 1581590 ns R r1 00000001 - 1581590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1581610 ns MR4_I 0100021c 1c5b6002 - 1581610 ns R r1 80000000 - 1581610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1581630 ns R psr 81000200 - 1581650 ns MR4_I 01000214 6841d006 - 1581670 ns MR4_I 01000218 d1fc07c9 - 1581670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1581750 ns MR4_D 40006004 00000001 - 1581750 ns R r1 00000001 - 1581750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1581770 ns MR4_I 0100021c 1c5b6002 - 1581770 ns R r1 80000000 - 1581770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1581790 ns R psr 81000200 - 1581810 ns MR4_I 01000214 6841d006 - 1581830 ns MR4_I 01000218 d1fc07c9 - 1581830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1581910 ns MR4_D 40006004 00000001 - 1581910 ns R r1 00000001 - 1581910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1581930 ns MR4_I 0100021c 1c5b6002 - 1581930 ns R r1 80000000 - 1581930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1581950 ns R psr 81000200 - 1581970 ns MR4_I 01000214 6841d006 - 1581990 ns MR4_I 01000218 d1fc07c9 - 1581990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1582070 ns MR4_D 40006004 00000001 - 1582070 ns R r1 00000001 - 1582070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1582090 ns MR4_I 0100021c 1c5b6002 - 1582090 ns R r1 80000000 - 1582090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1582110 ns R psr 81000200 - 1582130 ns MR4_I 01000214 6841d006 - 1582150 ns MR4_I 01000218 d1fc07c9 - 1582150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1582230 ns MR4_D 40006004 00000001 - 1582230 ns R r1 00000001 - 1582230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1582250 ns MR4_I 0100021c 1c5b6002 - 1582250 ns R r1 80000000 - 1582250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1582270 ns R psr 81000200 - 1582290 ns MR4_I 01000214 6841d006 - 1582310 ns MR4_I 01000218 d1fc07c9 - 1582310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1582390 ns MR4_D 40006004 00000001 - 1582390 ns R r1 00000001 - 1582390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1582410 ns MR4_I 0100021c 1c5b6002 - 1582410 ns R r1 80000000 - 1582410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1582430 ns R psr 81000200 - 1582450 ns MR4_I 01000214 6841d006 - 1582470 ns MR4_I 01000218 d1fc07c9 - 1582470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1582550 ns MR4_D 40006004 00000001 - 1582550 ns R r1 00000001 - 1582550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1582570 ns MR4_I 0100021c 1c5b6002 - 1582570 ns R r1 80000000 - 1582570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1582590 ns R psr 81000200 - 1582610 ns MR4_I 01000214 6841d006 - 1582630 ns MR4_I 01000218 d1fc07c9 - 1582630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1582710 ns MR4_D 40006004 00000001 - 1582710 ns R r1 00000001 - 1582710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1582730 ns MR4_I 0100021c 1c5b6002 - 1582730 ns R r1 80000000 - 1582730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1582750 ns R psr 81000200 - 1582770 ns MR4_I 01000214 6841d006 - 1582790 ns MR4_I 01000218 d1fc07c9 - 1582790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1582870 ns MR4_D 40006004 00000001 - 1582870 ns R r1 00000001 - 1582870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1582890 ns MR4_I 0100021c 1c5b6002 - 1582890 ns R r1 80000000 - 1582890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1582910 ns R psr 81000200 - 1582930 ns MR4_I 01000214 6841d006 - 1582950 ns MR4_I 01000218 d1fc07c9 - 1582950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1583030 ns MR4_D 40006004 00000001 - 1583030 ns R r1 00000001 - 1583030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1583050 ns MR4_I 0100021c 1c5b6002 - 1583050 ns R r1 80000000 - 1583050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1583070 ns R psr 81000200 - 1583090 ns MR4_I 01000214 6841d006 - 1583110 ns MR4_I 01000218 d1fc07c9 - 1583110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1583190 ns MR4_D 40006004 00000001 - 1583190 ns R r1 00000001 - 1583190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1583210 ns MR4_I 0100021c 1c5b6002 - 1583210 ns R r1 80000000 - 1583210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1583230 ns R psr 81000200 - 1583250 ns MR4_I 01000214 6841d006 - 1583270 ns MR4_I 01000218 d1fc07c9 - 1583270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1583350 ns MR4_D 40006004 00000001 - 1583350 ns R r1 00000001 - 1583350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1583370 ns MR4_I 0100021c 1c5b6002 - 1583370 ns R r1 80000000 - 1583370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1583390 ns R psr 81000200 - 1583410 ns MR4_I 01000214 6841d006 - 1583430 ns MR4_I 01000218 d1fc07c9 - 1583430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1583510 ns MR4_D 40006004 00000001 - 1583510 ns R r1 00000001 - 1583510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1583530 ns MR4_I 0100021c 1c5b6002 - 1583530 ns R r1 80000000 - 1583530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1583550 ns R psr 81000200 - 1583570 ns MR4_I 01000214 6841d006 - 1583590 ns MR4_I 01000218 d1fc07c9 - 1583590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1583670 ns MR4_D 40006004 00000001 - 1583670 ns R r1 00000001 - 1583670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1583690 ns MR4_I 0100021c 1c5b6002 - 1583690 ns R r1 80000000 - 1583690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1583710 ns R psr 81000200 - 1583730 ns MR4_I 01000214 6841d006 - 1583750 ns MR4_I 01000218 d1fc07c9 - 1583750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1583830 ns MR4_D 40006004 00000001 - 1583830 ns R r1 00000001 - 1583830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1583850 ns MR4_I 0100021c 1c5b6002 - 1583850 ns R r1 80000000 - 1583850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1583870 ns R psr 81000200 - 1583890 ns MR4_I 01000214 6841d006 - 1583910 ns MR4_I 01000218 d1fc07c9 - 1583910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1583990 ns MR4_D 40006004 00000001 - 1583990 ns R r1 00000001 - 1583990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1584010 ns MR4_I 0100021c 1c5b6002 - 1584010 ns R r1 80000000 - 1584010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1584030 ns R psr 81000200 - 1584050 ns MR4_I 01000214 6841d006 - 1584070 ns MR4_I 01000218 d1fc07c9 - 1584070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1584150 ns MR4_D 40006004 00000001 - 1584150 ns R r1 00000001 - 1584150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1584170 ns MR4_I 0100021c 1c5b6002 - 1584170 ns R r1 80000000 - 1584170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1584190 ns R psr 81000200 - 1584210 ns MR4_I 01000214 6841d006 - 1584230 ns MR4_I 01000218 d1fc07c9 - 1584230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1584310 ns MR4_D 40006004 00000001 - 1584310 ns R r1 00000001 - 1584310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1584330 ns MR4_I 0100021c 1c5b6002 - 1584330 ns R r1 80000000 - 1584330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1584350 ns R psr 81000200 - 1584370 ns MR4_I 01000214 6841d006 - 1584390 ns MR4_I 01000218 d1fc07c9 - 1584390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1584470 ns MR4_D 40006004 00000001 - 1584470 ns R r1 00000001 - 1584470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1584490 ns MR4_I 0100021c 1c5b6002 - 1584490 ns R r1 80000000 - 1584490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1584510 ns R psr 81000200 - 1584530 ns MR4_I 01000214 6841d006 - 1584550 ns MR4_I 01000218 d1fc07c9 - 1584550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1584630 ns MR4_D 40006004 00000001 - 1584630 ns R r1 00000001 - 1584630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1584650 ns MR4_I 0100021c 1c5b6002 - 1584650 ns R r1 80000000 - 1584650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1584670 ns R psr 81000200 - 1584690 ns MR4_I 01000214 6841d006 - 1584710 ns MR4_I 01000218 d1fc07c9 - 1584710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1584790 ns MR4_D 40006004 00000001 - 1584790 ns R r1 00000001 - 1584790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1584810 ns MR4_I 0100021c 1c5b6002 - 1584810 ns R r1 80000000 - 1584810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1584830 ns R psr 81000200 - 1584850 ns MR4_I 01000214 6841d006 - 1584870 ns MR4_I 01000218 d1fc07c9 - 1584870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1584950 ns MR4_D 40006004 00000001 - 1584950 ns R r1 00000001 - 1584950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1584970 ns MR4_I 0100021c 1c5b6002 - 1584970 ns R r1 80000000 - 1584970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1584990 ns R psr 81000200 - 1585010 ns MR4_I 01000214 6841d006 - 1585030 ns MR4_I 01000218 d1fc07c9 - 1585030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1585110 ns MR4_D 40006004 00000001 - 1585110 ns R r1 00000001 - 1585110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1585130 ns MR4_I 0100021c 1c5b6002 - 1585130 ns R r1 80000000 - 1585130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1585150 ns R psr 81000200 - 1585170 ns MR4_I 01000214 6841d006 - 1585190 ns MR4_I 01000218 d1fc07c9 - 1585190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1585270 ns MR4_D 40006004 00000001 - 1585270 ns R r1 00000001 - 1585270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1585290 ns MR4_I 0100021c 1c5b6002 - 1585290 ns R r1 80000000 - 1585290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1585310 ns R psr 81000200 - 1585330 ns MR4_I 01000214 6841d006 - 1585350 ns MR4_I 01000218 d1fc07c9 - 1585350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1585430 ns MR4_D 40006004 00000001 - 1585430 ns R r1 00000001 - 1585430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1585450 ns MR4_I 0100021c 1c5b6002 - 1585450 ns R r1 80000000 - 1585450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1585470 ns R psr 81000200 - 1585490 ns MR4_I 01000214 6841d006 - 1585510 ns MR4_I 01000218 d1fc07c9 - 1585510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1585590 ns MR4_D 40006004 00000001 - 1585590 ns R r1 00000001 - 1585590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1585610 ns MR4_I 0100021c 1c5b6002 - 1585610 ns R r1 80000000 - 1585610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1585630 ns R psr 81000200 - 1585650 ns MR4_I 01000214 6841d006 - 1585670 ns MR4_I 01000218 d1fc07c9 - 1585670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1585750 ns MR4_D 40006004 00000001 - 1585750 ns R r1 00000001 - 1585750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1585770 ns MR4_I 0100021c 1c5b6002 - 1585770 ns R r1 80000000 - 1585770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1585790 ns R psr 81000200 - 1585810 ns MR4_I 01000214 6841d006 - 1585830 ns MR4_I 01000218 d1fc07c9 - 1585830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1585910 ns MR4_D 40006004 00000001 - 1585910 ns R r1 00000001 - 1585910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1585930 ns MR4_I 0100021c 1c5b6002 - 1585930 ns R r1 80000000 - 1585930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1585950 ns R psr 81000200 - 1585970 ns MR4_I 01000214 6841d006 - 1585990 ns MR4_I 01000218 d1fc07c9 - 1585990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1586070 ns MR4_D 40006004 00000001 - 1586070 ns R r1 00000001 - 1586070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1586090 ns MR4_I 0100021c 1c5b6002 - 1586090 ns R r1 80000000 - 1586090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1586110 ns R psr 81000200 - 1586130 ns MR4_I 01000214 6841d006 - 1586150 ns MR4_I 01000218 d1fc07c9 - 1586150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1586230 ns MR4_D 40006004 00000001 - 1586230 ns R r1 00000001 - 1586230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1586250 ns MR4_I 0100021c 1c5b6002 - 1586250 ns R r1 80000000 - 1586250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1586270 ns R psr 81000200 - 1586290 ns MR4_I 01000214 6841d006 - 1586310 ns MR4_I 01000218 d1fc07c9 - 1586310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1586390 ns MR4_D 40006004 00000001 - 1586390 ns R r1 00000001 - 1586390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1586410 ns MR4_I 0100021c 1c5b6002 - 1586410 ns R r1 80000000 - 1586410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1586430 ns R psr 81000200 - 1586450 ns MR4_I 01000214 6841d006 - 1586470 ns MR4_I 01000218 d1fc07c9 - 1586470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1586550 ns MR4_D 40006004 00000001 - 1586550 ns R r1 00000001 - 1586550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1586570 ns MR4_I 0100021c 1c5b6002 - 1586570 ns R r1 80000000 - 1586570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1586590 ns R psr 81000200 - 1586610 ns MR4_I 01000214 6841d006 - 1586630 ns MR4_I 01000218 d1fc07c9 - 1586630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1586710 ns MR4_D 40006004 00000001 - 1586710 ns R r1 00000001 - 1586710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1586730 ns MR4_I 0100021c 1c5b6002 - 1586730 ns R r1 80000000 - 1586730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1586750 ns R psr 81000200 - 1586770 ns MR4_I 01000214 6841d006 - 1586790 ns MR4_I 01000218 d1fc07c9 - 1586790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1586870 ns MR4_D 40006004 00000001 - 1586870 ns R r1 00000001 - 1586870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1586890 ns MR4_I 0100021c 1c5b6002 - 1586890 ns R r1 80000000 - 1586890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1586910 ns R psr 81000200 - 1586930 ns MR4_I 01000214 6841d006 - 1586950 ns MR4_I 01000218 d1fc07c9 - 1586950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1587030 ns MR4_D 40006004 00000001 - 1587030 ns R r1 00000001 - 1587030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1587050 ns MR4_I 0100021c 1c5b6002 - 1587050 ns R r1 80000000 - 1587050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1587070 ns R psr 81000200 - 1587090 ns MR4_I 01000214 6841d006 - 1587110 ns MR4_I 01000218 d1fc07c9 - 1587110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1587190 ns MR4_D 40006004 00000001 - 1587190 ns R r1 00000001 - 1587190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1587210 ns MR4_I 0100021c 1c5b6002 - 1587210 ns R r1 80000000 - 1587210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1587230 ns R psr 81000200 - 1587250 ns MR4_I 01000214 6841d006 - 1587270 ns MR4_I 01000218 d1fc07c9 - 1587270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1587350 ns MR4_D 40006004 00000001 - 1587350 ns R r1 00000001 - 1587350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1587370 ns MR4_I 0100021c 1c5b6002 - 1587370 ns R r1 80000000 - 1587370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1587390 ns R psr 81000200 - 1587410 ns MR4_I 01000214 6841d006 - 1587430 ns MR4_I 01000218 d1fc07c9 - 1587430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1587510 ns MR4_D 40006004 00000001 - 1587510 ns R r1 00000001 - 1587510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1587530 ns MR4_I 0100021c 1c5b6002 - 1587530 ns R r1 80000000 - 1587530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1587550 ns R psr 81000200 - 1587570 ns MR4_I 01000214 6841d006 - 1587590 ns MR4_I 01000218 d1fc07c9 - 1587590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1587670 ns MR4_D 40006004 00000001 - 1587670 ns R r1 00000001 - 1587670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1587690 ns MR4_I 0100021c 1c5b6002 - 1587690 ns R r1 80000000 - 1587690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1587710 ns R psr 81000200 - 1587730 ns MR4_I 01000214 6841d006 - 1587750 ns MR4_I 01000218 d1fc07c9 - 1587750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1587830 ns MR4_D 40006004 00000001 - 1587830 ns R r1 00000001 - 1587830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1587850 ns MR4_I 0100021c 1c5b6002 - 1587850 ns R r1 80000000 - 1587850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1587870 ns R psr 81000200 - 1587890 ns MR4_I 01000214 6841d006 - 1587910 ns MR4_I 01000218 d1fc07c9 - 1587910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1587990 ns MR4_D 40006004 00000001 - 1587990 ns R r1 00000001 - 1587990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1588010 ns MR4_I 0100021c 1c5b6002 - 1588010 ns R r1 80000000 - 1588010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1588030 ns R psr 81000200 - 1588050 ns MR4_I 01000214 6841d006 - 1588070 ns MR4_I 01000218 d1fc07c9 - 1588070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1588150 ns MR4_D 40006004 00000001 - 1588150 ns R r1 00000001 - 1588150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1588170 ns MR4_I 0100021c 1c5b6002 - 1588170 ns R r1 80000000 - 1588170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1588190 ns R psr 81000200 - 1588210 ns MR4_I 01000214 6841d006 - 1588230 ns MR4_I 01000218 d1fc07c9 - 1588230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1588310 ns MR4_D 40006004 00000001 - 1588310 ns R r1 00000001 - 1588310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1588330 ns MR4_I 0100021c 1c5b6002 - 1588330 ns R r1 80000000 - 1588330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1588350 ns R psr 81000200 - 1588370 ns MR4_I 01000214 6841d006 - 1588390 ns MR4_I 01000218 d1fc07c9 - 1588390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1588470 ns MR4_D 40006004 00000001 - 1588470 ns R r1 00000001 - 1588470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1588490 ns MR4_I 0100021c 1c5b6002 - 1588490 ns R r1 80000000 - 1588490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1588510 ns R psr 81000200 - 1588530 ns MR4_I 01000214 6841d006 - 1588550 ns MR4_I 01000218 d1fc07c9 - 1588550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1588630 ns MR4_D 40006004 00000001 - 1588630 ns R r1 00000001 - 1588630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1588650 ns MR4_I 0100021c 1c5b6002 - 1588650 ns R r1 80000000 - 1588650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1588670 ns R psr 81000200 - 1588690 ns MR4_I 01000214 6841d006 - 1588710 ns MR4_I 01000218 d1fc07c9 - 1588710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1588790 ns MR4_D 40006004 00000001 - 1588790 ns R r1 00000001 - 1588790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1588810 ns MR4_I 0100021c 1c5b6002 - 1588810 ns R r1 80000000 - 1588810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1588830 ns R psr 81000200 - 1588850 ns MR4_I 01000214 6841d006 - 1588870 ns MR4_I 01000218 d1fc07c9 - 1588870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1588950 ns MR4_D 40006004 00000001 - 1588950 ns R r1 00000001 - 1588950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1588970 ns MR4_I 0100021c 1c5b6002 - 1588970 ns R r1 80000000 - 1588970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1588990 ns R psr 81000200 - 1589010 ns MR4_I 01000214 6841d006 - 1589030 ns MR4_I 01000218 d1fc07c9 - 1589030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1589110 ns MR4_D 40006004 00000001 - 1589110 ns R r1 00000001 - 1589110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1589130 ns MR4_I 0100021c 1c5b6002 - 1589130 ns R r1 80000000 - 1589130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1589150 ns R psr 81000200 - 1589170 ns MR4_I 01000214 6841d006 - 1589190 ns MR4_I 01000218 d1fc07c9 - 1589190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1589270 ns MR4_D 40006004 00000001 - 1589270 ns R r1 00000001 - 1589270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1589290 ns MR4_I 0100021c 1c5b6002 - 1589290 ns R r1 80000000 - 1589290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1589310 ns R psr 81000200 - 1589330 ns MR4_I 01000214 6841d006 - 1589350 ns MR4_I 01000218 d1fc07c9 - 1589350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1589430 ns MR4_D 40006004 00000001 - 1589430 ns R r1 00000001 - 1589430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1589450 ns MR4_I 0100021c 1c5b6002 - 1589450 ns R r1 80000000 - 1589450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1589470 ns R psr 81000200 - 1589490 ns MR4_I 01000214 6841d006 - 1589510 ns MR4_I 01000218 d1fc07c9 - 1589510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1589590 ns MR4_D 40006004 00000001 - 1589590 ns R r1 00000001 - 1589590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1589610 ns MR4_I 0100021c 1c5b6002 - 1589610 ns R r1 80000000 - 1589610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1589630 ns R psr 81000200 - 1589650 ns MR4_I 01000214 6841d006 - 1589670 ns MR4_I 01000218 d1fc07c9 - 1589670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1589750 ns MR4_D 40006004 00000001 - 1589750 ns R r1 00000001 - 1589750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1589770 ns MR4_I 0100021c 1c5b6002 - 1589770 ns R r1 80000000 - 1589770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1589790 ns R psr 81000200 - 1589810 ns MR4_I 01000214 6841d006 - 1589830 ns MR4_I 01000218 d1fc07c9 - 1589830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1589910 ns MR4_D 40006004 00000001 - 1589910 ns R r1 00000001 - 1589910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1589930 ns MR4_I 0100021c 1c5b6002 - 1589930 ns R r1 80000000 - 1589930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1589950 ns R psr 81000200 - 1589970 ns MR4_I 01000214 6841d006 - 1589990 ns MR4_I 01000218 d1fc07c9 - 1589990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1590070 ns MR4_D 40006004 00000001 - 1590070 ns R r1 00000001 - 1590070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1590090 ns MR4_I 0100021c 1c5b6002 - 1590090 ns R r1 80000000 - 1590090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1590110 ns R psr 81000200 - 1590130 ns MR4_I 01000214 6841d006 - 1590150 ns MR4_I 01000218 d1fc07c9 - 1590150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1590230 ns MR4_D 40006004 00000001 - 1590230 ns R r1 00000001 - 1590230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1590250 ns MR4_I 0100021c 1c5b6002 - 1590250 ns R r1 80000000 - 1590250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1590270 ns R psr 81000200 - 1590290 ns MR4_I 01000214 6841d006 - 1590310 ns MR4_I 01000218 d1fc07c9 - 1590310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1590390 ns MR4_D 40006004 00000001 - 1590390 ns R r1 00000001 - 1590390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1590410 ns MR4_I 0100021c 1c5b6002 - 1590410 ns R r1 80000000 - 1590410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1590430 ns R psr 81000200 - 1590450 ns MR4_I 01000214 6841d006 - 1590470 ns MR4_I 01000218 d1fc07c9 - 1590470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1590550 ns MR4_D 40006004 00000001 - 1590550 ns R r1 00000001 - 1590550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1590570 ns MR4_I 0100021c 1c5b6002 - 1590570 ns R r1 80000000 - 1590570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1590590 ns R psr 81000200 - 1590610 ns MR4_I 01000214 6841d006 - 1590630 ns MR4_I 01000218 d1fc07c9 - 1590630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1590710 ns MR4_D 40006004 00000001 - 1590710 ns R r1 00000001 - 1590710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1590730 ns MR4_I 0100021c 1c5b6002 - 1590730 ns R r1 80000000 - 1590730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1590750 ns R psr 81000200 - 1590770 ns MR4_I 01000214 6841d006 - 1590790 ns MR4_I 01000218 d1fc07c9 - 1590790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1590870 ns MR4_D 40006004 00000001 - 1590870 ns R r1 00000001 - 1590870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1590890 ns MR4_I 0100021c 1c5b6002 - 1590890 ns R r1 80000000 - 1590890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1590910 ns R psr 81000200 - 1590930 ns MR4_I 01000214 6841d006 - 1590950 ns MR4_I 01000218 d1fc07c9 - 1590950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1591030 ns MR4_D 40006004 00000001 - 1591030 ns R r1 00000001 - 1591030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1591050 ns MR4_I 0100021c 1c5b6002 - 1591050 ns R r1 80000000 - 1591050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1591070 ns R psr 81000200 - 1591090 ns MR4_I 01000214 6841d006 - 1591110 ns MR4_I 01000218 d1fc07c9 - 1591110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1591190 ns MR4_D 40006004 00000001 - 1591190 ns R r1 00000001 - 1591190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1591210 ns MR4_I 0100021c 1c5b6002 - 1591210 ns R r1 80000000 - 1591210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1591230 ns R psr 81000200 - 1591250 ns MR4_I 01000214 6841d006 - 1591270 ns MR4_I 01000218 d1fc07c9 - 1591270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1591350 ns MR4_D 40006004 00000001 - 1591350 ns R r1 00000001 - 1591350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1591370 ns MR4_I 0100021c 1c5b6002 - 1591370 ns R r1 80000000 - 1591370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1591390 ns R psr 81000200 - 1591410 ns MR4_I 01000214 6841d006 - 1591430 ns MR4_I 01000218 d1fc07c9 - 1591430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1591510 ns MR4_D 40006004 00000001 - 1591510 ns R r1 00000001 - 1591510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1591530 ns MR4_I 0100021c 1c5b6002 - 1591530 ns R r1 80000000 - 1591530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1591550 ns R psr 81000200 - 1591570 ns MR4_I 01000214 6841d006 - 1591590 ns MR4_I 01000218 d1fc07c9 - 1591590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1591670 ns MR4_D 40006004 00000001 - 1591670 ns R r1 00000001 - 1591670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1591690 ns MR4_I 0100021c 1c5b6002 - 1591690 ns R r1 80000000 - 1591690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1591710 ns R psr 81000200 - 1591730 ns MR4_I 01000214 6841d006 - 1591750 ns MR4_I 01000218 d1fc07c9 - 1591750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1591830 ns MR4_D 40006004 00000001 - 1591830 ns R r1 00000001 - 1591830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1591850 ns MR4_I 0100021c 1c5b6002 - 1591850 ns R r1 80000000 - 1591850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1591870 ns R psr 81000200 - 1591890 ns MR4_I 01000214 6841d006 - 1591910 ns MR4_I 01000218 d1fc07c9 - 1591910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1591990 ns MR4_D 40006004 00000001 - 1591990 ns R r1 00000001 - 1591990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1592010 ns MR4_I 0100021c 1c5b6002 - 1592010 ns R r1 80000000 - 1592010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1592030 ns R psr 81000200 - 1592050 ns MR4_I 01000214 6841d006 - 1592070 ns MR4_I 01000218 d1fc07c9 - 1592070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1592150 ns MR4_D 40006004 00000001 - 1592150 ns R r1 00000001 - 1592150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1592170 ns MR4_I 0100021c 1c5b6002 - 1592170 ns R r1 80000000 - 1592170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1592190 ns R psr 81000200 - 1592210 ns MR4_I 01000214 6841d006 - 1592230 ns MR4_I 01000218 d1fc07c9 - 1592230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1592310 ns MR4_D 40006004 00000001 - 1592310 ns R r1 00000001 - 1592310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1592330 ns MR4_I 0100021c 1c5b6002 - 1592330 ns R r1 80000000 - 1592330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1592350 ns R psr 81000200 - 1592370 ns MR4_I 01000214 6841d006 - 1592390 ns MR4_I 01000218 d1fc07c9 - 1592390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1592470 ns MR4_D 40006004 00000001 - 1592470 ns R r1 00000001 - 1592470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1592490 ns MR4_I 0100021c 1c5b6002 - 1592490 ns R r1 80000000 - 1592490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1592510 ns R psr 81000200 - 1592530 ns MR4_I 01000214 6841d006 - 1592550 ns MR4_I 01000218 d1fc07c9 - 1592550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1592630 ns MR4_D 40006004 00000001 - 1592630 ns R r1 00000001 - 1592630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1592650 ns MR4_I 0100021c 1c5b6002 - 1592650 ns R r1 80000000 - 1592650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1592670 ns R psr 81000200 - 1592690 ns MR4_I 01000214 6841d006 - 1592710 ns MR4_I 01000218 d1fc07c9 - 1592710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1592790 ns MR4_D 40006004 00000001 - 1592790 ns R r1 00000001 - 1592790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1592810 ns MR4_I 0100021c 1c5b6002 - 1592810 ns R r1 80000000 - 1592810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1592830 ns R psr 81000200 - 1592850 ns MR4_I 01000214 6841d006 - 1592870 ns MR4_I 01000218 d1fc07c9 - 1592870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1592950 ns MR4_D 40006004 00000001 - 1592950 ns R r1 00000001 - 1592950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1592970 ns MR4_I 0100021c 1c5b6002 - 1592970 ns R r1 80000000 - 1592970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1592990 ns R psr 81000200 - 1593010 ns MR4_I 01000214 6841d006 - 1593030 ns MR4_I 01000218 d1fc07c9 - 1593030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1593110 ns MR4_D 40006004 00000001 - 1593110 ns R r1 00000001 - 1593110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1593130 ns MR4_I 0100021c 1c5b6002 - 1593130 ns R r1 80000000 - 1593130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1593150 ns R psr 81000200 - 1593170 ns MR4_I 01000214 6841d006 - 1593190 ns MR4_I 01000218 d1fc07c9 - 1593190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1593270 ns MR4_D 40006004 00000001 - 1593270 ns R r1 00000001 - 1593270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1593290 ns MR4_I 0100021c 1c5b6002 - 1593290 ns R r1 80000000 - 1593290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1593310 ns R psr 81000200 - 1593330 ns MR4_I 01000214 6841d006 - 1593350 ns MR4_I 01000218 d1fc07c9 - 1593350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1593430 ns MR4_D 40006004 00000001 - 1593430 ns R r1 00000001 - 1593430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1593450 ns MR4_I 0100021c 1c5b6002 - 1593450 ns R r1 80000000 - 1593450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1593470 ns R psr 81000200 - 1593490 ns MR4_I 01000214 6841d006 - 1593510 ns MR4_I 01000218 d1fc07c9 - 1593510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1593590 ns MR4_D 40006004 00000001 - 1593590 ns R r1 00000001 - 1593590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1593610 ns MR4_I 0100021c 1c5b6002 - 1593610 ns R r1 80000000 - 1593610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1593630 ns R psr 81000200 - 1593650 ns MR4_I 01000214 6841d006 - 1593670 ns MR4_I 01000218 d1fc07c9 - 1593670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1593750 ns MR4_D 40006004 00000001 - 1593750 ns R r1 00000001 - 1593750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1593770 ns MR4_I 0100021c 1c5b6002 - 1593770 ns R r1 80000000 - 1593770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1593790 ns R psr 81000200 - 1593810 ns MR4_I 01000214 6841d006 - 1593830 ns MR4_I 01000218 d1fc07c9 - 1593830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1593910 ns MR4_D 40006004 00000001 - 1593910 ns R r1 00000001 - 1593910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1593930 ns MR4_I 0100021c 1c5b6002 - 1593930 ns R r1 80000000 - 1593930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1593950 ns R psr 81000200 - 1593970 ns MR4_I 01000214 6841d006 - 1593990 ns MR4_I 01000218 d1fc07c9 - 1593990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1594070 ns MR4_D 40006004 00000001 - 1594070 ns R r1 00000001 - 1594070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1594090 ns MR4_I 0100021c 1c5b6002 - 1594090 ns R r1 80000000 - 1594090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1594110 ns R psr 81000200 - 1594130 ns MR4_I 01000214 6841d006 - 1594150 ns MR4_I 01000218 d1fc07c9 - 1594150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1594230 ns MR4_D 40006004 00000001 - 1594230 ns R r1 00000001 - 1594230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1594250 ns MR4_I 0100021c 1c5b6002 - 1594250 ns R r1 80000000 - 1594250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1594270 ns R psr 81000200 - 1594290 ns MR4_I 01000214 6841d006 - 1594310 ns MR4_I 01000218 d1fc07c9 - 1594310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1594390 ns MR4_D 40006004 00000001 - 1594390 ns R r1 00000001 - 1594390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1594410 ns MR4_I 0100021c 1c5b6002 - 1594410 ns R r1 80000000 - 1594410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1594430 ns R psr 81000200 - 1594450 ns MR4_I 01000214 6841d006 - 1594470 ns MR4_I 01000218 d1fc07c9 - 1594470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1594550 ns MR4_D 40006004 00000001 - 1594550 ns R r1 00000001 - 1594550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1594570 ns MR4_I 0100021c 1c5b6002 - 1594570 ns R r1 80000000 - 1594570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1594590 ns R psr 81000200 - 1594610 ns MR4_I 01000214 6841d006 - 1594630 ns MR4_I 01000218 d1fc07c9 - 1594630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1594710 ns MR4_D 40006004 00000001 - 1594710 ns R r1 00000001 - 1594710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1594730 ns MR4_I 0100021c 1c5b6002 - 1594730 ns R r1 80000000 - 1594730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1594750 ns R psr 81000200 - 1594770 ns MR4_I 01000214 6841d006 - 1594790 ns MR4_I 01000218 d1fc07c9 - 1594790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1594870 ns MR4_D 40006004 00000001 - 1594870 ns R r1 00000001 - 1594870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1594890 ns MR4_I 0100021c 1c5b6002 - 1594890 ns R r1 80000000 - 1594890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1594910 ns R psr 81000200 - 1594930 ns MR4_I 01000214 6841d006 - 1594950 ns MR4_I 01000218 d1fc07c9 - 1594950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1595030 ns MR4_D 40006004 00000001 - 1595030 ns R r1 00000001 - 1595030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1595050 ns MR4_I 0100021c 1c5b6002 - 1595050 ns R r1 80000000 - 1595050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1595070 ns R psr 81000200 - 1595090 ns MR4_I 01000214 6841d006 - 1595110 ns MR4_I 01000218 d1fc07c9 - 1595110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1595190 ns MR4_D 40006004 00000001 - 1595190 ns R r1 00000001 - 1595190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1595210 ns MR4_I 0100021c 1c5b6002 - 1595210 ns R r1 80000000 - 1595210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1595230 ns R psr 81000200 - 1595250 ns MR4_I 01000214 6841d006 - 1595270 ns MR4_I 01000218 d1fc07c9 - 1595270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1595350 ns MR4_D 40006004 00000001 - 1595350 ns R r1 00000001 - 1595350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1595370 ns MR4_I 0100021c 1c5b6002 - 1595370 ns R r1 80000000 - 1595370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1595390 ns R psr 81000200 - 1595410 ns MR4_I 01000214 6841d006 - 1595430 ns MR4_I 01000218 d1fc07c9 - 1595430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1595510 ns MR4_D 40006004 00000001 - 1595510 ns R r1 00000001 - 1595510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1595530 ns MR4_I 0100021c 1c5b6002 - 1595530 ns R r1 80000000 - 1595530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1595550 ns R psr 81000200 - 1595570 ns MR4_I 01000214 6841d006 - 1595590 ns MR4_I 01000218 d1fc07c9 - 1595590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1595670 ns MR4_D 40006004 00000001 - 1595670 ns R r1 00000001 - 1595670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1595690 ns MR4_I 0100021c 1c5b6002 - 1595690 ns R r1 80000000 - 1595690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1595710 ns R psr 81000200 - 1595730 ns MR4_I 01000214 6841d006 - 1595750 ns MR4_I 01000218 d1fc07c9 - 1595750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1595830 ns MR4_D 40006004 00000001 - 1595830 ns R r1 00000001 - 1595830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1595850 ns MR4_I 0100021c 1c5b6002 - 1595850 ns R r1 80000000 - 1595850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1595870 ns R psr 81000200 - 1595890 ns MR4_I 01000214 6841d006 - 1595910 ns MR4_I 01000218 d1fc07c9 - 1595910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1595990 ns MR4_D 40006004 00000001 - 1595990 ns R r1 00000001 - 1595990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1596010 ns MR4_I 0100021c 1c5b6002 - 1596010 ns R r1 80000000 - 1596010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1596030 ns R psr 81000200 - 1596050 ns MR4_I 01000214 6841d006 - 1596070 ns MR4_I 01000218 d1fc07c9 - 1596070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1596150 ns MR4_D 40006004 00000001 - 1596150 ns R r1 00000001 - 1596150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1596170 ns MR4_I 0100021c 1c5b6002 - 1596170 ns R r1 80000000 - 1596170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1596190 ns R psr 81000200 - 1596210 ns MR4_I 01000214 6841d006 - 1596230 ns MR4_I 01000218 d1fc07c9 - 1596230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1596310 ns MR4_D 40006004 00000001 - 1596310 ns R r1 00000001 - 1596310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1596330 ns MR4_I 0100021c 1c5b6002 - 1596330 ns R r1 80000000 - 1596330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1596350 ns R psr 81000200 - 1596370 ns MR4_I 01000214 6841d006 - 1596390 ns MR4_I 01000218 d1fc07c9 - 1596390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1596470 ns MR4_D 40006004 00000001 - 1596470 ns R r1 00000001 - 1596470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1596490 ns MR4_I 0100021c 1c5b6002 - 1596490 ns R r1 80000000 - 1596490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1596510 ns R psr 81000200 - 1596530 ns MR4_I 01000214 6841d006 - 1596550 ns MR4_I 01000218 d1fc07c9 - 1596550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1596630 ns MR4_D 40006004 00000001 - 1596630 ns R r1 00000001 - 1596630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1596650 ns MR4_I 0100021c 1c5b6002 - 1596650 ns R r1 80000000 - 1596650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1596670 ns R psr 81000200 - 1596690 ns MR4_I 01000214 6841d006 - 1596710 ns MR4_I 01000218 d1fc07c9 - 1596710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1596790 ns MR4_D 40006004 00000001 - 1596790 ns R r1 00000001 - 1596790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1596810 ns MR4_I 0100021c 1c5b6002 - 1596810 ns R r1 80000000 - 1596810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1596830 ns R psr 81000200 - 1596850 ns MR4_I 01000214 6841d006 - 1596870 ns MR4_I 01000218 d1fc07c9 - 1596870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1596950 ns MR4_D 40006004 00000001 - 1596950 ns R r1 00000001 - 1596950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1596970 ns MR4_I 0100021c 1c5b6002 - 1596970 ns R r1 80000000 - 1596970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1596990 ns R psr 81000200 - 1597010 ns MR4_I 01000214 6841d006 - 1597030 ns MR4_I 01000218 d1fc07c9 - 1597030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1597110 ns MR4_D 40006004 00000001 - 1597110 ns R r1 00000001 - 1597110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1597130 ns MR4_I 0100021c 1c5b6002 - 1597130 ns R r1 80000000 - 1597130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1597150 ns R psr 81000200 - 1597170 ns MR4_I 01000214 6841d006 - 1597190 ns MR4_I 01000218 d1fc07c9 - 1597190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1597270 ns MR4_D 40006004 00000001 - 1597270 ns R r1 00000001 - 1597270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1597290 ns MR4_I 0100021c 1c5b6002 - 1597290 ns R r1 80000000 - 1597290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1597310 ns R psr 81000200 - 1597330 ns MR4_I 01000214 6841d006 - 1597350 ns MR4_I 01000218 d1fc07c9 - 1597350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1597430 ns MR4_D 40006004 00000001 - 1597430 ns R r1 00000001 - 1597430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1597450 ns MR4_I 0100021c 1c5b6002 - 1597450 ns R r1 80000000 - 1597450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1597470 ns R psr 81000200 - 1597490 ns MR4_I 01000214 6841d006 - 1597510 ns MR4_I 01000218 d1fc07c9 - 1597510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1597590 ns MR4_D 40006004 00000000 - 1597590 ns R r1 00000000 - 1597590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1597610 ns MR4_I 0100021c 1c5b6002 - 1597610 ns R r1 00000000 - 1597610 ns IS 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1597630 ns R psr 41000200 - 1597630 ns IT 0100021c 6002 STR r2,[r0,#0] - 1597650 ns MR4_I 01000220 d1f52a00 - 1597710 ns MW4_D 40006000 00000064 - 1597710 ns IT 0100021e 1c5b ADDS r3,r3,#1 - 1597730 ns R r3 010002bf - 1597730 ns IT 01000220 2a00 CMP r2,#0 - 1597750 ns R psr 01000200 - 1597750 ns MR4_I 01000224 680a4911 - 1597750 ns IT 01000222 d1f5 BNE {pc} - 0x12 ; 0x1000210 - 1597770 ns R psr 21000200 - 1597790 ns MR4_I 01000210 2a00781a - 1597810 ns IT 01000210 781a LDRB r2,[r3,#0] - 1597830 ns MR4_I 01000214 6841d006 - 1597850 ns MR1_D 010002bf 2064616f - 1597850 ns R r2 00000020 - 1597850 ns IT 01000212 2a00 CMP r2,#0 - 1597870 ns IS 01000214 d006 BEQ {pc} + 0x10 ; 0x1000224 - 1597890 ns R psr 21000200 - 1597890 ns MR4_I 01000218 d1fc07c9 - 1597890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1597970 ns MR4_D 40006004 00000001 - 1597970 ns R r1 00000001 - 1597970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1597990 ns MR4_I 0100021c 1c5b6002 - 1597990 ns R r1 80000000 - 1597990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1598010 ns R psr 81000200 - 1598030 ns MR4_I 01000214 6841d006 - 1598050 ns MR4_I 01000218 d1fc07c9 - 1598050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1598130 ns MR4_D 40006004 00000001 - 1598130 ns R r1 00000001 - 1598130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1598150 ns MR4_I 0100021c 1c5b6002 - 1598150 ns R r1 80000000 - 1598150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1598170 ns R psr 81000200 - 1598190 ns MR4_I 01000214 6841d006 - 1598210 ns MR4_I 01000218 d1fc07c9 - 1598210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1598290 ns MR4_D 40006004 00000001 - 1598290 ns R r1 00000001 - 1598290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1598310 ns MR4_I 0100021c 1c5b6002 - 1598310 ns R r1 80000000 - 1598310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1598330 ns R psr 81000200 - 1598350 ns MR4_I 01000214 6841d006 - 1598370 ns MR4_I 01000218 d1fc07c9 - 1598370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1598450 ns MR4_D 40006004 00000001 - 1598450 ns R r1 00000001 - 1598450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1598470 ns MR4_I 0100021c 1c5b6002 - 1598470 ns R r1 80000000 - 1598470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1598490 ns R psr 81000200 - 1598510 ns MR4_I 01000214 6841d006 - 1598530 ns MR4_I 01000218 d1fc07c9 - 1598530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1598610 ns MR4_D 40006004 00000001 - 1598610 ns R r1 00000001 - 1598610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1598630 ns MR4_I 0100021c 1c5b6002 - 1598630 ns R r1 80000000 - 1598630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1598650 ns R psr 81000200 - 1598670 ns MR4_I 01000214 6841d006 - 1598690 ns MR4_I 01000218 d1fc07c9 - 1598690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1598770 ns MR4_D 40006004 00000001 - 1598770 ns R r1 00000001 - 1598770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1598790 ns MR4_I 0100021c 1c5b6002 - 1598790 ns R r1 80000000 - 1598790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1598810 ns R psr 81000200 - 1598830 ns MR4_I 01000214 6841d006 - 1598850 ns MR4_I 01000218 d1fc07c9 - 1598850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1598930 ns MR4_D 40006004 00000001 - 1598930 ns R r1 00000001 - 1598930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1598950 ns MR4_I 0100021c 1c5b6002 - 1598950 ns R r1 80000000 - 1598950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1598970 ns R psr 81000200 - 1598990 ns MR4_I 01000214 6841d006 - 1599010 ns MR4_I 01000218 d1fc07c9 - 1599010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1599090 ns MR4_D 40006004 00000001 - 1599090 ns R r1 00000001 - 1599090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1599110 ns MR4_I 0100021c 1c5b6002 - 1599110 ns R r1 80000000 - 1599110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1599130 ns R psr 81000200 - 1599150 ns MR4_I 01000214 6841d006 - 1599170 ns MR4_I 01000218 d1fc07c9 - 1599170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1599250 ns MR4_D 40006004 00000001 - 1599250 ns R r1 00000001 - 1599250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1599270 ns MR4_I 0100021c 1c5b6002 - 1599270 ns R r1 80000000 - 1599270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1599290 ns R psr 81000200 - 1599310 ns MR4_I 01000214 6841d006 - 1599330 ns MR4_I 01000218 d1fc07c9 - 1599330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1599410 ns MR4_D 40006004 00000001 - 1599410 ns R r1 00000001 - 1599410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1599430 ns MR4_I 0100021c 1c5b6002 - 1599430 ns R r1 80000000 - 1599430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1599450 ns R psr 81000200 - 1599470 ns MR4_I 01000214 6841d006 - 1599490 ns MR4_I 01000218 d1fc07c9 - 1599490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1599570 ns MR4_D 40006004 00000001 - 1599570 ns R r1 00000001 - 1599570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1599590 ns MR4_I 0100021c 1c5b6002 - 1599590 ns R r1 80000000 - 1599590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1599610 ns R psr 81000200 - 1599630 ns MR4_I 01000214 6841d006 - 1599650 ns MR4_I 01000218 d1fc07c9 - 1599650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1599730 ns MR4_D 40006004 00000001 - 1599730 ns R r1 00000001 - 1599730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1599750 ns MR4_I 0100021c 1c5b6002 - 1599750 ns R r1 80000000 - 1599750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1599770 ns R psr 81000200 - 1599790 ns MR4_I 01000214 6841d006 - 1599810 ns MR4_I 01000218 d1fc07c9 - 1599810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1599890 ns MR4_D 40006004 00000001 - 1599890 ns R r1 00000001 - 1599890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1599910 ns MR4_I 0100021c 1c5b6002 - 1599910 ns R r1 80000000 - 1599910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1599930 ns R psr 81000200 - 1599950 ns MR4_I 01000214 6841d006 - 1599970 ns MR4_I 01000218 d1fc07c9 - 1599970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1600050 ns MR4_D 40006004 00000001 - 1600050 ns R r1 00000001 - 1600050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1600070 ns MR4_I 0100021c 1c5b6002 - 1600070 ns R r1 80000000 - 1600070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1600090 ns R psr 81000200 - 1600110 ns MR4_I 01000214 6841d006 - 1600130 ns MR4_I 01000218 d1fc07c9 - 1600130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1600210 ns MR4_D 40006004 00000001 - 1600210 ns R r1 00000001 - 1600210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1600230 ns MR4_I 0100021c 1c5b6002 - 1600230 ns R r1 80000000 - 1600230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1600250 ns R psr 81000200 - 1600270 ns MR4_I 01000214 6841d006 - 1600290 ns MR4_I 01000218 d1fc07c9 - 1600290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1600370 ns MR4_D 40006004 00000001 - 1600370 ns R r1 00000001 - 1600370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1600390 ns MR4_I 0100021c 1c5b6002 - 1600390 ns R r1 80000000 - 1600390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1600410 ns R psr 81000200 - 1600430 ns MR4_I 01000214 6841d006 - 1600450 ns MR4_I 01000218 d1fc07c9 - 1600450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1600530 ns MR4_D 40006004 00000001 - 1600530 ns R r1 00000001 - 1600530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1600550 ns MR4_I 0100021c 1c5b6002 - 1600550 ns R r1 80000000 - 1600550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1600570 ns R psr 81000200 - 1600590 ns MR4_I 01000214 6841d006 - 1600610 ns MR4_I 01000218 d1fc07c9 - 1600610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1600690 ns MR4_D 40006004 00000001 - 1600690 ns R r1 00000001 - 1600690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1600710 ns MR4_I 0100021c 1c5b6002 - 1600710 ns R r1 80000000 - 1600710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1600730 ns R psr 81000200 - 1600750 ns MR4_I 01000214 6841d006 - 1600770 ns MR4_I 01000218 d1fc07c9 - 1600770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1600850 ns MR4_D 40006004 00000001 - 1600850 ns R r1 00000001 - 1600850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1600870 ns MR4_I 0100021c 1c5b6002 - 1600870 ns R r1 80000000 - 1600870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1600890 ns R psr 81000200 - 1600910 ns MR4_I 01000214 6841d006 - 1600930 ns MR4_I 01000218 d1fc07c9 - 1600930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1601010 ns MR4_D 40006004 00000001 - 1601010 ns R r1 00000001 - 1601010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1601030 ns MR4_I 0100021c 1c5b6002 - 1601030 ns R r1 80000000 - 1601030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1601050 ns R psr 81000200 - 1601070 ns MR4_I 01000214 6841d006 - 1601090 ns MR4_I 01000218 d1fc07c9 - 1601090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1601170 ns MR4_D 40006004 00000001 - 1601170 ns R r1 00000001 - 1601170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1601190 ns MR4_I 0100021c 1c5b6002 - 1601190 ns R r1 80000000 - 1601190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1601210 ns R psr 81000200 - 1601230 ns MR4_I 01000214 6841d006 - 1601250 ns MR4_I 01000218 d1fc07c9 - 1601250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1601330 ns MR4_D 40006004 00000001 - 1601330 ns R r1 00000001 - 1601330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1601350 ns MR4_I 0100021c 1c5b6002 - 1601350 ns R r1 80000000 - 1601350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1601370 ns R psr 81000200 - 1601390 ns MR4_I 01000214 6841d006 - 1601410 ns MR4_I 01000218 d1fc07c9 - 1601410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1601490 ns MR4_D 40006004 00000001 - 1601490 ns R r1 00000001 - 1601490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1601510 ns MR4_I 0100021c 1c5b6002 - 1601510 ns R r1 80000000 - 1601510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1601530 ns R psr 81000200 - 1601550 ns MR4_I 01000214 6841d006 - 1601570 ns MR4_I 01000218 d1fc07c9 - 1601570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1601650 ns MR4_D 40006004 00000001 - 1601650 ns R r1 00000001 - 1601650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1601670 ns MR4_I 0100021c 1c5b6002 - 1601670 ns R r1 80000000 - 1601670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1601690 ns R psr 81000200 - 1601710 ns MR4_I 01000214 6841d006 - 1601730 ns MR4_I 01000218 d1fc07c9 - 1601730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1601810 ns MR4_D 40006004 00000001 - 1601810 ns R r1 00000001 - 1601810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1601830 ns MR4_I 0100021c 1c5b6002 - 1601830 ns R r1 80000000 - 1601830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1601850 ns R psr 81000200 - 1601870 ns MR4_I 01000214 6841d006 - 1601890 ns MR4_I 01000218 d1fc07c9 - 1601890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1601970 ns MR4_D 40006004 00000001 - 1601970 ns R r1 00000001 - 1601970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1601990 ns MR4_I 0100021c 1c5b6002 - 1601990 ns R r1 80000000 - 1601990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1602010 ns R psr 81000200 - 1602030 ns MR4_I 01000214 6841d006 - 1602050 ns MR4_I 01000218 d1fc07c9 - 1602050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1602130 ns MR4_D 40006004 00000001 - 1602130 ns R r1 00000001 - 1602130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1602150 ns MR4_I 0100021c 1c5b6002 - 1602150 ns R r1 80000000 - 1602150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1602170 ns R psr 81000200 - 1602190 ns MR4_I 01000214 6841d006 - 1602210 ns MR4_I 01000218 d1fc07c9 - 1602210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1602290 ns MR4_D 40006004 00000001 - 1602290 ns R r1 00000001 - 1602290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1602310 ns MR4_I 0100021c 1c5b6002 - 1602310 ns R r1 80000000 - 1602310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1602330 ns R psr 81000200 - 1602350 ns MR4_I 01000214 6841d006 - 1602370 ns MR4_I 01000218 d1fc07c9 - 1602370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1602450 ns MR4_D 40006004 00000001 - 1602450 ns R r1 00000001 - 1602450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1602470 ns MR4_I 0100021c 1c5b6002 - 1602470 ns R r1 80000000 - 1602470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1602490 ns R psr 81000200 - 1602510 ns MR4_I 01000214 6841d006 - 1602530 ns MR4_I 01000218 d1fc07c9 - 1602530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1602610 ns MR4_D 40006004 00000001 - 1602610 ns R r1 00000001 - 1602610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1602630 ns MR4_I 0100021c 1c5b6002 - 1602630 ns R r1 80000000 - 1602630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1602650 ns R psr 81000200 - 1602670 ns MR4_I 01000214 6841d006 - 1602690 ns MR4_I 01000218 d1fc07c9 - 1602690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1602770 ns MR4_D 40006004 00000001 - 1602770 ns R r1 00000001 - 1602770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1602790 ns MR4_I 0100021c 1c5b6002 - 1602790 ns R r1 80000000 - 1602790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1602810 ns R psr 81000200 - 1602830 ns MR4_I 01000214 6841d006 - 1602850 ns MR4_I 01000218 d1fc07c9 - 1602850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1602930 ns MR4_D 40006004 00000001 - 1602930 ns R r1 00000001 - 1602930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1602950 ns MR4_I 0100021c 1c5b6002 - 1602950 ns R r1 80000000 - 1602950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1602970 ns R psr 81000200 - 1602990 ns MR4_I 01000214 6841d006 - 1603010 ns MR4_I 01000218 d1fc07c9 - 1603010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1603090 ns MR4_D 40006004 00000001 - 1603090 ns R r1 00000001 - 1603090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1603110 ns MR4_I 0100021c 1c5b6002 - 1603110 ns R r1 80000000 - 1603110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1603130 ns R psr 81000200 - 1603150 ns MR4_I 01000214 6841d006 - 1603170 ns MR4_I 01000218 d1fc07c9 - 1603170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1603250 ns MR4_D 40006004 00000001 - 1603250 ns R r1 00000001 - 1603250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1603270 ns MR4_I 0100021c 1c5b6002 - 1603270 ns R r1 80000000 - 1603270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1603290 ns R psr 81000200 - 1603310 ns MR4_I 01000214 6841d006 - 1603330 ns MR4_I 01000218 d1fc07c9 - 1603330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1603410 ns MR4_D 40006004 00000001 - 1603410 ns R r1 00000001 - 1603410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1603430 ns MR4_I 0100021c 1c5b6002 - 1603430 ns R r1 80000000 - 1603430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1603450 ns R psr 81000200 - 1603470 ns MR4_I 01000214 6841d006 - 1603490 ns MR4_I 01000218 d1fc07c9 - 1603490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1603570 ns MR4_D 40006004 00000001 - 1603570 ns R r1 00000001 - 1603570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1603590 ns MR4_I 0100021c 1c5b6002 - 1603590 ns R r1 80000000 - 1603590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1603610 ns R psr 81000200 - 1603630 ns MR4_I 01000214 6841d006 - 1603650 ns MR4_I 01000218 d1fc07c9 - 1603650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1603730 ns MR4_D 40006004 00000001 - 1603730 ns R r1 00000001 - 1603730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1603750 ns MR4_I 0100021c 1c5b6002 - 1603750 ns R r1 80000000 - 1603750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1603770 ns R psr 81000200 - 1603790 ns MR4_I 01000214 6841d006 - 1603810 ns MR4_I 01000218 d1fc07c9 - 1603810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1603890 ns MR4_D 40006004 00000001 - 1603890 ns R r1 00000001 - 1603890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1603910 ns MR4_I 0100021c 1c5b6002 - 1603910 ns R r1 80000000 - 1603910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1603930 ns R psr 81000200 - 1603950 ns MR4_I 01000214 6841d006 - 1603970 ns MR4_I 01000218 d1fc07c9 - 1603970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1604050 ns MR4_D 40006004 00000001 - 1604050 ns R r1 00000001 - 1604050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1604070 ns MR4_I 0100021c 1c5b6002 - 1604070 ns R r1 80000000 - 1604070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1604090 ns R psr 81000200 - 1604110 ns MR4_I 01000214 6841d006 - 1604130 ns MR4_I 01000218 d1fc07c9 - 1604130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1604210 ns MR4_D 40006004 00000001 - 1604210 ns R r1 00000001 - 1604210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1604230 ns MR4_I 0100021c 1c5b6002 - 1604230 ns R r1 80000000 - 1604230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1604250 ns R psr 81000200 - 1604270 ns MR4_I 01000214 6841d006 - 1604290 ns MR4_I 01000218 d1fc07c9 - 1604290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1604370 ns MR4_D 40006004 00000001 - 1604370 ns R r1 00000001 - 1604370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1604390 ns MR4_I 0100021c 1c5b6002 - 1604390 ns R r1 80000000 - 1604390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1604410 ns R psr 81000200 - 1604430 ns MR4_I 01000214 6841d006 - 1604450 ns MR4_I 01000218 d1fc07c9 - 1604450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1604530 ns MR4_D 40006004 00000001 - 1604530 ns R r1 00000001 - 1604530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1604550 ns MR4_I 0100021c 1c5b6002 - 1604550 ns R r1 80000000 - 1604550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1604570 ns R psr 81000200 - 1604590 ns MR4_I 01000214 6841d006 - 1604610 ns MR4_I 01000218 d1fc07c9 - 1604610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1604690 ns MR4_D 40006004 00000001 - 1604690 ns R r1 00000001 - 1604690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1604710 ns MR4_I 0100021c 1c5b6002 - 1604710 ns R r1 80000000 - 1604710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1604730 ns R psr 81000200 - 1604750 ns MR4_I 01000214 6841d006 - 1604770 ns MR4_I 01000218 d1fc07c9 - 1604770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1604850 ns MR4_D 40006004 00000001 - 1604850 ns R r1 00000001 - 1604850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1604870 ns MR4_I 0100021c 1c5b6002 - 1604870 ns R r1 80000000 - 1604870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1604890 ns R psr 81000200 - 1604910 ns MR4_I 01000214 6841d006 - 1604930 ns MR4_I 01000218 d1fc07c9 - 1604930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1605010 ns MR4_D 40006004 00000001 - 1605010 ns R r1 00000001 - 1605010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1605030 ns MR4_I 0100021c 1c5b6002 - 1605030 ns R r1 80000000 - 1605030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1605050 ns R psr 81000200 - 1605070 ns MR4_I 01000214 6841d006 - 1605090 ns MR4_I 01000218 d1fc07c9 - 1605090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1605170 ns MR4_D 40006004 00000001 - 1605170 ns R r1 00000001 - 1605170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1605190 ns MR4_I 0100021c 1c5b6002 - 1605190 ns R r1 80000000 - 1605190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1605210 ns R psr 81000200 - 1605230 ns MR4_I 01000214 6841d006 - 1605250 ns MR4_I 01000218 d1fc07c9 - 1605250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1605330 ns MR4_D 40006004 00000001 - 1605330 ns R r1 00000001 - 1605330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1605350 ns MR4_I 0100021c 1c5b6002 - 1605350 ns R r1 80000000 - 1605350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1605370 ns R psr 81000200 - 1605390 ns MR4_I 01000214 6841d006 - 1605410 ns MR4_I 01000218 d1fc07c9 - 1605410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1605490 ns MR4_D 40006004 00000001 - 1605490 ns R r1 00000001 - 1605490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1605510 ns MR4_I 0100021c 1c5b6002 - 1605510 ns R r1 80000000 - 1605510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1605530 ns R psr 81000200 - 1605550 ns MR4_I 01000214 6841d006 - 1605570 ns MR4_I 01000218 d1fc07c9 - 1605570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1605650 ns MR4_D 40006004 00000001 - 1605650 ns R r1 00000001 - 1605650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1605670 ns MR4_I 0100021c 1c5b6002 - 1605670 ns R r1 80000000 - 1605670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1605690 ns R psr 81000200 - 1605710 ns MR4_I 01000214 6841d006 - 1605730 ns MR4_I 01000218 d1fc07c9 - 1605730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1605810 ns MR4_D 40006004 00000001 - 1605810 ns R r1 00000001 - 1605810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1605830 ns MR4_I 0100021c 1c5b6002 - 1605830 ns R r1 80000000 - 1605830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1605850 ns R psr 81000200 - 1605870 ns MR4_I 01000214 6841d006 - 1605890 ns MR4_I 01000218 d1fc07c9 - 1605890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1605970 ns MR4_D 40006004 00000001 - 1605970 ns R r1 00000001 - 1605970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1605990 ns MR4_I 0100021c 1c5b6002 - 1605990 ns R r1 80000000 - 1605990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1606010 ns R psr 81000200 - 1606030 ns MR4_I 01000214 6841d006 - 1606050 ns MR4_I 01000218 d1fc07c9 - 1606050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1606130 ns MR4_D 40006004 00000001 - 1606130 ns R r1 00000001 - 1606130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1606150 ns MR4_I 0100021c 1c5b6002 - 1606150 ns R r1 80000000 - 1606150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1606170 ns R psr 81000200 - 1606190 ns MR4_I 01000214 6841d006 - 1606210 ns MR4_I 01000218 d1fc07c9 - 1606210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1606290 ns MR4_D 40006004 00000001 - 1606290 ns R r1 00000001 - 1606290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1606310 ns MR4_I 0100021c 1c5b6002 - 1606310 ns R r1 80000000 - 1606310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1606330 ns R psr 81000200 - 1606350 ns MR4_I 01000214 6841d006 - 1606370 ns MR4_I 01000218 d1fc07c9 - 1606370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1606450 ns MR4_D 40006004 00000001 - 1606450 ns R r1 00000001 - 1606450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1606470 ns MR4_I 0100021c 1c5b6002 - 1606470 ns R r1 80000000 - 1606470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1606490 ns R psr 81000200 - 1606510 ns MR4_I 01000214 6841d006 - 1606530 ns MR4_I 01000218 d1fc07c9 - 1606530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1606610 ns MR4_D 40006004 00000001 - 1606610 ns R r1 00000001 - 1606610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1606630 ns MR4_I 0100021c 1c5b6002 - 1606630 ns R r1 80000000 - 1606630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1606650 ns R psr 81000200 - 1606670 ns MR4_I 01000214 6841d006 - 1606690 ns MR4_I 01000218 d1fc07c9 - 1606690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1606770 ns MR4_D 40006004 00000001 - 1606770 ns R r1 00000001 - 1606770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1606790 ns MR4_I 0100021c 1c5b6002 - 1606790 ns R r1 80000000 - 1606790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1606810 ns R psr 81000200 - 1606830 ns MR4_I 01000214 6841d006 - 1606850 ns MR4_I 01000218 d1fc07c9 - 1606850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1606930 ns MR4_D 40006004 00000001 - 1606930 ns R r1 00000001 - 1606930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1606950 ns MR4_I 0100021c 1c5b6002 - 1606950 ns R r1 80000000 - 1606950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1606970 ns R psr 81000200 - 1606990 ns MR4_I 01000214 6841d006 - 1607010 ns MR4_I 01000218 d1fc07c9 - 1607010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1607090 ns MR4_D 40006004 00000001 - 1607090 ns R r1 00000001 - 1607090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1607110 ns MR4_I 0100021c 1c5b6002 - 1607110 ns R r1 80000000 - 1607110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1607130 ns R psr 81000200 - 1607150 ns MR4_I 01000214 6841d006 - 1607170 ns MR4_I 01000218 d1fc07c9 - 1607170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1607250 ns MR4_D 40006004 00000001 - 1607250 ns R r1 00000001 - 1607250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1607270 ns MR4_I 0100021c 1c5b6002 - 1607270 ns R r1 80000000 - 1607270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1607290 ns R psr 81000200 - 1607310 ns MR4_I 01000214 6841d006 - 1607330 ns MR4_I 01000218 d1fc07c9 - 1607330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1607410 ns MR4_D 40006004 00000001 - 1607410 ns R r1 00000001 - 1607410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1607430 ns MR4_I 0100021c 1c5b6002 - 1607430 ns R r1 80000000 - 1607430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1607450 ns R psr 81000200 - 1607470 ns MR4_I 01000214 6841d006 - 1607490 ns MR4_I 01000218 d1fc07c9 - 1607490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1607570 ns MR4_D 40006004 00000001 - 1607570 ns R r1 00000001 - 1607570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1607590 ns MR4_I 0100021c 1c5b6002 - 1607590 ns R r1 80000000 - 1607590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1607610 ns R psr 81000200 - 1607630 ns MR4_I 01000214 6841d006 - 1607650 ns MR4_I 01000218 d1fc07c9 - 1607650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1607730 ns MR4_D 40006004 00000001 - 1607730 ns R r1 00000001 - 1607730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1607750 ns MR4_I 0100021c 1c5b6002 - 1607750 ns R r1 80000000 - 1607750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1607770 ns R psr 81000200 - 1607790 ns MR4_I 01000214 6841d006 - 1607810 ns MR4_I 01000218 d1fc07c9 - 1607810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1607890 ns MR4_D 40006004 00000001 - 1607890 ns R r1 00000001 - 1607890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1607910 ns MR4_I 0100021c 1c5b6002 - 1607910 ns R r1 80000000 - 1607910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1607930 ns R psr 81000200 - 1607950 ns MR4_I 01000214 6841d006 - 1607970 ns MR4_I 01000218 d1fc07c9 - 1607970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1608050 ns MR4_D 40006004 00000001 - 1608050 ns R r1 00000001 - 1608050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1608070 ns MR4_I 0100021c 1c5b6002 - 1608070 ns R r1 80000000 - 1608070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1608090 ns R psr 81000200 - 1608110 ns MR4_I 01000214 6841d006 - 1608130 ns MR4_I 01000218 d1fc07c9 - 1608130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1608210 ns MR4_D 40006004 00000001 - 1608210 ns R r1 00000001 - 1608210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1608230 ns MR4_I 0100021c 1c5b6002 - 1608230 ns R r1 80000000 - 1608230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1608250 ns R psr 81000200 - 1608270 ns MR4_I 01000214 6841d006 - 1608290 ns MR4_I 01000218 d1fc07c9 - 1608290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1608370 ns MR4_D 40006004 00000001 - 1608370 ns R r1 00000001 - 1608370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1608390 ns MR4_I 0100021c 1c5b6002 - 1608390 ns R r1 80000000 - 1608390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1608410 ns R psr 81000200 - 1608430 ns MR4_I 01000214 6841d006 - 1608450 ns MR4_I 01000218 d1fc07c9 - 1608450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1608530 ns MR4_D 40006004 00000001 - 1608530 ns R r1 00000001 - 1608530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1608550 ns MR4_I 0100021c 1c5b6002 - 1608550 ns R r1 80000000 - 1608550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1608570 ns R psr 81000200 - 1608590 ns MR4_I 01000214 6841d006 - 1608610 ns MR4_I 01000218 d1fc07c9 - 1608610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1608690 ns MR4_D 40006004 00000001 - 1608690 ns R r1 00000001 - 1608690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1608710 ns MR4_I 0100021c 1c5b6002 - 1608710 ns R r1 80000000 - 1608710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1608730 ns R psr 81000200 - 1608750 ns MR4_I 01000214 6841d006 - 1608770 ns MR4_I 01000218 d1fc07c9 - 1608770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1608850 ns MR4_D 40006004 00000001 - 1608850 ns R r1 00000001 - 1608850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1608870 ns MR4_I 0100021c 1c5b6002 - 1608870 ns R r1 80000000 - 1608870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1608890 ns R psr 81000200 - 1608910 ns MR4_I 01000214 6841d006 - 1608930 ns MR4_I 01000218 d1fc07c9 - 1608930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1609010 ns MR4_D 40006004 00000001 - 1609010 ns R r1 00000001 - 1609010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1609030 ns MR4_I 0100021c 1c5b6002 - 1609030 ns R r1 80000000 - 1609030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1609050 ns R psr 81000200 - 1609070 ns MR4_I 01000214 6841d006 - 1609090 ns MR4_I 01000218 d1fc07c9 - 1609090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1609170 ns MR4_D 40006004 00000001 - 1609170 ns R r1 00000001 - 1609170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1609190 ns MR4_I 0100021c 1c5b6002 - 1609190 ns R r1 80000000 - 1609190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1609210 ns R psr 81000200 - 1609230 ns MR4_I 01000214 6841d006 - 1609250 ns MR4_I 01000218 d1fc07c9 - 1609250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1609330 ns MR4_D 40006004 00000001 - 1609330 ns R r1 00000001 - 1609330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1609350 ns MR4_I 0100021c 1c5b6002 - 1609350 ns R r1 80000000 - 1609350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1609370 ns R psr 81000200 - 1609390 ns MR4_I 01000214 6841d006 - 1609410 ns MR4_I 01000218 d1fc07c9 - 1609410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1609490 ns MR4_D 40006004 00000001 - 1609490 ns R r1 00000001 - 1609490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1609510 ns MR4_I 0100021c 1c5b6002 - 1609510 ns R r1 80000000 - 1609510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1609530 ns R psr 81000200 - 1609550 ns MR4_I 01000214 6841d006 - 1609570 ns MR4_I 01000218 d1fc07c9 - 1609570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1609650 ns MR4_D 40006004 00000001 - 1609650 ns R r1 00000001 - 1609650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1609670 ns MR4_I 0100021c 1c5b6002 - 1609670 ns R r1 80000000 - 1609670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1609690 ns R psr 81000200 - 1609710 ns MR4_I 01000214 6841d006 - 1609730 ns MR4_I 01000218 d1fc07c9 - 1609730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1609810 ns MR4_D 40006004 00000001 - 1609810 ns R r1 00000001 - 1609810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1609830 ns MR4_I 0100021c 1c5b6002 - 1609830 ns R r1 80000000 - 1609830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1609850 ns R psr 81000200 - 1609870 ns MR4_I 01000214 6841d006 - 1609890 ns MR4_I 01000218 d1fc07c9 - 1609890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1609970 ns MR4_D 40006004 00000001 - 1609970 ns R r1 00000001 - 1609970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1609990 ns MR4_I 0100021c 1c5b6002 - 1609990 ns R r1 80000000 - 1609990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1610010 ns R psr 81000200 - 1610030 ns MR4_I 01000214 6841d006 - 1610050 ns MR4_I 01000218 d1fc07c9 - 1610050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1610130 ns MR4_D 40006004 00000001 - 1610130 ns R r1 00000001 - 1610130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1610150 ns MR4_I 0100021c 1c5b6002 - 1610150 ns R r1 80000000 - 1610150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1610170 ns R psr 81000200 - 1610190 ns MR4_I 01000214 6841d006 - 1610210 ns MR4_I 01000218 d1fc07c9 - 1610210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1610290 ns MR4_D 40006004 00000001 - 1610290 ns R r1 00000001 - 1610290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1610310 ns MR4_I 0100021c 1c5b6002 - 1610310 ns R r1 80000000 - 1610310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1610330 ns R psr 81000200 - 1610350 ns MR4_I 01000214 6841d006 - 1610370 ns MR4_I 01000218 d1fc07c9 - 1610370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1610450 ns MR4_D 40006004 00000001 - 1610450 ns R r1 00000001 - 1610450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1610470 ns MR4_I 0100021c 1c5b6002 - 1610470 ns R r1 80000000 - 1610470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1610490 ns R psr 81000200 - 1610510 ns MR4_I 01000214 6841d006 - 1610530 ns MR4_I 01000218 d1fc07c9 - 1610530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1610610 ns MR4_D 40006004 00000001 - 1610610 ns R r1 00000001 - 1610610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1610630 ns MR4_I 0100021c 1c5b6002 - 1610630 ns R r1 80000000 - 1610630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1610650 ns R psr 81000200 - 1610670 ns MR4_I 01000214 6841d006 - 1610690 ns MR4_I 01000218 d1fc07c9 - 1610690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1610770 ns MR4_D 40006004 00000001 - 1610770 ns R r1 00000001 - 1610770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1610790 ns MR4_I 0100021c 1c5b6002 - 1610790 ns R r1 80000000 - 1610790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1610810 ns R psr 81000200 - 1610830 ns MR4_I 01000214 6841d006 - 1610850 ns MR4_I 01000218 d1fc07c9 - 1610850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1610930 ns MR4_D 40006004 00000001 - 1610930 ns R r1 00000001 - 1610930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1610950 ns MR4_I 0100021c 1c5b6002 - 1610950 ns R r1 80000000 - 1610950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1610970 ns R psr 81000200 - 1610990 ns MR4_I 01000214 6841d006 - 1611010 ns MR4_I 01000218 d1fc07c9 - 1611010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1611090 ns MR4_D 40006004 00000001 - 1611090 ns R r1 00000001 - 1611090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1611110 ns MR4_I 0100021c 1c5b6002 - 1611110 ns R r1 80000000 - 1611110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1611130 ns R psr 81000200 - 1611150 ns MR4_I 01000214 6841d006 - 1611170 ns MR4_I 01000218 d1fc07c9 - 1611170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1611250 ns MR4_D 40006004 00000001 - 1611250 ns R r1 00000001 - 1611250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1611270 ns MR4_I 0100021c 1c5b6002 - 1611270 ns R r1 80000000 - 1611270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1611290 ns R psr 81000200 - 1611310 ns MR4_I 01000214 6841d006 - 1611330 ns MR4_I 01000218 d1fc07c9 - 1611330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1611410 ns MR4_D 40006004 00000001 - 1611410 ns R r1 00000001 - 1611410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1611430 ns MR4_I 0100021c 1c5b6002 - 1611430 ns R r1 80000000 - 1611430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1611450 ns R psr 81000200 - 1611470 ns MR4_I 01000214 6841d006 - 1611490 ns MR4_I 01000218 d1fc07c9 - 1611490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1611570 ns MR4_D 40006004 00000001 - 1611570 ns R r1 00000001 - 1611570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1611590 ns MR4_I 0100021c 1c5b6002 - 1611590 ns R r1 80000000 - 1611590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1611610 ns R psr 81000200 - 1611630 ns MR4_I 01000214 6841d006 - 1611650 ns MR4_I 01000218 d1fc07c9 - 1611650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1611730 ns MR4_D 40006004 00000001 - 1611730 ns R r1 00000001 - 1611730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1611750 ns MR4_I 0100021c 1c5b6002 - 1611750 ns R r1 80000000 - 1611750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1611770 ns R psr 81000200 - 1611790 ns MR4_I 01000214 6841d006 - 1611810 ns MR4_I 01000218 d1fc07c9 - 1611810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1611890 ns MR4_D 40006004 00000001 - 1611890 ns R r1 00000001 - 1611890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1611910 ns MR4_I 0100021c 1c5b6002 - 1611910 ns R r1 80000000 - 1611910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1611930 ns R psr 81000200 - 1611950 ns MR4_I 01000214 6841d006 - 1611970 ns MR4_I 01000218 d1fc07c9 - 1611970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1612050 ns MR4_D 40006004 00000001 - 1612050 ns R r1 00000001 - 1612050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1612070 ns MR4_I 0100021c 1c5b6002 - 1612070 ns R r1 80000000 - 1612070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1612090 ns R psr 81000200 - 1612110 ns MR4_I 01000214 6841d006 - 1612130 ns MR4_I 01000218 d1fc07c9 - 1612130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1612210 ns MR4_D 40006004 00000001 - 1612210 ns R r1 00000001 - 1612210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1612230 ns MR4_I 0100021c 1c5b6002 - 1612230 ns R r1 80000000 - 1612230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1612250 ns R psr 81000200 - 1612270 ns MR4_I 01000214 6841d006 - 1612290 ns MR4_I 01000218 d1fc07c9 - 1612290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1612370 ns MR4_D 40006004 00000001 - 1612370 ns R r1 00000001 - 1612370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1612390 ns MR4_I 0100021c 1c5b6002 - 1612390 ns R r1 80000000 - 1612390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1612410 ns R psr 81000200 - 1612430 ns MR4_I 01000214 6841d006 - 1612450 ns MR4_I 01000218 d1fc07c9 - 1612450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1612530 ns MR4_D 40006004 00000001 - 1612530 ns R r1 00000001 - 1612530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1612550 ns MR4_I 0100021c 1c5b6002 - 1612550 ns R r1 80000000 - 1612550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1612570 ns R psr 81000200 - 1612590 ns MR4_I 01000214 6841d006 - 1612610 ns MR4_I 01000218 d1fc07c9 - 1612610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1612690 ns MR4_D 40006004 00000001 - 1612690 ns R r1 00000001 - 1612690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1612710 ns MR4_I 0100021c 1c5b6002 - 1612710 ns R r1 80000000 - 1612710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1612730 ns R psr 81000200 - 1612750 ns MR4_I 01000214 6841d006 - 1612770 ns MR4_I 01000218 d1fc07c9 - 1612770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1612850 ns MR4_D 40006004 00000001 - 1612850 ns R r1 00000001 - 1612850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1612870 ns MR4_I 0100021c 1c5b6002 - 1612870 ns R r1 80000000 - 1612870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1612890 ns R psr 81000200 - 1612910 ns MR4_I 01000214 6841d006 - 1612930 ns MR4_I 01000218 d1fc07c9 - 1612930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1613010 ns MR4_D 40006004 00000001 - 1613010 ns R r1 00000001 - 1613010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1613030 ns MR4_I 0100021c 1c5b6002 - 1613030 ns R r1 80000000 - 1613030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1613050 ns R psr 81000200 - 1613070 ns MR4_I 01000214 6841d006 - 1613090 ns MR4_I 01000218 d1fc07c9 - 1613090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1613170 ns MR4_D 40006004 00000001 - 1613170 ns R r1 00000001 - 1613170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1613190 ns MR4_I 0100021c 1c5b6002 - 1613190 ns R r1 80000000 - 1613190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1613210 ns R psr 81000200 - 1613230 ns MR4_I 01000214 6841d006 - 1613250 ns MR4_I 01000218 d1fc07c9 - 1613250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1613330 ns MR4_D 40006004 00000001 - 1613330 ns R r1 00000001 - 1613330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1613350 ns MR4_I 0100021c 1c5b6002 - 1613350 ns R r1 80000000 - 1613350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1613370 ns R psr 81000200 - 1613390 ns MR4_I 01000214 6841d006 - 1613410 ns MR4_I 01000218 d1fc07c9 - 1613410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1613490 ns MR4_D 40006004 00000001 - 1613490 ns R r1 00000001 - 1613490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1613510 ns MR4_I 0100021c 1c5b6002 - 1613510 ns R r1 80000000 - 1613510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1613530 ns R psr 81000200 - 1613550 ns MR4_I 01000214 6841d006 - 1613570 ns MR4_I 01000218 d1fc07c9 - 1613570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1613650 ns MR4_D 40006004 00000001 - 1613650 ns R r1 00000001 - 1613650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1613670 ns MR4_I 0100021c 1c5b6002 - 1613670 ns R r1 80000000 - 1613670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1613690 ns R psr 81000200 - 1613710 ns MR4_I 01000214 6841d006 - 1613730 ns MR4_I 01000218 d1fc07c9 - 1613730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1613810 ns MR4_D 40006004 00000001 - 1613810 ns R r1 00000001 - 1613810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1613830 ns MR4_I 0100021c 1c5b6002 - 1613830 ns R r1 80000000 - 1613830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1613850 ns R psr 81000200 - 1613870 ns MR4_I 01000214 6841d006 - 1613890 ns MR4_I 01000218 d1fc07c9 - 1613890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1613970 ns MR4_D 40006004 00000001 - 1613970 ns R r1 00000001 - 1613970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1613990 ns MR4_I 0100021c 1c5b6002 - 1613990 ns R r1 80000000 - 1613990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1614010 ns R psr 81000200 - 1614030 ns MR4_I 01000214 6841d006 - 1614050 ns MR4_I 01000218 d1fc07c9 - 1614050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1614130 ns MR4_D 40006004 00000001 - 1614130 ns R r1 00000001 - 1614130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1614150 ns MR4_I 0100021c 1c5b6002 - 1614150 ns R r1 80000000 - 1614150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1614170 ns R psr 81000200 - 1614190 ns MR4_I 01000214 6841d006 - 1614210 ns MR4_I 01000218 d1fc07c9 - 1614210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1614290 ns MR4_D 40006004 00000001 - 1614290 ns R r1 00000001 - 1614290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1614310 ns MR4_I 0100021c 1c5b6002 - 1614310 ns R r1 80000000 - 1614310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1614330 ns R psr 81000200 - 1614350 ns MR4_I 01000214 6841d006 - 1614370 ns MR4_I 01000218 d1fc07c9 - 1614370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1614450 ns MR4_D 40006004 00000001 - 1614450 ns R r1 00000001 - 1614450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1614470 ns MR4_I 0100021c 1c5b6002 - 1614470 ns R r1 80000000 - 1614470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1614490 ns R psr 81000200 - 1614510 ns MR4_I 01000214 6841d006 - 1614530 ns MR4_I 01000218 d1fc07c9 - 1614530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1614610 ns MR4_D 40006004 00000001 - 1614610 ns R r1 00000001 - 1614610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1614630 ns MR4_I 0100021c 1c5b6002 - 1614630 ns R r1 80000000 - 1614630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1614650 ns R psr 81000200 - 1614670 ns MR4_I 01000214 6841d006 - 1614690 ns MR4_I 01000218 d1fc07c9 - 1614690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1614770 ns MR4_D 40006004 00000001 - 1614770 ns R r1 00000001 - 1614770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1614790 ns MR4_I 0100021c 1c5b6002 - 1614790 ns R r1 80000000 - 1614790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1614810 ns R psr 81000200 - 1614830 ns MR4_I 01000214 6841d006 - 1614850 ns MR4_I 01000218 d1fc07c9 - 1614850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1614930 ns MR4_D 40006004 00000001 - 1614930 ns R r1 00000001 - 1614930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1614950 ns MR4_I 0100021c 1c5b6002 - 1614950 ns R r1 80000000 - 1614950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1614970 ns R psr 81000200 - 1614990 ns MR4_I 01000214 6841d006 - 1615010 ns MR4_I 01000218 d1fc07c9 - 1615010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1615090 ns MR4_D 40006004 00000001 - 1615090 ns R r1 00000001 - 1615090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1615110 ns MR4_I 0100021c 1c5b6002 - 1615110 ns R r1 80000000 - 1615110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1615130 ns R psr 81000200 - 1615150 ns MR4_I 01000214 6841d006 - 1615170 ns MR4_I 01000218 d1fc07c9 - 1615170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1615250 ns MR4_D 40006004 00000001 - 1615250 ns R r1 00000001 - 1615250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1615270 ns MR4_I 0100021c 1c5b6002 - 1615270 ns R r1 80000000 - 1615270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1615290 ns R psr 81000200 - 1615310 ns MR4_I 01000214 6841d006 - 1615330 ns MR4_I 01000218 d1fc07c9 - 1615330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1615410 ns MR4_D 40006004 00000001 - 1615410 ns R r1 00000001 - 1615410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1615430 ns MR4_I 0100021c 1c5b6002 - 1615430 ns R r1 80000000 - 1615430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1615450 ns R psr 81000200 - 1615470 ns MR4_I 01000214 6841d006 - 1615490 ns MR4_I 01000218 d1fc07c9 - 1615490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1615570 ns MR4_D 40006004 00000001 - 1615570 ns R r1 00000001 - 1615570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1615590 ns MR4_I 0100021c 1c5b6002 - 1615590 ns R r1 80000000 - 1615590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1615610 ns R psr 81000200 - 1615630 ns MR4_I 01000214 6841d006 - 1615650 ns MR4_I 01000218 d1fc07c9 - 1615650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1615730 ns MR4_D 40006004 00000001 - 1615730 ns R r1 00000001 - 1615730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1615750 ns MR4_I 0100021c 1c5b6002 - 1615750 ns R r1 80000000 - 1615750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1615770 ns R psr 81000200 - 1615790 ns MR4_I 01000214 6841d006 - 1615810 ns MR4_I 01000218 d1fc07c9 - 1615810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1615890 ns MR4_D 40006004 00000001 - 1615890 ns R r1 00000001 - 1615890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1615910 ns MR4_I 0100021c 1c5b6002 - 1615910 ns R r1 80000000 - 1615910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1615930 ns R psr 81000200 - 1615950 ns MR4_I 01000214 6841d006 - 1615970 ns MR4_I 01000218 d1fc07c9 - 1615970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1616050 ns MR4_D 40006004 00000001 - 1616050 ns R r1 00000001 - 1616050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1616070 ns MR4_I 0100021c 1c5b6002 - 1616070 ns R r1 80000000 - 1616070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1616090 ns R psr 81000200 - 1616110 ns MR4_I 01000214 6841d006 - 1616130 ns MR4_I 01000218 d1fc07c9 - 1616130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1616210 ns MR4_D 40006004 00000001 - 1616210 ns R r1 00000001 - 1616210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1616230 ns MR4_I 0100021c 1c5b6002 - 1616230 ns R r1 80000000 - 1616230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1616250 ns R psr 81000200 - 1616270 ns MR4_I 01000214 6841d006 - 1616290 ns MR4_I 01000218 d1fc07c9 - 1616290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1616370 ns MR4_D 40006004 00000001 - 1616370 ns R r1 00000001 - 1616370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1616390 ns MR4_I 0100021c 1c5b6002 - 1616390 ns R r1 80000000 - 1616390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1616410 ns R psr 81000200 - 1616430 ns MR4_I 01000214 6841d006 - 1616450 ns MR4_I 01000218 d1fc07c9 - 1616450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1616530 ns MR4_D 40006004 00000001 - 1616530 ns R r1 00000001 - 1616530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1616550 ns MR4_I 0100021c 1c5b6002 - 1616550 ns R r1 80000000 - 1616550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1616570 ns R psr 81000200 - 1616590 ns MR4_I 01000214 6841d006 - 1616610 ns MR4_I 01000218 d1fc07c9 - 1616610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1616690 ns MR4_D 40006004 00000001 - 1616690 ns R r1 00000001 - 1616690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1616710 ns MR4_I 0100021c 1c5b6002 - 1616710 ns R r1 80000000 - 1616710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1616730 ns R psr 81000200 - 1616750 ns MR4_I 01000214 6841d006 - 1616770 ns MR4_I 01000218 d1fc07c9 - 1616770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1616850 ns MR4_D 40006004 00000001 - 1616850 ns R r1 00000001 - 1616850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1616870 ns MR4_I 0100021c 1c5b6002 - 1616870 ns R r1 80000000 - 1616870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1616890 ns R psr 81000200 - 1616910 ns MR4_I 01000214 6841d006 - 1616930 ns MR4_I 01000218 d1fc07c9 - 1616930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1617010 ns MR4_D 40006004 00000001 - 1617010 ns R r1 00000001 - 1617010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1617030 ns MR4_I 0100021c 1c5b6002 - 1617030 ns R r1 80000000 - 1617030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1617050 ns R psr 81000200 - 1617070 ns MR4_I 01000214 6841d006 - 1617090 ns MR4_I 01000218 d1fc07c9 - 1617090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1617170 ns MR4_D 40006004 00000001 - 1617170 ns R r1 00000001 - 1617170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1617190 ns MR4_I 0100021c 1c5b6002 - 1617190 ns R r1 80000000 - 1617190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1617210 ns R psr 81000200 - 1617230 ns MR4_I 01000214 6841d006 - 1617250 ns MR4_I 01000218 d1fc07c9 - 1617250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1617330 ns MR4_D 40006004 00000001 - 1617330 ns R r1 00000001 - 1617330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1617350 ns MR4_I 0100021c 1c5b6002 - 1617350 ns R r1 80000000 - 1617350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1617370 ns R psr 81000200 - 1617390 ns MR4_I 01000214 6841d006 - 1617410 ns MR4_I 01000218 d1fc07c9 - 1617410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1617490 ns MR4_D 40006004 00000001 - 1617490 ns R r1 00000001 - 1617490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1617510 ns MR4_I 0100021c 1c5b6002 - 1617510 ns R r1 80000000 - 1617510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1617530 ns R psr 81000200 - 1617550 ns MR4_I 01000214 6841d006 - 1617570 ns MR4_I 01000218 d1fc07c9 - 1617570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1617650 ns MR4_D 40006004 00000001 - 1617650 ns R r1 00000001 - 1617650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1617670 ns MR4_I 0100021c 1c5b6002 - 1617670 ns R r1 80000000 - 1617670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1617690 ns R psr 81000200 - 1617710 ns MR4_I 01000214 6841d006 - 1617730 ns MR4_I 01000218 d1fc07c9 - 1617730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1617810 ns MR4_D 40006004 00000001 - 1617810 ns R r1 00000001 - 1617810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1617830 ns MR4_I 0100021c 1c5b6002 - 1617830 ns R r1 80000000 - 1617830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1617850 ns R psr 81000200 - 1617870 ns MR4_I 01000214 6841d006 - 1617890 ns MR4_I 01000218 d1fc07c9 - 1617890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1617970 ns MR4_D 40006004 00000001 - 1617970 ns R r1 00000001 - 1617970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1617990 ns MR4_I 0100021c 1c5b6002 - 1617990 ns R r1 80000000 - 1617990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1618010 ns R psr 81000200 - 1618030 ns MR4_I 01000214 6841d006 - 1618050 ns MR4_I 01000218 d1fc07c9 - 1618050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1618130 ns MR4_D 40006004 00000001 - 1618130 ns R r1 00000001 - 1618130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1618150 ns MR4_I 0100021c 1c5b6002 - 1618150 ns R r1 80000000 - 1618150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1618170 ns R psr 81000200 - 1618190 ns MR4_I 01000214 6841d006 - 1618210 ns MR4_I 01000218 d1fc07c9 - 1618210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1618290 ns MR4_D 40006004 00000001 - 1618290 ns R r1 00000001 - 1618290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1618310 ns MR4_I 0100021c 1c5b6002 - 1618310 ns R r1 80000000 - 1618310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1618330 ns R psr 81000200 - 1618350 ns MR4_I 01000214 6841d006 - 1618370 ns MR4_I 01000218 d1fc07c9 - 1618370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1618450 ns MR4_D 40006004 00000001 - 1618450 ns R r1 00000001 - 1618450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1618470 ns MR4_I 0100021c 1c5b6002 - 1618470 ns R r1 80000000 - 1618470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1618490 ns R psr 81000200 - 1618510 ns MR4_I 01000214 6841d006 - 1618530 ns MR4_I 01000218 d1fc07c9 - 1618530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1618610 ns MR4_D 40006004 00000001 - 1618610 ns R r1 00000001 - 1618610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1618630 ns MR4_I 0100021c 1c5b6002 - 1618630 ns R r1 80000000 - 1618630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1618650 ns R psr 81000200 - 1618670 ns MR4_I 01000214 6841d006 - 1618690 ns MR4_I 01000218 d1fc07c9 - 1618690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1618770 ns MR4_D 40006004 00000001 - 1618770 ns R r1 00000001 - 1618770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1618790 ns MR4_I 0100021c 1c5b6002 - 1618790 ns R r1 80000000 - 1618790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1618810 ns R psr 81000200 - 1618830 ns MR4_I 01000214 6841d006 - 1618850 ns MR4_I 01000218 d1fc07c9 - 1618850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1618930 ns MR4_D 40006004 00000001 - 1618930 ns R r1 00000001 - 1618930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1618950 ns MR4_I 0100021c 1c5b6002 - 1618950 ns R r1 80000000 - 1618950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1618970 ns R psr 81000200 - 1618990 ns MR4_I 01000214 6841d006 - 1619010 ns MR4_I 01000218 d1fc07c9 - 1619010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1619090 ns MR4_D 40006004 00000001 - 1619090 ns R r1 00000001 - 1619090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1619110 ns MR4_I 0100021c 1c5b6002 - 1619110 ns R r1 80000000 - 1619110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1619130 ns R psr 81000200 - 1619150 ns MR4_I 01000214 6841d006 - 1619170 ns MR4_I 01000218 d1fc07c9 - 1619170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1619250 ns MR4_D 40006004 00000001 - 1619250 ns R r1 00000001 - 1619250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1619270 ns MR4_I 0100021c 1c5b6002 - 1619270 ns R r1 80000000 - 1619270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1619290 ns R psr 81000200 - 1619310 ns MR4_I 01000214 6841d006 - 1619330 ns MR4_I 01000218 d1fc07c9 - 1619330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1619410 ns MR4_D 40006004 00000001 - 1619410 ns R r1 00000001 - 1619410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1619430 ns MR4_I 0100021c 1c5b6002 - 1619430 ns R r1 80000000 - 1619430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1619450 ns R psr 81000200 - 1619470 ns MR4_I 01000214 6841d006 - 1619490 ns MR4_I 01000218 d1fc07c9 - 1619490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1619570 ns MR4_D 40006004 00000001 - 1619570 ns R r1 00000001 - 1619570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1619590 ns MR4_I 0100021c 1c5b6002 - 1619590 ns R r1 80000000 - 1619590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1619610 ns R psr 81000200 - 1619630 ns MR4_I 01000214 6841d006 - 1619650 ns MR4_I 01000218 d1fc07c9 - 1619650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1619730 ns MR4_D 40006004 00000001 - 1619730 ns R r1 00000001 - 1619730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1619750 ns MR4_I 0100021c 1c5b6002 - 1619750 ns R r1 80000000 - 1619750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1619770 ns R psr 81000200 - 1619790 ns MR4_I 01000214 6841d006 - 1619810 ns MR4_I 01000218 d1fc07c9 - 1619810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1619890 ns MR4_D 40006004 00000001 - 1619890 ns R r1 00000001 - 1619890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1619910 ns MR4_I 0100021c 1c5b6002 - 1619910 ns R r1 80000000 - 1619910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1619930 ns R psr 81000200 - 1619950 ns MR4_I 01000214 6841d006 - 1619970 ns MR4_I 01000218 d1fc07c9 - 1619970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1620050 ns MR4_D 40006004 00000001 - 1620050 ns R r1 00000001 - 1620050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1620070 ns MR4_I 0100021c 1c5b6002 - 1620070 ns R r1 80000000 - 1620070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1620090 ns R psr 81000200 - 1620110 ns MR4_I 01000214 6841d006 - 1620130 ns MR4_I 01000218 d1fc07c9 - 1620130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1620210 ns MR4_D 40006004 00000001 - 1620210 ns R r1 00000001 - 1620210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1620230 ns MR4_I 0100021c 1c5b6002 - 1620230 ns R r1 80000000 - 1620230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1620250 ns R psr 81000200 - 1620270 ns MR4_I 01000214 6841d006 - 1620290 ns MR4_I 01000218 d1fc07c9 - 1620290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1620370 ns MR4_D 40006004 00000001 - 1620370 ns R r1 00000001 - 1620370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1620390 ns MR4_I 0100021c 1c5b6002 - 1620390 ns R r1 80000000 - 1620390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1620410 ns R psr 81000200 - 1620430 ns MR4_I 01000214 6841d006 - 1620450 ns MR4_I 01000218 d1fc07c9 - 1620450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1620530 ns MR4_D 40006004 00000001 - 1620530 ns R r1 00000001 - 1620530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1620550 ns MR4_I 0100021c 1c5b6002 - 1620550 ns R r1 80000000 - 1620550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1620570 ns R psr 81000200 - 1620590 ns MR4_I 01000214 6841d006 - 1620610 ns MR4_I 01000218 d1fc07c9 - 1620610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1620690 ns MR4_D 40006004 00000001 - 1620690 ns R r1 00000001 - 1620690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1620710 ns MR4_I 0100021c 1c5b6002 - 1620710 ns R r1 80000000 - 1620710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1620730 ns R psr 81000200 - 1620750 ns MR4_I 01000214 6841d006 - 1620770 ns MR4_I 01000218 d1fc07c9 - 1620770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1620850 ns MR4_D 40006004 00000001 - 1620850 ns R r1 00000001 - 1620850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1620870 ns MR4_I 0100021c 1c5b6002 - 1620870 ns R r1 80000000 - 1620870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1620890 ns R psr 81000200 - 1620910 ns MR4_I 01000214 6841d006 - 1620930 ns MR4_I 01000218 d1fc07c9 - 1620930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1621010 ns MR4_D 40006004 00000001 - 1621010 ns R r1 00000001 - 1621010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1621030 ns MR4_I 0100021c 1c5b6002 - 1621030 ns R r1 80000000 - 1621030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1621050 ns R psr 81000200 - 1621070 ns MR4_I 01000214 6841d006 - 1621090 ns MR4_I 01000218 d1fc07c9 - 1621090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1621170 ns MR4_D 40006004 00000001 - 1621170 ns R r1 00000001 - 1621170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1621190 ns MR4_I 0100021c 1c5b6002 - 1621190 ns R r1 80000000 - 1621190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1621210 ns R psr 81000200 - 1621230 ns MR4_I 01000214 6841d006 - 1621250 ns MR4_I 01000218 d1fc07c9 - 1621250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1621330 ns MR4_D 40006004 00000001 - 1621330 ns R r1 00000001 - 1621330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1621350 ns MR4_I 0100021c 1c5b6002 - 1621350 ns R r1 80000000 - 1621350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1621370 ns R psr 81000200 - 1621390 ns MR4_I 01000214 6841d006 - 1621410 ns MR4_I 01000218 d1fc07c9 - 1621410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1621490 ns MR4_D 40006004 00000001 - 1621490 ns R r1 00000001 - 1621490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1621510 ns MR4_I 0100021c 1c5b6002 - 1621510 ns R r1 80000000 - 1621510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1621530 ns R psr 81000200 - 1621550 ns MR4_I 01000214 6841d006 - 1621570 ns MR4_I 01000218 d1fc07c9 - 1621570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1621650 ns MR4_D 40006004 00000001 - 1621650 ns R r1 00000001 - 1621650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1621670 ns MR4_I 0100021c 1c5b6002 - 1621670 ns R r1 80000000 - 1621670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1621690 ns R psr 81000200 - 1621710 ns MR4_I 01000214 6841d006 - 1621730 ns MR4_I 01000218 d1fc07c9 - 1621730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1621810 ns MR4_D 40006004 00000001 - 1621810 ns R r1 00000001 - 1621810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1621830 ns MR4_I 0100021c 1c5b6002 - 1621830 ns R r1 80000000 - 1621830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1621850 ns R psr 81000200 - 1621870 ns MR4_I 01000214 6841d006 - 1621890 ns MR4_I 01000218 d1fc07c9 - 1621890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1621970 ns MR4_D 40006004 00000001 - 1621970 ns R r1 00000001 - 1621970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1621990 ns MR4_I 0100021c 1c5b6002 - 1621990 ns R r1 80000000 - 1621990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1622010 ns R psr 81000200 - 1622030 ns MR4_I 01000214 6841d006 - 1622050 ns MR4_I 01000218 d1fc07c9 - 1622050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1622130 ns MR4_D 40006004 00000001 - 1622130 ns R r1 00000001 - 1622130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1622150 ns MR4_I 0100021c 1c5b6002 - 1622150 ns R r1 80000000 - 1622150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1622170 ns R psr 81000200 - 1622190 ns MR4_I 01000214 6841d006 - 1622210 ns MR4_I 01000218 d1fc07c9 - 1622210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1622290 ns MR4_D 40006004 00000001 - 1622290 ns R r1 00000001 - 1622290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1622310 ns MR4_I 0100021c 1c5b6002 - 1622310 ns R r1 80000000 - 1622310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1622330 ns R psr 81000200 - 1622350 ns MR4_I 01000214 6841d006 - 1622370 ns MR4_I 01000218 d1fc07c9 - 1622370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1622450 ns MR4_D 40006004 00000001 - 1622450 ns R r1 00000001 - 1622450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1622470 ns MR4_I 0100021c 1c5b6002 - 1622470 ns R r1 80000000 - 1622470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1622490 ns R psr 81000200 - 1622510 ns MR4_I 01000214 6841d006 - 1622530 ns MR4_I 01000218 d1fc07c9 - 1622530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1622610 ns MR4_D 40006004 00000001 - 1622610 ns R r1 00000001 - 1622610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1622630 ns MR4_I 0100021c 1c5b6002 - 1622630 ns R r1 80000000 - 1622630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1622650 ns R psr 81000200 - 1622670 ns MR4_I 01000214 6841d006 - 1622690 ns MR4_I 01000218 d1fc07c9 - 1622690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1622770 ns MR4_D 40006004 00000001 - 1622770 ns R r1 00000001 - 1622770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1622790 ns MR4_I 0100021c 1c5b6002 - 1622790 ns R r1 80000000 - 1622790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1622810 ns R psr 81000200 - 1622830 ns MR4_I 01000214 6841d006 - 1622850 ns MR4_I 01000218 d1fc07c9 - 1622850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1622930 ns MR4_D 40006004 00000001 - 1622930 ns R r1 00000001 - 1622930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1622950 ns MR4_I 0100021c 1c5b6002 - 1622950 ns R r1 80000000 - 1622950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1622970 ns R psr 81000200 - 1622990 ns MR4_I 01000214 6841d006 - 1623010 ns MR4_I 01000218 d1fc07c9 - 1623010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1623090 ns MR4_D 40006004 00000001 - 1623090 ns R r1 00000001 - 1623090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1623110 ns MR4_I 0100021c 1c5b6002 - 1623110 ns R r1 80000000 - 1623110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1623130 ns R psr 81000200 - 1623150 ns MR4_I 01000214 6841d006 - 1623170 ns MR4_I 01000218 d1fc07c9 - 1623170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1623250 ns MR4_D 40006004 00000001 - 1623250 ns R r1 00000001 - 1623250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1623270 ns MR4_I 0100021c 1c5b6002 - 1623270 ns R r1 80000000 - 1623270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1623290 ns R psr 81000200 - 1623310 ns MR4_I 01000214 6841d006 - 1623330 ns MR4_I 01000218 d1fc07c9 - 1623330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1623410 ns MR4_D 40006004 00000001 - 1623410 ns R r1 00000001 - 1623410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1623430 ns MR4_I 0100021c 1c5b6002 - 1623430 ns R r1 80000000 - 1623430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1623450 ns R psr 81000200 - 1623470 ns MR4_I 01000214 6841d006 - 1623490 ns MR4_I 01000218 d1fc07c9 - 1623490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1623570 ns MR4_D 40006004 00000001 - 1623570 ns R r1 00000001 - 1623570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1623590 ns MR4_I 0100021c 1c5b6002 - 1623590 ns R r1 80000000 - 1623590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1623610 ns R psr 81000200 - 1623630 ns MR4_I 01000214 6841d006 - 1623650 ns MR4_I 01000218 d1fc07c9 - 1623650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1623730 ns MR4_D 40006004 00000001 - 1623730 ns R r1 00000001 - 1623730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1623750 ns MR4_I 0100021c 1c5b6002 - 1623750 ns R r1 80000000 - 1623750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1623770 ns R psr 81000200 - 1623790 ns MR4_I 01000214 6841d006 - 1623810 ns MR4_I 01000218 d1fc07c9 - 1623810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1623890 ns MR4_D 40006004 00000001 - 1623890 ns R r1 00000001 - 1623890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1623910 ns MR4_I 0100021c 1c5b6002 - 1623910 ns R r1 80000000 - 1623910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1623930 ns R psr 81000200 - 1623950 ns MR4_I 01000214 6841d006 - 1623970 ns MR4_I 01000218 d1fc07c9 - 1623970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1624050 ns MR4_D 40006004 00000001 - 1624050 ns R r1 00000001 - 1624050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1624070 ns MR4_I 0100021c 1c5b6002 - 1624070 ns R r1 80000000 - 1624070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1624090 ns R psr 81000200 - 1624110 ns MR4_I 01000214 6841d006 - 1624130 ns MR4_I 01000218 d1fc07c9 - 1624130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1624210 ns MR4_D 40006004 00000001 - 1624210 ns R r1 00000001 - 1624210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1624230 ns MR4_I 0100021c 1c5b6002 - 1624230 ns R r1 80000000 - 1624230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1624250 ns R psr 81000200 - 1624270 ns MR4_I 01000214 6841d006 - 1624290 ns MR4_I 01000218 d1fc07c9 - 1624290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1624370 ns MR4_D 40006004 00000001 - 1624370 ns R r1 00000001 - 1624370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1624390 ns MR4_I 0100021c 1c5b6002 - 1624390 ns R r1 80000000 - 1624390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1624410 ns R psr 81000200 - 1624430 ns MR4_I 01000214 6841d006 - 1624450 ns MR4_I 01000218 d1fc07c9 - 1624450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1624530 ns MR4_D 40006004 00000001 - 1624530 ns R r1 00000001 - 1624530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1624550 ns MR4_I 0100021c 1c5b6002 - 1624550 ns R r1 80000000 - 1624550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1624570 ns R psr 81000200 - 1624590 ns MR4_I 01000214 6841d006 - 1624610 ns MR4_I 01000218 d1fc07c9 - 1624610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1624690 ns MR4_D 40006004 00000001 - 1624690 ns R r1 00000001 - 1624690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1624710 ns MR4_I 0100021c 1c5b6002 - 1624710 ns R r1 80000000 - 1624710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1624730 ns R psr 81000200 - 1624750 ns MR4_I 01000214 6841d006 - 1624770 ns MR4_I 01000218 d1fc07c9 - 1624770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1624850 ns MR4_D 40006004 00000001 - 1624850 ns R r1 00000001 - 1624850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1624870 ns MR4_I 0100021c 1c5b6002 - 1624870 ns R r1 80000000 - 1624870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1624890 ns R psr 81000200 - 1624910 ns MR4_I 01000214 6841d006 - 1624930 ns MR4_I 01000218 d1fc07c9 - 1624930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1625010 ns MR4_D 40006004 00000001 - 1625010 ns R r1 00000001 - 1625010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1625030 ns MR4_I 0100021c 1c5b6002 - 1625030 ns R r1 80000000 - 1625030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1625050 ns R psr 81000200 - 1625070 ns MR4_I 01000214 6841d006 - 1625090 ns MR4_I 01000218 d1fc07c9 - 1625090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1625170 ns MR4_D 40006004 00000001 - 1625170 ns R r1 00000001 - 1625170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1625190 ns MR4_I 0100021c 1c5b6002 - 1625190 ns R r1 80000000 - 1625190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1625210 ns R psr 81000200 - 1625230 ns MR4_I 01000214 6841d006 - 1625250 ns MR4_I 01000218 d1fc07c9 - 1625250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1625330 ns MR4_D 40006004 00000001 - 1625330 ns R r1 00000001 - 1625330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1625350 ns MR4_I 0100021c 1c5b6002 - 1625350 ns R r1 80000000 - 1625350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1625370 ns R psr 81000200 - 1625390 ns MR4_I 01000214 6841d006 - 1625410 ns MR4_I 01000218 d1fc07c9 - 1625410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1625490 ns MR4_D 40006004 00000001 - 1625490 ns R r1 00000001 - 1625490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1625510 ns MR4_I 0100021c 1c5b6002 - 1625510 ns R r1 80000000 - 1625510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1625530 ns R psr 81000200 - 1625550 ns MR4_I 01000214 6841d006 - 1625570 ns MR4_I 01000218 d1fc07c9 - 1625570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1625650 ns MR4_D 40006004 00000001 - 1625650 ns R r1 00000001 - 1625650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1625670 ns MR4_I 0100021c 1c5b6002 - 1625670 ns R r1 80000000 - 1625670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1625690 ns R psr 81000200 - 1625710 ns MR4_I 01000214 6841d006 - 1625730 ns MR4_I 01000218 d1fc07c9 - 1625730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1625810 ns MR4_D 40006004 00000001 - 1625810 ns R r1 00000001 - 1625810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1625830 ns MR4_I 0100021c 1c5b6002 - 1625830 ns R r1 80000000 - 1625830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1625850 ns R psr 81000200 - 1625870 ns MR4_I 01000214 6841d006 - 1625890 ns MR4_I 01000218 d1fc07c9 - 1625890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1625970 ns MR4_D 40006004 00000001 - 1625970 ns R r1 00000001 - 1625970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1625990 ns MR4_I 0100021c 1c5b6002 - 1625990 ns R r1 80000000 - 1625990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1626010 ns R psr 81000200 - 1626030 ns MR4_I 01000214 6841d006 - 1626050 ns MR4_I 01000218 d1fc07c9 - 1626050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1626130 ns MR4_D 40006004 00000001 - 1626130 ns R r1 00000001 - 1626130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1626150 ns MR4_I 0100021c 1c5b6002 - 1626150 ns R r1 80000000 - 1626150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1626170 ns R psr 81000200 - 1626190 ns MR4_I 01000214 6841d006 - 1626210 ns MR4_I 01000218 d1fc07c9 - 1626210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1626290 ns MR4_D 40006004 00000001 - 1626290 ns R r1 00000001 - 1626290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1626310 ns MR4_I 0100021c 1c5b6002 - 1626310 ns R r1 80000000 - 1626310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1626330 ns R psr 81000200 - 1626350 ns MR4_I 01000214 6841d006 - 1626370 ns MR4_I 01000218 d1fc07c9 - 1626370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1626450 ns MR4_D 40006004 00000001 - 1626450 ns R r1 00000001 - 1626450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1626470 ns MR4_I 0100021c 1c5b6002 - 1626470 ns R r1 80000000 - 1626470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1626490 ns R psr 81000200 - 1626510 ns MR4_I 01000214 6841d006 - 1626530 ns MR4_I 01000218 d1fc07c9 - 1626530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1626610 ns MR4_D 40006004 00000001 - 1626610 ns R r1 00000001 - 1626610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1626630 ns MR4_I 0100021c 1c5b6002 - 1626630 ns R r1 80000000 - 1626630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1626650 ns R psr 81000200 - 1626670 ns MR4_I 01000214 6841d006 - 1626690 ns MR4_I 01000218 d1fc07c9 - 1626690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1626770 ns MR4_D 40006004 00000001 - 1626770 ns R r1 00000001 - 1626770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1626790 ns MR4_I 0100021c 1c5b6002 - 1626790 ns R r1 80000000 - 1626790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1626810 ns R psr 81000200 - 1626830 ns MR4_I 01000214 6841d006 - 1626850 ns MR4_I 01000218 d1fc07c9 - 1626850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1626930 ns MR4_D 40006004 00000001 - 1626930 ns R r1 00000001 - 1626930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1626950 ns MR4_I 0100021c 1c5b6002 - 1626950 ns R r1 80000000 - 1626950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1626970 ns R psr 81000200 - 1626990 ns MR4_I 01000214 6841d006 - 1627010 ns MR4_I 01000218 d1fc07c9 - 1627010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1627090 ns MR4_D 40006004 00000001 - 1627090 ns R r1 00000001 - 1627090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1627110 ns MR4_I 0100021c 1c5b6002 - 1627110 ns R r1 80000000 - 1627110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1627130 ns R psr 81000200 - 1627150 ns MR4_I 01000214 6841d006 - 1627170 ns MR4_I 01000218 d1fc07c9 - 1627170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1627250 ns MR4_D 40006004 00000001 - 1627250 ns R r1 00000001 - 1627250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1627270 ns MR4_I 0100021c 1c5b6002 - 1627270 ns R r1 80000000 - 1627270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1627290 ns R psr 81000200 - 1627310 ns MR4_I 01000214 6841d006 - 1627330 ns MR4_I 01000218 d1fc07c9 - 1627330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1627410 ns MR4_D 40006004 00000001 - 1627410 ns R r1 00000001 - 1627410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1627430 ns MR4_I 0100021c 1c5b6002 - 1627430 ns R r1 80000000 - 1627430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1627450 ns R psr 81000200 - 1627470 ns MR4_I 01000214 6841d006 - 1627490 ns MR4_I 01000218 d1fc07c9 - 1627490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1627570 ns MR4_D 40006004 00000001 - 1627570 ns R r1 00000001 - 1627570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1627590 ns MR4_I 0100021c 1c5b6002 - 1627590 ns R r1 80000000 - 1627590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1627610 ns R psr 81000200 - 1627630 ns MR4_I 01000214 6841d006 - 1627650 ns MR4_I 01000218 d1fc07c9 - 1627650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1627730 ns MR4_D 40006004 00000001 - 1627730 ns R r1 00000001 - 1627730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1627750 ns MR4_I 0100021c 1c5b6002 - 1627750 ns R r1 80000000 - 1627750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1627770 ns R psr 81000200 - 1627790 ns MR4_I 01000214 6841d006 - 1627810 ns MR4_I 01000218 d1fc07c9 - 1627810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1627890 ns MR4_D 40006004 00000001 - 1627890 ns R r1 00000001 - 1627890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1627910 ns MR4_I 0100021c 1c5b6002 - 1627910 ns R r1 80000000 - 1627910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1627930 ns R psr 81000200 - 1627950 ns MR4_I 01000214 6841d006 - 1627970 ns MR4_I 01000218 d1fc07c9 - 1627970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1628050 ns MR4_D 40006004 00000001 - 1628050 ns R r1 00000001 - 1628050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1628070 ns MR4_I 0100021c 1c5b6002 - 1628070 ns R r1 80000000 - 1628070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1628090 ns R psr 81000200 - 1628110 ns MR4_I 01000214 6841d006 - 1628130 ns MR4_I 01000218 d1fc07c9 - 1628130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1628210 ns MR4_D 40006004 00000001 - 1628210 ns R r1 00000001 - 1628210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1628230 ns MR4_I 0100021c 1c5b6002 - 1628230 ns R r1 80000000 - 1628230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1628250 ns R psr 81000200 - 1628270 ns MR4_I 01000214 6841d006 - 1628290 ns MR4_I 01000218 d1fc07c9 - 1628290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1628370 ns MR4_D 40006004 00000001 - 1628370 ns R r1 00000001 - 1628370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1628390 ns MR4_I 0100021c 1c5b6002 - 1628390 ns R r1 80000000 - 1628390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1628410 ns R psr 81000200 - 1628430 ns MR4_I 01000214 6841d006 - 1628450 ns MR4_I 01000218 d1fc07c9 - 1628450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1628530 ns MR4_D 40006004 00000001 - 1628530 ns R r1 00000001 - 1628530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1628550 ns MR4_I 0100021c 1c5b6002 - 1628550 ns R r1 80000000 - 1628550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1628570 ns R psr 81000200 - 1628590 ns MR4_I 01000214 6841d006 - 1628610 ns MR4_I 01000218 d1fc07c9 - 1628610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1628690 ns MR4_D 40006004 00000001 - 1628690 ns R r1 00000001 - 1628690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1628710 ns MR4_I 0100021c 1c5b6002 - 1628710 ns R r1 80000000 - 1628710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1628730 ns R psr 81000200 - 1628750 ns MR4_I 01000214 6841d006 - 1628770 ns MR4_I 01000218 d1fc07c9 - 1628770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1628850 ns MR4_D 40006004 00000001 - 1628850 ns R r1 00000001 - 1628850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1628870 ns MR4_I 0100021c 1c5b6002 - 1628870 ns R r1 80000000 - 1628870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1628890 ns R psr 81000200 - 1628910 ns MR4_I 01000214 6841d006 - 1628930 ns MR4_I 01000218 d1fc07c9 - 1628930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1629010 ns MR4_D 40006004 00000001 - 1629010 ns R r1 00000001 - 1629010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1629030 ns MR4_I 0100021c 1c5b6002 - 1629030 ns R r1 80000000 - 1629030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1629050 ns R psr 81000200 - 1629070 ns MR4_I 01000214 6841d006 - 1629090 ns MR4_I 01000218 d1fc07c9 - 1629090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1629170 ns MR4_D 40006004 00000001 - 1629170 ns R r1 00000001 - 1629170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1629190 ns MR4_I 0100021c 1c5b6002 - 1629190 ns R r1 80000000 - 1629190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1629210 ns R psr 81000200 - 1629230 ns MR4_I 01000214 6841d006 - 1629250 ns MR4_I 01000218 d1fc07c9 - 1629250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1629330 ns MR4_D 40006004 00000001 - 1629330 ns R r1 00000001 - 1629330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1629350 ns MR4_I 0100021c 1c5b6002 - 1629350 ns R r1 80000000 - 1629350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1629370 ns R psr 81000200 - 1629390 ns MR4_I 01000214 6841d006 - 1629410 ns MR4_I 01000218 d1fc07c9 - 1629410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1629490 ns MR4_D 40006004 00000001 - 1629490 ns R r1 00000001 - 1629490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1629510 ns MR4_I 0100021c 1c5b6002 - 1629510 ns R r1 80000000 - 1629510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1629530 ns R psr 81000200 - 1629550 ns MR4_I 01000214 6841d006 - 1629570 ns MR4_I 01000218 d1fc07c9 - 1629570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1629650 ns MR4_D 40006004 00000001 - 1629650 ns R r1 00000001 - 1629650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1629670 ns MR4_I 0100021c 1c5b6002 - 1629670 ns R r1 80000000 - 1629670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1629690 ns R psr 81000200 - 1629710 ns MR4_I 01000214 6841d006 - 1629730 ns MR4_I 01000218 d1fc07c9 - 1629730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1629810 ns MR4_D 40006004 00000001 - 1629810 ns R r1 00000001 - 1629810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1629830 ns MR4_I 0100021c 1c5b6002 - 1629830 ns R r1 80000000 - 1629830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1629850 ns R psr 81000200 - 1629870 ns MR4_I 01000214 6841d006 - 1629890 ns MR4_I 01000218 d1fc07c9 - 1629890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1629970 ns MR4_D 40006004 00000001 - 1629970 ns R r1 00000001 - 1629970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1629990 ns MR4_I 0100021c 1c5b6002 - 1629990 ns R r1 80000000 - 1629990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1630010 ns R psr 81000200 - 1630030 ns MR4_I 01000214 6841d006 - 1630050 ns MR4_I 01000218 d1fc07c9 - 1630050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1630130 ns MR4_D 40006004 00000001 - 1630130 ns R r1 00000001 - 1630130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1630150 ns MR4_I 0100021c 1c5b6002 - 1630150 ns R r1 80000000 - 1630150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1630170 ns R psr 81000200 - 1630190 ns MR4_I 01000214 6841d006 - 1630210 ns MR4_I 01000218 d1fc07c9 - 1630210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1630290 ns MR4_D 40006004 00000001 - 1630290 ns R r1 00000001 - 1630290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1630310 ns MR4_I 0100021c 1c5b6002 - 1630310 ns R r1 80000000 - 1630310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1630330 ns R psr 81000200 - 1630350 ns MR4_I 01000214 6841d006 - 1630370 ns MR4_I 01000218 d1fc07c9 - 1630370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1630450 ns MR4_D 40006004 00000001 - 1630450 ns R r1 00000001 - 1630450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1630470 ns MR4_I 0100021c 1c5b6002 - 1630470 ns R r1 80000000 - 1630470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1630490 ns R psr 81000200 - 1630510 ns MR4_I 01000214 6841d006 - 1630530 ns MR4_I 01000218 d1fc07c9 - 1630530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1630610 ns MR4_D 40006004 00000001 - 1630610 ns R r1 00000001 - 1630610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1630630 ns MR4_I 0100021c 1c5b6002 - 1630630 ns R r1 80000000 - 1630630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1630650 ns R psr 81000200 - 1630670 ns MR4_I 01000214 6841d006 - 1630690 ns MR4_I 01000218 d1fc07c9 - 1630690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1630770 ns MR4_D 40006004 00000001 - 1630770 ns R r1 00000001 - 1630770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1630790 ns MR4_I 0100021c 1c5b6002 - 1630790 ns R r1 80000000 - 1630790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1630810 ns R psr 81000200 - 1630830 ns MR4_I 01000214 6841d006 - 1630850 ns MR4_I 01000218 d1fc07c9 - 1630850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1630930 ns MR4_D 40006004 00000001 - 1630930 ns R r1 00000001 - 1630930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1630950 ns MR4_I 0100021c 1c5b6002 - 1630950 ns R r1 80000000 - 1630950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1630970 ns R psr 81000200 - 1630990 ns MR4_I 01000214 6841d006 - 1631010 ns MR4_I 01000218 d1fc07c9 - 1631010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1631090 ns MR4_D 40006004 00000001 - 1631090 ns R r1 00000001 - 1631090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1631110 ns MR4_I 0100021c 1c5b6002 - 1631110 ns R r1 80000000 - 1631110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1631130 ns R psr 81000200 - 1631150 ns MR4_I 01000214 6841d006 - 1631170 ns MR4_I 01000218 d1fc07c9 - 1631170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1631250 ns MR4_D 40006004 00000001 - 1631250 ns R r1 00000001 - 1631250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1631270 ns MR4_I 0100021c 1c5b6002 - 1631270 ns R r1 80000000 - 1631270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1631290 ns R psr 81000200 - 1631310 ns MR4_I 01000214 6841d006 - 1631330 ns MR4_I 01000218 d1fc07c9 - 1631330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1631410 ns MR4_D 40006004 00000001 - 1631410 ns R r1 00000001 - 1631410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1631430 ns MR4_I 0100021c 1c5b6002 - 1631430 ns R r1 80000000 - 1631430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1631450 ns R psr 81000200 - 1631470 ns MR4_I 01000214 6841d006 - 1631490 ns MR4_I 01000218 d1fc07c9 - 1631490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1631570 ns MR4_D 40006004 00000001 - 1631570 ns R r1 00000001 - 1631570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1631590 ns MR4_I 0100021c 1c5b6002 - 1631590 ns R r1 80000000 - 1631590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1631610 ns R psr 81000200 - 1631630 ns MR4_I 01000214 6841d006 - 1631650 ns MR4_I 01000218 d1fc07c9 - 1631650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1631730 ns MR4_D 40006004 00000001 - 1631730 ns R r1 00000001 - 1631730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1631750 ns MR4_I 0100021c 1c5b6002 - 1631750 ns R r1 80000000 - 1631750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1631770 ns R psr 81000200 - 1631790 ns MR4_I 01000214 6841d006 - 1631810 ns MR4_I 01000218 d1fc07c9 - 1631810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1631890 ns MR4_D 40006004 00000001 - 1631890 ns R r1 00000001 - 1631890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1631910 ns MR4_I 0100021c 1c5b6002 - 1631910 ns R r1 80000000 - 1631910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1631930 ns R psr 81000200 - 1631950 ns MR4_I 01000214 6841d006 - 1631970 ns MR4_I 01000218 d1fc07c9 - 1631970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1632050 ns MR4_D 40006004 00000001 - 1632050 ns R r1 00000001 - 1632050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1632070 ns MR4_I 0100021c 1c5b6002 - 1632070 ns R r1 80000000 - 1632070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1632090 ns R psr 81000200 - 1632110 ns MR4_I 01000214 6841d006 - 1632130 ns MR4_I 01000218 d1fc07c9 - 1632130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1632210 ns MR4_D 40006004 00000001 - 1632210 ns R r1 00000001 - 1632210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1632230 ns MR4_I 0100021c 1c5b6002 - 1632230 ns R r1 80000000 - 1632230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1632250 ns R psr 81000200 - 1632270 ns MR4_I 01000214 6841d006 - 1632290 ns MR4_I 01000218 d1fc07c9 - 1632290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1632370 ns MR4_D 40006004 00000001 - 1632370 ns R r1 00000001 - 1632370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1632390 ns MR4_I 0100021c 1c5b6002 - 1632390 ns R r1 80000000 - 1632390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1632410 ns R psr 81000200 - 1632430 ns MR4_I 01000214 6841d006 - 1632450 ns MR4_I 01000218 d1fc07c9 - 1632450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1632530 ns MR4_D 40006004 00000001 - 1632530 ns R r1 00000001 - 1632530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1632550 ns MR4_I 0100021c 1c5b6002 - 1632550 ns R r1 80000000 - 1632550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1632570 ns R psr 81000200 - 1632590 ns MR4_I 01000214 6841d006 - 1632610 ns MR4_I 01000218 d1fc07c9 - 1632610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1632690 ns MR4_D 40006004 00000001 - 1632690 ns R r1 00000001 - 1632690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1632710 ns MR4_I 0100021c 1c5b6002 - 1632710 ns R r1 80000000 - 1632710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1632730 ns R psr 81000200 - 1632750 ns MR4_I 01000214 6841d006 - 1632770 ns MR4_I 01000218 d1fc07c9 - 1632770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1632850 ns MR4_D 40006004 00000001 - 1632850 ns R r1 00000001 - 1632850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1632870 ns MR4_I 0100021c 1c5b6002 - 1632870 ns R r1 80000000 - 1632870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1632890 ns R psr 81000200 - 1632910 ns MR4_I 01000214 6841d006 - 1632930 ns MR4_I 01000218 d1fc07c9 - 1632930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1633010 ns MR4_D 40006004 00000001 - 1633010 ns R r1 00000001 - 1633010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1633030 ns MR4_I 0100021c 1c5b6002 - 1633030 ns R r1 80000000 - 1633030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1633050 ns R psr 81000200 - 1633070 ns MR4_I 01000214 6841d006 - 1633090 ns MR4_I 01000218 d1fc07c9 - 1633090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1633170 ns MR4_D 40006004 00000001 - 1633170 ns R r1 00000001 - 1633170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1633190 ns MR4_I 0100021c 1c5b6002 - 1633190 ns R r1 80000000 - 1633190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1633210 ns R psr 81000200 - 1633230 ns MR4_I 01000214 6841d006 - 1633250 ns MR4_I 01000218 d1fc07c9 - 1633250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1633330 ns MR4_D 40006004 00000001 - 1633330 ns R r1 00000001 - 1633330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1633350 ns MR4_I 0100021c 1c5b6002 - 1633350 ns R r1 80000000 - 1633350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1633370 ns R psr 81000200 - 1633390 ns MR4_I 01000214 6841d006 - 1633410 ns MR4_I 01000218 d1fc07c9 - 1633410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1633490 ns MR4_D 40006004 00000001 - 1633490 ns R r1 00000001 - 1633490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1633510 ns MR4_I 0100021c 1c5b6002 - 1633510 ns R r1 80000000 - 1633510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1633530 ns R psr 81000200 - 1633550 ns MR4_I 01000214 6841d006 - 1633570 ns MR4_I 01000218 d1fc07c9 - 1633570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1633650 ns MR4_D 40006004 00000001 - 1633650 ns R r1 00000001 - 1633650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1633670 ns MR4_I 0100021c 1c5b6002 - 1633670 ns R r1 80000000 - 1633670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1633690 ns R psr 81000200 - 1633710 ns MR4_I 01000214 6841d006 - 1633730 ns MR4_I 01000218 d1fc07c9 - 1633730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1633810 ns MR4_D 40006004 00000001 - 1633810 ns R r1 00000001 - 1633810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1633830 ns MR4_I 0100021c 1c5b6002 - 1633830 ns R r1 80000000 - 1633830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1633850 ns R psr 81000200 - 1633870 ns MR4_I 01000214 6841d006 - 1633890 ns MR4_I 01000218 d1fc07c9 - 1633890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1633970 ns MR4_D 40006004 00000001 - 1633970 ns R r1 00000001 - 1633970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1633990 ns MR4_I 0100021c 1c5b6002 - 1633990 ns R r1 80000000 - 1633990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1634010 ns R psr 81000200 - 1634030 ns MR4_I 01000214 6841d006 - 1634050 ns MR4_I 01000218 d1fc07c9 - 1634050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1634130 ns MR4_D 40006004 00000001 - 1634130 ns R r1 00000001 - 1634130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1634150 ns MR4_I 0100021c 1c5b6002 - 1634150 ns R r1 80000000 - 1634150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1634170 ns R psr 81000200 - 1634190 ns MR4_I 01000214 6841d006 - 1634210 ns MR4_I 01000218 d1fc07c9 - 1634210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1634290 ns MR4_D 40006004 00000001 - 1634290 ns R r1 00000001 - 1634290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1634310 ns MR4_I 0100021c 1c5b6002 - 1634310 ns R r1 80000000 - 1634310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1634330 ns R psr 81000200 - 1634350 ns MR4_I 01000214 6841d006 - 1634370 ns MR4_I 01000218 d1fc07c9 - 1634370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1634450 ns MR4_D 40006004 00000001 - 1634450 ns R r1 00000001 - 1634450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1634470 ns MR4_I 0100021c 1c5b6002 - 1634470 ns R r1 80000000 - 1634470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1634490 ns R psr 81000200 - 1634510 ns MR4_I 01000214 6841d006 - 1634530 ns MR4_I 01000218 d1fc07c9 - 1634530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1634610 ns MR4_D 40006004 00000001 - 1634610 ns R r1 00000001 - 1634610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1634630 ns MR4_I 0100021c 1c5b6002 - 1634630 ns R r1 80000000 - 1634630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1634650 ns R psr 81000200 - 1634670 ns MR4_I 01000214 6841d006 - 1634690 ns MR4_I 01000218 d1fc07c9 - 1634690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1634770 ns MR4_D 40006004 00000001 - 1634770 ns R r1 00000001 - 1634770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1634790 ns MR4_I 0100021c 1c5b6002 - 1634790 ns R r1 80000000 - 1634790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1634810 ns R psr 81000200 - 1634830 ns MR4_I 01000214 6841d006 - 1634850 ns MR4_I 01000218 d1fc07c9 - 1634850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1634930 ns MR4_D 40006004 00000001 - 1634930 ns R r1 00000001 - 1634930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1634950 ns MR4_I 0100021c 1c5b6002 - 1634950 ns R r1 80000000 - 1634950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1634970 ns R psr 81000200 - 1634990 ns MR4_I 01000214 6841d006 - 1635010 ns MR4_I 01000218 d1fc07c9 - 1635010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1635090 ns MR4_D 40006004 00000001 - 1635090 ns R r1 00000001 - 1635090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1635110 ns MR4_I 0100021c 1c5b6002 - 1635110 ns R r1 80000000 - 1635110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1635130 ns R psr 81000200 - 1635150 ns MR4_I 01000214 6841d006 - 1635170 ns MR4_I 01000218 d1fc07c9 - 1635170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1635250 ns MR4_D 40006004 00000001 - 1635250 ns R r1 00000001 - 1635250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1635270 ns MR4_I 0100021c 1c5b6002 - 1635270 ns R r1 80000000 - 1635270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1635290 ns R psr 81000200 - 1635310 ns MR4_I 01000214 6841d006 - 1635330 ns MR4_I 01000218 d1fc07c9 - 1635330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1635410 ns MR4_D 40006004 00000001 - 1635410 ns R r1 00000001 - 1635410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1635430 ns MR4_I 0100021c 1c5b6002 - 1635430 ns R r1 80000000 - 1635430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1635450 ns R psr 81000200 - 1635470 ns MR4_I 01000214 6841d006 - 1635490 ns MR4_I 01000218 d1fc07c9 - 1635490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1635570 ns MR4_D 40006004 00000001 - 1635570 ns R r1 00000001 - 1635570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1635590 ns MR4_I 0100021c 1c5b6002 - 1635590 ns R r1 80000000 - 1635590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1635610 ns R psr 81000200 - 1635630 ns MR4_I 01000214 6841d006 - 1635650 ns MR4_I 01000218 d1fc07c9 - 1635650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1635730 ns MR4_D 40006004 00000001 - 1635730 ns R r1 00000001 - 1635730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1635750 ns MR4_I 0100021c 1c5b6002 - 1635750 ns R r1 80000000 - 1635750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1635770 ns R psr 81000200 - 1635790 ns MR4_I 01000214 6841d006 - 1635810 ns MR4_I 01000218 d1fc07c9 - 1635810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1635890 ns MR4_D 40006004 00000001 - 1635890 ns R r1 00000001 - 1635890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1635910 ns MR4_I 0100021c 1c5b6002 - 1635910 ns R r1 80000000 - 1635910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1635930 ns R psr 81000200 - 1635950 ns MR4_I 01000214 6841d006 - 1635970 ns MR4_I 01000218 d1fc07c9 - 1635970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1636050 ns MR4_D 40006004 00000001 - 1636050 ns R r1 00000001 - 1636050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1636070 ns MR4_I 0100021c 1c5b6002 - 1636070 ns R r1 80000000 - 1636070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1636090 ns R psr 81000200 - 1636110 ns MR4_I 01000214 6841d006 - 1636130 ns MR4_I 01000218 d1fc07c9 - 1636130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1636210 ns MR4_D 40006004 00000001 - 1636210 ns R r1 00000001 - 1636210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1636230 ns MR4_I 0100021c 1c5b6002 - 1636230 ns R r1 80000000 - 1636230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1636250 ns R psr 81000200 - 1636270 ns MR4_I 01000214 6841d006 - 1636290 ns MR4_I 01000218 d1fc07c9 - 1636290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1636370 ns MR4_D 40006004 00000001 - 1636370 ns R r1 00000001 - 1636370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1636390 ns MR4_I 0100021c 1c5b6002 - 1636390 ns R r1 80000000 - 1636390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1636410 ns R psr 81000200 - 1636430 ns MR4_I 01000214 6841d006 - 1636450 ns MR4_I 01000218 d1fc07c9 - 1636450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1636530 ns MR4_D 40006004 00000001 - 1636530 ns R r1 00000001 - 1636530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1636550 ns MR4_I 0100021c 1c5b6002 - 1636550 ns R r1 80000000 - 1636550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1636570 ns R psr 81000200 - 1636590 ns MR4_I 01000214 6841d006 - 1636610 ns MR4_I 01000218 d1fc07c9 - 1636610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1636690 ns MR4_D 40006004 00000001 - 1636690 ns R r1 00000001 - 1636690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1636710 ns MR4_I 0100021c 1c5b6002 - 1636710 ns R r1 80000000 - 1636710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1636730 ns R psr 81000200 - 1636750 ns MR4_I 01000214 6841d006 - 1636770 ns MR4_I 01000218 d1fc07c9 - 1636770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1636850 ns MR4_D 40006004 00000001 - 1636850 ns R r1 00000001 - 1636850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1636870 ns MR4_I 0100021c 1c5b6002 - 1636870 ns R r1 80000000 - 1636870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1636890 ns R psr 81000200 - 1636910 ns MR4_I 01000214 6841d006 - 1636930 ns MR4_I 01000218 d1fc07c9 - 1636930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1637010 ns MR4_D 40006004 00000001 - 1637010 ns R r1 00000001 - 1637010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1637030 ns MR4_I 0100021c 1c5b6002 - 1637030 ns R r1 80000000 - 1637030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1637050 ns R psr 81000200 - 1637070 ns MR4_I 01000214 6841d006 - 1637090 ns MR4_I 01000218 d1fc07c9 - 1637090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1637170 ns MR4_D 40006004 00000001 - 1637170 ns R r1 00000001 - 1637170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1637190 ns MR4_I 0100021c 1c5b6002 - 1637190 ns R r1 80000000 - 1637190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1637210 ns R psr 81000200 - 1637230 ns MR4_I 01000214 6841d006 - 1637250 ns MR4_I 01000218 d1fc07c9 - 1637250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1637330 ns MR4_D 40006004 00000001 - 1637330 ns R r1 00000001 - 1637330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1637350 ns MR4_I 0100021c 1c5b6002 - 1637350 ns R r1 80000000 - 1637350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1637370 ns R psr 81000200 - 1637390 ns MR4_I 01000214 6841d006 - 1637410 ns MR4_I 01000218 d1fc07c9 - 1637410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1637490 ns MR4_D 40006004 00000001 - 1637490 ns R r1 00000001 - 1637490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1637510 ns MR4_I 0100021c 1c5b6002 - 1637510 ns R r1 80000000 - 1637510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1637530 ns R psr 81000200 - 1637550 ns MR4_I 01000214 6841d006 - 1637570 ns MR4_I 01000218 d1fc07c9 - 1637570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1637650 ns MR4_D 40006004 00000001 - 1637650 ns R r1 00000001 - 1637650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1637670 ns MR4_I 0100021c 1c5b6002 - 1637670 ns R r1 80000000 - 1637670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1637690 ns R psr 81000200 - 1637710 ns MR4_I 01000214 6841d006 - 1637730 ns MR4_I 01000218 d1fc07c9 - 1637730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1637810 ns MR4_D 40006004 00000001 - 1637810 ns R r1 00000001 - 1637810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1637830 ns MR4_I 0100021c 1c5b6002 - 1637830 ns R r1 80000000 - 1637830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1637850 ns R psr 81000200 - 1637870 ns MR4_I 01000214 6841d006 - 1637890 ns MR4_I 01000218 d1fc07c9 - 1637890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1637970 ns MR4_D 40006004 00000001 - 1637970 ns R r1 00000001 - 1637970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1637990 ns MR4_I 0100021c 1c5b6002 - 1637990 ns R r1 80000000 - 1637990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1638010 ns R psr 81000200 - 1638030 ns MR4_I 01000214 6841d006 - 1638050 ns MR4_I 01000218 d1fc07c9 - 1638050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1638130 ns MR4_D 40006004 00000001 - 1638130 ns R r1 00000001 - 1638130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1638150 ns MR4_I 0100021c 1c5b6002 - 1638150 ns R r1 80000000 - 1638150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1638170 ns R psr 81000200 - 1638190 ns MR4_I 01000214 6841d006 - 1638210 ns MR4_I 01000218 d1fc07c9 - 1638210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1638290 ns MR4_D 40006004 00000001 - 1638290 ns R r1 00000001 - 1638290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1638310 ns MR4_I 0100021c 1c5b6002 - 1638310 ns R r1 80000000 - 1638310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1638330 ns R psr 81000200 - 1638350 ns MR4_I 01000214 6841d006 - 1638370 ns MR4_I 01000218 d1fc07c9 - 1638370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1638450 ns MR4_D 40006004 00000001 - 1638450 ns R r1 00000001 - 1638450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1638470 ns MR4_I 0100021c 1c5b6002 - 1638470 ns R r1 80000000 - 1638470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1638490 ns R psr 81000200 - 1638510 ns MR4_I 01000214 6841d006 - 1638530 ns MR4_I 01000218 d1fc07c9 - 1638530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1638610 ns MR4_D 40006004 00000001 - 1638610 ns R r1 00000001 - 1638610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1638630 ns MR4_I 0100021c 1c5b6002 - 1638630 ns R r1 80000000 - 1638630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1638650 ns R psr 81000200 - 1638670 ns MR4_I 01000214 6841d006 - 1638690 ns MR4_I 01000218 d1fc07c9 - 1638690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1638770 ns MR4_D 40006004 00000001 - 1638770 ns R r1 00000001 - 1638770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1638790 ns MR4_I 0100021c 1c5b6002 - 1638790 ns R r1 80000000 - 1638790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1638810 ns R psr 81000200 - 1638830 ns MR4_I 01000214 6841d006 - 1638850 ns MR4_I 01000218 d1fc07c9 - 1638850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1638930 ns MR4_D 40006004 00000001 - 1638930 ns R r1 00000001 - 1638930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1638950 ns MR4_I 0100021c 1c5b6002 - 1638950 ns R r1 80000000 - 1638950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1638970 ns R psr 81000200 - 1638990 ns MR4_I 01000214 6841d006 - 1639010 ns MR4_I 01000218 d1fc07c9 - 1639010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1639090 ns MR4_D 40006004 00000000 - 1639090 ns R r1 00000000 - 1639090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1639110 ns MR4_I 0100021c 1c5b6002 - 1639110 ns R r1 00000000 - 1639110 ns IS 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1639130 ns R psr 41000200 - 1639130 ns IT 0100021c 6002 STR r2,[r0,#0] - 1639150 ns MR4_I 01000220 d1f52a00 - 1639210 ns MW4_D 40006000 00000020 - 1639210 ns IT 0100021e 1c5b ADDS r3,r3,#1 - 1639230 ns R r3 010002c0 - 1639230 ns IT 01000220 2a00 CMP r2,#0 - 1639250 ns R psr 01000200 - 1639250 ns MR4_I 01000224 680a4911 - 1639250 ns IT 01000222 d1f5 BNE {pc} - 0x12 ; 0x1000210 - 1639270 ns R psr 21000200 - 1639290 ns MR4_I 01000210 2a00781a - 1639310 ns IT 01000210 781a LDRB r2,[r3,#0] - 1639330 ns MR4_I 01000214 6841d006 - 1639350 ns MR1_D 010002c0 73616c66 - 1639350 ns R r2 00000066 - 1639350 ns IT 01000212 2a00 CMP r2,#0 - 1639370 ns IS 01000214 d006 BEQ {pc} + 0x10 ; 0x1000224 - 1639390 ns R psr 21000200 - 1639390 ns MR4_I 01000218 d1fc07c9 - 1639390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1639470 ns MR4_D 40006004 00000001 - 1639470 ns R r1 00000001 - 1639470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1639490 ns MR4_I 0100021c 1c5b6002 - 1639490 ns R r1 80000000 - 1639490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1639510 ns R psr 81000200 - 1639530 ns MR4_I 01000214 6841d006 - 1639550 ns MR4_I 01000218 d1fc07c9 - 1639550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1639630 ns MR4_D 40006004 00000001 - 1639630 ns R r1 00000001 - 1639630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1639650 ns MR4_I 0100021c 1c5b6002 - 1639650 ns R r1 80000000 - 1639650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1639670 ns R psr 81000200 - 1639690 ns MR4_I 01000214 6841d006 - 1639710 ns MR4_I 01000218 d1fc07c9 - 1639710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1639790 ns MR4_D 40006004 00000001 - 1639790 ns R r1 00000001 - 1639790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1639810 ns MR4_I 0100021c 1c5b6002 - 1639810 ns R r1 80000000 - 1639810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1639830 ns R psr 81000200 - 1639850 ns MR4_I 01000214 6841d006 - 1639870 ns MR4_I 01000218 d1fc07c9 - 1639870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1639950 ns MR4_D 40006004 00000001 - 1639950 ns R r1 00000001 - 1639950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1639970 ns MR4_I 0100021c 1c5b6002 - 1639970 ns R r1 80000000 - 1639970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1639990 ns R psr 81000200 - 1640010 ns MR4_I 01000214 6841d006 - 1640030 ns MR4_I 01000218 d1fc07c9 - 1640030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1640110 ns MR4_D 40006004 00000001 - 1640110 ns R r1 00000001 - 1640110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1640130 ns MR4_I 0100021c 1c5b6002 - 1640130 ns R r1 80000000 - 1640130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1640150 ns R psr 81000200 - 1640170 ns MR4_I 01000214 6841d006 - 1640190 ns MR4_I 01000218 d1fc07c9 - 1640190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1640270 ns MR4_D 40006004 00000001 - 1640270 ns R r1 00000001 - 1640270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1640290 ns MR4_I 0100021c 1c5b6002 - 1640290 ns R r1 80000000 - 1640290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1640310 ns R psr 81000200 - 1640330 ns MR4_I 01000214 6841d006 - 1640350 ns MR4_I 01000218 d1fc07c9 - 1640350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1640430 ns MR4_D 40006004 00000001 - 1640430 ns R r1 00000001 - 1640430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1640450 ns MR4_I 0100021c 1c5b6002 - 1640450 ns R r1 80000000 - 1640450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1640470 ns R psr 81000200 - 1640490 ns MR4_I 01000214 6841d006 - 1640510 ns MR4_I 01000218 d1fc07c9 - 1640510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1640590 ns MR4_D 40006004 00000001 - 1640590 ns R r1 00000001 - 1640590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1640610 ns MR4_I 0100021c 1c5b6002 - 1640610 ns R r1 80000000 - 1640610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1640630 ns R psr 81000200 - 1640650 ns MR4_I 01000214 6841d006 - 1640670 ns MR4_I 01000218 d1fc07c9 - 1640670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1640750 ns MR4_D 40006004 00000001 - 1640750 ns R r1 00000001 - 1640750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1640770 ns MR4_I 0100021c 1c5b6002 - 1640770 ns R r1 80000000 - 1640770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1640790 ns R psr 81000200 - 1640810 ns MR4_I 01000214 6841d006 - 1640830 ns MR4_I 01000218 d1fc07c9 - 1640830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1640910 ns MR4_D 40006004 00000001 - 1640910 ns R r1 00000001 - 1640910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1640930 ns MR4_I 0100021c 1c5b6002 - 1640930 ns R r1 80000000 - 1640930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1640950 ns R psr 81000200 - 1640970 ns MR4_I 01000214 6841d006 - 1640990 ns MR4_I 01000218 d1fc07c9 - 1640990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1641070 ns MR4_D 40006004 00000001 - 1641070 ns R r1 00000001 - 1641070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1641090 ns MR4_I 0100021c 1c5b6002 - 1641090 ns R r1 80000000 - 1641090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1641110 ns R psr 81000200 - 1641130 ns MR4_I 01000214 6841d006 - 1641150 ns MR4_I 01000218 d1fc07c9 - 1641150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1641230 ns MR4_D 40006004 00000001 - 1641230 ns R r1 00000001 - 1641230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1641250 ns MR4_I 0100021c 1c5b6002 - 1641250 ns R r1 80000000 - 1641250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1641270 ns R psr 81000200 - 1641290 ns MR4_I 01000214 6841d006 - 1641310 ns MR4_I 01000218 d1fc07c9 - 1641310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1641390 ns MR4_D 40006004 00000001 - 1641390 ns R r1 00000001 - 1641390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1641410 ns MR4_I 0100021c 1c5b6002 - 1641410 ns R r1 80000000 - 1641410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1641430 ns R psr 81000200 - 1641450 ns MR4_I 01000214 6841d006 - 1641470 ns MR4_I 01000218 d1fc07c9 - 1641470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1641550 ns MR4_D 40006004 00000001 - 1641550 ns R r1 00000001 - 1641550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1641570 ns MR4_I 0100021c 1c5b6002 - 1641570 ns R r1 80000000 - 1641570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1641590 ns R psr 81000200 - 1641610 ns MR4_I 01000214 6841d006 - 1641630 ns MR4_I 01000218 d1fc07c9 - 1641630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1641710 ns MR4_D 40006004 00000001 - 1641710 ns R r1 00000001 - 1641710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1641730 ns MR4_I 0100021c 1c5b6002 - 1641730 ns R r1 80000000 - 1641730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1641750 ns R psr 81000200 - 1641770 ns MR4_I 01000214 6841d006 - 1641790 ns MR4_I 01000218 d1fc07c9 - 1641790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1641870 ns MR4_D 40006004 00000001 - 1641870 ns R r1 00000001 - 1641870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1641890 ns MR4_I 0100021c 1c5b6002 - 1641890 ns R r1 80000000 - 1641890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1641910 ns R psr 81000200 - 1641930 ns MR4_I 01000214 6841d006 - 1641950 ns MR4_I 01000218 d1fc07c9 - 1641950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1642030 ns MR4_D 40006004 00000001 - 1642030 ns R r1 00000001 - 1642030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1642050 ns MR4_I 0100021c 1c5b6002 - 1642050 ns R r1 80000000 - 1642050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1642070 ns R psr 81000200 - 1642090 ns MR4_I 01000214 6841d006 - 1642110 ns MR4_I 01000218 d1fc07c9 - 1642110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1642190 ns MR4_D 40006004 00000001 - 1642190 ns R r1 00000001 - 1642190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1642210 ns MR4_I 0100021c 1c5b6002 - 1642210 ns R r1 80000000 - 1642210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1642230 ns R psr 81000200 - 1642250 ns MR4_I 01000214 6841d006 - 1642270 ns MR4_I 01000218 d1fc07c9 - 1642270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1642350 ns MR4_D 40006004 00000001 - 1642350 ns R r1 00000001 - 1642350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1642370 ns MR4_I 0100021c 1c5b6002 - 1642370 ns R r1 80000000 - 1642370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1642390 ns R psr 81000200 - 1642410 ns MR4_I 01000214 6841d006 - 1642430 ns MR4_I 01000218 d1fc07c9 - 1642430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1642510 ns MR4_D 40006004 00000001 - 1642510 ns R r1 00000001 - 1642510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1642530 ns MR4_I 0100021c 1c5b6002 - 1642530 ns R r1 80000000 - 1642530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1642550 ns R psr 81000200 - 1642570 ns MR4_I 01000214 6841d006 - 1642590 ns MR4_I 01000218 d1fc07c9 - 1642590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1642670 ns MR4_D 40006004 00000001 - 1642670 ns R r1 00000001 - 1642670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1642690 ns MR4_I 0100021c 1c5b6002 - 1642690 ns R r1 80000000 - 1642690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1642710 ns R psr 81000200 - 1642730 ns MR4_I 01000214 6841d006 - 1642750 ns MR4_I 01000218 d1fc07c9 - 1642750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1642830 ns MR4_D 40006004 00000001 - 1642830 ns R r1 00000001 - 1642830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1642850 ns MR4_I 0100021c 1c5b6002 - 1642850 ns R r1 80000000 - 1642850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1642870 ns R psr 81000200 - 1642890 ns MR4_I 01000214 6841d006 - 1642910 ns MR4_I 01000218 d1fc07c9 - 1642910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1642990 ns MR4_D 40006004 00000001 - 1642990 ns R r1 00000001 - 1642990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1643010 ns MR4_I 0100021c 1c5b6002 - 1643010 ns R r1 80000000 - 1643010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1643030 ns R psr 81000200 - 1643050 ns MR4_I 01000214 6841d006 - 1643070 ns MR4_I 01000218 d1fc07c9 - 1643070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1643150 ns MR4_D 40006004 00000001 - 1643150 ns R r1 00000001 - 1643150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1643170 ns MR4_I 0100021c 1c5b6002 - 1643170 ns R r1 80000000 - 1643170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1643190 ns R psr 81000200 - 1643210 ns MR4_I 01000214 6841d006 - 1643230 ns MR4_I 01000218 d1fc07c9 - 1643230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1643310 ns MR4_D 40006004 00000001 - 1643310 ns R r1 00000001 - 1643310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1643330 ns MR4_I 0100021c 1c5b6002 - 1643330 ns R r1 80000000 - 1643330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1643350 ns R psr 81000200 - 1643370 ns MR4_I 01000214 6841d006 - 1643390 ns MR4_I 01000218 d1fc07c9 - 1643390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1643470 ns MR4_D 40006004 00000001 - 1643470 ns R r1 00000001 - 1643470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1643490 ns MR4_I 0100021c 1c5b6002 - 1643490 ns R r1 80000000 - 1643490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1643510 ns R psr 81000200 - 1643530 ns MR4_I 01000214 6841d006 - 1643550 ns MR4_I 01000218 d1fc07c9 - 1643550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1643630 ns MR4_D 40006004 00000001 - 1643630 ns R r1 00000001 - 1643630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1643650 ns MR4_I 0100021c 1c5b6002 - 1643650 ns R r1 80000000 - 1643650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1643670 ns R psr 81000200 - 1643690 ns MR4_I 01000214 6841d006 - 1643710 ns MR4_I 01000218 d1fc07c9 - 1643710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1643790 ns MR4_D 40006004 00000001 - 1643790 ns R r1 00000001 - 1643790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1643810 ns MR4_I 0100021c 1c5b6002 - 1643810 ns R r1 80000000 - 1643810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1643830 ns R psr 81000200 - 1643850 ns MR4_I 01000214 6841d006 - 1643870 ns MR4_I 01000218 d1fc07c9 - 1643870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1643950 ns MR4_D 40006004 00000001 - 1643950 ns R r1 00000001 - 1643950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1643970 ns MR4_I 0100021c 1c5b6002 - 1643970 ns R r1 80000000 - 1643970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1643990 ns R psr 81000200 - 1644010 ns MR4_I 01000214 6841d006 - 1644030 ns MR4_I 01000218 d1fc07c9 - 1644030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1644110 ns MR4_D 40006004 00000001 - 1644110 ns R r1 00000001 - 1644110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1644130 ns MR4_I 0100021c 1c5b6002 - 1644130 ns R r1 80000000 - 1644130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1644150 ns R psr 81000200 - 1644170 ns MR4_I 01000214 6841d006 - 1644190 ns MR4_I 01000218 d1fc07c9 - 1644190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1644270 ns MR4_D 40006004 00000001 - 1644270 ns R r1 00000001 - 1644270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1644290 ns MR4_I 0100021c 1c5b6002 - 1644290 ns R r1 80000000 - 1644290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1644310 ns R psr 81000200 - 1644330 ns MR4_I 01000214 6841d006 - 1644350 ns MR4_I 01000218 d1fc07c9 - 1644350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1644430 ns MR4_D 40006004 00000001 - 1644430 ns R r1 00000001 - 1644430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1644450 ns MR4_I 0100021c 1c5b6002 - 1644450 ns R r1 80000000 - 1644450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1644470 ns R psr 81000200 - 1644490 ns MR4_I 01000214 6841d006 - 1644510 ns MR4_I 01000218 d1fc07c9 - 1644510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1644590 ns MR4_D 40006004 00000001 - 1644590 ns R r1 00000001 - 1644590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1644610 ns MR4_I 0100021c 1c5b6002 - 1644610 ns R r1 80000000 - 1644610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1644630 ns R psr 81000200 - 1644650 ns MR4_I 01000214 6841d006 - 1644670 ns MR4_I 01000218 d1fc07c9 - 1644670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1644750 ns MR4_D 40006004 00000001 - 1644750 ns R r1 00000001 - 1644750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1644770 ns MR4_I 0100021c 1c5b6002 - 1644770 ns R r1 80000000 - 1644770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1644790 ns R psr 81000200 - 1644810 ns MR4_I 01000214 6841d006 - 1644830 ns MR4_I 01000218 d1fc07c9 - 1644830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1644910 ns MR4_D 40006004 00000001 - 1644910 ns R r1 00000001 - 1644910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1644930 ns MR4_I 0100021c 1c5b6002 - 1644930 ns R r1 80000000 - 1644930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1644950 ns R psr 81000200 - 1644970 ns MR4_I 01000214 6841d006 - 1644990 ns MR4_I 01000218 d1fc07c9 - 1644990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1645070 ns MR4_D 40006004 00000001 - 1645070 ns R r1 00000001 - 1645070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1645090 ns MR4_I 0100021c 1c5b6002 - 1645090 ns R r1 80000000 - 1645090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1645110 ns R psr 81000200 - 1645130 ns MR4_I 01000214 6841d006 - 1645150 ns MR4_I 01000218 d1fc07c9 - 1645150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1645230 ns MR4_D 40006004 00000001 - 1645230 ns R r1 00000001 - 1645230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1645250 ns MR4_I 0100021c 1c5b6002 - 1645250 ns R r1 80000000 - 1645250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1645270 ns R psr 81000200 - 1645290 ns MR4_I 01000214 6841d006 - 1645310 ns MR4_I 01000218 d1fc07c9 - 1645310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1645390 ns MR4_D 40006004 00000001 - 1645390 ns R r1 00000001 - 1645390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1645410 ns MR4_I 0100021c 1c5b6002 - 1645410 ns R r1 80000000 - 1645410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1645430 ns R psr 81000200 - 1645450 ns MR4_I 01000214 6841d006 - 1645470 ns MR4_I 01000218 d1fc07c9 - 1645470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1645550 ns MR4_D 40006004 00000001 - 1645550 ns R r1 00000001 - 1645550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1645570 ns MR4_I 0100021c 1c5b6002 - 1645570 ns R r1 80000000 - 1645570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1645590 ns R psr 81000200 - 1645610 ns MR4_I 01000214 6841d006 - 1645630 ns MR4_I 01000218 d1fc07c9 - 1645630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1645710 ns MR4_D 40006004 00000001 - 1645710 ns R r1 00000001 - 1645710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1645730 ns MR4_I 0100021c 1c5b6002 - 1645730 ns R r1 80000000 - 1645730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1645750 ns R psr 81000200 - 1645770 ns MR4_I 01000214 6841d006 - 1645790 ns MR4_I 01000218 d1fc07c9 - 1645790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1645870 ns MR4_D 40006004 00000001 - 1645870 ns R r1 00000001 - 1645870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1645890 ns MR4_I 0100021c 1c5b6002 - 1645890 ns R r1 80000000 - 1645890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1645910 ns R psr 81000200 - 1645930 ns MR4_I 01000214 6841d006 - 1645950 ns MR4_I 01000218 d1fc07c9 - 1645950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1646030 ns MR4_D 40006004 00000001 - 1646030 ns R r1 00000001 - 1646030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1646050 ns MR4_I 0100021c 1c5b6002 - 1646050 ns R r1 80000000 - 1646050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1646070 ns R psr 81000200 - 1646090 ns MR4_I 01000214 6841d006 - 1646110 ns MR4_I 01000218 d1fc07c9 - 1646110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1646190 ns MR4_D 40006004 00000001 - 1646190 ns R r1 00000001 - 1646190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1646210 ns MR4_I 0100021c 1c5b6002 - 1646210 ns R r1 80000000 - 1646210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1646230 ns R psr 81000200 - 1646250 ns MR4_I 01000214 6841d006 - 1646270 ns MR4_I 01000218 d1fc07c9 - 1646270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1646350 ns MR4_D 40006004 00000001 - 1646350 ns R r1 00000001 - 1646350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1646370 ns MR4_I 0100021c 1c5b6002 - 1646370 ns R r1 80000000 - 1646370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1646390 ns R psr 81000200 - 1646410 ns MR4_I 01000214 6841d006 - 1646430 ns MR4_I 01000218 d1fc07c9 - 1646430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1646510 ns MR4_D 40006004 00000001 - 1646510 ns R r1 00000001 - 1646510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1646530 ns MR4_I 0100021c 1c5b6002 - 1646530 ns R r1 80000000 - 1646530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1646550 ns R psr 81000200 - 1646570 ns MR4_I 01000214 6841d006 - 1646590 ns MR4_I 01000218 d1fc07c9 - 1646590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1646670 ns MR4_D 40006004 00000001 - 1646670 ns R r1 00000001 - 1646670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1646690 ns MR4_I 0100021c 1c5b6002 - 1646690 ns R r1 80000000 - 1646690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1646710 ns R psr 81000200 - 1646730 ns MR4_I 01000214 6841d006 - 1646750 ns MR4_I 01000218 d1fc07c9 - 1646750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1646830 ns MR4_D 40006004 00000001 - 1646830 ns R r1 00000001 - 1646830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1646850 ns MR4_I 0100021c 1c5b6002 - 1646850 ns R r1 80000000 - 1646850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1646870 ns R psr 81000200 - 1646890 ns MR4_I 01000214 6841d006 - 1646910 ns MR4_I 01000218 d1fc07c9 - 1646910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1646990 ns MR4_D 40006004 00000001 - 1646990 ns R r1 00000001 - 1646990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1647010 ns MR4_I 0100021c 1c5b6002 - 1647010 ns R r1 80000000 - 1647010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1647030 ns R psr 81000200 - 1647050 ns MR4_I 01000214 6841d006 - 1647070 ns MR4_I 01000218 d1fc07c9 - 1647070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1647150 ns MR4_D 40006004 00000001 - 1647150 ns R r1 00000001 - 1647150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1647170 ns MR4_I 0100021c 1c5b6002 - 1647170 ns R r1 80000000 - 1647170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1647190 ns R psr 81000200 - 1647210 ns MR4_I 01000214 6841d006 - 1647230 ns MR4_I 01000218 d1fc07c9 - 1647230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1647310 ns MR4_D 40006004 00000001 - 1647310 ns R r1 00000001 - 1647310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1647330 ns MR4_I 0100021c 1c5b6002 - 1647330 ns R r1 80000000 - 1647330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1647350 ns R psr 81000200 - 1647370 ns MR4_I 01000214 6841d006 - 1647390 ns MR4_I 01000218 d1fc07c9 - 1647390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1647470 ns MR4_D 40006004 00000001 - 1647470 ns R r1 00000001 - 1647470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1647490 ns MR4_I 0100021c 1c5b6002 - 1647490 ns R r1 80000000 - 1647490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1647510 ns R psr 81000200 - 1647530 ns MR4_I 01000214 6841d006 - 1647550 ns MR4_I 01000218 d1fc07c9 - 1647550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1647630 ns MR4_D 40006004 00000001 - 1647630 ns R r1 00000001 - 1647630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1647650 ns MR4_I 0100021c 1c5b6002 - 1647650 ns R r1 80000000 - 1647650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1647670 ns R psr 81000200 - 1647690 ns MR4_I 01000214 6841d006 - 1647710 ns MR4_I 01000218 d1fc07c9 - 1647710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1647790 ns MR4_D 40006004 00000001 - 1647790 ns R r1 00000001 - 1647790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1647810 ns MR4_I 0100021c 1c5b6002 - 1647810 ns R r1 80000000 - 1647810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1647830 ns R psr 81000200 - 1647850 ns MR4_I 01000214 6841d006 - 1647870 ns MR4_I 01000218 d1fc07c9 - 1647870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1647950 ns MR4_D 40006004 00000001 - 1647950 ns R r1 00000001 - 1647950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1647970 ns MR4_I 0100021c 1c5b6002 - 1647970 ns R r1 80000000 - 1647970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1647990 ns R psr 81000200 - 1648010 ns MR4_I 01000214 6841d006 - 1648030 ns MR4_I 01000218 d1fc07c9 - 1648030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1648110 ns MR4_D 40006004 00000001 - 1648110 ns R r1 00000001 - 1648110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1648130 ns MR4_I 0100021c 1c5b6002 - 1648130 ns R r1 80000000 - 1648130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1648150 ns R psr 81000200 - 1648170 ns MR4_I 01000214 6841d006 - 1648190 ns MR4_I 01000218 d1fc07c9 - 1648190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1648270 ns MR4_D 40006004 00000001 - 1648270 ns R r1 00000001 - 1648270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1648290 ns MR4_I 0100021c 1c5b6002 - 1648290 ns R r1 80000000 - 1648290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1648310 ns R psr 81000200 - 1648330 ns MR4_I 01000214 6841d006 - 1648350 ns MR4_I 01000218 d1fc07c9 - 1648350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1648430 ns MR4_D 40006004 00000001 - 1648430 ns R r1 00000001 - 1648430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1648450 ns MR4_I 0100021c 1c5b6002 - 1648450 ns R r1 80000000 - 1648450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1648470 ns R psr 81000200 - 1648490 ns MR4_I 01000214 6841d006 - 1648510 ns MR4_I 01000218 d1fc07c9 - 1648510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1648590 ns MR4_D 40006004 00000001 - 1648590 ns R r1 00000001 - 1648590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1648610 ns MR4_I 0100021c 1c5b6002 - 1648610 ns R r1 80000000 - 1648610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1648630 ns R psr 81000200 - 1648650 ns MR4_I 01000214 6841d006 - 1648670 ns MR4_I 01000218 d1fc07c9 - 1648670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1648750 ns MR4_D 40006004 00000001 - 1648750 ns R r1 00000001 - 1648750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1648770 ns MR4_I 0100021c 1c5b6002 - 1648770 ns R r1 80000000 - 1648770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1648790 ns R psr 81000200 - 1648810 ns MR4_I 01000214 6841d006 - 1648830 ns MR4_I 01000218 d1fc07c9 - 1648830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1648910 ns MR4_D 40006004 00000001 - 1648910 ns R r1 00000001 - 1648910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1648930 ns MR4_I 0100021c 1c5b6002 - 1648930 ns R r1 80000000 - 1648930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1648950 ns R psr 81000200 - 1648970 ns MR4_I 01000214 6841d006 - 1648990 ns MR4_I 01000218 d1fc07c9 - 1648990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1649070 ns MR4_D 40006004 00000001 - 1649070 ns R r1 00000001 - 1649070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1649090 ns MR4_I 0100021c 1c5b6002 - 1649090 ns R r1 80000000 - 1649090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1649110 ns R psr 81000200 - 1649130 ns MR4_I 01000214 6841d006 - 1649150 ns MR4_I 01000218 d1fc07c9 - 1649150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1649230 ns MR4_D 40006004 00000001 - 1649230 ns R r1 00000001 - 1649230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1649250 ns MR4_I 0100021c 1c5b6002 - 1649250 ns R r1 80000000 - 1649250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1649270 ns R psr 81000200 - 1649290 ns MR4_I 01000214 6841d006 - 1649310 ns MR4_I 01000218 d1fc07c9 - 1649310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1649390 ns MR4_D 40006004 00000001 - 1649390 ns R r1 00000001 - 1649390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1649410 ns MR4_I 0100021c 1c5b6002 - 1649410 ns R r1 80000000 - 1649410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1649430 ns R psr 81000200 - 1649450 ns MR4_I 01000214 6841d006 - 1649470 ns MR4_I 01000218 d1fc07c9 - 1649470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1649550 ns MR4_D 40006004 00000001 - 1649550 ns R r1 00000001 - 1649550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1649570 ns MR4_I 0100021c 1c5b6002 - 1649570 ns R r1 80000000 - 1649570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1649590 ns R psr 81000200 - 1649610 ns MR4_I 01000214 6841d006 - 1649630 ns MR4_I 01000218 d1fc07c9 - 1649630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1649710 ns MR4_D 40006004 00000001 - 1649710 ns R r1 00000001 - 1649710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1649730 ns MR4_I 0100021c 1c5b6002 - 1649730 ns R r1 80000000 - 1649730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1649750 ns R psr 81000200 - 1649770 ns MR4_I 01000214 6841d006 - 1649790 ns MR4_I 01000218 d1fc07c9 - 1649790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1649870 ns MR4_D 40006004 00000001 - 1649870 ns R r1 00000001 - 1649870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1649890 ns MR4_I 0100021c 1c5b6002 - 1649890 ns R r1 80000000 - 1649890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1649910 ns R psr 81000200 - 1649930 ns MR4_I 01000214 6841d006 - 1649950 ns MR4_I 01000218 d1fc07c9 - 1649950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1650030 ns MR4_D 40006004 00000001 - 1650030 ns R r1 00000001 - 1650030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1650050 ns MR4_I 0100021c 1c5b6002 - 1650050 ns R r1 80000000 - 1650050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1650070 ns R psr 81000200 - 1650090 ns MR4_I 01000214 6841d006 - 1650110 ns MR4_I 01000218 d1fc07c9 - 1650110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1650190 ns MR4_D 40006004 00000001 - 1650190 ns R r1 00000001 - 1650190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1650210 ns MR4_I 0100021c 1c5b6002 - 1650210 ns R r1 80000000 - 1650210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1650230 ns R psr 81000200 - 1650250 ns MR4_I 01000214 6841d006 - 1650270 ns MR4_I 01000218 d1fc07c9 - 1650270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1650350 ns MR4_D 40006004 00000001 - 1650350 ns R r1 00000001 - 1650350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1650370 ns MR4_I 0100021c 1c5b6002 - 1650370 ns R r1 80000000 - 1650370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1650390 ns R psr 81000200 - 1650410 ns MR4_I 01000214 6841d006 - 1650430 ns MR4_I 01000218 d1fc07c9 - 1650430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1650510 ns MR4_D 40006004 00000001 - 1650510 ns R r1 00000001 - 1650510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1650530 ns MR4_I 0100021c 1c5b6002 - 1650530 ns R r1 80000000 - 1650530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1650550 ns R psr 81000200 - 1650570 ns MR4_I 01000214 6841d006 - 1650590 ns MR4_I 01000218 d1fc07c9 - 1650590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1650670 ns MR4_D 40006004 00000001 - 1650670 ns R r1 00000001 - 1650670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1650690 ns MR4_I 0100021c 1c5b6002 - 1650690 ns R r1 80000000 - 1650690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1650710 ns R psr 81000200 - 1650730 ns MR4_I 01000214 6841d006 - 1650750 ns MR4_I 01000218 d1fc07c9 - 1650750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1650830 ns MR4_D 40006004 00000001 - 1650830 ns R r1 00000001 - 1650830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1650850 ns MR4_I 0100021c 1c5b6002 - 1650850 ns R r1 80000000 - 1650850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1650870 ns R psr 81000200 - 1650890 ns MR4_I 01000214 6841d006 - 1650910 ns MR4_I 01000218 d1fc07c9 - 1650910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1650990 ns MR4_D 40006004 00000001 - 1650990 ns R r1 00000001 - 1650990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1651010 ns MR4_I 0100021c 1c5b6002 - 1651010 ns R r1 80000000 - 1651010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1651030 ns R psr 81000200 - 1651050 ns MR4_I 01000214 6841d006 - 1651070 ns MR4_I 01000218 d1fc07c9 - 1651070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1651150 ns MR4_D 40006004 00000001 - 1651150 ns R r1 00000001 - 1651150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1651170 ns MR4_I 0100021c 1c5b6002 - 1651170 ns R r1 80000000 - 1651170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1651190 ns R psr 81000200 - 1651210 ns MR4_I 01000214 6841d006 - 1651230 ns MR4_I 01000218 d1fc07c9 - 1651230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1651310 ns MR4_D 40006004 00000001 - 1651310 ns R r1 00000001 - 1651310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1651330 ns MR4_I 0100021c 1c5b6002 - 1651330 ns R r1 80000000 - 1651330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1651350 ns R psr 81000200 - 1651370 ns MR4_I 01000214 6841d006 - 1651390 ns MR4_I 01000218 d1fc07c9 - 1651390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1651470 ns MR4_D 40006004 00000001 - 1651470 ns R r1 00000001 - 1651470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1651490 ns MR4_I 0100021c 1c5b6002 - 1651490 ns R r1 80000000 - 1651490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1651510 ns R psr 81000200 - 1651530 ns MR4_I 01000214 6841d006 - 1651550 ns MR4_I 01000218 d1fc07c9 - 1651550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1651630 ns MR4_D 40006004 00000001 - 1651630 ns R r1 00000001 - 1651630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1651650 ns MR4_I 0100021c 1c5b6002 - 1651650 ns R r1 80000000 - 1651650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1651670 ns R psr 81000200 - 1651690 ns MR4_I 01000214 6841d006 - 1651710 ns MR4_I 01000218 d1fc07c9 - 1651710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1651790 ns MR4_D 40006004 00000001 - 1651790 ns R r1 00000001 - 1651790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1651810 ns MR4_I 0100021c 1c5b6002 - 1651810 ns R r1 80000000 - 1651810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1651830 ns R psr 81000200 - 1651850 ns MR4_I 01000214 6841d006 - 1651870 ns MR4_I 01000218 d1fc07c9 - 1651870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1651950 ns MR4_D 40006004 00000001 - 1651950 ns R r1 00000001 - 1651950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1651970 ns MR4_I 0100021c 1c5b6002 - 1651970 ns R r1 80000000 - 1651970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1651990 ns R psr 81000200 - 1652010 ns MR4_I 01000214 6841d006 - 1652030 ns MR4_I 01000218 d1fc07c9 - 1652030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1652110 ns MR4_D 40006004 00000001 - 1652110 ns R r1 00000001 - 1652110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1652130 ns MR4_I 0100021c 1c5b6002 - 1652130 ns R r1 80000000 - 1652130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1652150 ns R psr 81000200 - 1652170 ns MR4_I 01000214 6841d006 - 1652190 ns MR4_I 01000218 d1fc07c9 - 1652190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1652270 ns MR4_D 40006004 00000001 - 1652270 ns R r1 00000001 - 1652270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1652290 ns MR4_I 0100021c 1c5b6002 - 1652290 ns R r1 80000000 - 1652290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1652310 ns R psr 81000200 - 1652330 ns MR4_I 01000214 6841d006 - 1652350 ns MR4_I 01000218 d1fc07c9 - 1652350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1652430 ns MR4_D 40006004 00000001 - 1652430 ns R r1 00000001 - 1652430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1652450 ns MR4_I 0100021c 1c5b6002 - 1652450 ns R r1 80000000 - 1652450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1652470 ns R psr 81000200 - 1652490 ns MR4_I 01000214 6841d006 - 1652510 ns MR4_I 01000218 d1fc07c9 - 1652510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1652590 ns MR4_D 40006004 00000001 - 1652590 ns R r1 00000001 - 1652590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1652610 ns MR4_I 0100021c 1c5b6002 - 1652610 ns R r1 80000000 - 1652610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1652630 ns R psr 81000200 - 1652650 ns MR4_I 01000214 6841d006 - 1652670 ns MR4_I 01000218 d1fc07c9 - 1652670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1652750 ns MR4_D 40006004 00000001 - 1652750 ns R r1 00000001 - 1652750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1652770 ns MR4_I 0100021c 1c5b6002 - 1652770 ns R r1 80000000 - 1652770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1652790 ns R psr 81000200 - 1652810 ns MR4_I 01000214 6841d006 - 1652830 ns MR4_I 01000218 d1fc07c9 - 1652830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1652910 ns MR4_D 40006004 00000001 - 1652910 ns R r1 00000001 - 1652910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1652930 ns MR4_I 0100021c 1c5b6002 - 1652930 ns R r1 80000000 - 1652930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1652950 ns R psr 81000200 - 1652970 ns MR4_I 01000214 6841d006 - 1652990 ns MR4_I 01000218 d1fc07c9 - 1652990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1653070 ns MR4_D 40006004 00000001 - 1653070 ns R r1 00000001 - 1653070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1653090 ns MR4_I 0100021c 1c5b6002 - 1653090 ns R r1 80000000 - 1653090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1653110 ns R psr 81000200 - 1653130 ns MR4_I 01000214 6841d006 - 1653150 ns MR4_I 01000218 d1fc07c9 - 1653150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1653230 ns MR4_D 40006004 00000001 - 1653230 ns R r1 00000001 - 1653230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1653250 ns MR4_I 0100021c 1c5b6002 - 1653250 ns R r1 80000000 - 1653250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1653270 ns R psr 81000200 - 1653290 ns MR4_I 01000214 6841d006 - 1653310 ns MR4_I 01000218 d1fc07c9 - 1653310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1653390 ns MR4_D 40006004 00000001 - 1653390 ns R r1 00000001 - 1653390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1653410 ns MR4_I 0100021c 1c5b6002 - 1653410 ns R r1 80000000 - 1653410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1653430 ns R psr 81000200 - 1653450 ns MR4_I 01000214 6841d006 - 1653470 ns MR4_I 01000218 d1fc07c9 - 1653470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1653550 ns MR4_D 40006004 00000001 - 1653550 ns R r1 00000001 - 1653550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1653570 ns MR4_I 0100021c 1c5b6002 - 1653570 ns R r1 80000000 - 1653570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1653590 ns R psr 81000200 - 1653610 ns MR4_I 01000214 6841d006 - 1653630 ns MR4_I 01000218 d1fc07c9 - 1653630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1653710 ns MR4_D 40006004 00000001 - 1653710 ns R r1 00000001 - 1653710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1653730 ns MR4_I 0100021c 1c5b6002 - 1653730 ns R r1 80000000 - 1653730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1653750 ns R psr 81000200 - 1653770 ns MR4_I 01000214 6841d006 - 1653790 ns MR4_I 01000218 d1fc07c9 - 1653790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1653870 ns MR4_D 40006004 00000001 - 1653870 ns R r1 00000001 - 1653870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1653890 ns MR4_I 0100021c 1c5b6002 - 1653890 ns R r1 80000000 - 1653890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1653910 ns R psr 81000200 - 1653930 ns MR4_I 01000214 6841d006 - 1653950 ns MR4_I 01000218 d1fc07c9 - 1653950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1654030 ns MR4_D 40006004 00000001 - 1654030 ns R r1 00000001 - 1654030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1654050 ns MR4_I 0100021c 1c5b6002 - 1654050 ns R r1 80000000 - 1654050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1654070 ns R psr 81000200 - 1654090 ns MR4_I 01000214 6841d006 - 1654110 ns MR4_I 01000218 d1fc07c9 - 1654110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1654190 ns MR4_D 40006004 00000001 - 1654190 ns R r1 00000001 - 1654190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1654210 ns MR4_I 0100021c 1c5b6002 - 1654210 ns R r1 80000000 - 1654210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1654230 ns R psr 81000200 - 1654250 ns MR4_I 01000214 6841d006 - 1654270 ns MR4_I 01000218 d1fc07c9 - 1654270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1654350 ns MR4_D 40006004 00000001 - 1654350 ns R r1 00000001 - 1654350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1654370 ns MR4_I 0100021c 1c5b6002 - 1654370 ns R r1 80000000 - 1654370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1654390 ns R psr 81000200 - 1654410 ns MR4_I 01000214 6841d006 - 1654430 ns MR4_I 01000218 d1fc07c9 - 1654430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1654510 ns MR4_D 40006004 00000001 - 1654510 ns R r1 00000001 - 1654510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1654530 ns MR4_I 0100021c 1c5b6002 - 1654530 ns R r1 80000000 - 1654530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1654550 ns R psr 81000200 - 1654570 ns MR4_I 01000214 6841d006 - 1654590 ns MR4_I 01000218 d1fc07c9 - 1654590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1654670 ns MR4_D 40006004 00000001 - 1654670 ns R r1 00000001 - 1654670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1654690 ns MR4_I 0100021c 1c5b6002 - 1654690 ns R r1 80000000 - 1654690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1654710 ns R psr 81000200 - 1654730 ns MR4_I 01000214 6841d006 - 1654750 ns MR4_I 01000218 d1fc07c9 - 1654750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1654830 ns MR4_D 40006004 00000001 - 1654830 ns R r1 00000001 - 1654830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1654850 ns MR4_I 0100021c 1c5b6002 - 1654850 ns R r1 80000000 - 1654850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1654870 ns R psr 81000200 - 1654890 ns MR4_I 01000214 6841d006 - 1654910 ns MR4_I 01000218 d1fc07c9 - 1654910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1654990 ns MR4_D 40006004 00000001 - 1654990 ns R r1 00000001 - 1654990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1655010 ns MR4_I 0100021c 1c5b6002 - 1655010 ns R r1 80000000 - 1655010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1655030 ns R psr 81000200 - 1655050 ns MR4_I 01000214 6841d006 - 1655070 ns MR4_I 01000218 d1fc07c9 - 1655070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1655150 ns MR4_D 40006004 00000001 - 1655150 ns R r1 00000001 - 1655150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1655170 ns MR4_I 0100021c 1c5b6002 - 1655170 ns R r1 80000000 - 1655170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1655190 ns R psr 81000200 - 1655210 ns MR4_I 01000214 6841d006 - 1655230 ns MR4_I 01000218 d1fc07c9 - 1655230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1655310 ns MR4_D 40006004 00000001 - 1655310 ns R r1 00000001 - 1655310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1655330 ns MR4_I 0100021c 1c5b6002 - 1655330 ns R r1 80000000 - 1655330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1655350 ns R psr 81000200 - 1655370 ns MR4_I 01000214 6841d006 - 1655390 ns MR4_I 01000218 d1fc07c9 - 1655390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1655470 ns MR4_D 40006004 00000001 - 1655470 ns R r1 00000001 - 1655470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1655490 ns MR4_I 0100021c 1c5b6002 - 1655490 ns R r1 80000000 - 1655490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1655510 ns R psr 81000200 - 1655530 ns MR4_I 01000214 6841d006 - 1655550 ns MR4_I 01000218 d1fc07c9 - 1655550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1655630 ns MR4_D 40006004 00000001 - 1655630 ns R r1 00000001 - 1655630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1655650 ns MR4_I 0100021c 1c5b6002 - 1655650 ns R r1 80000000 - 1655650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1655670 ns R psr 81000200 - 1655690 ns MR4_I 01000214 6841d006 - 1655710 ns MR4_I 01000218 d1fc07c9 - 1655710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1655790 ns MR4_D 40006004 00000001 - 1655790 ns R r1 00000001 - 1655790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1655810 ns MR4_I 0100021c 1c5b6002 - 1655810 ns R r1 80000000 - 1655810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1655830 ns R psr 81000200 - 1655850 ns MR4_I 01000214 6841d006 - 1655870 ns MR4_I 01000218 d1fc07c9 - 1655870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1655950 ns MR4_D 40006004 00000001 - 1655950 ns R r1 00000001 - 1655950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1655970 ns MR4_I 0100021c 1c5b6002 - 1655970 ns R r1 80000000 - 1655970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1655990 ns R psr 81000200 - 1656010 ns MR4_I 01000214 6841d006 - 1656030 ns MR4_I 01000218 d1fc07c9 - 1656030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1656110 ns MR4_D 40006004 00000001 - 1656110 ns R r1 00000001 - 1656110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1656130 ns MR4_I 0100021c 1c5b6002 - 1656130 ns R r1 80000000 - 1656130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1656150 ns R psr 81000200 - 1656170 ns MR4_I 01000214 6841d006 - 1656190 ns MR4_I 01000218 d1fc07c9 - 1656190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1656270 ns MR4_D 40006004 00000001 - 1656270 ns R r1 00000001 - 1656270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1656290 ns MR4_I 0100021c 1c5b6002 - 1656290 ns R r1 80000000 - 1656290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1656310 ns R psr 81000200 - 1656330 ns MR4_I 01000214 6841d006 - 1656350 ns MR4_I 01000218 d1fc07c9 - 1656350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1656430 ns MR4_D 40006004 00000001 - 1656430 ns R r1 00000001 - 1656430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1656450 ns MR4_I 0100021c 1c5b6002 - 1656450 ns R r1 80000000 - 1656450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1656470 ns R psr 81000200 - 1656490 ns MR4_I 01000214 6841d006 - 1656510 ns MR4_I 01000218 d1fc07c9 - 1656510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1656590 ns MR4_D 40006004 00000001 - 1656590 ns R r1 00000001 - 1656590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1656610 ns MR4_I 0100021c 1c5b6002 - 1656610 ns R r1 80000000 - 1656610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1656630 ns R psr 81000200 - 1656650 ns MR4_I 01000214 6841d006 - 1656670 ns MR4_I 01000218 d1fc07c9 - 1656670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1656750 ns MR4_D 40006004 00000001 - 1656750 ns R r1 00000001 - 1656750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1656770 ns MR4_I 0100021c 1c5b6002 - 1656770 ns R r1 80000000 - 1656770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1656790 ns R psr 81000200 - 1656810 ns MR4_I 01000214 6841d006 - 1656830 ns MR4_I 01000218 d1fc07c9 - 1656830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1656910 ns MR4_D 40006004 00000001 - 1656910 ns R r1 00000001 - 1656910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1656930 ns MR4_I 0100021c 1c5b6002 - 1656930 ns R r1 80000000 - 1656930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1656950 ns R psr 81000200 - 1656970 ns MR4_I 01000214 6841d006 - 1656990 ns MR4_I 01000218 d1fc07c9 - 1656990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1657070 ns MR4_D 40006004 00000001 - 1657070 ns R r1 00000001 - 1657070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1657090 ns MR4_I 0100021c 1c5b6002 - 1657090 ns R r1 80000000 - 1657090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1657110 ns R psr 81000200 - 1657130 ns MR4_I 01000214 6841d006 - 1657150 ns MR4_I 01000218 d1fc07c9 - 1657150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1657230 ns MR4_D 40006004 00000001 - 1657230 ns R r1 00000001 - 1657230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1657250 ns MR4_I 0100021c 1c5b6002 - 1657250 ns R r1 80000000 - 1657250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1657270 ns R psr 81000200 - 1657290 ns MR4_I 01000214 6841d006 - 1657310 ns MR4_I 01000218 d1fc07c9 - 1657310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1657390 ns MR4_D 40006004 00000001 - 1657390 ns R r1 00000001 - 1657390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1657410 ns MR4_I 0100021c 1c5b6002 - 1657410 ns R r1 80000000 - 1657410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1657430 ns R psr 81000200 - 1657450 ns MR4_I 01000214 6841d006 - 1657470 ns MR4_I 01000218 d1fc07c9 - 1657470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1657550 ns MR4_D 40006004 00000001 - 1657550 ns R r1 00000001 - 1657550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1657570 ns MR4_I 0100021c 1c5b6002 - 1657570 ns R r1 80000000 - 1657570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1657590 ns R psr 81000200 - 1657610 ns MR4_I 01000214 6841d006 - 1657630 ns MR4_I 01000218 d1fc07c9 - 1657630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1657710 ns MR4_D 40006004 00000001 - 1657710 ns R r1 00000001 - 1657710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1657730 ns MR4_I 0100021c 1c5b6002 - 1657730 ns R r1 80000000 - 1657730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1657750 ns R psr 81000200 - 1657770 ns MR4_I 01000214 6841d006 - 1657790 ns MR4_I 01000218 d1fc07c9 - 1657790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1657870 ns MR4_D 40006004 00000001 - 1657870 ns R r1 00000001 - 1657870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1657890 ns MR4_I 0100021c 1c5b6002 - 1657890 ns R r1 80000000 - 1657890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1657910 ns R psr 81000200 - 1657930 ns MR4_I 01000214 6841d006 - 1657950 ns MR4_I 01000218 d1fc07c9 - 1657950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1658030 ns MR4_D 40006004 00000001 - 1658030 ns R r1 00000001 - 1658030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1658050 ns MR4_I 0100021c 1c5b6002 - 1658050 ns R r1 80000000 - 1658050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1658070 ns R psr 81000200 - 1658090 ns MR4_I 01000214 6841d006 - 1658110 ns MR4_I 01000218 d1fc07c9 - 1658110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1658190 ns MR4_D 40006004 00000001 - 1658190 ns R r1 00000001 - 1658190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1658210 ns MR4_I 0100021c 1c5b6002 - 1658210 ns R r1 80000000 - 1658210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1658230 ns R psr 81000200 - 1658250 ns MR4_I 01000214 6841d006 - 1658270 ns MR4_I 01000218 d1fc07c9 - 1658270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1658350 ns MR4_D 40006004 00000001 - 1658350 ns R r1 00000001 - 1658350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1658370 ns MR4_I 0100021c 1c5b6002 - 1658370 ns R r1 80000000 - 1658370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1658390 ns R psr 81000200 - 1658410 ns MR4_I 01000214 6841d006 - 1658430 ns MR4_I 01000218 d1fc07c9 - 1658430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1658510 ns MR4_D 40006004 00000001 - 1658510 ns R r1 00000001 - 1658510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1658530 ns MR4_I 0100021c 1c5b6002 - 1658530 ns R r1 80000000 - 1658530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1658550 ns R psr 81000200 - 1658570 ns MR4_I 01000214 6841d006 - 1658590 ns MR4_I 01000218 d1fc07c9 - 1658590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1658670 ns MR4_D 40006004 00000001 - 1658670 ns R r1 00000001 - 1658670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1658690 ns MR4_I 0100021c 1c5b6002 - 1658690 ns R r1 80000000 - 1658690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1658710 ns R psr 81000200 - 1658730 ns MR4_I 01000214 6841d006 - 1658750 ns MR4_I 01000218 d1fc07c9 - 1658750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1658830 ns MR4_D 40006004 00000001 - 1658830 ns R r1 00000001 - 1658830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1658850 ns MR4_I 0100021c 1c5b6002 - 1658850 ns R r1 80000000 - 1658850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1658870 ns R psr 81000200 - 1658890 ns MR4_I 01000214 6841d006 - 1658910 ns MR4_I 01000218 d1fc07c9 - 1658910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1658990 ns MR4_D 40006004 00000001 - 1658990 ns R r1 00000001 - 1658990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1659010 ns MR4_I 0100021c 1c5b6002 - 1659010 ns R r1 80000000 - 1659010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1659030 ns R psr 81000200 - 1659050 ns MR4_I 01000214 6841d006 - 1659070 ns MR4_I 01000218 d1fc07c9 - 1659070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1659150 ns MR4_D 40006004 00000001 - 1659150 ns R r1 00000001 - 1659150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1659170 ns MR4_I 0100021c 1c5b6002 - 1659170 ns R r1 80000000 - 1659170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1659190 ns R psr 81000200 - 1659210 ns MR4_I 01000214 6841d006 - 1659230 ns MR4_I 01000218 d1fc07c9 - 1659230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1659310 ns MR4_D 40006004 00000001 - 1659310 ns R r1 00000001 - 1659310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1659330 ns MR4_I 0100021c 1c5b6002 - 1659330 ns R r1 80000000 - 1659330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1659350 ns R psr 81000200 - 1659370 ns MR4_I 01000214 6841d006 - 1659390 ns MR4_I 01000218 d1fc07c9 - 1659390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1659470 ns MR4_D 40006004 00000001 - 1659470 ns R r1 00000001 - 1659470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1659490 ns MR4_I 0100021c 1c5b6002 - 1659490 ns R r1 80000000 - 1659490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1659510 ns R psr 81000200 - 1659530 ns MR4_I 01000214 6841d006 - 1659550 ns MR4_I 01000218 d1fc07c9 - 1659550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1659630 ns MR4_D 40006004 00000001 - 1659630 ns R r1 00000001 - 1659630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1659650 ns MR4_I 0100021c 1c5b6002 - 1659650 ns R r1 80000000 - 1659650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1659670 ns R psr 81000200 - 1659690 ns MR4_I 01000214 6841d006 - 1659710 ns MR4_I 01000218 d1fc07c9 - 1659710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1659790 ns MR4_D 40006004 00000001 - 1659790 ns R r1 00000001 - 1659790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1659810 ns MR4_I 0100021c 1c5b6002 - 1659810 ns R r1 80000000 - 1659810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1659830 ns R psr 81000200 - 1659850 ns MR4_I 01000214 6841d006 - 1659870 ns MR4_I 01000218 d1fc07c9 - 1659870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1659950 ns MR4_D 40006004 00000001 - 1659950 ns R r1 00000001 - 1659950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1659970 ns MR4_I 0100021c 1c5b6002 - 1659970 ns R r1 80000000 - 1659970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1659990 ns R psr 81000200 - 1660010 ns MR4_I 01000214 6841d006 - 1660030 ns MR4_I 01000218 d1fc07c9 - 1660030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1660110 ns MR4_D 40006004 00000001 - 1660110 ns R r1 00000001 - 1660110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1660130 ns MR4_I 0100021c 1c5b6002 - 1660130 ns R r1 80000000 - 1660130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1660150 ns R psr 81000200 - 1660170 ns MR4_I 01000214 6841d006 - 1660190 ns MR4_I 01000218 d1fc07c9 - 1660190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1660270 ns MR4_D 40006004 00000001 - 1660270 ns R r1 00000001 - 1660270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1660290 ns MR4_I 0100021c 1c5b6002 - 1660290 ns R r1 80000000 - 1660290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1660310 ns R psr 81000200 - 1660330 ns MR4_I 01000214 6841d006 - 1660350 ns MR4_I 01000218 d1fc07c9 - 1660350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1660430 ns MR4_D 40006004 00000001 - 1660430 ns R r1 00000001 - 1660430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1660450 ns MR4_I 0100021c 1c5b6002 - 1660450 ns R r1 80000000 - 1660450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1660470 ns R psr 81000200 - 1660490 ns MR4_I 01000214 6841d006 - 1660510 ns MR4_I 01000218 d1fc07c9 - 1660510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1660590 ns MR4_D 40006004 00000001 - 1660590 ns R r1 00000001 - 1660590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1660610 ns MR4_I 0100021c 1c5b6002 - 1660610 ns R r1 80000000 - 1660610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1660630 ns R psr 81000200 - 1660650 ns MR4_I 01000214 6841d006 - 1660670 ns MR4_I 01000218 d1fc07c9 - 1660670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1660750 ns MR4_D 40006004 00000001 - 1660750 ns R r1 00000001 - 1660750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1660770 ns MR4_I 0100021c 1c5b6002 - 1660770 ns R r1 80000000 - 1660770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1660790 ns R psr 81000200 - 1660810 ns MR4_I 01000214 6841d006 - 1660830 ns MR4_I 01000218 d1fc07c9 - 1660830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1660910 ns MR4_D 40006004 00000001 - 1660910 ns R r1 00000001 - 1660910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1660930 ns MR4_I 0100021c 1c5b6002 - 1660930 ns R r1 80000000 - 1660930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1660950 ns R psr 81000200 - 1660970 ns MR4_I 01000214 6841d006 - 1660990 ns MR4_I 01000218 d1fc07c9 - 1660990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1661070 ns MR4_D 40006004 00000001 - 1661070 ns R r1 00000001 - 1661070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1661090 ns MR4_I 0100021c 1c5b6002 - 1661090 ns R r1 80000000 - 1661090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1661110 ns R psr 81000200 - 1661130 ns MR4_I 01000214 6841d006 - 1661150 ns MR4_I 01000218 d1fc07c9 - 1661150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1661230 ns MR4_D 40006004 00000001 - 1661230 ns R r1 00000001 - 1661230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1661250 ns MR4_I 0100021c 1c5b6002 - 1661250 ns R r1 80000000 - 1661250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1661270 ns R psr 81000200 - 1661290 ns MR4_I 01000214 6841d006 - 1661310 ns MR4_I 01000218 d1fc07c9 - 1661310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1661390 ns MR4_D 40006004 00000001 - 1661390 ns R r1 00000001 - 1661390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1661410 ns MR4_I 0100021c 1c5b6002 - 1661410 ns R r1 80000000 - 1661410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1661430 ns R psr 81000200 - 1661450 ns MR4_I 01000214 6841d006 - 1661470 ns MR4_I 01000218 d1fc07c9 - 1661470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1661550 ns MR4_D 40006004 00000001 - 1661550 ns R r1 00000001 - 1661550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1661570 ns MR4_I 0100021c 1c5b6002 - 1661570 ns R r1 80000000 - 1661570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1661590 ns R psr 81000200 - 1661610 ns MR4_I 01000214 6841d006 - 1661630 ns MR4_I 01000218 d1fc07c9 - 1661630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1661710 ns MR4_D 40006004 00000001 - 1661710 ns R r1 00000001 - 1661710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1661730 ns MR4_I 0100021c 1c5b6002 - 1661730 ns R r1 80000000 - 1661730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1661750 ns R psr 81000200 - 1661770 ns MR4_I 01000214 6841d006 - 1661790 ns MR4_I 01000218 d1fc07c9 - 1661790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1661870 ns MR4_D 40006004 00000001 - 1661870 ns R r1 00000001 - 1661870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1661890 ns MR4_I 0100021c 1c5b6002 - 1661890 ns R r1 80000000 - 1661890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1661910 ns R psr 81000200 - 1661930 ns MR4_I 01000214 6841d006 - 1661950 ns MR4_I 01000218 d1fc07c9 - 1661950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1662030 ns MR4_D 40006004 00000001 - 1662030 ns R r1 00000001 - 1662030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1662050 ns MR4_I 0100021c 1c5b6002 - 1662050 ns R r1 80000000 - 1662050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1662070 ns R psr 81000200 - 1662090 ns MR4_I 01000214 6841d006 - 1662110 ns MR4_I 01000218 d1fc07c9 - 1662110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1662190 ns MR4_D 40006004 00000001 - 1662190 ns R r1 00000001 - 1662190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1662210 ns MR4_I 0100021c 1c5b6002 - 1662210 ns R r1 80000000 - 1662210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1662230 ns R psr 81000200 - 1662250 ns MR4_I 01000214 6841d006 - 1662270 ns MR4_I 01000218 d1fc07c9 - 1662270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1662350 ns MR4_D 40006004 00000001 - 1662350 ns R r1 00000001 - 1662350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1662370 ns MR4_I 0100021c 1c5b6002 - 1662370 ns R r1 80000000 - 1662370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1662390 ns R psr 81000200 - 1662410 ns MR4_I 01000214 6841d006 - 1662430 ns MR4_I 01000218 d1fc07c9 - 1662430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1662510 ns MR4_D 40006004 00000001 - 1662510 ns R r1 00000001 - 1662510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1662530 ns MR4_I 0100021c 1c5b6002 - 1662530 ns R r1 80000000 - 1662530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1662550 ns R psr 81000200 - 1662570 ns MR4_I 01000214 6841d006 - 1662590 ns MR4_I 01000218 d1fc07c9 - 1662590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1662670 ns MR4_D 40006004 00000001 - 1662670 ns R r1 00000001 - 1662670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1662690 ns MR4_I 0100021c 1c5b6002 - 1662690 ns R r1 80000000 - 1662690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1662710 ns R psr 81000200 - 1662730 ns MR4_I 01000214 6841d006 - 1662750 ns MR4_I 01000218 d1fc07c9 - 1662750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1662830 ns MR4_D 40006004 00000001 - 1662830 ns R r1 00000001 - 1662830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1662850 ns MR4_I 0100021c 1c5b6002 - 1662850 ns R r1 80000000 - 1662850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1662870 ns R psr 81000200 - 1662890 ns MR4_I 01000214 6841d006 - 1662910 ns MR4_I 01000218 d1fc07c9 - 1662910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1662990 ns MR4_D 40006004 00000001 - 1662990 ns R r1 00000001 - 1662990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1663010 ns MR4_I 0100021c 1c5b6002 - 1663010 ns R r1 80000000 - 1663010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1663030 ns R psr 81000200 - 1663050 ns MR4_I 01000214 6841d006 - 1663070 ns MR4_I 01000218 d1fc07c9 - 1663070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1663150 ns MR4_D 40006004 00000001 - 1663150 ns R r1 00000001 - 1663150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1663170 ns MR4_I 0100021c 1c5b6002 - 1663170 ns R r1 80000000 - 1663170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1663190 ns R psr 81000200 - 1663210 ns MR4_I 01000214 6841d006 - 1663230 ns MR4_I 01000218 d1fc07c9 - 1663230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1663310 ns MR4_D 40006004 00000001 - 1663310 ns R r1 00000001 - 1663310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1663330 ns MR4_I 0100021c 1c5b6002 - 1663330 ns R r1 80000000 - 1663330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1663350 ns R psr 81000200 - 1663370 ns MR4_I 01000214 6841d006 - 1663390 ns MR4_I 01000218 d1fc07c9 - 1663390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1663470 ns MR4_D 40006004 00000001 - 1663470 ns R r1 00000001 - 1663470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1663490 ns MR4_I 0100021c 1c5b6002 - 1663490 ns R r1 80000000 - 1663490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1663510 ns R psr 81000200 - 1663530 ns MR4_I 01000214 6841d006 - 1663550 ns MR4_I 01000218 d1fc07c9 - 1663550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1663630 ns MR4_D 40006004 00000001 - 1663630 ns R r1 00000001 - 1663630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1663650 ns MR4_I 0100021c 1c5b6002 - 1663650 ns R r1 80000000 - 1663650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1663670 ns R psr 81000200 - 1663690 ns MR4_I 01000214 6841d006 - 1663710 ns MR4_I 01000218 d1fc07c9 - 1663710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1663790 ns MR4_D 40006004 00000001 - 1663790 ns R r1 00000001 - 1663790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1663810 ns MR4_I 0100021c 1c5b6002 - 1663810 ns R r1 80000000 - 1663810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1663830 ns R psr 81000200 - 1663850 ns MR4_I 01000214 6841d006 - 1663870 ns MR4_I 01000218 d1fc07c9 - 1663870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1663950 ns MR4_D 40006004 00000001 - 1663950 ns R r1 00000001 - 1663950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1663970 ns MR4_I 0100021c 1c5b6002 - 1663970 ns R r1 80000000 - 1663970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1663990 ns R psr 81000200 - 1664010 ns MR4_I 01000214 6841d006 - 1664030 ns MR4_I 01000218 d1fc07c9 - 1664030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1664110 ns MR4_D 40006004 00000001 - 1664110 ns R r1 00000001 - 1664110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1664130 ns MR4_I 0100021c 1c5b6002 - 1664130 ns R r1 80000000 - 1664130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1664150 ns R psr 81000200 - 1664170 ns MR4_I 01000214 6841d006 - 1664190 ns MR4_I 01000218 d1fc07c9 - 1664190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1664270 ns MR4_D 40006004 00000001 - 1664270 ns R r1 00000001 - 1664270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1664290 ns MR4_I 0100021c 1c5b6002 - 1664290 ns R r1 80000000 - 1664290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1664310 ns R psr 81000200 - 1664330 ns MR4_I 01000214 6841d006 - 1664350 ns MR4_I 01000218 d1fc07c9 - 1664350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1664430 ns MR4_D 40006004 00000001 - 1664430 ns R r1 00000001 - 1664430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1664450 ns MR4_I 0100021c 1c5b6002 - 1664450 ns R r1 80000000 - 1664450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1664470 ns R psr 81000200 - 1664490 ns MR4_I 01000214 6841d006 - 1664510 ns MR4_I 01000218 d1fc07c9 - 1664510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1664590 ns MR4_D 40006004 00000001 - 1664590 ns R r1 00000001 - 1664590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1664610 ns MR4_I 0100021c 1c5b6002 - 1664610 ns R r1 80000000 - 1664610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1664630 ns R psr 81000200 - 1664650 ns MR4_I 01000214 6841d006 - 1664670 ns MR4_I 01000218 d1fc07c9 - 1664670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1664750 ns MR4_D 40006004 00000001 - 1664750 ns R r1 00000001 - 1664750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1664770 ns MR4_I 0100021c 1c5b6002 - 1664770 ns R r1 80000000 - 1664770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1664790 ns R psr 81000200 - 1664810 ns MR4_I 01000214 6841d006 - 1664830 ns MR4_I 01000218 d1fc07c9 - 1664830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1664910 ns MR4_D 40006004 00000001 - 1664910 ns R r1 00000001 - 1664910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1664930 ns MR4_I 0100021c 1c5b6002 - 1664930 ns R r1 80000000 - 1664930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1664950 ns R psr 81000200 - 1664970 ns MR4_I 01000214 6841d006 - 1664990 ns MR4_I 01000218 d1fc07c9 - 1664990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1665070 ns MR4_D 40006004 00000001 - 1665070 ns R r1 00000001 - 1665070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1665090 ns MR4_I 0100021c 1c5b6002 - 1665090 ns R r1 80000000 - 1665090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1665110 ns R psr 81000200 - 1665130 ns MR4_I 01000214 6841d006 - 1665150 ns MR4_I 01000218 d1fc07c9 - 1665150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1665230 ns MR4_D 40006004 00000001 - 1665230 ns R r1 00000001 - 1665230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1665250 ns MR4_I 0100021c 1c5b6002 - 1665250 ns R r1 80000000 - 1665250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1665270 ns R psr 81000200 - 1665290 ns MR4_I 01000214 6841d006 - 1665310 ns MR4_I 01000218 d1fc07c9 - 1665310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1665390 ns MR4_D 40006004 00000001 - 1665390 ns R r1 00000001 - 1665390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1665410 ns MR4_I 0100021c 1c5b6002 - 1665410 ns R r1 80000000 - 1665410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1665430 ns R psr 81000200 - 1665450 ns MR4_I 01000214 6841d006 - 1665470 ns MR4_I 01000218 d1fc07c9 - 1665470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1665550 ns MR4_D 40006004 00000001 - 1665550 ns R r1 00000001 - 1665550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1665570 ns MR4_I 0100021c 1c5b6002 - 1665570 ns R r1 80000000 - 1665570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1665590 ns R psr 81000200 - 1665610 ns MR4_I 01000214 6841d006 - 1665630 ns MR4_I 01000218 d1fc07c9 - 1665630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1665710 ns MR4_D 40006004 00000001 - 1665710 ns R r1 00000001 - 1665710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1665730 ns MR4_I 0100021c 1c5b6002 - 1665730 ns R r1 80000000 - 1665730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1665750 ns R psr 81000200 - 1665770 ns MR4_I 01000214 6841d006 - 1665790 ns MR4_I 01000218 d1fc07c9 - 1665790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1665870 ns MR4_D 40006004 00000001 - 1665870 ns R r1 00000001 - 1665870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1665890 ns MR4_I 0100021c 1c5b6002 - 1665890 ns R r1 80000000 - 1665890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1665910 ns R psr 81000200 - 1665930 ns MR4_I 01000214 6841d006 - 1665950 ns MR4_I 01000218 d1fc07c9 - 1665950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1666030 ns MR4_D 40006004 00000001 - 1666030 ns R r1 00000001 - 1666030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1666050 ns MR4_I 0100021c 1c5b6002 - 1666050 ns R r1 80000000 - 1666050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1666070 ns R psr 81000200 - 1666090 ns MR4_I 01000214 6841d006 - 1666110 ns MR4_I 01000218 d1fc07c9 - 1666110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1666190 ns MR4_D 40006004 00000001 - 1666190 ns R r1 00000001 - 1666190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1666210 ns MR4_I 0100021c 1c5b6002 - 1666210 ns R r1 80000000 - 1666210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1666230 ns R psr 81000200 - 1666250 ns MR4_I 01000214 6841d006 - 1666270 ns MR4_I 01000218 d1fc07c9 - 1666270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1666350 ns MR4_D 40006004 00000001 - 1666350 ns R r1 00000001 - 1666350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1666370 ns MR4_I 0100021c 1c5b6002 - 1666370 ns R r1 80000000 - 1666370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1666390 ns R psr 81000200 - 1666410 ns MR4_I 01000214 6841d006 - 1666430 ns MR4_I 01000218 d1fc07c9 - 1666430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1666510 ns MR4_D 40006004 00000001 - 1666510 ns R r1 00000001 - 1666510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1666530 ns MR4_I 0100021c 1c5b6002 - 1666530 ns R r1 80000000 - 1666530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1666550 ns R psr 81000200 - 1666570 ns MR4_I 01000214 6841d006 - 1666590 ns MR4_I 01000218 d1fc07c9 - 1666590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1666670 ns MR4_D 40006004 00000001 - 1666670 ns R r1 00000001 - 1666670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1666690 ns MR4_I 0100021c 1c5b6002 - 1666690 ns R r1 80000000 - 1666690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1666710 ns R psr 81000200 - 1666730 ns MR4_I 01000214 6841d006 - 1666750 ns MR4_I 01000218 d1fc07c9 - 1666750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1666830 ns MR4_D 40006004 00000001 - 1666830 ns R r1 00000001 - 1666830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1666850 ns MR4_I 0100021c 1c5b6002 - 1666850 ns R r1 80000000 - 1666850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1666870 ns R psr 81000200 - 1666890 ns MR4_I 01000214 6841d006 - 1666910 ns MR4_I 01000218 d1fc07c9 - 1666910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1666990 ns MR4_D 40006004 00000001 - 1666990 ns R r1 00000001 - 1666990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1667010 ns MR4_I 0100021c 1c5b6002 - 1667010 ns R r1 80000000 - 1667010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1667030 ns R psr 81000200 - 1667050 ns MR4_I 01000214 6841d006 - 1667070 ns MR4_I 01000218 d1fc07c9 - 1667070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1667150 ns MR4_D 40006004 00000001 - 1667150 ns R r1 00000001 - 1667150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1667170 ns MR4_I 0100021c 1c5b6002 - 1667170 ns R r1 80000000 - 1667170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1667190 ns R psr 81000200 - 1667210 ns MR4_I 01000214 6841d006 - 1667230 ns MR4_I 01000218 d1fc07c9 - 1667230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1667310 ns MR4_D 40006004 00000001 - 1667310 ns R r1 00000001 - 1667310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1667330 ns MR4_I 0100021c 1c5b6002 - 1667330 ns R r1 80000000 - 1667330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1667350 ns R psr 81000200 - 1667370 ns MR4_I 01000214 6841d006 - 1667390 ns MR4_I 01000218 d1fc07c9 - 1667390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1667470 ns MR4_D 40006004 00000001 - 1667470 ns R r1 00000001 - 1667470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1667490 ns MR4_I 0100021c 1c5b6002 - 1667490 ns R r1 80000000 - 1667490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1667510 ns R psr 81000200 - 1667530 ns MR4_I 01000214 6841d006 - 1667550 ns MR4_I 01000218 d1fc07c9 - 1667550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1667630 ns MR4_D 40006004 00000001 - 1667630 ns R r1 00000001 - 1667630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1667650 ns MR4_I 0100021c 1c5b6002 - 1667650 ns R r1 80000000 - 1667650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1667670 ns R psr 81000200 - 1667690 ns MR4_I 01000214 6841d006 - 1667710 ns MR4_I 01000218 d1fc07c9 - 1667710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1667790 ns MR4_D 40006004 00000001 - 1667790 ns R r1 00000001 - 1667790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1667810 ns MR4_I 0100021c 1c5b6002 - 1667810 ns R r1 80000000 - 1667810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1667830 ns R psr 81000200 - 1667850 ns MR4_I 01000214 6841d006 - 1667870 ns MR4_I 01000218 d1fc07c9 - 1667870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1667950 ns MR4_D 40006004 00000001 - 1667950 ns R r1 00000001 - 1667950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1667970 ns MR4_I 0100021c 1c5b6002 - 1667970 ns R r1 80000000 - 1667970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1667990 ns R psr 81000200 - 1668010 ns MR4_I 01000214 6841d006 - 1668030 ns MR4_I 01000218 d1fc07c9 - 1668030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1668110 ns MR4_D 40006004 00000001 - 1668110 ns R r1 00000001 - 1668110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1668130 ns MR4_I 0100021c 1c5b6002 - 1668130 ns R r1 80000000 - 1668130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1668150 ns R psr 81000200 - 1668170 ns MR4_I 01000214 6841d006 - 1668190 ns MR4_I 01000218 d1fc07c9 - 1668190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1668270 ns MR4_D 40006004 00000001 - 1668270 ns R r1 00000001 - 1668270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1668290 ns MR4_I 0100021c 1c5b6002 - 1668290 ns R r1 80000000 - 1668290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1668310 ns R psr 81000200 - 1668330 ns MR4_I 01000214 6841d006 - 1668350 ns MR4_I 01000218 d1fc07c9 - 1668350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1668430 ns MR4_D 40006004 00000001 - 1668430 ns R r1 00000001 - 1668430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1668450 ns MR4_I 0100021c 1c5b6002 - 1668450 ns R r1 80000000 - 1668450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1668470 ns R psr 81000200 - 1668490 ns MR4_I 01000214 6841d006 - 1668510 ns MR4_I 01000218 d1fc07c9 - 1668510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1668590 ns MR4_D 40006004 00000001 - 1668590 ns R r1 00000001 - 1668590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1668610 ns MR4_I 0100021c 1c5b6002 - 1668610 ns R r1 80000000 - 1668610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1668630 ns R psr 81000200 - 1668650 ns MR4_I 01000214 6841d006 - 1668670 ns MR4_I 01000218 d1fc07c9 - 1668670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1668750 ns MR4_D 40006004 00000001 - 1668750 ns R r1 00000001 - 1668750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1668770 ns MR4_I 0100021c 1c5b6002 - 1668770 ns R r1 80000000 - 1668770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1668790 ns R psr 81000200 - 1668810 ns MR4_I 01000214 6841d006 - 1668830 ns MR4_I 01000218 d1fc07c9 - 1668830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1668910 ns MR4_D 40006004 00000001 - 1668910 ns R r1 00000001 - 1668910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1668930 ns MR4_I 0100021c 1c5b6002 - 1668930 ns R r1 80000000 - 1668930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1668950 ns R psr 81000200 - 1668970 ns MR4_I 01000214 6841d006 - 1668990 ns MR4_I 01000218 d1fc07c9 - 1668990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1669070 ns MR4_D 40006004 00000001 - 1669070 ns R r1 00000001 - 1669070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1669090 ns MR4_I 0100021c 1c5b6002 - 1669090 ns R r1 80000000 - 1669090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1669110 ns R psr 81000200 - 1669130 ns MR4_I 01000214 6841d006 - 1669150 ns MR4_I 01000218 d1fc07c9 - 1669150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1669230 ns MR4_D 40006004 00000001 - 1669230 ns R r1 00000001 - 1669230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1669250 ns MR4_I 0100021c 1c5b6002 - 1669250 ns R r1 80000000 - 1669250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1669270 ns R psr 81000200 - 1669290 ns MR4_I 01000214 6841d006 - 1669310 ns MR4_I 01000218 d1fc07c9 - 1669310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1669390 ns MR4_D 40006004 00000001 - 1669390 ns R r1 00000001 - 1669390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1669410 ns MR4_I 0100021c 1c5b6002 - 1669410 ns R r1 80000000 - 1669410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1669430 ns R psr 81000200 - 1669450 ns MR4_I 01000214 6841d006 - 1669470 ns MR4_I 01000218 d1fc07c9 - 1669470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1669550 ns MR4_D 40006004 00000001 - 1669550 ns R r1 00000001 - 1669550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1669570 ns MR4_I 0100021c 1c5b6002 - 1669570 ns R r1 80000000 - 1669570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1669590 ns R psr 81000200 - 1669610 ns MR4_I 01000214 6841d006 - 1669630 ns MR4_I 01000218 d1fc07c9 - 1669630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1669710 ns MR4_D 40006004 00000001 - 1669710 ns R r1 00000001 - 1669710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1669730 ns MR4_I 0100021c 1c5b6002 - 1669730 ns R r1 80000000 - 1669730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1669750 ns R psr 81000200 - 1669770 ns MR4_I 01000214 6841d006 - 1669790 ns MR4_I 01000218 d1fc07c9 - 1669790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1669870 ns MR4_D 40006004 00000001 - 1669870 ns R r1 00000001 - 1669870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1669890 ns MR4_I 0100021c 1c5b6002 - 1669890 ns R r1 80000000 - 1669890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1669910 ns R psr 81000200 - 1669930 ns MR4_I 01000214 6841d006 - 1669950 ns MR4_I 01000218 d1fc07c9 - 1669950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1670030 ns MR4_D 40006004 00000001 - 1670030 ns R r1 00000001 - 1670030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1670050 ns MR4_I 0100021c 1c5b6002 - 1670050 ns R r1 80000000 - 1670050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1670070 ns R psr 81000200 - 1670090 ns MR4_I 01000214 6841d006 - 1670110 ns MR4_I 01000218 d1fc07c9 - 1670110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1670190 ns MR4_D 40006004 00000001 - 1670190 ns R r1 00000001 - 1670190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1670210 ns MR4_I 0100021c 1c5b6002 - 1670210 ns R r1 80000000 - 1670210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1670230 ns R psr 81000200 - 1670250 ns MR4_I 01000214 6841d006 - 1670270 ns MR4_I 01000218 d1fc07c9 - 1670270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1670350 ns MR4_D 40006004 00000001 - 1670350 ns R r1 00000001 - 1670350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1670370 ns MR4_I 0100021c 1c5b6002 - 1670370 ns R r1 80000000 - 1670370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1670390 ns R psr 81000200 - 1670410 ns MR4_I 01000214 6841d006 - 1670430 ns MR4_I 01000218 d1fc07c9 - 1670430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1670510 ns MR4_D 40006004 00000001 - 1670510 ns R r1 00000001 - 1670510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1670530 ns MR4_I 0100021c 1c5b6002 - 1670530 ns R r1 80000000 - 1670530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1670550 ns R psr 81000200 - 1670570 ns MR4_I 01000214 6841d006 - 1670590 ns MR4_I 01000218 d1fc07c9 - 1670590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1670670 ns MR4_D 40006004 00000001 - 1670670 ns R r1 00000001 - 1670670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1670690 ns MR4_I 0100021c 1c5b6002 - 1670690 ns R r1 80000000 - 1670690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1670710 ns R psr 81000200 - 1670730 ns MR4_I 01000214 6841d006 - 1670750 ns MR4_I 01000218 d1fc07c9 - 1670750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1670830 ns MR4_D 40006004 00000001 - 1670830 ns R r1 00000001 - 1670830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1670850 ns MR4_I 0100021c 1c5b6002 - 1670850 ns R r1 80000000 - 1670850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1670870 ns R psr 81000200 - 1670890 ns MR4_I 01000214 6841d006 - 1670910 ns MR4_I 01000218 d1fc07c9 - 1670910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1670990 ns MR4_D 40006004 00000001 - 1670990 ns R r1 00000001 - 1670990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1671010 ns MR4_I 0100021c 1c5b6002 - 1671010 ns R r1 80000000 - 1671010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1671030 ns R psr 81000200 - 1671050 ns MR4_I 01000214 6841d006 - 1671070 ns MR4_I 01000218 d1fc07c9 - 1671070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1671150 ns MR4_D 40006004 00000001 - 1671150 ns R r1 00000001 - 1671150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1671170 ns MR4_I 0100021c 1c5b6002 - 1671170 ns R r1 80000000 - 1671170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1671190 ns R psr 81000200 - 1671210 ns MR4_I 01000214 6841d006 - 1671230 ns MR4_I 01000218 d1fc07c9 - 1671230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1671310 ns MR4_D 40006004 00000001 - 1671310 ns R r1 00000001 - 1671310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1671330 ns MR4_I 0100021c 1c5b6002 - 1671330 ns R r1 80000000 - 1671330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1671350 ns R psr 81000200 - 1671370 ns MR4_I 01000214 6841d006 - 1671390 ns MR4_I 01000218 d1fc07c9 - 1671390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1671470 ns MR4_D 40006004 00000001 - 1671470 ns R r1 00000001 - 1671470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1671490 ns MR4_I 0100021c 1c5b6002 - 1671490 ns R r1 80000000 - 1671490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1671510 ns R psr 81000200 - 1671530 ns MR4_I 01000214 6841d006 - 1671550 ns MR4_I 01000218 d1fc07c9 - 1671550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1671630 ns MR4_D 40006004 00000001 - 1671630 ns R r1 00000001 - 1671630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1671650 ns MR4_I 0100021c 1c5b6002 - 1671650 ns R r1 80000000 - 1671650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1671670 ns R psr 81000200 - 1671690 ns MR4_I 01000214 6841d006 - 1671710 ns MR4_I 01000218 d1fc07c9 - 1671710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1671790 ns MR4_D 40006004 00000001 - 1671790 ns R r1 00000001 - 1671790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1671810 ns MR4_I 0100021c 1c5b6002 - 1671810 ns R r1 80000000 - 1671810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1671830 ns R psr 81000200 - 1671850 ns MR4_I 01000214 6841d006 - 1671870 ns MR4_I 01000218 d1fc07c9 - 1671870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1671950 ns MR4_D 40006004 00000001 - 1671950 ns R r1 00000001 - 1671950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1671970 ns MR4_I 0100021c 1c5b6002 - 1671970 ns R r1 80000000 - 1671970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1671990 ns R psr 81000200 - 1672010 ns MR4_I 01000214 6841d006 - 1672030 ns MR4_I 01000218 d1fc07c9 - 1672030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1672110 ns MR4_D 40006004 00000001 - 1672110 ns R r1 00000001 - 1672110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1672130 ns MR4_I 0100021c 1c5b6002 - 1672130 ns R r1 80000000 - 1672130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1672150 ns R psr 81000200 - 1672170 ns MR4_I 01000214 6841d006 - 1672190 ns MR4_I 01000218 d1fc07c9 - 1672190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1672270 ns MR4_D 40006004 00000001 - 1672270 ns R r1 00000001 - 1672270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1672290 ns MR4_I 0100021c 1c5b6002 - 1672290 ns R r1 80000000 - 1672290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1672310 ns R psr 81000200 - 1672330 ns MR4_I 01000214 6841d006 - 1672350 ns MR4_I 01000218 d1fc07c9 - 1672350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1672430 ns MR4_D 40006004 00000001 - 1672430 ns R r1 00000001 - 1672430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1672450 ns MR4_I 0100021c 1c5b6002 - 1672450 ns R r1 80000000 - 1672450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1672470 ns R psr 81000200 - 1672490 ns MR4_I 01000214 6841d006 - 1672510 ns MR4_I 01000218 d1fc07c9 - 1672510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1672590 ns MR4_D 40006004 00000001 - 1672590 ns R r1 00000001 - 1672590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1672610 ns MR4_I 0100021c 1c5b6002 - 1672610 ns R r1 80000000 - 1672610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1672630 ns R psr 81000200 - 1672650 ns MR4_I 01000214 6841d006 - 1672670 ns MR4_I 01000218 d1fc07c9 - 1672670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1672750 ns MR4_D 40006004 00000001 - 1672750 ns R r1 00000001 - 1672750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1672770 ns MR4_I 0100021c 1c5b6002 - 1672770 ns R r1 80000000 - 1672770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1672790 ns R psr 81000200 - 1672810 ns MR4_I 01000214 6841d006 - 1672830 ns MR4_I 01000218 d1fc07c9 - 1672830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1672910 ns MR4_D 40006004 00000001 - 1672910 ns R r1 00000001 - 1672910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1672930 ns MR4_I 0100021c 1c5b6002 - 1672930 ns R r1 80000000 - 1672930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1672950 ns R psr 81000200 - 1672970 ns MR4_I 01000214 6841d006 - 1672990 ns MR4_I 01000218 d1fc07c9 - 1672990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1673070 ns MR4_D 40006004 00000001 - 1673070 ns R r1 00000001 - 1673070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1673090 ns MR4_I 0100021c 1c5b6002 - 1673090 ns R r1 80000000 - 1673090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1673110 ns R psr 81000200 - 1673130 ns MR4_I 01000214 6841d006 - 1673150 ns MR4_I 01000218 d1fc07c9 - 1673150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1673230 ns MR4_D 40006004 00000001 - 1673230 ns R r1 00000001 - 1673230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1673250 ns MR4_I 0100021c 1c5b6002 - 1673250 ns R r1 80000000 - 1673250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1673270 ns R psr 81000200 - 1673290 ns MR4_I 01000214 6841d006 - 1673310 ns MR4_I 01000218 d1fc07c9 - 1673310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1673390 ns MR4_D 40006004 00000001 - 1673390 ns R r1 00000001 - 1673390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1673410 ns MR4_I 0100021c 1c5b6002 - 1673410 ns R r1 80000000 - 1673410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1673430 ns R psr 81000200 - 1673450 ns MR4_I 01000214 6841d006 - 1673470 ns MR4_I 01000218 d1fc07c9 - 1673470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1673550 ns MR4_D 40006004 00000001 - 1673550 ns R r1 00000001 - 1673550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1673570 ns MR4_I 0100021c 1c5b6002 - 1673570 ns R r1 80000000 - 1673570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1673590 ns R psr 81000200 - 1673610 ns MR4_I 01000214 6841d006 - 1673630 ns MR4_I 01000218 d1fc07c9 - 1673630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1673710 ns MR4_D 40006004 00000001 - 1673710 ns R r1 00000001 - 1673710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1673730 ns MR4_I 0100021c 1c5b6002 - 1673730 ns R r1 80000000 - 1673730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1673750 ns R psr 81000200 - 1673770 ns MR4_I 01000214 6841d006 - 1673790 ns MR4_I 01000218 d1fc07c9 - 1673790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1673870 ns MR4_D 40006004 00000001 - 1673870 ns R r1 00000001 - 1673870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1673890 ns MR4_I 0100021c 1c5b6002 - 1673890 ns R r1 80000000 - 1673890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1673910 ns R psr 81000200 - 1673930 ns MR4_I 01000214 6841d006 - 1673950 ns MR4_I 01000218 d1fc07c9 - 1673950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1674030 ns MR4_D 40006004 00000001 - 1674030 ns R r1 00000001 - 1674030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1674050 ns MR4_I 0100021c 1c5b6002 - 1674050 ns R r1 80000000 - 1674050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1674070 ns R psr 81000200 - 1674090 ns MR4_I 01000214 6841d006 - 1674110 ns MR4_I 01000218 d1fc07c9 - 1674110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1674190 ns MR4_D 40006004 00000001 - 1674190 ns R r1 00000001 - 1674190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1674210 ns MR4_I 0100021c 1c5b6002 - 1674210 ns R r1 80000000 - 1674210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1674230 ns R psr 81000200 - 1674250 ns MR4_I 01000214 6841d006 - 1674270 ns MR4_I 01000218 d1fc07c9 - 1674270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1674350 ns MR4_D 40006004 00000001 - 1674350 ns R r1 00000001 - 1674350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1674370 ns MR4_I 0100021c 1c5b6002 - 1674370 ns R r1 80000000 - 1674370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1674390 ns R psr 81000200 - 1674410 ns MR4_I 01000214 6841d006 - 1674430 ns MR4_I 01000218 d1fc07c9 - 1674430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1674510 ns MR4_D 40006004 00000001 - 1674510 ns R r1 00000001 - 1674510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1674530 ns MR4_I 0100021c 1c5b6002 - 1674530 ns R r1 80000000 - 1674530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1674550 ns R psr 81000200 - 1674570 ns MR4_I 01000214 6841d006 - 1674590 ns MR4_I 01000218 d1fc07c9 - 1674590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1674670 ns MR4_D 40006004 00000001 - 1674670 ns R r1 00000001 - 1674670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1674690 ns MR4_I 0100021c 1c5b6002 - 1674690 ns R r1 80000000 - 1674690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1674710 ns R psr 81000200 - 1674730 ns MR4_I 01000214 6841d006 - 1674750 ns MR4_I 01000218 d1fc07c9 - 1674750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1674830 ns MR4_D 40006004 00000001 - 1674830 ns R r1 00000001 - 1674830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1674850 ns MR4_I 0100021c 1c5b6002 - 1674850 ns R r1 80000000 - 1674850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1674870 ns R psr 81000200 - 1674890 ns MR4_I 01000214 6841d006 - 1674910 ns MR4_I 01000218 d1fc07c9 - 1674910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1674990 ns MR4_D 40006004 00000001 - 1674990 ns R r1 00000001 - 1674990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1675010 ns MR4_I 0100021c 1c5b6002 - 1675010 ns R r1 80000000 - 1675010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1675030 ns R psr 81000200 - 1675050 ns MR4_I 01000214 6841d006 - 1675070 ns MR4_I 01000218 d1fc07c9 - 1675070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1675150 ns MR4_D 40006004 00000001 - 1675150 ns R r1 00000001 - 1675150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1675170 ns MR4_I 0100021c 1c5b6002 - 1675170 ns R r1 80000000 - 1675170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1675190 ns R psr 81000200 - 1675210 ns MR4_I 01000214 6841d006 - 1675230 ns MR4_I 01000218 d1fc07c9 - 1675230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1675310 ns MR4_D 40006004 00000001 - 1675310 ns R r1 00000001 - 1675310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1675330 ns MR4_I 0100021c 1c5b6002 - 1675330 ns R r1 80000000 - 1675330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1675350 ns R psr 81000200 - 1675370 ns MR4_I 01000214 6841d006 - 1675390 ns MR4_I 01000218 d1fc07c9 - 1675390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1675470 ns MR4_D 40006004 00000001 - 1675470 ns R r1 00000001 - 1675470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1675490 ns MR4_I 0100021c 1c5b6002 - 1675490 ns R r1 80000000 - 1675490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1675510 ns R psr 81000200 - 1675530 ns MR4_I 01000214 6841d006 - 1675550 ns MR4_I 01000218 d1fc07c9 - 1675550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1675630 ns MR4_D 40006004 00000001 - 1675630 ns R r1 00000001 - 1675630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1675650 ns MR4_I 0100021c 1c5b6002 - 1675650 ns R r1 80000000 - 1675650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1675670 ns R psr 81000200 - 1675690 ns MR4_I 01000214 6841d006 - 1675710 ns MR4_I 01000218 d1fc07c9 - 1675710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1675790 ns MR4_D 40006004 00000001 - 1675790 ns R r1 00000001 - 1675790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1675810 ns MR4_I 0100021c 1c5b6002 - 1675810 ns R r1 80000000 - 1675810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1675830 ns R psr 81000200 - 1675850 ns MR4_I 01000214 6841d006 - 1675870 ns MR4_I 01000218 d1fc07c9 - 1675870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1675950 ns MR4_D 40006004 00000001 - 1675950 ns R r1 00000001 - 1675950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1675970 ns MR4_I 0100021c 1c5b6002 - 1675970 ns R r1 80000000 - 1675970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1675990 ns R psr 81000200 - 1676010 ns MR4_I 01000214 6841d006 - 1676030 ns MR4_I 01000218 d1fc07c9 - 1676030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1676110 ns MR4_D 40006004 00000001 - 1676110 ns R r1 00000001 - 1676110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1676130 ns MR4_I 0100021c 1c5b6002 - 1676130 ns R r1 80000000 - 1676130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1676150 ns R psr 81000200 - 1676170 ns MR4_I 01000214 6841d006 - 1676190 ns MR4_I 01000218 d1fc07c9 - 1676190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1676270 ns MR4_D 40006004 00000001 - 1676270 ns R r1 00000001 - 1676270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1676290 ns MR4_I 0100021c 1c5b6002 - 1676290 ns R r1 80000000 - 1676290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1676310 ns R psr 81000200 - 1676330 ns MR4_I 01000214 6841d006 - 1676350 ns MR4_I 01000218 d1fc07c9 - 1676350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1676430 ns MR4_D 40006004 00000001 - 1676430 ns R r1 00000001 - 1676430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1676450 ns MR4_I 0100021c 1c5b6002 - 1676450 ns R r1 80000000 - 1676450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1676470 ns R psr 81000200 - 1676490 ns MR4_I 01000214 6841d006 - 1676510 ns MR4_I 01000218 d1fc07c9 - 1676510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1676590 ns MR4_D 40006004 00000001 - 1676590 ns R r1 00000001 - 1676590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1676610 ns MR4_I 0100021c 1c5b6002 - 1676610 ns R r1 80000000 - 1676610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1676630 ns R psr 81000200 - 1676650 ns MR4_I 01000214 6841d006 - 1676670 ns MR4_I 01000218 d1fc07c9 - 1676670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1676750 ns MR4_D 40006004 00000001 - 1676750 ns R r1 00000001 - 1676750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1676770 ns MR4_I 0100021c 1c5b6002 - 1676770 ns R r1 80000000 - 1676770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1676790 ns R psr 81000200 - 1676810 ns MR4_I 01000214 6841d006 - 1676830 ns MR4_I 01000218 d1fc07c9 - 1676830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1676910 ns MR4_D 40006004 00000001 - 1676910 ns R r1 00000001 - 1676910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1676930 ns MR4_I 0100021c 1c5b6002 - 1676930 ns R r1 80000000 - 1676930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1676950 ns R psr 81000200 - 1676970 ns MR4_I 01000214 6841d006 - 1676990 ns MR4_I 01000218 d1fc07c9 - 1676990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1677070 ns MR4_D 40006004 00000001 - 1677070 ns R r1 00000001 - 1677070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1677090 ns MR4_I 0100021c 1c5b6002 - 1677090 ns R r1 80000000 - 1677090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1677110 ns R psr 81000200 - 1677130 ns MR4_I 01000214 6841d006 - 1677150 ns MR4_I 01000218 d1fc07c9 - 1677150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1677230 ns MR4_D 40006004 00000001 - 1677230 ns R r1 00000001 - 1677230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1677250 ns MR4_I 0100021c 1c5b6002 - 1677250 ns R r1 80000000 - 1677250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1677270 ns R psr 81000200 - 1677290 ns MR4_I 01000214 6841d006 - 1677310 ns MR4_I 01000218 d1fc07c9 - 1677310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1677390 ns MR4_D 40006004 00000001 - 1677390 ns R r1 00000001 - 1677390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1677410 ns MR4_I 0100021c 1c5b6002 - 1677410 ns R r1 80000000 - 1677410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1677430 ns R psr 81000200 - 1677450 ns MR4_I 01000214 6841d006 - 1677470 ns MR4_I 01000218 d1fc07c9 - 1677470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1677550 ns MR4_D 40006004 00000001 - 1677550 ns R r1 00000001 - 1677550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1677570 ns MR4_I 0100021c 1c5b6002 - 1677570 ns R r1 80000000 - 1677570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1677590 ns R psr 81000200 - 1677610 ns MR4_I 01000214 6841d006 - 1677630 ns MR4_I 01000218 d1fc07c9 - 1677630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1677710 ns MR4_D 40006004 00000001 - 1677710 ns R r1 00000001 - 1677710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1677730 ns MR4_I 0100021c 1c5b6002 - 1677730 ns R r1 80000000 - 1677730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1677750 ns R psr 81000200 - 1677770 ns MR4_I 01000214 6841d006 - 1677790 ns MR4_I 01000218 d1fc07c9 - 1677790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1677870 ns MR4_D 40006004 00000001 - 1677870 ns R r1 00000001 - 1677870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1677890 ns MR4_I 0100021c 1c5b6002 - 1677890 ns R r1 80000000 - 1677890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1677910 ns R psr 81000200 - 1677930 ns MR4_I 01000214 6841d006 - 1677950 ns MR4_I 01000218 d1fc07c9 - 1677950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1678030 ns MR4_D 40006004 00000001 - 1678030 ns R r1 00000001 - 1678030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1678050 ns MR4_I 0100021c 1c5b6002 - 1678050 ns R r1 80000000 - 1678050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1678070 ns R psr 81000200 - 1678090 ns MR4_I 01000214 6841d006 - 1678110 ns MR4_I 01000218 d1fc07c9 - 1678110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1678190 ns MR4_D 40006004 00000001 - 1678190 ns R r1 00000001 - 1678190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1678210 ns MR4_I 0100021c 1c5b6002 - 1678210 ns R r1 80000000 - 1678210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1678230 ns R psr 81000200 - 1678250 ns MR4_I 01000214 6841d006 - 1678270 ns MR4_I 01000218 d1fc07c9 - 1678270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1678350 ns MR4_D 40006004 00000001 - 1678350 ns R r1 00000001 - 1678350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1678370 ns MR4_I 0100021c 1c5b6002 - 1678370 ns R r1 80000000 - 1678370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1678390 ns R psr 81000200 - 1678410 ns MR4_I 01000214 6841d006 - 1678430 ns MR4_I 01000218 d1fc07c9 - 1678430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1678510 ns MR4_D 40006004 00000001 - 1678510 ns R r1 00000001 - 1678510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1678530 ns MR4_I 0100021c 1c5b6002 - 1678530 ns R r1 80000000 - 1678530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1678550 ns R psr 81000200 - 1678570 ns MR4_I 01000214 6841d006 - 1678590 ns MR4_I 01000218 d1fc07c9 - 1678590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1678670 ns MR4_D 40006004 00000001 - 1678670 ns R r1 00000001 - 1678670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1678690 ns MR4_I 0100021c 1c5b6002 - 1678690 ns R r1 80000000 - 1678690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1678710 ns R psr 81000200 - 1678730 ns MR4_I 01000214 6841d006 - 1678750 ns MR4_I 01000218 d1fc07c9 - 1678750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1678830 ns MR4_D 40006004 00000001 - 1678830 ns R r1 00000001 - 1678830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1678850 ns MR4_I 0100021c 1c5b6002 - 1678850 ns R r1 80000000 - 1678850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1678870 ns R psr 81000200 - 1678890 ns MR4_I 01000214 6841d006 - 1678910 ns MR4_I 01000218 d1fc07c9 - 1678910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1678990 ns MR4_D 40006004 00000001 - 1678990 ns R r1 00000001 - 1678990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1679010 ns MR4_I 0100021c 1c5b6002 - 1679010 ns R r1 80000000 - 1679010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1679030 ns R psr 81000200 - 1679050 ns MR4_I 01000214 6841d006 - 1679070 ns MR4_I 01000218 d1fc07c9 - 1679070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1679150 ns MR4_D 40006004 00000001 - 1679150 ns R r1 00000001 - 1679150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1679170 ns MR4_I 0100021c 1c5b6002 - 1679170 ns R r1 80000000 - 1679170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1679190 ns R psr 81000200 - 1679210 ns MR4_I 01000214 6841d006 - 1679230 ns MR4_I 01000218 d1fc07c9 - 1679230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1679310 ns MR4_D 40006004 00000001 - 1679310 ns R r1 00000001 - 1679310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1679330 ns MR4_I 0100021c 1c5b6002 - 1679330 ns R r1 80000000 - 1679330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1679350 ns R psr 81000200 - 1679370 ns MR4_I 01000214 6841d006 - 1679390 ns MR4_I 01000218 d1fc07c9 - 1679390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1679470 ns MR4_D 40006004 00000001 - 1679470 ns R r1 00000001 - 1679470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1679490 ns MR4_I 0100021c 1c5b6002 - 1679490 ns R r1 80000000 - 1679490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1679510 ns R psr 81000200 - 1679530 ns MR4_I 01000214 6841d006 - 1679550 ns MR4_I 01000218 d1fc07c9 - 1679550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1679630 ns MR4_D 40006004 00000001 - 1679630 ns R r1 00000001 - 1679630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1679650 ns MR4_I 0100021c 1c5b6002 - 1679650 ns R r1 80000000 - 1679650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1679670 ns R psr 81000200 - 1679690 ns MR4_I 01000214 6841d006 - 1679710 ns MR4_I 01000218 d1fc07c9 - 1679710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1679790 ns MR4_D 40006004 00000001 - 1679790 ns R r1 00000001 - 1679790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1679810 ns MR4_I 0100021c 1c5b6002 - 1679810 ns R r1 80000000 - 1679810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1679830 ns R psr 81000200 - 1679850 ns MR4_I 01000214 6841d006 - 1679870 ns MR4_I 01000218 d1fc07c9 - 1679870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1679950 ns MR4_D 40006004 00000001 - 1679950 ns R r1 00000001 - 1679950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1679970 ns MR4_I 0100021c 1c5b6002 - 1679970 ns R r1 80000000 - 1679970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1679990 ns R psr 81000200 - 1680010 ns MR4_I 01000214 6841d006 - 1680030 ns MR4_I 01000218 d1fc07c9 - 1680030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1680110 ns MR4_D 40006004 00000001 - 1680110 ns R r1 00000001 - 1680110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1680130 ns MR4_I 0100021c 1c5b6002 - 1680130 ns R r1 80000000 - 1680130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1680150 ns R psr 81000200 - 1680170 ns MR4_I 01000214 6841d006 - 1680190 ns MR4_I 01000218 d1fc07c9 - 1680190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1680270 ns MR4_D 40006004 00000001 - 1680270 ns R r1 00000001 - 1680270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1680290 ns MR4_I 0100021c 1c5b6002 - 1680290 ns R r1 80000000 - 1680290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1680310 ns R psr 81000200 - 1680330 ns MR4_I 01000214 6841d006 - 1680350 ns MR4_I 01000218 d1fc07c9 - 1680350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1680430 ns MR4_D 40006004 00000001 - 1680430 ns R r1 00000001 - 1680430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1680450 ns MR4_I 0100021c 1c5b6002 - 1680450 ns R r1 80000000 - 1680450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1680470 ns R psr 81000200 - 1680490 ns MR4_I 01000214 6841d006 - 1680510 ns MR4_I 01000218 d1fc07c9 - 1680510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1680590 ns MR4_D 40006004 00000001 - 1680590 ns R r1 00000001 - 1680590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1680610 ns MR4_I 0100021c 1c5b6002 - 1680610 ns R r1 80000000 - 1680610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1680630 ns R psr 81000200 - 1680650 ns MR4_I 01000214 6841d006 - 1680670 ns MR4_I 01000218 d1fc07c9 - 1680670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1680750 ns MR4_D 40006004 00000000 - 1680750 ns R r1 00000000 - 1680750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1680770 ns MR4_I 0100021c 1c5b6002 - 1680770 ns R r1 00000000 - 1680770 ns IS 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1680790 ns R psr 41000200 - 1680790 ns IT 0100021c 6002 STR r2,[r0,#0] - 1680810 ns MR4_I 01000220 d1f52a00 - 1680870 ns MW4_D 40006000 00000066 - 1680870 ns IT 0100021e 1c5b ADDS r3,r3,#1 - 1680890 ns R r3 010002c1 - 1680890 ns IT 01000220 2a00 CMP r2,#0 - 1680910 ns R psr 01000200 - 1680910 ns MR4_I 01000224 680a4911 - 1680910 ns IT 01000222 d1f5 BNE {pc} - 0x12 ; 0x1000210 - 1680930 ns R psr 21000200 - 1680950 ns MR4_I 01000210 2a00781a - 1680970 ns IT 01000210 781a LDRB r2,[r3,#0] - 1680990 ns MR4_I 01000214 6841d006 - 1681010 ns MR1_D 010002c1 73616c66 - 1681010 ns R r2 0000006c - 1681010 ns IT 01000212 2a00 CMP r2,#0 - 1681030 ns IS 01000214 d006 BEQ {pc} + 0x10 ; 0x1000224 - 1681050 ns R psr 21000200 - 1681050 ns MR4_I 01000218 d1fc07c9 - 1681050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1681130 ns MR4_D 40006004 00000001 - 1681130 ns R r1 00000001 - 1681130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1681150 ns MR4_I 0100021c 1c5b6002 - 1681150 ns R r1 80000000 - 1681150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1681170 ns R psr 81000200 - 1681190 ns MR4_I 01000214 6841d006 - 1681210 ns MR4_I 01000218 d1fc07c9 - 1681210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1681290 ns MR4_D 40006004 00000001 - 1681290 ns R r1 00000001 - 1681290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1681310 ns MR4_I 0100021c 1c5b6002 - 1681310 ns R r1 80000000 - 1681310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1681330 ns R psr 81000200 - 1681350 ns MR4_I 01000214 6841d006 - 1681370 ns MR4_I 01000218 d1fc07c9 - 1681370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1681450 ns MR4_D 40006004 00000001 - 1681450 ns R r1 00000001 - 1681450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1681470 ns MR4_I 0100021c 1c5b6002 - 1681470 ns R r1 80000000 - 1681470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1681490 ns R psr 81000200 - 1681510 ns MR4_I 01000214 6841d006 - 1681530 ns MR4_I 01000218 d1fc07c9 - 1681530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1681610 ns MR4_D 40006004 00000001 - 1681610 ns R r1 00000001 - 1681610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1681630 ns MR4_I 0100021c 1c5b6002 - 1681630 ns R r1 80000000 - 1681630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1681650 ns R psr 81000200 - 1681670 ns MR4_I 01000214 6841d006 - 1681690 ns MR4_I 01000218 d1fc07c9 - 1681690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1681770 ns MR4_D 40006004 00000001 - 1681770 ns R r1 00000001 - 1681770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1681790 ns MR4_I 0100021c 1c5b6002 - 1681790 ns R r1 80000000 - 1681790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1681810 ns R psr 81000200 - 1681830 ns MR4_I 01000214 6841d006 - 1681850 ns MR4_I 01000218 d1fc07c9 - 1681850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1681930 ns MR4_D 40006004 00000001 - 1681930 ns R r1 00000001 - 1681930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1681950 ns MR4_I 0100021c 1c5b6002 - 1681950 ns R r1 80000000 - 1681950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1681970 ns R psr 81000200 - 1681990 ns MR4_I 01000214 6841d006 - 1682010 ns MR4_I 01000218 d1fc07c9 - 1682010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1682090 ns MR4_D 40006004 00000001 - 1682090 ns R r1 00000001 - 1682090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1682110 ns MR4_I 0100021c 1c5b6002 - 1682110 ns R r1 80000000 - 1682110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1682130 ns R psr 81000200 - 1682150 ns MR4_I 01000214 6841d006 - 1682170 ns MR4_I 01000218 d1fc07c9 - 1682170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1682250 ns MR4_D 40006004 00000001 - 1682250 ns R r1 00000001 - 1682250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1682270 ns MR4_I 0100021c 1c5b6002 - 1682270 ns R r1 80000000 - 1682270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1682290 ns R psr 81000200 - 1682310 ns MR4_I 01000214 6841d006 - 1682330 ns MR4_I 01000218 d1fc07c9 - 1682330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1682410 ns MR4_D 40006004 00000001 - 1682410 ns R r1 00000001 - 1682410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1682430 ns MR4_I 0100021c 1c5b6002 - 1682430 ns R r1 80000000 - 1682430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1682450 ns R psr 81000200 - 1682470 ns MR4_I 01000214 6841d006 - 1682490 ns MR4_I 01000218 d1fc07c9 - 1682490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1682570 ns MR4_D 40006004 00000001 - 1682570 ns R r1 00000001 - 1682570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1682590 ns MR4_I 0100021c 1c5b6002 - 1682590 ns R r1 80000000 - 1682590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1682610 ns R psr 81000200 - 1682630 ns MR4_I 01000214 6841d006 - 1682650 ns MR4_I 01000218 d1fc07c9 - 1682650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1682730 ns MR4_D 40006004 00000001 - 1682730 ns R r1 00000001 - 1682730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1682750 ns MR4_I 0100021c 1c5b6002 - 1682750 ns R r1 80000000 - 1682750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1682770 ns R psr 81000200 - 1682790 ns MR4_I 01000214 6841d006 - 1682810 ns MR4_I 01000218 d1fc07c9 - 1682810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1682890 ns MR4_D 40006004 00000001 - 1682890 ns R r1 00000001 - 1682890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1682910 ns MR4_I 0100021c 1c5b6002 - 1682910 ns R r1 80000000 - 1682910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1682930 ns R psr 81000200 - 1682950 ns MR4_I 01000214 6841d006 - 1682970 ns MR4_I 01000218 d1fc07c9 - 1682970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1683050 ns MR4_D 40006004 00000001 - 1683050 ns R r1 00000001 - 1683050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1683070 ns MR4_I 0100021c 1c5b6002 - 1683070 ns R r1 80000000 - 1683070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1683090 ns R psr 81000200 - 1683110 ns MR4_I 01000214 6841d006 - 1683130 ns MR4_I 01000218 d1fc07c9 - 1683130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1683210 ns MR4_D 40006004 00000001 - 1683210 ns R r1 00000001 - 1683210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1683230 ns MR4_I 0100021c 1c5b6002 - 1683230 ns R r1 80000000 - 1683230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1683250 ns R psr 81000200 - 1683270 ns MR4_I 01000214 6841d006 - 1683290 ns MR4_I 01000218 d1fc07c9 - 1683290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1683370 ns MR4_D 40006004 00000001 - 1683370 ns R r1 00000001 - 1683370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1683390 ns MR4_I 0100021c 1c5b6002 - 1683390 ns R r1 80000000 - 1683390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1683410 ns R psr 81000200 - 1683430 ns MR4_I 01000214 6841d006 - 1683450 ns MR4_I 01000218 d1fc07c9 - 1683450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1683530 ns MR4_D 40006004 00000001 - 1683530 ns R r1 00000001 - 1683530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1683550 ns MR4_I 0100021c 1c5b6002 - 1683550 ns R r1 80000000 - 1683550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1683570 ns R psr 81000200 - 1683590 ns MR4_I 01000214 6841d006 - 1683610 ns MR4_I 01000218 d1fc07c9 - 1683610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1683690 ns MR4_D 40006004 00000001 - 1683690 ns R r1 00000001 - 1683690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1683710 ns MR4_I 0100021c 1c5b6002 - 1683710 ns R r1 80000000 - 1683710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1683730 ns R psr 81000200 - 1683750 ns MR4_I 01000214 6841d006 - 1683770 ns MR4_I 01000218 d1fc07c9 - 1683770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1683850 ns MR4_D 40006004 00000001 - 1683850 ns R r1 00000001 - 1683850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1683870 ns MR4_I 0100021c 1c5b6002 - 1683870 ns R r1 80000000 - 1683870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1683890 ns R psr 81000200 - 1683910 ns MR4_I 01000214 6841d006 - 1683930 ns MR4_I 01000218 d1fc07c9 - 1683930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1684010 ns MR4_D 40006004 00000001 - 1684010 ns R r1 00000001 - 1684010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1684030 ns MR4_I 0100021c 1c5b6002 - 1684030 ns R r1 80000000 - 1684030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1684050 ns R psr 81000200 - 1684070 ns MR4_I 01000214 6841d006 - 1684090 ns MR4_I 01000218 d1fc07c9 - 1684090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1684170 ns MR4_D 40006004 00000001 - 1684170 ns R r1 00000001 - 1684170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1684190 ns MR4_I 0100021c 1c5b6002 - 1684190 ns R r1 80000000 - 1684190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1684210 ns R psr 81000200 - 1684230 ns MR4_I 01000214 6841d006 - 1684250 ns MR4_I 01000218 d1fc07c9 - 1684250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1684330 ns MR4_D 40006004 00000001 - 1684330 ns R r1 00000001 - 1684330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1684350 ns MR4_I 0100021c 1c5b6002 - 1684350 ns R r1 80000000 - 1684350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1684370 ns R psr 81000200 - 1684390 ns MR4_I 01000214 6841d006 - 1684410 ns MR4_I 01000218 d1fc07c9 - 1684410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1684490 ns MR4_D 40006004 00000001 - 1684490 ns R r1 00000001 - 1684490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1684510 ns MR4_I 0100021c 1c5b6002 - 1684510 ns R r1 80000000 - 1684510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1684530 ns R psr 81000200 - 1684550 ns MR4_I 01000214 6841d006 - 1684570 ns MR4_I 01000218 d1fc07c9 - 1684570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1684650 ns MR4_D 40006004 00000001 - 1684650 ns R r1 00000001 - 1684650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1684670 ns MR4_I 0100021c 1c5b6002 - 1684670 ns R r1 80000000 - 1684670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1684690 ns R psr 81000200 - 1684710 ns MR4_I 01000214 6841d006 - 1684730 ns MR4_I 01000218 d1fc07c9 - 1684730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1684810 ns MR4_D 40006004 00000001 - 1684810 ns R r1 00000001 - 1684810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1684830 ns MR4_I 0100021c 1c5b6002 - 1684830 ns R r1 80000000 - 1684830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1684850 ns R psr 81000200 - 1684870 ns MR4_I 01000214 6841d006 - 1684890 ns MR4_I 01000218 d1fc07c9 - 1684890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1684970 ns MR4_D 40006004 00000001 - 1684970 ns R r1 00000001 - 1684970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1684990 ns MR4_I 0100021c 1c5b6002 - 1684990 ns R r1 80000000 - 1684990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1685010 ns R psr 81000200 - 1685030 ns MR4_I 01000214 6841d006 - 1685050 ns MR4_I 01000218 d1fc07c9 - 1685050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1685130 ns MR4_D 40006004 00000001 - 1685130 ns R r1 00000001 - 1685130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1685150 ns MR4_I 0100021c 1c5b6002 - 1685150 ns R r1 80000000 - 1685150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1685170 ns R psr 81000200 - 1685190 ns MR4_I 01000214 6841d006 - 1685210 ns MR4_I 01000218 d1fc07c9 - 1685210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1685290 ns MR4_D 40006004 00000001 - 1685290 ns R r1 00000001 - 1685290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1685310 ns MR4_I 0100021c 1c5b6002 - 1685310 ns R r1 80000000 - 1685310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1685330 ns R psr 81000200 - 1685350 ns MR4_I 01000214 6841d006 - 1685370 ns MR4_I 01000218 d1fc07c9 - 1685370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1685450 ns MR4_D 40006004 00000001 - 1685450 ns R r1 00000001 - 1685450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1685470 ns MR4_I 0100021c 1c5b6002 - 1685470 ns R r1 80000000 - 1685470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1685490 ns R psr 81000200 - 1685510 ns MR4_I 01000214 6841d006 - 1685530 ns MR4_I 01000218 d1fc07c9 - 1685530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1685610 ns MR4_D 40006004 00000001 - 1685610 ns R r1 00000001 - 1685610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1685630 ns MR4_I 0100021c 1c5b6002 - 1685630 ns R r1 80000000 - 1685630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1685650 ns R psr 81000200 - 1685670 ns MR4_I 01000214 6841d006 - 1685690 ns MR4_I 01000218 d1fc07c9 - 1685690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1685770 ns MR4_D 40006004 00000001 - 1685770 ns R r1 00000001 - 1685770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1685790 ns MR4_I 0100021c 1c5b6002 - 1685790 ns R r1 80000000 - 1685790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1685810 ns R psr 81000200 - 1685830 ns MR4_I 01000214 6841d006 - 1685850 ns MR4_I 01000218 d1fc07c9 - 1685850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1685930 ns MR4_D 40006004 00000001 - 1685930 ns R r1 00000001 - 1685930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1685950 ns MR4_I 0100021c 1c5b6002 - 1685950 ns R r1 80000000 - 1685950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1685970 ns R psr 81000200 - 1685990 ns MR4_I 01000214 6841d006 - 1686010 ns MR4_I 01000218 d1fc07c9 - 1686010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1686090 ns MR4_D 40006004 00000001 - 1686090 ns R r1 00000001 - 1686090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1686110 ns MR4_I 0100021c 1c5b6002 - 1686110 ns R r1 80000000 - 1686110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1686130 ns R psr 81000200 - 1686150 ns MR4_I 01000214 6841d006 - 1686170 ns MR4_I 01000218 d1fc07c9 - 1686170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1686250 ns MR4_D 40006004 00000001 - 1686250 ns R r1 00000001 - 1686250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1686270 ns MR4_I 0100021c 1c5b6002 - 1686270 ns R r1 80000000 - 1686270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1686290 ns R psr 81000200 - 1686310 ns MR4_I 01000214 6841d006 - 1686330 ns MR4_I 01000218 d1fc07c9 - 1686330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1686410 ns MR4_D 40006004 00000001 - 1686410 ns R r1 00000001 - 1686410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1686430 ns MR4_I 0100021c 1c5b6002 - 1686430 ns R r1 80000000 - 1686430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1686450 ns R psr 81000200 - 1686470 ns MR4_I 01000214 6841d006 - 1686490 ns MR4_I 01000218 d1fc07c9 - 1686490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1686570 ns MR4_D 40006004 00000001 - 1686570 ns R r1 00000001 - 1686570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1686590 ns MR4_I 0100021c 1c5b6002 - 1686590 ns R r1 80000000 - 1686590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1686610 ns R psr 81000200 - 1686630 ns MR4_I 01000214 6841d006 - 1686650 ns MR4_I 01000218 d1fc07c9 - 1686650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1686730 ns MR4_D 40006004 00000001 - 1686730 ns R r1 00000001 - 1686730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1686750 ns MR4_I 0100021c 1c5b6002 - 1686750 ns R r1 80000000 - 1686750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1686770 ns R psr 81000200 - 1686790 ns MR4_I 01000214 6841d006 - 1686810 ns MR4_I 01000218 d1fc07c9 - 1686810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1686890 ns MR4_D 40006004 00000001 - 1686890 ns R r1 00000001 - 1686890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1686910 ns MR4_I 0100021c 1c5b6002 - 1686910 ns R r1 80000000 - 1686910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1686930 ns R psr 81000200 - 1686950 ns MR4_I 01000214 6841d006 - 1686970 ns MR4_I 01000218 d1fc07c9 - 1686970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1687050 ns MR4_D 40006004 00000001 - 1687050 ns R r1 00000001 - 1687050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1687070 ns MR4_I 0100021c 1c5b6002 - 1687070 ns R r1 80000000 - 1687070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1687090 ns R psr 81000200 - 1687110 ns MR4_I 01000214 6841d006 - 1687130 ns MR4_I 01000218 d1fc07c9 - 1687130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1687210 ns MR4_D 40006004 00000001 - 1687210 ns R r1 00000001 - 1687210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1687230 ns MR4_I 0100021c 1c5b6002 - 1687230 ns R r1 80000000 - 1687230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1687250 ns R psr 81000200 - 1687270 ns MR4_I 01000214 6841d006 - 1687290 ns MR4_I 01000218 d1fc07c9 - 1687290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1687370 ns MR4_D 40006004 00000001 - 1687370 ns R r1 00000001 - 1687370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1687390 ns MR4_I 0100021c 1c5b6002 - 1687390 ns R r1 80000000 - 1687390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1687410 ns R psr 81000200 - 1687430 ns MR4_I 01000214 6841d006 - 1687450 ns MR4_I 01000218 d1fc07c9 - 1687450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1687530 ns MR4_D 40006004 00000001 - 1687530 ns R r1 00000001 - 1687530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1687550 ns MR4_I 0100021c 1c5b6002 - 1687550 ns R r1 80000000 - 1687550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1687570 ns R psr 81000200 - 1687590 ns MR4_I 01000214 6841d006 - 1687610 ns MR4_I 01000218 d1fc07c9 - 1687610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1687690 ns MR4_D 40006004 00000001 - 1687690 ns R r1 00000001 - 1687690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1687710 ns MR4_I 0100021c 1c5b6002 - 1687710 ns R r1 80000000 - 1687710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1687730 ns R psr 81000200 - 1687750 ns MR4_I 01000214 6841d006 - 1687770 ns MR4_I 01000218 d1fc07c9 - 1687770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1687850 ns MR4_D 40006004 00000001 - 1687850 ns R r1 00000001 - 1687850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1687870 ns MR4_I 0100021c 1c5b6002 - 1687870 ns R r1 80000000 - 1687870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1687890 ns R psr 81000200 - 1687910 ns MR4_I 01000214 6841d006 - 1687930 ns MR4_I 01000218 d1fc07c9 - 1687930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1688010 ns MR4_D 40006004 00000001 - 1688010 ns R r1 00000001 - 1688010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1688030 ns MR4_I 0100021c 1c5b6002 - 1688030 ns R r1 80000000 - 1688030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1688050 ns R psr 81000200 - 1688070 ns MR4_I 01000214 6841d006 - 1688090 ns MR4_I 01000218 d1fc07c9 - 1688090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1688170 ns MR4_D 40006004 00000001 - 1688170 ns R r1 00000001 - 1688170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1688190 ns MR4_I 0100021c 1c5b6002 - 1688190 ns R r1 80000000 - 1688190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1688210 ns R psr 81000200 - 1688230 ns MR4_I 01000214 6841d006 - 1688250 ns MR4_I 01000218 d1fc07c9 - 1688250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1688330 ns MR4_D 40006004 00000001 - 1688330 ns R r1 00000001 - 1688330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1688350 ns MR4_I 0100021c 1c5b6002 - 1688350 ns R r1 80000000 - 1688350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1688370 ns R psr 81000200 - 1688390 ns MR4_I 01000214 6841d006 - 1688410 ns MR4_I 01000218 d1fc07c9 - 1688410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1688490 ns MR4_D 40006004 00000001 - 1688490 ns R r1 00000001 - 1688490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1688510 ns MR4_I 0100021c 1c5b6002 - 1688510 ns R r1 80000000 - 1688510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1688530 ns R psr 81000200 - 1688550 ns MR4_I 01000214 6841d006 - 1688570 ns MR4_I 01000218 d1fc07c9 - 1688570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1688650 ns MR4_D 40006004 00000001 - 1688650 ns R r1 00000001 - 1688650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1688670 ns MR4_I 0100021c 1c5b6002 - 1688670 ns R r1 80000000 - 1688670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1688690 ns R psr 81000200 - 1688710 ns MR4_I 01000214 6841d006 - 1688730 ns MR4_I 01000218 d1fc07c9 - 1688730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1688810 ns MR4_D 40006004 00000001 - 1688810 ns R r1 00000001 - 1688810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1688830 ns MR4_I 0100021c 1c5b6002 - 1688830 ns R r1 80000000 - 1688830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1688850 ns R psr 81000200 - 1688870 ns MR4_I 01000214 6841d006 - 1688890 ns MR4_I 01000218 d1fc07c9 - 1688890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1688970 ns MR4_D 40006004 00000001 - 1688970 ns R r1 00000001 - 1688970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1688990 ns MR4_I 0100021c 1c5b6002 - 1688990 ns R r1 80000000 - 1688990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1689010 ns R psr 81000200 - 1689030 ns MR4_I 01000214 6841d006 - 1689050 ns MR4_I 01000218 d1fc07c9 - 1689050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1689130 ns MR4_D 40006004 00000001 - 1689130 ns R r1 00000001 - 1689130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1689150 ns MR4_I 0100021c 1c5b6002 - 1689150 ns R r1 80000000 - 1689150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1689170 ns R psr 81000200 - 1689190 ns MR4_I 01000214 6841d006 - 1689210 ns MR4_I 01000218 d1fc07c9 - 1689210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1689290 ns MR4_D 40006004 00000001 - 1689290 ns R r1 00000001 - 1689290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1689310 ns MR4_I 0100021c 1c5b6002 - 1689310 ns R r1 80000000 - 1689310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1689330 ns R psr 81000200 - 1689350 ns MR4_I 01000214 6841d006 - 1689370 ns MR4_I 01000218 d1fc07c9 - 1689370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1689450 ns MR4_D 40006004 00000001 - 1689450 ns R r1 00000001 - 1689450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1689470 ns MR4_I 0100021c 1c5b6002 - 1689470 ns R r1 80000000 - 1689470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1689490 ns R psr 81000200 - 1689510 ns MR4_I 01000214 6841d006 - 1689530 ns MR4_I 01000218 d1fc07c9 - 1689530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1689610 ns MR4_D 40006004 00000001 - 1689610 ns R r1 00000001 - 1689610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1689630 ns MR4_I 0100021c 1c5b6002 - 1689630 ns R r1 80000000 - 1689630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1689650 ns R psr 81000200 - 1689670 ns MR4_I 01000214 6841d006 - 1689690 ns MR4_I 01000218 d1fc07c9 - 1689690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1689770 ns MR4_D 40006004 00000001 - 1689770 ns R r1 00000001 - 1689770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1689790 ns MR4_I 0100021c 1c5b6002 - 1689790 ns R r1 80000000 - 1689790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1689810 ns R psr 81000200 - 1689830 ns MR4_I 01000214 6841d006 - 1689850 ns MR4_I 01000218 d1fc07c9 - 1689850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1689930 ns MR4_D 40006004 00000001 - 1689930 ns R r1 00000001 - 1689930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1689950 ns MR4_I 0100021c 1c5b6002 - 1689950 ns R r1 80000000 - 1689950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1689970 ns R psr 81000200 - 1689990 ns MR4_I 01000214 6841d006 - 1690010 ns MR4_I 01000218 d1fc07c9 - 1690010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1690090 ns MR4_D 40006004 00000001 - 1690090 ns R r1 00000001 - 1690090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1690110 ns MR4_I 0100021c 1c5b6002 - 1690110 ns R r1 80000000 - 1690110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1690130 ns R psr 81000200 - 1690150 ns MR4_I 01000214 6841d006 - 1690170 ns MR4_I 01000218 d1fc07c9 - 1690170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1690250 ns MR4_D 40006004 00000001 - 1690250 ns R r1 00000001 - 1690250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1690270 ns MR4_I 0100021c 1c5b6002 - 1690270 ns R r1 80000000 - 1690270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1690290 ns R psr 81000200 - 1690310 ns MR4_I 01000214 6841d006 - 1690330 ns MR4_I 01000218 d1fc07c9 - 1690330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1690410 ns MR4_D 40006004 00000001 - 1690410 ns R r1 00000001 - 1690410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1690430 ns MR4_I 0100021c 1c5b6002 - 1690430 ns R r1 80000000 - 1690430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1690450 ns R psr 81000200 - 1690470 ns MR4_I 01000214 6841d006 - 1690490 ns MR4_I 01000218 d1fc07c9 - 1690490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1690570 ns MR4_D 40006004 00000001 - 1690570 ns R r1 00000001 - 1690570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1690590 ns MR4_I 0100021c 1c5b6002 - 1690590 ns R r1 80000000 - 1690590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1690610 ns R psr 81000200 - 1690630 ns MR4_I 01000214 6841d006 - 1690650 ns MR4_I 01000218 d1fc07c9 - 1690650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1690730 ns MR4_D 40006004 00000001 - 1690730 ns R r1 00000001 - 1690730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1690750 ns MR4_I 0100021c 1c5b6002 - 1690750 ns R r1 80000000 - 1690750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1690770 ns R psr 81000200 - 1690790 ns MR4_I 01000214 6841d006 - 1690810 ns MR4_I 01000218 d1fc07c9 - 1690810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1690890 ns MR4_D 40006004 00000001 - 1690890 ns R r1 00000001 - 1690890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1690910 ns MR4_I 0100021c 1c5b6002 - 1690910 ns R r1 80000000 - 1690910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1690930 ns R psr 81000200 - 1690950 ns MR4_I 01000214 6841d006 - 1690970 ns MR4_I 01000218 d1fc07c9 - 1690970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1691050 ns MR4_D 40006004 00000001 - 1691050 ns R r1 00000001 - 1691050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1691070 ns MR4_I 0100021c 1c5b6002 - 1691070 ns R r1 80000000 - 1691070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1691090 ns R psr 81000200 - 1691110 ns MR4_I 01000214 6841d006 - 1691130 ns MR4_I 01000218 d1fc07c9 - 1691130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1691210 ns MR4_D 40006004 00000001 - 1691210 ns R r1 00000001 - 1691210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1691230 ns MR4_I 0100021c 1c5b6002 - 1691230 ns R r1 80000000 - 1691230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1691250 ns R psr 81000200 - 1691270 ns MR4_I 01000214 6841d006 - 1691290 ns MR4_I 01000218 d1fc07c9 - 1691290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1691370 ns MR4_D 40006004 00000001 - 1691370 ns R r1 00000001 - 1691370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1691390 ns MR4_I 0100021c 1c5b6002 - 1691390 ns R r1 80000000 - 1691390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1691410 ns R psr 81000200 - 1691430 ns MR4_I 01000214 6841d006 - 1691450 ns MR4_I 01000218 d1fc07c9 - 1691450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1691530 ns MR4_D 40006004 00000001 - 1691530 ns R r1 00000001 - 1691530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1691550 ns MR4_I 0100021c 1c5b6002 - 1691550 ns R r1 80000000 - 1691550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1691570 ns R psr 81000200 - 1691590 ns MR4_I 01000214 6841d006 - 1691610 ns MR4_I 01000218 d1fc07c9 - 1691610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1691690 ns MR4_D 40006004 00000001 - 1691690 ns R r1 00000001 - 1691690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1691710 ns MR4_I 0100021c 1c5b6002 - 1691710 ns R r1 80000000 - 1691710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1691730 ns R psr 81000200 - 1691750 ns MR4_I 01000214 6841d006 - 1691770 ns MR4_I 01000218 d1fc07c9 - 1691770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1691850 ns MR4_D 40006004 00000001 - 1691850 ns R r1 00000001 - 1691850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1691870 ns MR4_I 0100021c 1c5b6002 - 1691870 ns R r1 80000000 - 1691870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1691890 ns R psr 81000200 - 1691910 ns MR4_I 01000214 6841d006 - 1691930 ns MR4_I 01000218 d1fc07c9 - 1691930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1692010 ns MR4_D 40006004 00000001 - 1692010 ns R r1 00000001 - 1692010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1692030 ns MR4_I 0100021c 1c5b6002 - 1692030 ns R r1 80000000 - 1692030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1692050 ns R psr 81000200 - 1692070 ns MR4_I 01000214 6841d006 - 1692090 ns MR4_I 01000218 d1fc07c9 - 1692090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1692170 ns MR4_D 40006004 00000001 - 1692170 ns R r1 00000001 - 1692170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1692190 ns MR4_I 0100021c 1c5b6002 - 1692190 ns R r1 80000000 - 1692190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1692210 ns R psr 81000200 - 1692230 ns MR4_I 01000214 6841d006 - 1692250 ns MR4_I 01000218 d1fc07c9 - 1692250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1692330 ns MR4_D 40006004 00000001 - 1692330 ns R r1 00000001 - 1692330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1692350 ns MR4_I 0100021c 1c5b6002 - 1692350 ns R r1 80000000 - 1692350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1692370 ns R psr 81000200 - 1692390 ns MR4_I 01000214 6841d006 - 1692410 ns MR4_I 01000218 d1fc07c9 - 1692410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1692490 ns MR4_D 40006004 00000001 - 1692490 ns R r1 00000001 - 1692490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1692510 ns MR4_I 0100021c 1c5b6002 - 1692510 ns R r1 80000000 - 1692510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1692530 ns R psr 81000200 - 1692550 ns MR4_I 01000214 6841d006 - 1692570 ns MR4_I 01000218 d1fc07c9 - 1692570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1692650 ns MR4_D 40006004 00000001 - 1692650 ns R r1 00000001 - 1692650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1692670 ns MR4_I 0100021c 1c5b6002 - 1692670 ns R r1 80000000 - 1692670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1692690 ns R psr 81000200 - 1692710 ns MR4_I 01000214 6841d006 - 1692730 ns MR4_I 01000218 d1fc07c9 - 1692730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1692810 ns MR4_D 40006004 00000001 - 1692810 ns R r1 00000001 - 1692810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1692830 ns MR4_I 0100021c 1c5b6002 - 1692830 ns R r1 80000000 - 1692830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1692850 ns R psr 81000200 - 1692870 ns MR4_I 01000214 6841d006 - 1692890 ns MR4_I 01000218 d1fc07c9 - 1692890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1692970 ns MR4_D 40006004 00000001 - 1692970 ns R r1 00000001 - 1692970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1692990 ns MR4_I 0100021c 1c5b6002 - 1692990 ns R r1 80000000 - 1692990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1693010 ns R psr 81000200 - 1693030 ns MR4_I 01000214 6841d006 - 1693050 ns MR4_I 01000218 d1fc07c9 - 1693050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1693130 ns MR4_D 40006004 00000001 - 1693130 ns R r1 00000001 - 1693130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1693150 ns MR4_I 0100021c 1c5b6002 - 1693150 ns R r1 80000000 - 1693150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1693170 ns R psr 81000200 - 1693190 ns MR4_I 01000214 6841d006 - 1693210 ns MR4_I 01000218 d1fc07c9 - 1693210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1693290 ns MR4_D 40006004 00000001 - 1693290 ns R r1 00000001 - 1693290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1693310 ns MR4_I 0100021c 1c5b6002 - 1693310 ns R r1 80000000 - 1693310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1693330 ns R psr 81000200 - 1693350 ns MR4_I 01000214 6841d006 - 1693370 ns MR4_I 01000218 d1fc07c9 - 1693370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1693450 ns MR4_D 40006004 00000001 - 1693450 ns R r1 00000001 - 1693450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1693470 ns MR4_I 0100021c 1c5b6002 - 1693470 ns R r1 80000000 - 1693470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1693490 ns R psr 81000200 - 1693510 ns MR4_I 01000214 6841d006 - 1693530 ns MR4_I 01000218 d1fc07c9 - 1693530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1693610 ns MR4_D 40006004 00000001 - 1693610 ns R r1 00000001 - 1693610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1693630 ns MR4_I 0100021c 1c5b6002 - 1693630 ns R r1 80000000 - 1693630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1693650 ns R psr 81000200 - 1693670 ns MR4_I 01000214 6841d006 - 1693690 ns MR4_I 01000218 d1fc07c9 - 1693690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1693770 ns MR4_D 40006004 00000001 - 1693770 ns R r1 00000001 - 1693770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1693790 ns MR4_I 0100021c 1c5b6002 - 1693790 ns R r1 80000000 - 1693790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1693810 ns R psr 81000200 - 1693830 ns MR4_I 01000214 6841d006 - 1693850 ns MR4_I 01000218 d1fc07c9 - 1693850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1693930 ns MR4_D 40006004 00000001 - 1693930 ns R r1 00000001 - 1693930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1693950 ns MR4_I 0100021c 1c5b6002 - 1693950 ns R r1 80000000 - 1693950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1693970 ns R psr 81000200 - 1693990 ns MR4_I 01000214 6841d006 - 1694010 ns MR4_I 01000218 d1fc07c9 - 1694010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1694090 ns MR4_D 40006004 00000001 - 1694090 ns R r1 00000001 - 1694090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1694110 ns MR4_I 0100021c 1c5b6002 - 1694110 ns R r1 80000000 - 1694110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1694130 ns R psr 81000200 - 1694150 ns MR4_I 01000214 6841d006 - 1694170 ns MR4_I 01000218 d1fc07c9 - 1694170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1694250 ns MR4_D 40006004 00000001 - 1694250 ns R r1 00000001 - 1694250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1694270 ns MR4_I 0100021c 1c5b6002 - 1694270 ns R r1 80000000 - 1694270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1694290 ns R psr 81000200 - 1694310 ns MR4_I 01000214 6841d006 - 1694330 ns MR4_I 01000218 d1fc07c9 - 1694330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1694410 ns MR4_D 40006004 00000001 - 1694410 ns R r1 00000001 - 1694410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1694430 ns MR4_I 0100021c 1c5b6002 - 1694430 ns R r1 80000000 - 1694430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1694450 ns R psr 81000200 - 1694470 ns MR4_I 01000214 6841d006 - 1694490 ns MR4_I 01000218 d1fc07c9 - 1694490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1694570 ns MR4_D 40006004 00000001 - 1694570 ns R r1 00000001 - 1694570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1694590 ns MR4_I 0100021c 1c5b6002 - 1694590 ns R r1 80000000 - 1694590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1694610 ns R psr 81000200 - 1694630 ns MR4_I 01000214 6841d006 - 1694650 ns MR4_I 01000218 d1fc07c9 - 1694650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1694730 ns MR4_D 40006004 00000001 - 1694730 ns R r1 00000001 - 1694730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1694750 ns MR4_I 0100021c 1c5b6002 - 1694750 ns R r1 80000000 - 1694750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1694770 ns R psr 81000200 - 1694790 ns MR4_I 01000214 6841d006 - 1694810 ns MR4_I 01000218 d1fc07c9 - 1694810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1694890 ns MR4_D 40006004 00000001 - 1694890 ns R r1 00000001 - 1694890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1694910 ns MR4_I 0100021c 1c5b6002 - 1694910 ns R r1 80000000 - 1694910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1694930 ns R psr 81000200 - 1694950 ns MR4_I 01000214 6841d006 - 1694970 ns MR4_I 01000218 d1fc07c9 - 1694970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1695050 ns MR4_D 40006004 00000001 - 1695050 ns R r1 00000001 - 1695050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1695070 ns MR4_I 0100021c 1c5b6002 - 1695070 ns R r1 80000000 - 1695070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1695090 ns R psr 81000200 - 1695110 ns MR4_I 01000214 6841d006 - 1695130 ns MR4_I 01000218 d1fc07c9 - 1695130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1695210 ns MR4_D 40006004 00000001 - 1695210 ns R r1 00000001 - 1695210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1695230 ns MR4_I 0100021c 1c5b6002 - 1695230 ns R r1 80000000 - 1695230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1695250 ns R psr 81000200 - 1695270 ns MR4_I 01000214 6841d006 - 1695290 ns MR4_I 01000218 d1fc07c9 - 1695290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1695370 ns MR4_D 40006004 00000001 - 1695370 ns R r1 00000001 - 1695370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1695390 ns MR4_I 0100021c 1c5b6002 - 1695390 ns R r1 80000000 - 1695390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1695410 ns R psr 81000200 - 1695430 ns MR4_I 01000214 6841d006 - 1695450 ns MR4_I 01000218 d1fc07c9 - 1695450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1695530 ns MR4_D 40006004 00000001 - 1695530 ns R r1 00000001 - 1695530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1695550 ns MR4_I 0100021c 1c5b6002 - 1695550 ns R r1 80000000 - 1695550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1695570 ns R psr 81000200 - 1695590 ns MR4_I 01000214 6841d006 - 1695610 ns MR4_I 01000218 d1fc07c9 - 1695610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1695690 ns MR4_D 40006004 00000001 - 1695690 ns R r1 00000001 - 1695690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1695710 ns MR4_I 0100021c 1c5b6002 - 1695710 ns R r1 80000000 - 1695710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1695730 ns R psr 81000200 - 1695750 ns MR4_I 01000214 6841d006 - 1695770 ns MR4_I 01000218 d1fc07c9 - 1695770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1695850 ns MR4_D 40006004 00000001 - 1695850 ns R r1 00000001 - 1695850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1695870 ns MR4_I 0100021c 1c5b6002 - 1695870 ns R r1 80000000 - 1695870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1695890 ns R psr 81000200 - 1695910 ns MR4_I 01000214 6841d006 - 1695930 ns MR4_I 01000218 d1fc07c9 - 1695930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1696010 ns MR4_D 40006004 00000001 - 1696010 ns R r1 00000001 - 1696010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1696030 ns MR4_I 0100021c 1c5b6002 - 1696030 ns R r1 80000000 - 1696030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1696050 ns R psr 81000200 - 1696070 ns MR4_I 01000214 6841d006 - 1696090 ns MR4_I 01000218 d1fc07c9 - 1696090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1696170 ns MR4_D 40006004 00000001 - 1696170 ns R r1 00000001 - 1696170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1696190 ns MR4_I 0100021c 1c5b6002 - 1696190 ns R r1 80000000 - 1696190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1696210 ns R psr 81000200 - 1696230 ns MR4_I 01000214 6841d006 - 1696250 ns MR4_I 01000218 d1fc07c9 - 1696250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1696330 ns MR4_D 40006004 00000001 - 1696330 ns R r1 00000001 - 1696330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1696350 ns MR4_I 0100021c 1c5b6002 - 1696350 ns R r1 80000000 - 1696350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1696370 ns R psr 81000200 - 1696390 ns MR4_I 01000214 6841d006 - 1696410 ns MR4_I 01000218 d1fc07c9 - 1696410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1696490 ns MR4_D 40006004 00000001 - 1696490 ns R r1 00000001 - 1696490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1696510 ns MR4_I 0100021c 1c5b6002 - 1696510 ns R r1 80000000 - 1696510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1696530 ns R psr 81000200 - 1696550 ns MR4_I 01000214 6841d006 - 1696570 ns MR4_I 01000218 d1fc07c9 - 1696570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1696650 ns MR4_D 40006004 00000001 - 1696650 ns R r1 00000001 - 1696650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1696670 ns MR4_I 0100021c 1c5b6002 - 1696670 ns R r1 80000000 - 1696670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1696690 ns R psr 81000200 - 1696710 ns MR4_I 01000214 6841d006 - 1696730 ns MR4_I 01000218 d1fc07c9 - 1696730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1696810 ns MR4_D 40006004 00000001 - 1696810 ns R r1 00000001 - 1696810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1696830 ns MR4_I 0100021c 1c5b6002 - 1696830 ns R r1 80000000 - 1696830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1696850 ns R psr 81000200 - 1696870 ns MR4_I 01000214 6841d006 - 1696890 ns MR4_I 01000218 d1fc07c9 - 1696890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1696970 ns MR4_D 40006004 00000001 - 1696970 ns R r1 00000001 - 1696970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1696990 ns MR4_I 0100021c 1c5b6002 - 1696990 ns R r1 80000000 - 1696990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1697010 ns R psr 81000200 - 1697030 ns MR4_I 01000214 6841d006 - 1697050 ns MR4_I 01000218 d1fc07c9 - 1697050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1697130 ns MR4_D 40006004 00000001 - 1697130 ns R r1 00000001 - 1697130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1697150 ns MR4_I 0100021c 1c5b6002 - 1697150 ns R r1 80000000 - 1697150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1697170 ns R psr 81000200 - 1697190 ns MR4_I 01000214 6841d006 - 1697210 ns MR4_I 01000218 d1fc07c9 - 1697210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1697290 ns MR4_D 40006004 00000001 - 1697290 ns R r1 00000001 - 1697290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1697310 ns MR4_I 0100021c 1c5b6002 - 1697310 ns R r1 80000000 - 1697310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1697330 ns R psr 81000200 - 1697350 ns MR4_I 01000214 6841d006 - 1697370 ns MR4_I 01000218 d1fc07c9 - 1697370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1697450 ns MR4_D 40006004 00000001 - 1697450 ns R r1 00000001 - 1697450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1697470 ns MR4_I 0100021c 1c5b6002 - 1697470 ns R r1 80000000 - 1697470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1697490 ns R psr 81000200 - 1697510 ns MR4_I 01000214 6841d006 - 1697530 ns MR4_I 01000218 d1fc07c9 - 1697530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1697610 ns MR4_D 40006004 00000001 - 1697610 ns R r1 00000001 - 1697610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1697630 ns MR4_I 0100021c 1c5b6002 - 1697630 ns R r1 80000000 - 1697630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1697650 ns R psr 81000200 - 1697670 ns MR4_I 01000214 6841d006 - 1697690 ns MR4_I 01000218 d1fc07c9 - 1697690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1697770 ns MR4_D 40006004 00000001 - 1697770 ns R r1 00000001 - 1697770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1697790 ns MR4_I 0100021c 1c5b6002 - 1697790 ns R r1 80000000 - 1697790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1697810 ns R psr 81000200 - 1697830 ns MR4_I 01000214 6841d006 - 1697850 ns MR4_I 01000218 d1fc07c9 - 1697850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1697930 ns MR4_D 40006004 00000001 - 1697930 ns R r1 00000001 - 1697930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1697950 ns MR4_I 0100021c 1c5b6002 - 1697950 ns R r1 80000000 - 1697950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1697970 ns R psr 81000200 - 1697990 ns MR4_I 01000214 6841d006 - 1698010 ns MR4_I 01000218 d1fc07c9 - 1698010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1698090 ns MR4_D 40006004 00000001 - 1698090 ns R r1 00000001 - 1698090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1698110 ns MR4_I 0100021c 1c5b6002 - 1698110 ns R r1 80000000 - 1698110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1698130 ns R psr 81000200 - 1698150 ns MR4_I 01000214 6841d006 - 1698170 ns MR4_I 01000218 d1fc07c9 - 1698170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1698250 ns MR4_D 40006004 00000001 - 1698250 ns R r1 00000001 - 1698250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1698270 ns MR4_I 0100021c 1c5b6002 - 1698270 ns R r1 80000000 - 1698270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1698290 ns R psr 81000200 - 1698310 ns MR4_I 01000214 6841d006 - 1698330 ns MR4_I 01000218 d1fc07c9 - 1698330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1698410 ns MR4_D 40006004 00000001 - 1698410 ns R r1 00000001 - 1698410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1698430 ns MR4_I 0100021c 1c5b6002 - 1698430 ns R r1 80000000 - 1698430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1698450 ns R psr 81000200 - 1698470 ns MR4_I 01000214 6841d006 - 1698490 ns MR4_I 01000218 d1fc07c9 - 1698490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1698570 ns MR4_D 40006004 00000001 - 1698570 ns R r1 00000001 - 1698570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1698590 ns MR4_I 0100021c 1c5b6002 - 1698590 ns R r1 80000000 - 1698590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1698610 ns R psr 81000200 - 1698630 ns MR4_I 01000214 6841d006 - 1698650 ns MR4_I 01000218 d1fc07c9 - 1698650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1698730 ns MR4_D 40006004 00000001 - 1698730 ns R r1 00000001 - 1698730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1698750 ns MR4_I 0100021c 1c5b6002 - 1698750 ns R r1 80000000 - 1698750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1698770 ns R psr 81000200 - 1698790 ns MR4_I 01000214 6841d006 - 1698810 ns MR4_I 01000218 d1fc07c9 - 1698810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1698890 ns MR4_D 40006004 00000001 - 1698890 ns R r1 00000001 - 1698890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1698910 ns MR4_I 0100021c 1c5b6002 - 1698910 ns R r1 80000000 - 1698910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1698930 ns R psr 81000200 - 1698950 ns MR4_I 01000214 6841d006 - 1698970 ns MR4_I 01000218 d1fc07c9 - 1698970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1699050 ns MR4_D 40006004 00000001 - 1699050 ns R r1 00000001 - 1699050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1699070 ns MR4_I 0100021c 1c5b6002 - 1699070 ns R r1 80000000 - 1699070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1699090 ns R psr 81000200 - 1699110 ns MR4_I 01000214 6841d006 - 1699130 ns MR4_I 01000218 d1fc07c9 - 1699130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1699210 ns MR4_D 40006004 00000001 - 1699210 ns R r1 00000001 - 1699210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1699230 ns MR4_I 0100021c 1c5b6002 - 1699230 ns R r1 80000000 - 1699230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1699250 ns R psr 81000200 - 1699270 ns MR4_I 01000214 6841d006 - 1699290 ns MR4_I 01000218 d1fc07c9 - 1699290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1699370 ns MR4_D 40006004 00000001 - 1699370 ns R r1 00000001 - 1699370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1699390 ns MR4_I 0100021c 1c5b6002 - 1699390 ns R r1 80000000 - 1699390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1699410 ns R psr 81000200 - 1699430 ns MR4_I 01000214 6841d006 - 1699450 ns MR4_I 01000218 d1fc07c9 - 1699450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1699530 ns MR4_D 40006004 00000001 - 1699530 ns R r1 00000001 - 1699530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1699550 ns MR4_I 0100021c 1c5b6002 - 1699550 ns R r1 80000000 - 1699550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1699570 ns R psr 81000200 - 1699590 ns MR4_I 01000214 6841d006 - 1699610 ns MR4_I 01000218 d1fc07c9 - 1699610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1699690 ns MR4_D 40006004 00000001 - 1699690 ns R r1 00000001 - 1699690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1699710 ns MR4_I 0100021c 1c5b6002 - 1699710 ns R r1 80000000 - 1699710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1699730 ns R psr 81000200 - 1699750 ns MR4_I 01000214 6841d006 - 1699770 ns MR4_I 01000218 d1fc07c9 - 1699770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1699850 ns MR4_D 40006004 00000001 - 1699850 ns R r1 00000001 - 1699850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1699870 ns MR4_I 0100021c 1c5b6002 - 1699870 ns R r1 80000000 - 1699870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1699890 ns R psr 81000200 - 1699910 ns MR4_I 01000214 6841d006 - 1699930 ns MR4_I 01000218 d1fc07c9 - 1699930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1700010 ns MR4_D 40006004 00000001 - 1700010 ns R r1 00000001 - 1700010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1700030 ns MR4_I 0100021c 1c5b6002 - 1700030 ns R r1 80000000 - 1700030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1700050 ns R psr 81000200 - 1700070 ns MR4_I 01000214 6841d006 - 1700090 ns MR4_I 01000218 d1fc07c9 - 1700090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1700170 ns MR4_D 40006004 00000001 - 1700170 ns R r1 00000001 - 1700170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1700190 ns MR4_I 0100021c 1c5b6002 - 1700190 ns R r1 80000000 - 1700190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1700210 ns R psr 81000200 - 1700230 ns MR4_I 01000214 6841d006 - 1700250 ns MR4_I 01000218 d1fc07c9 - 1700250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1700330 ns MR4_D 40006004 00000001 - 1700330 ns R r1 00000001 - 1700330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1700350 ns MR4_I 0100021c 1c5b6002 - 1700350 ns R r1 80000000 - 1700350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1700370 ns R psr 81000200 - 1700390 ns MR4_I 01000214 6841d006 - 1700410 ns MR4_I 01000218 d1fc07c9 - 1700410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1700490 ns MR4_D 40006004 00000001 - 1700490 ns R r1 00000001 - 1700490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1700510 ns MR4_I 0100021c 1c5b6002 - 1700510 ns R r1 80000000 - 1700510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1700530 ns R psr 81000200 - 1700550 ns MR4_I 01000214 6841d006 - 1700570 ns MR4_I 01000218 d1fc07c9 - 1700570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1700650 ns MR4_D 40006004 00000001 - 1700650 ns R r1 00000001 - 1700650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1700670 ns MR4_I 0100021c 1c5b6002 - 1700670 ns R r1 80000000 - 1700670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1700690 ns R psr 81000200 - 1700710 ns MR4_I 01000214 6841d006 - 1700730 ns MR4_I 01000218 d1fc07c9 - 1700730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1700810 ns MR4_D 40006004 00000001 - 1700810 ns R r1 00000001 - 1700810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1700830 ns MR4_I 0100021c 1c5b6002 - 1700830 ns R r1 80000000 - 1700830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1700850 ns R psr 81000200 - 1700870 ns MR4_I 01000214 6841d006 - 1700890 ns MR4_I 01000218 d1fc07c9 - 1700890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1700970 ns MR4_D 40006004 00000001 - 1700970 ns R r1 00000001 - 1700970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1700990 ns MR4_I 0100021c 1c5b6002 - 1700990 ns R r1 80000000 - 1700990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1701010 ns R psr 81000200 - 1701030 ns MR4_I 01000214 6841d006 - 1701050 ns MR4_I 01000218 d1fc07c9 - 1701050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1701130 ns MR4_D 40006004 00000001 - 1701130 ns R r1 00000001 - 1701130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1701150 ns MR4_I 0100021c 1c5b6002 - 1701150 ns R r1 80000000 - 1701150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1701170 ns R psr 81000200 - 1701190 ns MR4_I 01000214 6841d006 - 1701210 ns MR4_I 01000218 d1fc07c9 - 1701210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1701290 ns MR4_D 40006004 00000001 - 1701290 ns R r1 00000001 - 1701290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1701310 ns MR4_I 0100021c 1c5b6002 - 1701310 ns R r1 80000000 - 1701310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1701330 ns R psr 81000200 - 1701350 ns MR4_I 01000214 6841d006 - 1701370 ns MR4_I 01000218 d1fc07c9 - 1701370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1701450 ns MR4_D 40006004 00000001 - 1701450 ns R r1 00000001 - 1701450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1701470 ns MR4_I 0100021c 1c5b6002 - 1701470 ns R r1 80000000 - 1701470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1701490 ns R psr 81000200 - 1701510 ns MR4_I 01000214 6841d006 - 1701530 ns MR4_I 01000218 d1fc07c9 - 1701530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1701610 ns MR4_D 40006004 00000001 - 1701610 ns R r1 00000001 - 1701610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1701630 ns MR4_I 0100021c 1c5b6002 - 1701630 ns R r1 80000000 - 1701630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1701650 ns R psr 81000200 - 1701670 ns MR4_I 01000214 6841d006 - 1701690 ns MR4_I 01000218 d1fc07c9 - 1701690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1701770 ns MR4_D 40006004 00000001 - 1701770 ns R r1 00000001 - 1701770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1701790 ns MR4_I 0100021c 1c5b6002 - 1701790 ns R r1 80000000 - 1701790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1701810 ns R psr 81000200 - 1701830 ns MR4_I 01000214 6841d006 - 1701850 ns MR4_I 01000218 d1fc07c9 - 1701850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1701930 ns MR4_D 40006004 00000001 - 1701930 ns R r1 00000001 - 1701930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1701950 ns MR4_I 0100021c 1c5b6002 - 1701950 ns R r1 80000000 - 1701950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1701970 ns R psr 81000200 - 1701990 ns MR4_I 01000214 6841d006 - 1702010 ns MR4_I 01000218 d1fc07c9 - 1702010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1702090 ns MR4_D 40006004 00000001 - 1702090 ns R r1 00000001 - 1702090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1702110 ns MR4_I 0100021c 1c5b6002 - 1702110 ns R r1 80000000 - 1702110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1702130 ns R psr 81000200 - 1702150 ns MR4_I 01000214 6841d006 - 1702170 ns MR4_I 01000218 d1fc07c9 - 1702170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1702250 ns MR4_D 40006004 00000001 - 1702250 ns R r1 00000001 - 1702250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1702270 ns MR4_I 0100021c 1c5b6002 - 1702270 ns R r1 80000000 - 1702270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1702290 ns R psr 81000200 - 1702310 ns MR4_I 01000214 6841d006 - 1702330 ns MR4_I 01000218 d1fc07c9 - 1702330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1702410 ns MR4_D 40006004 00000001 - 1702410 ns R r1 00000001 - 1702410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1702430 ns MR4_I 0100021c 1c5b6002 - 1702430 ns R r1 80000000 - 1702430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1702450 ns R psr 81000200 - 1702470 ns MR4_I 01000214 6841d006 - 1702490 ns MR4_I 01000218 d1fc07c9 - 1702490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1702570 ns MR4_D 40006004 00000001 - 1702570 ns R r1 00000001 - 1702570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1702590 ns MR4_I 0100021c 1c5b6002 - 1702590 ns R r1 80000000 - 1702590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1702610 ns R psr 81000200 - 1702630 ns MR4_I 01000214 6841d006 - 1702650 ns MR4_I 01000218 d1fc07c9 - 1702650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1702730 ns MR4_D 40006004 00000001 - 1702730 ns R r1 00000001 - 1702730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1702750 ns MR4_I 0100021c 1c5b6002 - 1702750 ns R r1 80000000 - 1702750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1702770 ns R psr 81000200 - 1702790 ns MR4_I 01000214 6841d006 - 1702810 ns MR4_I 01000218 d1fc07c9 - 1702810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1702890 ns MR4_D 40006004 00000001 - 1702890 ns R r1 00000001 - 1702890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1702910 ns MR4_I 0100021c 1c5b6002 - 1702910 ns R r1 80000000 - 1702910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1702930 ns R psr 81000200 - 1702950 ns MR4_I 01000214 6841d006 - 1702970 ns MR4_I 01000218 d1fc07c9 - 1702970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1703050 ns MR4_D 40006004 00000001 - 1703050 ns R r1 00000001 - 1703050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1703070 ns MR4_I 0100021c 1c5b6002 - 1703070 ns R r1 80000000 - 1703070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1703090 ns R psr 81000200 - 1703110 ns MR4_I 01000214 6841d006 - 1703130 ns MR4_I 01000218 d1fc07c9 - 1703130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1703210 ns MR4_D 40006004 00000001 - 1703210 ns R r1 00000001 - 1703210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1703230 ns MR4_I 0100021c 1c5b6002 - 1703230 ns R r1 80000000 - 1703230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1703250 ns R psr 81000200 - 1703270 ns MR4_I 01000214 6841d006 - 1703290 ns MR4_I 01000218 d1fc07c9 - 1703290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1703370 ns MR4_D 40006004 00000001 - 1703370 ns R r1 00000001 - 1703370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1703390 ns MR4_I 0100021c 1c5b6002 - 1703390 ns R r1 80000000 - 1703390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1703410 ns R psr 81000200 - 1703430 ns MR4_I 01000214 6841d006 - 1703450 ns MR4_I 01000218 d1fc07c9 - 1703450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1703530 ns MR4_D 40006004 00000001 - 1703530 ns R r1 00000001 - 1703530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1703550 ns MR4_I 0100021c 1c5b6002 - 1703550 ns R r1 80000000 - 1703550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1703570 ns R psr 81000200 - 1703590 ns MR4_I 01000214 6841d006 - 1703610 ns MR4_I 01000218 d1fc07c9 - 1703610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1703690 ns MR4_D 40006004 00000001 - 1703690 ns R r1 00000001 - 1703690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1703710 ns MR4_I 0100021c 1c5b6002 - 1703710 ns R r1 80000000 - 1703710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1703730 ns R psr 81000200 - 1703750 ns MR4_I 01000214 6841d006 - 1703770 ns MR4_I 01000218 d1fc07c9 - 1703770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1703850 ns MR4_D 40006004 00000001 - 1703850 ns R r1 00000001 - 1703850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1703870 ns MR4_I 0100021c 1c5b6002 - 1703870 ns R r1 80000000 - 1703870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1703890 ns R psr 81000200 - 1703910 ns MR4_I 01000214 6841d006 - 1703930 ns MR4_I 01000218 d1fc07c9 - 1703930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1704010 ns MR4_D 40006004 00000001 - 1704010 ns R r1 00000001 - 1704010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1704030 ns MR4_I 0100021c 1c5b6002 - 1704030 ns R r1 80000000 - 1704030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1704050 ns R psr 81000200 - 1704070 ns MR4_I 01000214 6841d006 - 1704090 ns MR4_I 01000218 d1fc07c9 - 1704090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1704170 ns MR4_D 40006004 00000001 - 1704170 ns R r1 00000001 - 1704170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1704190 ns MR4_I 0100021c 1c5b6002 - 1704190 ns R r1 80000000 - 1704190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1704210 ns R psr 81000200 - 1704230 ns MR4_I 01000214 6841d006 - 1704250 ns MR4_I 01000218 d1fc07c9 - 1704250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1704330 ns MR4_D 40006004 00000001 - 1704330 ns R r1 00000001 - 1704330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1704350 ns MR4_I 0100021c 1c5b6002 - 1704350 ns R r1 80000000 - 1704350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1704370 ns R psr 81000200 - 1704390 ns MR4_I 01000214 6841d006 - 1704410 ns MR4_I 01000218 d1fc07c9 - 1704410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1704490 ns MR4_D 40006004 00000001 - 1704490 ns R r1 00000001 - 1704490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1704510 ns MR4_I 0100021c 1c5b6002 - 1704510 ns R r1 80000000 - 1704510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1704530 ns R psr 81000200 - 1704550 ns MR4_I 01000214 6841d006 - 1704570 ns MR4_I 01000218 d1fc07c9 - 1704570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1704650 ns MR4_D 40006004 00000001 - 1704650 ns R r1 00000001 - 1704650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1704670 ns MR4_I 0100021c 1c5b6002 - 1704670 ns R r1 80000000 - 1704670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1704690 ns R psr 81000200 - 1704710 ns MR4_I 01000214 6841d006 - 1704730 ns MR4_I 01000218 d1fc07c9 - 1704730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1704810 ns MR4_D 40006004 00000001 - 1704810 ns R r1 00000001 - 1704810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1704830 ns MR4_I 0100021c 1c5b6002 - 1704830 ns R r1 80000000 - 1704830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1704850 ns R psr 81000200 - 1704870 ns MR4_I 01000214 6841d006 - 1704890 ns MR4_I 01000218 d1fc07c9 - 1704890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1704970 ns MR4_D 40006004 00000001 - 1704970 ns R r1 00000001 - 1704970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1704990 ns MR4_I 0100021c 1c5b6002 - 1704990 ns R r1 80000000 - 1704990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1705010 ns R psr 81000200 - 1705030 ns MR4_I 01000214 6841d006 - 1705050 ns MR4_I 01000218 d1fc07c9 - 1705050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1705130 ns MR4_D 40006004 00000001 - 1705130 ns R r1 00000001 - 1705130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1705150 ns MR4_I 0100021c 1c5b6002 - 1705150 ns R r1 80000000 - 1705150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1705170 ns R psr 81000200 - 1705190 ns MR4_I 01000214 6841d006 - 1705210 ns MR4_I 01000218 d1fc07c9 - 1705210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1705290 ns MR4_D 40006004 00000001 - 1705290 ns R r1 00000001 - 1705290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1705310 ns MR4_I 0100021c 1c5b6002 - 1705310 ns R r1 80000000 - 1705310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1705330 ns R psr 81000200 - 1705350 ns MR4_I 01000214 6841d006 - 1705370 ns MR4_I 01000218 d1fc07c9 - 1705370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1705450 ns MR4_D 40006004 00000001 - 1705450 ns R r1 00000001 - 1705450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1705470 ns MR4_I 0100021c 1c5b6002 - 1705470 ns R r1 80000000 - 1705470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1705490 ns R psr 81000200 - 1705510 ns MR4_I 01000214 6841d006 - 1705530 ns MR4_I 01000218 d1fc07c9 - 1705530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1705610 ns MR4_D 40006004 00000001 - 1705610 ns R r1 00000001 - 1705610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1705630 ns MR4_I 0100021c 1c5b6002 - 1705630 ns R r1 80000000 - 1705630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1705650 ns R psr 81000200 - 1705670 ns MR4_I 01000214 6841d006 - 1705690 ns MR4_I 01000218 d1fc07c9 - 1705690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1705770 ns MR4_D 40006004 00000001 - 1705770 ns R r1 00000001 - 1705770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1705790 ns MR4_I 0100021c 1c5b6002 - 1705790 ns R r1 80000000 - 1705790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1705810 ns R psr 81000200 - 1705830 ns MR4_I 01000214 6841d006 - 1705850 ns MR4_I 01000218 d1fc07c9 - 1705850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1705930 ns MR4_D 40006004 00000001 - 1705930 ns R r1 00000001 - 1705930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1705950 ns MR4_I 0100021c 1c5b6002 - 1705950 ns R r1 80000000 - 1705950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1705970 ns R psr 81000200 - 1705990 ns MR4_I 01000214 6841d006 - 1706010 ns MR4_I 01000218 d1fc07c9 - 1706010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1706090 ns MR4_D 40006004 00000001 - 1706090 ns R r1 00000001 - 1706090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1706110 ns MR4_I 0100021c 1c5b6002 - 1706110 ns R r1 80000000 - 1706110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1706130 ns R psr 81000200 - 1706150 ns MR4_I 01000214 6841d006 - 1706170 ns MR4_I 01000218 d1fc07c9 - 1706170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1706250 ns MR4_D 40006004 00000001 - 1706250 ns R r1 00000001 - 1706250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1706270 ns MR4_I 0100021c 1c5b6002 - 1706270 ns R r1 80000000 - 1706270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1706290 ns R psr 81000200 - 1706310 ns MR4_I 01000214 6841d006 - 1706330 ns MR4_I 01000218 d1fc07c9 - 1706330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1706410 ns MR4_D 40006004 00000001 - 1706410 ns R r1 00000001 - 1706410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1706430 ns MR4_I 0100021c 1c5b6002 - 1706430 ns R r1 80000000 - 1706430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1706450 ns R psr 81000200 - 1706470 ns MR4_I 01000214 6841d006 - 1706490 ns MR4_I 01000218 d1fc07c9 - 1706490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1706570 ns MR4_D 40006004 00000001 - 1706570 ns R r1 00000001 - 1706570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1706590 ns MR4_I 0100021c 1c5b6002 - 1706590 ns R r1 80000000 - 1706590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1706610 ns R psr 81000200 - 1706630 ns MR4_I 01000214 6841d006 - 1706650 ns MR4_I 01000218 d1fc07c9 - 1706650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1706730 ns MR4_D 40006004 00000001 - 1706730 ns R r1 00000001 - 1706730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1706750 ns MR4_I 0100021c 1c5b6002 - 1706750 ns R r1 80000000 - 1706750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1706770 ns R psr 81000200 - 1706790 ns MR4_I 01000214 6841d006 - 1706810 ns MR4_I 01000218 d1fc07c9 - 1706810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1706890 ns MR4_D 40006004 00000001 - 1706890 ns R r1 00000001 - 1706890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1706910 ns MR4_I 0100021c 1c5b6002 - 1706910 ns R r1 80000000 - 1706910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1706930 ns R psr 81000200 - 1706950 ns MR4_I 01000214 6841d006 - 1706970 ns MR4_I 01000218 d1fc07c9 - 1706970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1707050 ns MR4_D 40006004 00000001 - 1707050 ns R r1 00000001 - 1707050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1707070 ns MR4_I 0100021c 1c5b6002 - 1707070 ns R r1 80000000 - 1707070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1707090 ns R psr 81000200 - 1707110 ns MR4_I 01000214 6841d006 - 1707130 ns MR4_I 01000218 d1fc07c9 - 1707130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1707210 ns MR4_D 40006004 00000001 - 1707210 ns R r1 00000001 - 1707210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1707230 ns MR4_I 0100021c 1c5b6002 - 1707230 ns R r1 80000000 - 1707230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1707250 ns R psr 81000200 - 1707270 ns MR4_I 01000214 6841d006 - 1707290 ns MR4_I 01000218 d1fc07c9 - 1707290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1707370 ns MR4_D 40006004 00000001 - 1707370 ns R r1 00000001 - 1707370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1707390 ns MR4_I 0100021c 1c5b6002 - 1707390 ns R r1 80000000 - 1707390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1707410 ns R psr 81000200 - 1707430 ns MR4_I 01000214 6841d006 - 1707450 ns MR4_I 01000218 d1fc07c9 - 1707450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1707530 ns MR4_D 40006004 00000001 - 1707530 ns R r1 00000001 - 1707530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1707550 ns MR4_I 0100021c 1c5b6002 - 1707550 ns R r1 80000000 - 1707550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1707570 ns R psr 81000200 - 1707590 ns MR4_I 01000214 6841d006 - 1707610 ns MR4_I 01000218 d1fc07c9 - 1707610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1707690 ns MR4_D 40006004 00000001 - 1707690 ns R r1 00000001 - 1707690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1707710 ns MR4_I 0100021c 1c5b6002 - 1707710 ns R r1 80000000 - 1707710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1707730 ns R psr 81000200 - 1707750 ns MR4_I 01000214 6841d006 - 1707770 ns MR4_I 01000218 d1fc07c9 - 1707770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1707850 ns MR4_D 40006004 00000001 - 1707850 ns R r1 00000001 - 1707850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1707870 ns MR4_I 0100021c 1c5b6002 - 1707870 ns R r1 80000000 - 1707870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1707890 ns R psr 81000200 - 1707910 ns MR4_I 01000214 6841d006 - 1707930 ns MR4_I 01000218 d1fc07c9 - 1707930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1708010 ns MR4_D 40006004 00000001 - 1708010 ns R r1 00000001 - 1708010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1708030 ns MR4_I 0100021c 1c5b6002 - 1708030 ns R r1 80000000 - 1708030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1708050 ns R psr 81000200 - 1708070 ns MR4_I 01000214 6841d006 - 1708090 ns MR4_I 01000218 d1fc07c9 - 1708090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1708170 ns MR4_D 40006004 00000001 - 1708170 ns R r1 00000001 - 1708170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1708190 ns MR4_I 0100021c 1c5b6002 - 1708190 ns R r1 80000000 - 1708190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1708210 ns R psr 81000200 - 1708230 ns MR4_I 01000214 6841d006 - 1708250 ns MR4_I 01000218 d1fc07c9 - 1708250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1708330 ns MR4_D 40006004 00000001 - 1708330 ns R r1 00000001 - 1708330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1708350 ns MR4_I 0100021c 1c5b6002 - 1708350 ns R r1 80000000 - 1708350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1708370 ns R psr 81000200 - 1708390 ns MR4_I 01000214 6841d006 - 1708410 ns MR4_I 01000218 d1fc07c9 - 1708410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1708490 ns MR4_D 40006004 00000001 - 1708490 ns R r1 00000001 - 1708490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1708510 ns MR4_I 0100021c 1c5b6002 - 1708510 ns R r1 80000000 - 1708510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1708530 ns R psr 81000200 - 1708550 ns MR4_I 01000214 6841d006 - 1708570 ns MR4_I 01000218 d1fc07c9 - 1708570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1708650 ns MR4_D 40006004 00000001 - 1708650 ns R r1 00000001 - 1708650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1708670 ns MR4_I 0100021c 1c5b6002 - 1708670 ns R r1 80000000 - 1708670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1708690 ns R psr 81000200 - 1708710 ns MR4_I 01000214 6841d006 - 1708730 ns MR4_I 01000218 d1fc07c9 - 1708730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1708810 ns MR4_D 40006004 00000001 - 1708810 ns R r1 00000001 - 1708810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1708830 ns MR4_I 0100021c 1c5b6002 - 1708830 ns R r1 80000000 - 1708830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1708850 ns R psr 81000200 - 1708870 ns MR4_I 01000214 6841d006 - 1708890 ns MR4_I 01000218 d1fc07c9 - 1708890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1708970 ns MR4_D 40006004 00000001 - 1708970 ns R r1 00000001 - 1708970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1708990 ns MR4_I 0100021c 1c5b6002 - 1708990 ns R r1 80000000 - 1708990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1709010 ns R psr 81000200 - 1709030 ns MR4_I 01000214 6841d006 - 1709050 ns MR4_I 01000218 d1fc07c9 - 1709050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1709130 ns MR4_D 40006004 00000001 - 1709130 ns R r1 00000001 - 1709130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1709150 ns MR4_I 0100021c 1c5b6002 - 1709150 ns R r1 80000000 - 1709150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1709170 ns R psr 81000200 - 1709190 ns MR4_I 01000214 6841d006 - 1709210 ns MR4_I 01000218 d1fc07c9 - 1709210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1709290 ns MR4_D 40006004 00000001 - 1709290 ns R r1 00000001 - 1709290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1709310 ns MR4_I 0100021c 1c5b6002 - 1709310 ns R r1 80000000 - 1709310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1709330 ns R psr 81000200 - 1709350 ns MR4_I 01000214 6841d006 - 1709370 ns MR4_I 01000218 d1fc07c9 - 1709370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1709450 ns MR4_D 40006004 00000001 - 1709450 ns R r1 00000001 - 1709450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1709470 ns MR4_I 0100021c 1c5b6002 - 1709470 ns R r1 80000000 - 1709470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1709490 ns R psr 81000200 - 1709510 ns MR4_I 01000214 6841d006 - 1709530 ns MR4_I 01000218 d1fc07c9 - 1709530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1709610 ns MR4_D 40006004 00000001 - 1709610 ns R r1 00000001 - 1709610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1709630 ns MR4_I 0100021c 1c5b6002 - 1709630 ns R r1 80000000 - 1709630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1709650 ns R psr 81000200 - 1709670 ns MR4_I 01000214 6841d006 - 1709690 ns MR4_I 01000218 d1fc07c9 - 1709690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1709770 ns MR4_D 40006004 00000001 - 1709770 ns R r1 00000001 - 1709770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1709790 ns MR4_I 0100021c 1c5b6002 - 1709790 ns R r1 80000000 - 1709790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1709810 ns R psr 81000200 - 1709830 ns MR4_I 01000214 6841d006 - 1709850 ns MR4_I 01000218 d1fc07c9 - 1709850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1709930 ns MR4_D 40006004 00000001 - 1709930 ns R r1 00000001 - 1709930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1709950 ns MR4_I 0100021c 1c5b6002 - 1709950 ns R r1 80000000 - 1709950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1709970 ns R psr 81000200 - 1709990 ns MR4_I 01000214 6841d006 - 1710010 ns MR4_I 01000218 d1fc07c9 - 1710010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1710090 ns MR4_D 40006004 00000001 - 1710090 ns R r1 00000001 - 1710090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1710110 ns MR4_I 0100021c 1c5b6002 - 1710110 ns R r1 80000000 - 1710110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1710130 ns R psr 81000200 - 1710150 ns MR4_I 01000214 6841d006 - 1710170 ns MR4_I 01000218 d1fc07c9 - 1710170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1710250 ns MR4_D 40006004 00000001 - 1710250 ns R r1 00000001 - 1710250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1710270 ns MR4_I 0100021c 1c5b6002 - 1710270 ns R r1 80000000 - 1710270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1710290 ns R psr 81000200 - 1710310 ns MR4_I 01000214 6841d006 - 1710330 ns MR4_I 01000218 d1fc07c9 - 1710330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1710410 ns MR4_D 40006004 00000001 - 1710410 ns R r1 00000001 - 1710410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1710430 ns MR4_I 0100021c 1c5b6002 - 1710430 ns R r1 80000000 - 1710430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1710450 ns R psr 81000200 - 1710470 ns MR4_I 01000214 6841d006 - 1710490 ns MR4_I 01000218 d1fc07c9 - 1710490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1710570 ns MR4_D 40006004 00000001 - 1710570 ns R r1 00000001 - 1710570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1710590 ns MR4_I 0100021c 1c5b6002 - 1710590 ns R r1 80000000 - 1710590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1710610 ns R psr 81000200 - 1710630 ns MR4_I 01000214 6841d006 - 1710650 ns MR4_I 01000218 d1fc07c9 - 1710650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1710730 ns MR4_D 40006004 00000001 - 1710730 ns R r1 00000001 - 1710730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1710750 ns MR4_I 0100021c 1c5b6002 - 1710750 ns R r1 80000000 - 1710750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1710770 ns R psr 81000200 - 1710790 ns MR4_I 01000214 6841d006 - 1710810 ns MR4_I 01000218 d1fc07c9 - 1710810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1710890 ns MR4_D 40006004 00000001 - 1710890 ns R r1 00000001 - 1710890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1710910 ns MR4_I 0100021c 1c5b6002 - 1710910 ns R r1 80000000 - 1710910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1710930 ns R psr 81000200 - 1710950 ns MR4_I 01000214 6841d006 - 1710970 ns MR4_I 01000218 d1fc07c9 - 1710970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1711050 ns MR4_D 40006004 00000001 - 1711050 ns R r1 00000001 - 1711050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1711070 ns MR4_I 0100021c 1c5b6002 - 1711070 ns R r1 80000000 - 1711070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1711090 ns R psr 81000200 - 1711110 ns MR4_I 01000214 6841d006 - 1711130 ns MR4_I 01000218 d1fc07c9 - 1711130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1711210 ns MR4_D 40006004 00000001 - 1711210 ns R r1 00000001 - 1711210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1711230 ns MR4_I 0100021c 1c5b6002 - 1711230 ns R r1 80000000 - 1711230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1711250 ns R psr 81000200 - 1711270 ns MR4_I 01000214 6841d006 - 1711290 ns MR4_I 01000218 d1fc07c9 - 1711290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1711370 ns MR4_D 40006004 00000001 - 1711370 ns R r1 00000001 - 1711370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1711390 ns MR4_I 0100021c 1c5b6002 - 1711390 ns R r1 80000000 - 1711390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1711410 ns R psr 81000200 - 1711430 ns MR4_I 01000214 6841d006 - 1711450 ns MR4_I 01000218 d1fc07c9 - 1711450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1711530 ns MR4_D 40006004 00000001 - 1711530 ns R r1 00000001 - 1711530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1711550 ns MR4_I 0100021c 1c5b6002 - 1711550 ns R r1 80000000 - 1711550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1711570 ns R psr 81000200 - 1711590 ns MR4_I 01000214 6841d006 - 1711610 ns MR4_I 01000218 d1fc07c9 - 1711610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1711690 ns MR4_D 40006004 00000001 - 1711690 ns R r1 00000001 - 1711690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1711710 ns MR4_I 0100021c 1c5b6002 - 1711710 ns R r1 80000000 - 1711710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1711730 ns R psr 81000200 - 1711750 ns MR4_I 01000214 6841d006 - 1711770 ns MR4_I 01000218 d1fc07c9 - 1711770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1711850 ns MR4_D 40006004 00000001 - 1711850 ns R r1 00000001 - 1711850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1711870 ns MR4_I 0100021c 1c5b6002 - 1711870 ns R r1 80000000 - 1711870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1711890 ns R psr 81000200 - 1711910 ns MR4_I 01000214 6841d006 - 1711930 ns MR4_I 01000218 d1fc07c9 - 1711930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1712010 ns MR4_D 40006004 00000001 - 1712010 ns R r1 00000001 - 1712010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1712030 ns MR4_I 0100021c 1c5b6002 - 1712030 ns R r1 80000000 - 1712030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1712050 ns R psr 81000200 - 1712070 ns MR4_I 01000214 6841d006 - 1712090 ns MR4_I 01000218 d1fc07c9 - 1712090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1712170 ns MR4_D 40006004 00000001 - 1712170 ns R r1 00000001 - 1712170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1712190 ns MR4_I 0100021c 1c5b6002 - 1712190 ns R r1 80000000 - 1712190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1712210 ns R psr 81000200 - 1712230 ns MR4_I 01000214 6841d006 - 1712250 ns MR4_I 01000218 d1fc07c9 - 1712250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1712330 ns MR4_D 40006004 00000001 - 1712330 ns R r1 00000001 - 1712330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1712350 ns MR4_I 0100021c 1c5b6002 - 1712350 ns R r1 80000000 - 1712350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1712370 ns R psr 81000200 - 1712390 ns MR4_I 01000214 6841d006 - 1712410 ns MR4_I 01000218 d1fc07c9 - 1712410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1712490 ns MR4_D 40006004 00000001 - 1712490 ns R r1 00000001 - 1712490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1712510 ns MR4_I 0100021c 1c5b6002 - 1712510 ns R r1 80000000 - 1712510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1712530 ns R psr 81000200 - 1712550 ns MR4_I 01000214 6841d006 - 1712570 ns MR4_I 01000218 d1fc07c9 - 1712570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1712650 ns MR4_D 40006004 00000001 - 1712650 ns R r1 00000001 - 1712650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1712670 ns MR4_I 0100021c 1c5b6002 - 1712670 ns R r1 80000000 - 1712670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1712690 ns R psr 81000200 - 1712710 ns MR4_I 01000214 6841d006 - 1712730 ns MR4_I 01000218 d1fc07c9 - 1712730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1712810 ns MR4_D 40006004 00000001 - 1712810 ns R r1 00000001 - 1712810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1712830 ns MR4_I 0100021c 1c5b6002 - 1712830 ns R r1 80000000 - 1712830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1712850 ns R psr 81000200 - 1712870 ns MR4_I 01000214 6841d006 - 1712890 ns MR4_I 01000218 d1fc07c9 - 1712890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1712970 ns MR4_D 40006004 00000001 - 1712970 ns R r1 00000001 - 1712970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1712990 ns MR4_I 0100021c 1c5b6002 - 1712990 ns R r1 80000000 - 1712990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1713010 ns R psr 81000200 - 1713030 ns MR4_I 01000214 6841d006 - 1713050 ns MR4_I 01000218 d1fc07c9 - 1713050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1713130 ns MR4_D 40006004 00000001 - 1713130 ns R r1 00000001 - 1713130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1713150 ns MR4_I 0100021c 1c5b6002 - 1713150 ns R r1 80000000 - 1713150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1713170 ns R psr 81000200 - 1713190 ns MR4_I 01000214 6841d006 - 1713210 ns MR4_I 01000218 d1fc07c9 - 1713210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1713290 ns MR4_D 40006004 00000001 - 1713290 ns R r1 00000001 - 1713290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1713310 ns MR4_I 0100021c 1c5b6002 - 1713310 ns R r1 80000000 - 1713310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1713330 ns R psr 81000200 - 1713350 ns MR4_I 01000214 6841d006 - 1713370 ns MR4_I 01000218 d1fc07c9 - 1713370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1713450 ns MR4_D 40006004 00000001 - 1713450 ns R r1 00000001 - 1713450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1713470 ns MR4_I 0100021c 1c5b6002 - 1713470 ns R r1 80000000 - 1713470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1713490 ns R psr 81000200 - 1713510 ns MR4_I 01000214 6841d006 - 1713530 ns MR4_I 01000218 d1fc07c9 - 1713530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1713610 ns MR4_D 40006004 00000001 - 1713610 ns R r1 00000001 - 1713610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1713630 ns MR4_I 0100021c 1c5b6002 - 1713630 ns R r1 80000000 - 1713630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1713650 ns R psr 81000200 - 1713670 ns MR4_I 01000214 6841d006 - 1713690 ns MR4_I 01000218 d1fc07c9 - 1713690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1713770 ns MR4_D 40006004 00000001 - 1713770 ns R r1 00000001 - 1713770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1713790 ns MR4_I 0100021c 1c5b6002 - 1713790 ns R r1 80000000 - 1713790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1713810 ns R psr 81000200 - 1713830 ns MR4_I 01000214 6841d006 - 1713850 ns MR4_I 01000218 d1fc07c9 - 1713850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1713930 ns MR4_D 40006004 00000001 - 1713930 ns R r1 00000001 - 1713930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1713950 ns MR4_I 0100021c 1c5b6002 - 1713950 ns R r1 80000000 - 1713950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1713970 ns R psr 81000200 - 1713990 ns MR4_I 01000214 6841d006 - 1714010 ns MR4_I 01000218 d1fc07c9 - 1714010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1714090 ns MR4_D 40006004 00000001 - 1714090 ns R r1 00000001 - 1714090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1714110 ns MR4_I 0100021c 1c5b6002 - 1714110 ns R r1 80000000 - 1714110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1714130 ns R psr 81000200 - 1714150 ns MR4_I 01000214 6841d006 - 1714170 ns MR4_I 01000218 d1fc07c9 - 1714170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1714250 ns MR4_D 40006004 00000001 - 1714250 ns R r1 00000001 - 1714250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1714270 ns MR4_I 0100021c 1c5b6002 - 1714270 ns R r1 80000000 - 1714270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1714290 ns R psr 81000200 - 1714310 ns MR4_I 01000214 6841d006 - 1714330 ns MR4_I 01000218 d1fc07c9 - 1714330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1714410 ns MR4_D 40006004 00000001 - 1714410 ns R r1 00000001 - 1714410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1714430 ns MR4_I 0100021c 1c5b6002 - 1714430 ns R r1 80000000 - 1714430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1714450 ns R psr 81000200 - 1714470 ns MR4_I 01000214 6841d006 - 1714490 ns MR4_I 01000218 d1fc07c9 - 1714490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1714570 ns MR4_D 40006004 00000001 - 1714570 ns R r1 00000001 - 1714570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1714590 ns MR4_I 0100021c 1c5b6002 - 1714590 ns R r1 80000000 - 1714590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1714610 ns R psr 81000200 - 1714630 ns MR4_I 01000214 6841d006 - 1714650 ns MR4_I 01000218 d1fc07c9 - 1714650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1714730 ns MR4_D 40006004 00000001 - 1714730 ns R r1 00000001 - 1714730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1714750 ns MR4_I 0100021c 1c5b6002 - 1714750 ns R r1 80000000 - 1714750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1714770 ns R psr 81000200 - 1714790 ns MR4_I 01000214 6841d006 - 1714810 ns MR4_I 01000218 d1fc07c9 - 1714810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1714890 ns MR4_D 40006004 00000001 - 1714890 ns R r1 00000001 - 1714890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1714910 ns MR4_I 0100021c 1c5b6002 - 1714910 ns R r1 80000000 - 1714910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1714930 ns R psr 81000200 - 1714950 ns MR4_I 01000214 6841d006 - 1714970 ns MR4_I 01000218 d1fc07c9 - 1714970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1715050 ns MR4_D 40006004 00000001 - 1715050 ns R r1 00000001 - 1715050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1715070 ns MR4_I 0100021c 1c5b6002 - 1715070 ns R r1 80000000 - 1715070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1715090 ns R psr 81000200 - 1715110 ns MR4_I 01000214 6841d006 - 1715130 ns MR4_I 01000218 d1fc07c9 - 1715130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1715210 ns MR4_D 40006004 00000001 - 1715210 ns R r1 00000001 - 1715210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1715230 ns MR4_I 0100021c 1c5b6002 - 1715230 ns R r1 80000000 - 1715230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1715250 ns R psr 81000200 - 1715270 ns MR4_I 01000214 6841d006 - 1715290 ns MR4_I 01000218 d1fc07c9 - 1715290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1715370 ns MR4_D 40006004 00000001 - 1715370 ns R r1 00000001 - 1715370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1715390 ns MR4_I 0100021c 1c5b6002 - 1715390 ns R r1 80000000 - 1715390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1715410 ns R psr 81000200 - 1715430 ns MR4_I 01000214 6841d006 - 1715450 ns MR4_I 01000218 d1fc07c9 - 1715450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1715530 ns MR4_D 40006004 00000001 - 1715530 ns R r1 00000001 - 1715530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1715550 ns MR4_I 0100021c 1c5b6002 - 1715550 ns R r1 80000000 - 1715550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1715570 ns R psr 81000200 - 1715590 ns MR4_I 01000214 6841d006 - 1715610 ns MR4_I 01000218 d1fc07c9 - 1715610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1715690 ns MR4_D 40006004 00000001 - 1715690 ns R r1 00000001 - 1715690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1715710 ns MR4_I 0100021c 1c5b6002 - 1715710 ns R r1 80000000 - 1715710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1715730 ns R psr 81000200 - 1715750 ns MR4_I 01000214 6841d006 - 1715770 ns MR4_I 01000218 d1fc07c9 - 1715770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1715850 ns MR4_D 40006004 00000001 - 1715850 ns R r1 00000001 - 1715850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1715870 ns MR4_I 0100021c 1c5b6002 - 1715870 ns R r1 80000000 - 1715870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1715890 ns R psr 81000200 - 1715910 ns MR4_I 01000214 6841d006 - 1715930 ns MR4_I 01000218 d1fc07c9 - 1715930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1716010 ns MR4_D 40006004 00000001 - 1716010 ns R r1 00000001 - 1716010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1716030 ns MR4_I 0100021c 1c5b6002 - 1716030 ns R r1 80000000 - 1716030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1716050 ns R psr 81000200 - 1716070 ns MR4_I 01000214 6841d006 - 1716090 ns MR4_I 01000218 d1fc07c9 - 1716090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1716170 ns MR4_D 40006004 00000001 - 1716170 ns R r1 00000001 - 1716170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1716190 ns MR4_I 0100021c 1c5b6002 - 1716190 ns R r1 80000000 - 1716190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1716210 ns R psr 81000200 - 1716230 ns MR4_I 01000214 6841d006 - 1716250 ns MR4_I 01000218 d1fc07c9 - 1716250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1716330 ns MR4_D 40006004 00000001 - 1716330 ns R r1 00000001 - 1716330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1716350 ns MR4_I 0100021c 1c5b6002 - 1716350 ns R r1 80000000 - 1716350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1716370 ns R psr 81000200 - 1716390 ns MR4_I 01000214 6841d006 - 1716410 ns MR4_I 01000218 d1fc07c9 - 1716410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1716490 ns MR4_D 40006004 00000001 - 1716490 ns R r1 00000001 - 1716490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1716510 ns MR4_I 0100021c 1c5b6002 - 1716510 ns R r1 80000000 - 1716510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1716530 ns R psr 81000200 - 1716550 ns MR4_I 01000214 6841d006 - 1716570 ns MR4_I 01000218 d1fc07c9 - 1716570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1716650 ns MR4_D 40006004 00000001 - 1716650 ns R r1 00000001 - 1716650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1716670 ns MR4_I 0100021c 1c5b6002 - 1716670 ns R r1 80000000 - 1716670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1716690 ns R psr 81000200 - 1716710 ns MR4_I 01000214 6841d006 - 1716730 ns MR4_I 01000218 d1fc07c9 - 1716730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1716810 ns MR4_D 40006004 00000001 - 1716810 ns R r1 00000001 - 1716810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1716830 ns MR4_I 0100021c 1c5b6002 - 1716830 ns R r1 80000000 - 1716830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1716850 ns R psr 81000200 - 1716870 ns MR4_I 01000214 6841d006 - 1716890 ns MR4_I 01000218 d1fc07c9 - 1716890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1716970 ns MR4_D 40006004 00000001 - 1716970 ns R r1 00000001 - 1716970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1716990 ns MR4_I 0100021c 1c5b6002 - 1716990 ns R r1 80000000 - 1716990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1717010 ns R psr 81000200 - 1717030 ns MR4_I 01000214 6841d006 - 1717050 ns MR4_I 01000218 d1fc07c9 - 1717050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1717130 ns MR4_D 40006004 00000001 - 1717130 ns R r1 00000001 - 1717130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1717150 ns MR4_I 0100021c 1c5b6002 - 1717150 ns R r1 80000000 - 1717150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1717170 ns R psr 81000200 - 1717190 ns MR4_I 01000214 6841d006 - 1717210 ns MR4_I 01000218 d1fc07c9 - 1717210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1717290 ns MR4_D 40006004 00000001 - 1717290 ns R r1 00000001 - 1717290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1717310 ns MR4_I 0100021c 1c5b6002 - 1717310 ns R r1 80000000 - 1717310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1717330 ns R psr 81000200 - 1717350 ns MR4_I 01000214 6841d006 - 1717370 ns MR4_I 01000218 d1fc07c9 - 1717370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1717450 ns MR4_D 40006004 00000001 - 1717450 ns R r1 00000001 - 1717450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1717470 ns MR4_I 0100021c 1c5b6002 - 1717470 ns R r1 80000000 - 1717470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1717490 ns R psr 81000200 - 1717510 ns MR4_I 01000214 6841d006 - 1717530 ns MR4_I 01000218 d1fc07c9 - 1717530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1717610 ns MR4_D 40006004 00000001 - 1717610 ns R r1 00000001 - 1717610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1717630 ns MR4_I 0100021c 1c5b6002 - 1717630 ns R r1 80000000 - 1717630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1717650 ns R psr 81000200 - 1717670 ns MR4_I 01000214 6841d006 - 1717690 ns MR4_I 01000218 d1fc07c9 - 1717690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1717770 ns MR4_D 40006004 00000001 - 1717770 ns R r1 00000001 - 1717770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1717790 ns MR4_I 0100021c 1c5b6002 - 1717790 ns R r1 80000000 - 1717790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1717810 ns R psr 81000200 - 1717830 ns MR4_I 01000214 6841d006 - 1717850 ns MR4_I 01000218 d1fc07c9 - 1717850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1717930 ns MR4_D 40006004 00000001 - 1717930 ns R r1 00000001 - 1717930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1717950 ns MR4_I 0100021c 1c5b6002 - 1717950 ns R r1 80000000 - 1717950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1717970 ns R psr 81000200 - 1717990 ns MR4_I 01000214 6841d006 - 1718010 ns MR4_I 01000218 d1fc07c9 - 1718010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1718090 ns MR4_D 40006004 00000001 - 1718090 ns R r1 00000001 - 1718090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1718110 ns MR4_I 0100021c 1c5b6002 - 1718110 ns R r1 80000000 - 1718110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1718130 ns R psr 81000200 - 1718150 ns MR4_I 01000214 6841d006 - 1718170 ns MR4_I 01000218 d1fc07c9 - 1718170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1718250 ns MR4_D 40006004 00000001 - 1718250 ns R r1 00000001 - 1718250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1718270 ns MR4_I 0100021c 1c5b6002 - 1718270 ns R r1 80000000 - 1718270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1718290 ns R psr 81000200 - 1718310 ns MR4_I 01000214 6841d006 - 1718330 ns MR4_I 01000218 d1fc07c9 - 1718330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1718410 ns MR4_D 40006004 00000001 - 1718410 ns R r1 00000001 - 1718410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1718430 ns MR4_I 0100021c 1c5b6002 - 1718430 ns R r1 80000000 - 1718430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1718450 ns R psr 81000200 - 1718470 ns MR4_I 01000214 6841d006 - 1718490 ns MR4_I 01000218 d1fc07c9 - 1718490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1718570 ns MR4_D 40006004 00000001 - 1718570 ns R r1 00000001 - 1718570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1718590 ns MR4_I 0100021c 1c5b6002 - 1718590 ns R r1 80000000 - 1718590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1718610 ns R psr 81000200 - 1718630 ns MR4_I 01000214 6841d006 - 1718650 ns MR4_I 01000218 d1fc07c9 - 1718650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1718730 ns MR4_D 40006004 00000001 - 1718730 ns R r1 00000001 - 1718730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1718750 ns MR4_I 0100021c 1c5b6002 - 1718750 ns R r1 80000000 - 1718750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1718770 ns R psr 81000200 - 1718790 ns MR4_I 01000214 6841d006 - 1718810 ns MR4_I 01000218 d1fc07c9 - 1718810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1718890 ns MR4_D 40006004 00000001 - 1718890 ns R r1 00000001 - 1718890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1718910 ns MR4_I 0100021c 1c5b6002 - 1718910 ns R r1 80000000 - 1718910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1718930 ns R psr 81000200 - 1718950 ns MR4_I 01000214 6841d006 - 1718970 ns MR4_I 01000218 d1fc07c9 - 1718970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1719050 ns MR4_D 40006004 00000001 - 1719050 ns R r1 00000001 - 1719050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1719070 ns MR4_I 0100021c 1c5b6002 - 1719070 ns R r1 80000000 - 1719070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1719090 ns R psr 81000200 - 1719110 ns MR4_I 01000214 6841d006 - 1719130 ns MR4_I 01000218 d1fc07c9 - 1719130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1719210 ns MR4_D 40006004 00000001 - 1719210 ns R r1 00000001 - 1719210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1719230 ns MR4_I 0100021c 1c5b6002 - 1719230 ns R r1 80000000 - 1719230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1719250 ns R psr 81000200 - 1719270 ns MR4_I 01000214 6841d006 - 1719290 ns MR4_I 01000218 d1fc07c9 - 1719290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1719370 ns MR4_D 40006004 00000001 - 1719370 ns R r1 00000001 - 1719370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1719390 ns MR4_I 0100021c 1c5b6002 - 1719390 ns R r1 80000000 - 1719390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1719410 ns R psr 81000200 - 1719430 ns MR4_I 01000214 6841d006 - 1719450 ns MR4_I 01000218 d1fc07c9 - 1719450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1719530 ns MR4_D 40006004 00000001 - 1719530 ns R r1 00000001 - 1719530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1719550 ns MR4_I 0100021c 1c5b6002 - 1719550 ns R r1 80000000 - 1719550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1719570 ns R psr 81000200 - 1719590 ns MR4_I 01000214 6841d006 - 1719610 ns MR4_I 01000218 d1fc07c9 - 1719610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1719690 ns MR4_D 40006004 00000001 - 1719690 ns R r1 00000001 - 1719690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1719710 ns MR4_I 0100021c 1c5b6002 - 1719710 ns R r1 80000000 - 1719710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1719730 ns R psr 81000200 - 1719750 ns MR4_I 01000214 6841d006 - 1719770 ns MR4_I 01000218 d1fc07c9 - 1719770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1719850 ns MR4_D 40006004 00000001 - 1719850 ns R r1 00000001 - 1719850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1719870 ns MR4_I 0100021c 1c5b6002 - 1719870 ns R r1 80000000 - 1719870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1719890 ns R psr 81000200 - 1719910 ns MR4_I 01000214 6841d006 - 1719930 ns MR4_I 01000218 d1fc07c9 - 1719930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1720010 ns MR4_D 40006004 00000001 - 1720010 ns R r1 00000001 - 1720010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1720030 ns MR4_I 0100021c 1c5b6002 - 1720030 ns R r1 80000000 - 1720030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1720050 ns R psr 81000200 - 1720070 ns MR4_I 01000214 6841d006 - 1720090 ns MR4_I 01000218 d1fc07c9 - 1720090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1720170 ns MR4_D 40006004 00000001 - 1720170 ns R r1 00000001 - 1720170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1720190 ns MR4_I 0100021c 1c5b6002 - 1720190 ns R r1 80000000 - 1720190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1720210 ns R psr 81000200 - 1720230 ns MR4_I 01000214 6841d006 - 1720250 ns MR4_I 01000218 d1fc07c9 - 1720250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1720330 ns MR4_D 40006004 00000001 - 1720330 ns R r1 00000001 - 1720330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1720350 ns MR4_I 0100021c 1c5b6002 - 1720350 ns R r1 80000000 - 1720350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1720370 ns R psr 81000200 - 1720390 ns MR4_I 01000214 6841d006 - 1720410 ns MR4_I 01000218 d1fc07c9 - 1720410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1720490 ns MR4_D 40006004 00000001 - 1720490 ns R r1 00000001 - 1720490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1720510 ns MR4_I 0100021c 1c5b6002 - 1720510 ns R r1 80000000 - 1720510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1720530 ns R psr 81000200 - 1720550 ns MR4_I 01000214 6841d006 - 1720570 ns MR4_I 01000218 d1fc07c9 - 1720570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1720650 ns MR4_D 40006004 00000001 - 1720650 ns R r1 00000001 - 1720650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1720670 ns MR4_I 0100021c 1c5b6002 - 1720670 ns R r1 80000000 - 1720670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1720690 ns R psr 81000200 - 1720710 ns MR4_I 01000214 6841d006 - 1720730 ns MR4_I 01000218 d1fc07c9 - 1720730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1720810 ns MR4_D 40006004 00000001 - 1720810 ns R r1 00000001 - 1720810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1720830 ns MR4_I 0100021c 1c5b6002 - 1720830 ns R r1 80000000 - 1720830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1720850 ns R psr 81000200 - 1720870 ns MR4_I 01000214 6841d006 - 1720890 ns MR4_I 01000218 d1fc07c9 - 1720890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1720970 ns MR4_D 40006004 00000001 - 1720970 ns R r1 00000001 - 1720970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1720990 ns MR4_I 0100021c 1c5b6002 - 1720990 ns R r1 80000000 - 1720990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1721010 ns R psr 81000200 - 1721030 ns MR4_I 01000214 6841d006 - 1721050 ns MR4_I 01000218 d1fc07c9 - 1721050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1721130 ns MR4_D 40006004 00000001 - 1721130 ns R r1 00000001 - 1721130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1721150 ns MR4_I 0100021c 1c5b6002 - 1721150 ns R r1 80000000 - 1721150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1721170 ns R psr 81000200 - 1721190 ns MR4_I 01000214 6841d006 - 1721210 ns MR4_I 01000218 d1fc07c9 - 1721210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1721290 ns MR4_D 40006004 00000001 - 1721290 ns R r1 00000001 - 1721290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1721310 ns MR4_I 0100021c 1c5b6002 - 1721310 ns R r1 80000000 - 1721310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1721330 ns R psr 81000200 - 1721350 ns MR4_I 01000214 6841d006 - 1721370 ns MR4_I 01000218 d1fc07c9 - 1721370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1721450 ns MR4_D 40006004 00000001 - 1721450 ns R r1 00000001 - 1721450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1721470 ns MR4_I 0100021c 1c5b6002 - 1721470 ns R r1 80000000 - 1721470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1721490 ns R psr 81000200 - 1721510 ns MR4_I 01000214 6841d006 - 1721530 ns MR4_I 01000218 d1fc07c9 - 1721530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1721610 ns MR4_D 40006004 00000001 - 1721610 ns R r1 00000001 - 1721610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1721630 ns MR4_I 0100021c 1c5b6002 - 1721630 ns R r1 80000000 - 1721630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1721650 ns R psr 81000200 - 1721670 ns MR4_I 01000214 6841d006 - 1721690 ns MR4_I 01000218 d1fc07c9 - 1721690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1721770 ns MR4_D 40006004 00000001 - 1721770 ns R r1 00000001 - 1721770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1721790 ns MR4_I 0100021c 1c5b6002 - 1721790 ns R r1 80000000 - 1721790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1721810 ns R psr 81000200 - 1721830 ns MR4_I 01000214 6841d006 - 1721850 ns MR4_I 01000218 d1fc07c9 - 1721850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1721930 ns MR4_D 40006004 00000001 - 1721930 ns R r1 00000001 - 1721930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1721950 ns MR4_I 0100021c 1c5b6002 - 1721950 ns R r1 80000000 - 1721950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1721970 ns R psr 81000200 - 1721990 ns MR4_I 01000214 6841d006 - 1722010 ns MR4_I 01000218 d1fc07c9 - 1722010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1722090 ns MR4_D 40006004 00000001 - 1722090 ns R r1 00000001 - 1722090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1722110 ns MR4_I 0100021c 1c5b6002 - 1722110 ns R r1 80000000 - 1722110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1722130 ns R psr 81000200 - 1722150 ns MR4_I 01000214 6841d006 - 1722170 ns MR4_I 01000218 d1fc07c9 - 1722170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1722250 ns MR4_D 40006004 00000001 - 1722250 ns R r1 00000001 - 1722250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1722270 ns MR4_I 0100021c 1c5b6002 - 1722270 ns R r1 80000000 - 1722270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1722290 ns R psr 81000200 - 1722310 ns MR4_I 01000214 6841d006 - 1722330 ns MR4_I 01000218 d1fc07c9 - 1722330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1722410 ns MR4_D 40006004 00000000 - 1722410 ns R r1 00000000 - 1722410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1722430 ns MR4_I 0100021c 1c5b6002 - 1722430 ns R r1 00000000 - 1722430 ns IS 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1722450 ns R psr 41000200 - 1722450 ns IT 0100021c 6002 STR r2,[r0,#0] - 1722470 ns MR4_I 01000220 d1f52a00 - 1722530 ns MW4_D 40006000 0000006c - 1722530 ns IT 0100021e 1c5b ADDS r3,r3,#1 - 1722550 ns R r3 010002c2 - 1722550 ns IT 01000220 2a00 CMP r2,#0 - 1722570 ns R psr 01000200 - 1722570 ns MR4_I 01000224 680a4911 - 1722570 ns IT 01000222 d1f5 BNE {pc} - 0x12 ; 0x1000210 - 1722590 ns R psr 21000200 - 1722610 ns MR4_I 01000210 2a00781a - 1722630 ns IT 01000210 781a LDRB r2,[r3,#0] - 1722650 ns MR4_I 01000214 6841d006 - 1722670 ns MR1_D 010002c2 73616c66 - 1722670 ns R r2 00000061 - 1722670 ns IT 01000212 2a00 CMP r2,#0 - 1722690 ns IS 01000214 d006 BEQ {pc} + 0x10 ; 0x1000224 - 1722710 ns R psr 21000200 - 1722710 ns MR4_I 01000218 d1fc07c9 - 1722710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1722790 ns MR4_D 40006004 00000001 - 1722790 ns R r1 00000001 - 1722790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1722810 ns MR4_I 0100021c 1c5b6002 - 1722810 ns R r1 80000000 - 1722810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1722830 ns R psr 81000200 - 1722850 ns MR4_I 01000214 6841d006 - 1722870 ns MR4_I 01000218 d1fc07c9 - 1722870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1722950 ns MR4_D 40006004 00000001 - 1722950 ns R r1 00000001 - 1722950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1722970 ns MR4_I 0100021c 1c5b6002 - 1722970 ns R r1 80000000 - 1722970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1722990 ns R psr 81000200 - 1723010 ns MR4_I 01000214 6841d006 - 1723030 ns MR4_I 01000218 d1fc07c9 - 1723030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1723110 ns MR4_D 40006004 00000001 - 1723110 ns R r1 00000001 - 1723110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1723130 ns MR4_I 0100021c 1c5b6002 - 1723130 ns R r1 80000000 - 1723130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1723150 ns R psr 81000200 - 1723170 ns MR4_I 01000214 6841d006 - 1723190 ns MR4_I 01000218 d1fc07c9 - 1723190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1723270 ns MR4_D 40006004 00000001 - 1723270 ns R r1 00000001 - 1723270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1723290 ns MR4_I 0100021c 1c5b6002 - 1723290 ns R r1 80000000 - 1723290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1723310 ns R psr 81000200 - 1723330 ns MR4_I 01000214 6841d006 - 1723350 ns MR4_I 01000218 d1fc07c9 - 1723350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1723430 ns MR4_D 40006004 00000001 - 1723430 ns R r1 00000001 - 1723430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1723450 ns MR4_I 0100021c 1c5b6002 - 1723450 ns R r1 80000000 - 1723450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1723470 ns R psr 81000200 - 1723490 ns MR4_I 01000214 6841d006 - 1723510 ns MR4_I 01000218 d1fc07c9 - 1723510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1723590 ns MR4_D 40006004 00000001 - 1723590 ns R r1 00000001 - 1723590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1723610 ns MR4_I 0100021c 1c5b6002 - 1723610 ns R r1 80000000 - 1723610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1723630 ns R psr 81000200 - 1723650 ns MR4_I 01000214 6841d006 - 1723670 ns MR4_I 01000218 d1fc07c9 - 1723670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1723750 ns MR4_D 40006004 00000001 - 1723750 ns R r1 00000001 - 1723750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1723770 ns MR4_I 0100021c 1c5b6002 - 1723770 ns R r1 80000000 - 1723770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1723790 ns R psr 81000200 - 1723810 ns MR4_I 01000214 6841d006 - 1723830 ns MR4_I 01000218 d1fc07c9 - 1723830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1723910 ns MR4_D 40006004 00000001 - 1723910 ns R r1 00000001 - 1723910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1723930 ns MR4_I 0100021c 1c5b6002 - 1723930 ns R r1 80000000 - 1723930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1723950 ns R psr 81000200 - 1723970 ns MR4_I 01000214 6841d006 - 1723990 ns MR4_I 01000218 d1fc07c9 - 1723990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1724070 ns MR4_D 40006004 00000001 - 1724070 ns R r1 00000001 - 1724070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1724090 ns MR4_I 0100021c 1c5b6002 - 1724090 ns R r1 80000000 - 1724090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1724110 ns R psr 81000200 - 1724130 ns MR4_I 01000214 6841d006 - 1724150 ns MR4_I 01000218 d1fc07c9 - 1724150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1724230 ns MR4_D 40006004 00000001 - 1724230 ns R r1 00000001 - 1724230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1724250 ns MR4_I 0100021c 1c5b6002 - 1724250 ns R r1 80000000 - 1724250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1724270 ns R psr 81000200 - 1724290 ns MR4_I 01000214 6841d006 - 1724310 ns MR4_I 01000218 d1fc07c9 - 1724310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1724390 ns MR4_D 40006004 00000001 - 1724390 ns R r1 00000001 - 1724390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1724410 ns MR4_I 0100021c 1c5b6002 - 1724410 ns R r1 80000000 - 1724410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1724430 ns R psr 81000200 - 1724450 ns MR4_I 01000214 6841d006 - 1724470 ns MR4_I 01000218 d1fc07c9 - 1724470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1724550 ns MR4_D 40006004 00000001 - 1724550 ns R r1 00000001 - 1724550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1724570 ns MR4_I 0100021c 1c5b6002 - 1724570 ns R r1 80000000 - 1724570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1724590 ns R psr 81000200 - 1724610 ns MR4_I 01000214 6841d006 - 1724630 ns MR4_I 01000218 d1fc07c9 - 1724630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1724710 ns MR4_D 40006004 00000001 - 1724710 ns R r1 00000001 - 1724710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1724730 ns MR4_I 0100021c 1c5b6002 - 1724730 ns R r1 80000000 - 1724730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1724750 ns R psr 81000200 - 1724770 ns MR4_I 01000214 6841d006 - 1724790 ns MR4_I 01000218 d1fc07c9 - 1724790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1724870 ns MR4_D 40006004 00000001 - 1724870 ns R r1 00000001 - 1724870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1724890 ns MR4_I 0100021c 1c5b6002 - 1724890 ns R r1 80000000 - 1724890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1724910 ns R psr 81000200 - 1724930 ns MR4_I 01000214 6841d006 - 1724950 ns MR4_I 01000218 d1fc07c9 - 1724950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1725030 ns MR4_D 40006004 00000001 - 1725030 ns R r1 00000001 - 1725030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1725050 ns MR4_I 0100021c 1c5b6002 - 1725050 ns R r1 80000000 - 1725050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1725070 ns R psr 81000200 - 1725090 ns MR4_I 01000214 6841d006 - 1725110 ns MR4_I 01000218 d1fc07c9 - 1725110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1725190 ns MR4_D 40006004 00000001 - 1725190 ns R r1 00000001 - 1725190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1725210 ns MR4_I 0100021c 1c5b6002 - 1725210 ns R r1 80000000 - 1725210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1725230 ns R psr 81000200 - 1725250 ns MR4_I 01000214 6841d006 - 1725270 ns MR4_I 01000218 d1fc07c9 - 1725270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1725350 ns MR4_D 40006004 00000001 - 1725350 ns R r1 00000001 - 1725350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1725370 ns MR4_I 0100021c 1c5b6002 - 1725370 ns R r1 80000000 - 1725370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1725390 ns R psr 81000200 - 1725410 ns MR4_I 01000214 6841d006 - 1725430 ns MR4_I 01000218 d1fc07c9 - 1725430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1725510 ns MR4_D 40006004 00000001 - 1725510 ns R r1 00000001 - 1725510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1725530 ns MR4_I 0100021c 1c5b6002 - 1725530 ns R r1 80000000 - 1725530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1725550 ns R psr 81000200 - 1725570 ns MR4_I 01000214 6841d006 - 1725590 ns MR4_I 01000218 d1fc07c9 - 1725590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1725670 ns MR4_D 40006004 00000001 - 1725670 ns R r1 00000001 - 1725670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1725690 ns MR4_I 0100021c 1c5b6002 - 1725690 ns R r1 80000000 - 1725690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1725710 ns R psr 81000200 - 1725730 ns MR4_I 01000214 6841d006 - 1725750 ns MR4_I 01000218 d1fc07c9 - 1725750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1725830 ns MR4_D 40006004 00000001 - 1725830 ns R r1 00000001 - 1725830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1725850 ns MR4_I 0100021c 1c5b6002 - 1725850 ns R r1 80000000 - 1725850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1725870 ns R psr 81000200 - 1725890 ns MR4_I 01000214 6841d006 - 1725910 ns MR4_I 01000218 d1fc07c9 - 1725910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1725990 ns MR4_D 40006004 00000001 - 1725990 ns R r1 00000001 - 1725990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1726010 ns MR4_I 0100021c 1c5b6002 - 1726010 ns R r1 80000000 - 1726010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1726030 ns R psr 81000200 - 1726050 ns MR4_I 01000214 6841d006 - 1726070 ns MR4_I 01000218 d1fc07c9 - 1726070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1726150 ns MR4_D 40006004 00000001 - 1726150 ns R r1 00000001 - 1726150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1726170 ns MR4_I 0100021c 1c5b6002 - 1726170 ns R r1 80000000 - 1726170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1726190 ns R psr 81000200 - 1726210 ns MR4_I 01000214 6841d006 - 1726230 ns MR4_I 01000218 d1fc07c9 - 1726230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1726310 ns MR4_D 40006004 00000001 - 1726310 ns R r1 00000001 - 1726310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1726330 ns MR4_I 0100021c 1c5b6002 - 1726330 ns R r1 80000000 - 1726330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1726350 ns R psr 81000200 - 1726370 ns MR4_I 01000214 6841d006 - 1726390 ns MR4_I 01000218 d1fc07c9 - 1726390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1726470 ns MR4_D 40006004 00000001 - 1726470 ns R r1 00000001 - 1726470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1726490 ns MR4_I 0100021c 1c5b6002 - 1726490 ns R r1 80000000 - 1726490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1726510 ns R psr 81000200 - 1726530 ns MR4_I 01000214 6841d006 - 1726550 ns MR4_I 01000218 d1fc07c9 - 1726550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1726630 ns MR4_D 40006004 00000001 - 1726630 ns R r1 00000001 - 1726630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1726650 ns MR4_I 0100021c 1c5b6002 - 1726650 ns R r1 80000000 - 1726650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1726670 ns R psr 81000200 - 1726690 ns MR4_I 01000214 6841d006 - 1726710 ns MR4_I 01000218 d1fc07c9 - 1726710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1726790 ns MR4_D 40006004 00000001 - 1726790 ns R r1 00000001 - 1726790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1726810 ns MR4_I 0100021c 1c5b6002 - 1726810 ns R r1 80000000 - 1726810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1726830 ns R psr 81000200 - 1726850 ns MR4_I 01000214 6841d006 - 1726870 ns MR4_I 01000218 d1fc07c9 - 1726870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1726950 ns MR4_D 40006004 00000001 - 1726950 ns R r1 00000001 - 1726950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1726970 ns MR4_I 0100021c 1c5b6002 - 1726970 ns R r1 80000000 - 1726970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1726990 ns R psr 81000200 - 1727010 ns MR4_I 01000214 6841d006 - 1727030 ns MR4_I 01000218 d1fc07c9 - 1727030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1727110 ns MR4_D 40006004 00000001 - 1727110 ns R r1 00000001 - 1727110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1727130 ns MR4_I 0100021c 1c5b6002 - 1727130 ns R r1 80000000 - 1727130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1727150 ns R psr 81000200 - 1727170 ns MR4_I 01000214 6841d006 - 1727190 ns MR4_I 01000218 d1fc07c9 - 1727190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1727270 ns MR4_D 40006004 00000001 - 1727270 ns R r1 00000001 - 1727270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1727290 ns MR4_I 0100021c 1c5b6002 - 1727290 ns R r1 80000000 - 1727290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1727310 ns R psr 81000200 - 1727330 ns MR4_I 01000214 6841d006 - 1727350 ns MR4_I 01000218 d1fc07c9 - 1727350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1727430 ns MR4_D 40006004 00000001 - 1727430 ns R r1 00000001 - 1727430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1727450 ns MR4_I 0100021c 1c5b6002 - 1727450 ns R r1 80000000 - 1727450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1727470 ns R psr 81000200 - 1727490 ns MR4_I 01000214 6841d006 - 1727510 ns MR4_I 01000218 d1fc07c9 - 1727510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1727590 ns MR4_D 40006004 00000001 - 1727590 ns R r1 00000001 - 1727590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1727610 ns MR4_I 0100021c 1c5b6002 - 1727610 ns R r1 80000000 - 1727610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1727630 ns R psr 81000200 - 1727650 ns MR4_I 01000214 6841d006 - 1727670 ns MR4_I 01000218 d1fc07c9 - 1727670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1727750 ns MR4_D 40006004 00000001 - 1727750 ns R r1 00000001 - 1727750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1727770 ns MR4_I 0100021c 1c5b6002 - 1727770 ns R r1 80000000 - 1727770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1727790 ns R psr 81000200 - 1727810 ns MR4_I 01000214 6841d006 - 1727830 ns MR4_I 01000218 d1fc07c9 - 1727830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1727910 ns MR4_D 40006004 00000001 - 1727910 ns R r1 00000001 - 1727910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1727930 ns MR4_I 0100021c 1c5b6002 - 1727930 ns R r1 80000000 - 1727930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1727950 ns R psr 81000200 - 1727970 ns MR4_I 01000214 6841d006 - 1727990 ns MR4_I 01000218 d1fc07c9 - 1727990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1728070 ns MR4_D 40006004 00000001 - 1728070 ns R r1 00000001 - 1728070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1728090 ns MR4_I 0100021c 1c5b6002 - 1728090 ns R r1 80000000 - 1728090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1728110 ns R psr 81000200 - 1728130 ns MR4_I 01000214 6841d006 - 1728150 ns MR4_I 01000218 d1fc07c9 - 1728150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1728230 ns MR4_D 40006004 00000001 - 1728230 ns R r1 00000001 - 1728230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1728250 ns MR4_I 0100021c 1c5b6002 - 1728250 ns R r1 80000000 - 1728250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1728270 ns R psr 81000200 - 1728290 ns MR4_I 01000214 6841d006 - 1728310 ns MR4_I 01000218 d1fc07c9 - 1728310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1728390 ns MR4_D 40006004 00000001 - 1728390 ns R r1 00000001 - 1728390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1728410 ns MR4_I 0100021c 1c5b6002 - 1728410 ns R r1 80000000 - 1728410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1728430 ns R psr 81000200 - 1728450 ns MR4_I 01000214 6841d006 - 1728470 ns MR4_I 01000218 d1fc07c9 - 1728470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1728550 ns MR4_D 40006004 00000001 - 1728550 ns R r1 00000001 - 1728550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1728570 ns MR4_I 0100021c 1c5b6002 - 1728570 ns R r1 80000000 - 1728570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1728590 ns R psr 81000200 - 1728610 ns MR4_I 01000214 6841d006 - 1728630 ns MR4_I 01000218 d1fc07c9 - 1728630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1728710 ns MR4_D 40006004 00000001 - 1728710 ns R r1 00000001 - 1728710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1728730 ns MR4_I 0100021c 1c5b6002 - 1728730 ns R r1 80000000 - 1728730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1728750 ns R psr 81000200 - 1728770 ns MR4_I 01000214 6841d006 - 1728790 ns MR4_I 01000218 d1fc07c9 - 1728790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1728870 ns MR4_D 40006004 00000001 - 1728870 ns R r1 00000001 - 1728870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1728890 ns MR4_I 0100021c 1c5b6002 - 1728890 ns R r1 80000000 - 1728890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1728910 ns R psr 81000200 - 1728930 ns MR4_I 01000214 6841d006 - 1728950 ns MR4_I 01000218 d1fc07c9 - 1728950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1729030 ns MR4_D 40006004 00000001 - 1729030 ns R r1 00000001 - 1729030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1729050 ns MR4_I 0100021c 1c5b6002 - 1729050 ns R r1 80000000 - 1729050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1729070 ns R psr 81000200 - 1729090 ns MR4_I 01000214 6841d006 - 1729110 ns MR4_I 01000218 d1fc07c9 - 1729110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1729190 ns MR4_D 40006004 00000001 - 1729190 ns R r1 00000001 - 1729190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1729210 ns MR4_I 0100021c 1c5b6002 - 1729210 ns R r1 80000000 - 1729210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1729230 ns R psr 81000200 - 1729250 ns MR4_I 01000214 6841d006 - 1729270 ns MR4_I 01000218 d1fc07c9 - 1729270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1729350 ns MR4_D 40006004 00000001 - 1729350 ns R r1 00000001 - 1729350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1729370 ns MR4_I 0100021c 1c5b6002 - 1729370 ns R r1 80000000 - 1729370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1729390 ns R psr 81000200 - 1729410 ns MR4_I 01000214 6841d006 - 1729430 ns MR4_I 01000218 d1fc07c9 - 1729430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1729510 ns MR4_D 40006004 00000001 - 1729510 ns R r1 00000001 - 1729510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1729530 ns MR4_I 0100021c 1c5b6002 - 1729530 ns R r1 80000000 - 1729530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1729550 ns R psr 81000200 - 1729570 ns MR4_I 01000214 6841d006 - 1729590 ns MR4_I 01000218 d1fc07c9 - 1729590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1729670 ns MR4_D 40006004 00000001 - 1729670 ns R r1 00000001 - 1729670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1729690 ns MR4_I 0100021c 1c5b6002 - 1729690 ns R r1 80000000 - 1729690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1729710 ns R psr 81000200 - 1729730 ns MR4_I 01000214 6841d006 - 1729750 ns MR4_I 01000218 d1fc07c9 - 1729750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1729830 ns MR4_D 40006004 00000001 - 1729830 ns R r1 00000001 - 1729830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1729850 ns MR4_I 0100021c 1c5b6002 - 1729850 ns R r1 80000000 - 1729850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1729870 ns R psr 81000200 - 1729890 ns MR4_I 01000214 6841d006 - 1729910 ns MR4_I 01000218 d1fc07c9 - 1729910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1729990 ns MR4_D 40006004 00000001 - 1729990 ns R r1 00000001 - 1729990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1730010 ns MR4_I 0100021c 1c5b6002 - 1730010 ns R r1 80000000 - 1730010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1730030 ns R psr 81000200 - 1730050 ns MR4_I 01000214 6841d006 - 1730070 ns MR4_I 01000218 d1fc07c9 - 1730070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1730150 ns MR4_D 40006004 00000001 - 1730150 ns R r1 00000001 - 1730150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1730170 ns MR4_I 0100021c 1c5b6002 - 1730170 ns R r1 80000000 - 1730170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1730190 ns R psr 81000200 - 1730210 ns MR4_I 01000214 6841d006 - 1730230 ns MR4_I 01000218 d1fc07c9 - 1730230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1730310 ns MR4_D 40006004 00000001 - 1730310 ns R r1 00000001 - 1730310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1730330 ns MR4_I 0100021c 1c5b6002 - 1730330 ns R r1 80000000 - 1730330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1730350 ns R psr 81000200 - 1730370 ns MR4_I 01000214 6841d006 - 1730390 ns MR4_I 01000218 d1fc07c9 - 1730390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1730470 ns MR4_D 40006004 00000001 - 1730470 ns R r1 00000001 - 1730470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1730490 ns MR4_I 0100021c 1c5b6002 - 1730490 ns R r1 80000000 - 1730490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1730510 ns R psr 81000200 - 1730530 ns MR4_I 01000214 6841d006 - 1730550 ns MR4_I 01000218 d1fc07c9 - 1730550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1730630 ns MR4_D 40006004 00000001 - 1730630 ns R r1 00000001 - 1730630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1730650 ns MR4_I 0100021c 1c5b6002 - 1730650 ns R r1 80000000 - 1730650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1730670 ns R psr 81000200 - 1730690 ns MR4_I 01000214 6841d006 - 1730710 ns MR4_I 01000218 d1fc07c9 - 1730710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1730790 ns MR4_D 40006004 00000001 - 1730790 ns R r1 00000001 - 1730790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1730810 ns MR4_I 0100021c 1c5b6002 - 1730810 ns R r1 80000000 - 1730810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1730830 ns R psr 81000200 - 1730850 ns MR4_I 01000214 6841d006 - 1730870 ns MR4_I 01000218 d1fc07c9 - 1730870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1730950 ns MR4_D 40006004 00000001 - 1730950 ns R r1 00000001 - 1730950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1730970 ns MR4_I 0100021c 1c5b6002 - 1730970 ns R r1 80000000 - 1730970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1730990 ns R psr 81000200 - 1731010 ns MR4_I 01000214 6841d006 - 1731030 ns MR4_I 01000218 d1fc07c9 - 1731030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1731110 ns MR4_D 40006004 00000001 - 1731110 ns R r1 00000001 - 1731110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1731130 ns MR4_I 0100021c 1c5b6002 - 1731130 ns R r1 80000000 - 1731130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1731150 ns R psr 81000200 - 1731170 ns MR4_I 01000214 6841d006 - 1731190 ns MR4_I 01000218 d1fc07c9 - 1731190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1731270 ns MR4_D 40006004 00000001 - 1731270 ns R r1 00000001 - 1731270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1731290 ns MR4_I 0100021c 1c5b6002 - 1731290 ns R r1 80000000 - 1731290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1731310 ns R psr 81000200 - 1731330 ns MR4_I 01000214 6841d006 - 1731350 ns MR4_I 01000218 d1fc07c9 - 1731350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1731430 ns MR4_D 40006004 00000001 - 1731430 ns R r1 00000001 - 1731430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1731450 ns MR4_I 0100021c 1c5b6002 - 1731450 ns R r1 80000000 - 1731450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1731470 ns R psr 81000200 - 1731490 ns MR4_I 01000214 6841d006 - 1731510 ns MR4_I 01000218 d1fc07c9 - 1731510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1731590 ns MR4_D 40006004 00000001 - 1731590 ns R r1 00000001 - 1731590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1731610 ns MR4_I 0100021c 1c5b6002 - 1731610 ns R r1 80000000 - 1731610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1731630 ns R psr 81000200 - 1731650 ns MR4_I 01000214 6841d006 - 1731670 ns MR4_I 01000218 d1fc07c9 - 1731670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1731750 ns MR4_D 40006004 00000001 - 1731750 ns R r1 00000001 - 1731750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1731770 ns MR4_I 0100021c 1c5b6002 - 1731770 ns R r1 80000000 - 1731770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1731790 ns R psr 81000200 - 1731810 ns MR4_I 01000214 6841d006 - 1731830 ns MR4_I 01000218 d1fc07c9 - 1731830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1731910 ns MR4_D 40006004 00000001 - 1731910 ns R r1 00000001 - 1731910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1731930 ns MR4_I 0100021c 1c5b6002 - 1731930 ns R r1 80000000 - 1731930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1731950 ns R psr 81000200 - 1731970 ns MR4_I 01000214 6841d006 - 1731990 ns MR4_I 01000218 d1fc07c9 - 1731990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1732070 ns MR4_D 40006004 00000001 - 1732070 ns R r1 00000001 - 1732070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1732090 ns MR4_I 0100021c 1c5b6002 - 1732090 ns R r1 80000000 - 1732090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1732110 ns R psr 81000200 - 1732130 ns MR4_I 01000214 6841d006 - 1732150 ns MR4_I 01000218 d1fc07c9 - 1732150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1732230 ns MR4_D 40006004 00000001 - 1732230 ns R r1 00000001 - 1732230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1732250 ns MR4_I 0100021c 1c5b6002 - 1732250 ns R r1 80000000 - 1732250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1732270 ns R psr 81000200 - 1732290 ns MR4_I 01000214 6841d006 - 1732310 ns MR4_I 01000218 d1fc07c9 - 1732310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1732390 ns MR4_D 40006004 00000001 - 1732390 ns R r1 00000001 - 1732390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1732410 ns MR4_I 0100021c 1c5b6002 - 1732410 ns R r1 80000000 - 1732410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1732430 ns R psr 81000200 - 1732450 ns MR4_I 01000214 6841d006 - 1732470 ns MR4_I 01000218 d1fc07c9 - 1732470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1732550 ns MR4_D 40006004 00000001 - 1732550 ns R r1 00000001 - 1732550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1732570 ns MR4_I 0100021c 1c5b6002 - 1732570 ns R r1 80000000 - 1732570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1732590 ns R psr 81000200 - 1732610 ns MR4_I 01000214 6841d006 - 1732630 ns MR4_I 01000218 d1fc07c9 - 1732630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1732710 ns MR4_D 40006004 00000001 - 1732710 ns R r1 00000001 - 1732710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1732730 ns MR4_I 0100021c 1c5b6002 - 1732730 ns R r1 80000000 - 1732730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1732750 ns R psr 81000200 - 1732770 ns MR4_I 01000214 6841d006 - 1732790 ns MR4_I 01000218 d1fc07c9 - 1732790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1732870 ns MR4_D 40006004 00000001 - 1732870 ns R r1 00000001 - 1732870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1732890 ns MR4_I 0100021c 1c5b6002 - 1732890 ns R r1 80000000 - 1732890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1732910 ns R psr 81000200 - 1732930 ns MR4_I 01000214 6841d006 - 1732950 ns MR4_I 01000218 d1fc07c9 - 1732950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1733030 ns MR4_D 40006004 00000001 - 1733030 ns R r1 00000001 - 1733030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1733050 ns MR4_I 0100021c 1c5b6002 - 1733050 ns R r1 80000000 - 1733050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1733070 ns R psr 81000200 - 1733090 ns MR4_I 01000214 6841d006 - 1733110 ns MR4_I 01000218 d1fc07c9 - 1733110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1733190 ns MR4_D 40006004 00000001 - 1733190 ns R r1 00000001 - 1733190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1733210 ns MR4_I 0100021c 1c5b6002 - 1733210 ns R r1 80000000 - 1733210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1733230 ns R psr 81000200 - 1733250 ns MR4_I 01000214 6841d006 - 1733270 ns MR4_I 01000218 d1fc07c9 - 1733270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1733350 ns MR4_D 40006004 00000001 - 1733350 ns R r1 00000001 - 1733350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1733370 ns MR4_I 0100021c 1c5b6002 - 1733370 ns R r1 80000000 - 1733370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1733390 ns R psr 81000200 - 1733410 ns MR4_I 01000214 6841d006 - 1733430 ns MR4_I 01000218 d1fc07c9 - 1733430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1733510 ns MR4_D 40006004 00000001 - 1733510 ns R r1 00000001 - 1733510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1733530 ns MR4_I 0100021c 1c5b6002 - 1733530 ns R r1 80000000 - 1733530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1733550 ns R psr 81000200 - 1733570 ns MR4_I 01000214 6841d006 - 1733590 ns MR4_I 01000218 d1fc07c9 - 1733590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1733670 ns MR4_D 40006004 00000001 - 1733670 ns R r1 00000001 - 1733670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1733690 ns MR4_I 0100021c 1c5b6002 - 1733690 ns R r1 80000000 - 1733690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1733710 ns R psr 81000200 - 1733730 ns MR4_I 01000214 6841d006 - 1733750 ns MR4_I 01000218 d1fc07c9 - 1733750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1733830 ns MR4_D 40006004 00000001 - 1733830 ns R r1 00000001 - 1733830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1733850 ns MR4_I 0100021c 1c5b6002 - 1733850 ns R r1 80000000 - 1733850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1733870 ns R psr 81000200 - 1733890 ns MR4_I 01000214 6841d006 - 1733910 ns MR4_I 01000218 d1fc07c9 - 1733910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1733990 ns MR4_D 40006004 00000001 - 1733990 ns R r1 00000001 - 1733990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1734010 ns MR4_I 0100021c 1c5b6002 - 1734010 ns R r1 80000000 - 1734010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1734030 ns R psr 81000200 - 1734050 ns MR4_I 01000214 6841d006 - 1734070 ns MR4_I 01000218 d1fc07c9 - 1734070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1734150 ns MR4_D 40006004 00000001 - 1734150 ns R r1 00000001 - 1734150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1734170 ns MR4_I 0100021c 1c5b6002 - 1734170 ns R r1 80000000 - 1734170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1734190 ns R psr 81000200 - 1734210 ns MR4_I 01000214 6841d006 - 1734230 ns MR4_I 01000218 d1fc07c9 - 1734230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1734310 ns MR4_D 40006004 00000001 - 1734310 ns R r1 00000001 - 1734310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1734330 ns MR4_I 0100021c 1c5b6002 - 1734330 ns R r1 80000000 - 1734330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1734350 ns R psr 81000200 - 1734370 ns MR4_I 01000214 6841d006 - 1734390 ns MR4_I 01000218 d1fc07c9 - 1734390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1734470 ns MR4_D 40006004 00000001 - 1734470 ns R r1 00000001 - 1734470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1734490 ns MR4_I 0100021c 1c5b6002 - 1734490 ns R r1 80000000 - 1734490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1734510 ns R psr 81000200 - 1734530 ns MR4_I 01000214 6841d006 - 1734550 ns MR4_I 01000218 d1fc07c9 - 1734550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1734630 ns MR4_D 40006004 00000001 - 1734630 ns R r1 00000001 - 1734630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1734650 ns MR4_I 0100021c 1c5b6002 - 1734650 ns R r1 80000000 - 1734650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1734670 ns R psr 81000200 - 1734690 ns MR4_I 01000214 6841d006 - 1734710 ns MR4_I 01000218 d1fc07c9 - 1734710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1734790 ns MR4_D 40006004 00000001 - 1734790 ns R r1 00000001 - 1734790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1734810 ns MR4_I 0100021c 1c5b6002 - 1734810 ns R r1 80000000 - 1734810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1734830 ns R psr 81000200 - 1734850 ns MR4_I 01000214 6841d006 - 1734870 ns MR4_I 01000218 d1fc07c9 - 1734870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1734950 ns MR4_D 40006004 00000001 - 1734950 ns R r1 00000001 - 1734950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1734970 ns MR4_I 0100021c 1c5b6002 - 1734970 ns R r1 80000000 - 1734970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1734990 ns R psr 81000200 - 1735010 ns MR4_I 01000214 6841d006 - 1735030 ns MR4_I 01000218 d1fc07c9 - 1735030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1735110 ns MR4_D 40006004 00000001 - 1735110 ns R r1 00000001 - 1735110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1735130 ns MR4_I 0100021c 1c5b6002 - 1735130 ns R r1 80000000 - 1735130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1735150 ns R psr 81000200 - 1735170 ns MR4_I 01000214 6841d006 - 1735190 ns MR4_I 01000218 d1fc07c9 - 1735190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1735270 ns MR4_D 40006004 00000001 - 1735270 ns R r1 00000001 - 1735270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1735290 ns MR4_I 0100021c 1c5b6002 - 1735290 ns R r1 80000000 - 1735290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1735310 ns R psr 81000200 - 1735330 ns MR4_I 01000214 6841d006 - 1735350 ns MR4_I 01000218 d1fc07c9 - 1735350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1735430 ns MR4_D 40006004 00000001 - 1735430 ns R r1 00000001 - 1735430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1735450 ns MR4_I 0100021c 1c5b6002 - 1735450 ns R r1 80000000 - 1735450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1735470 ns R psr 81000200 - 1735490 ns MR4_I 01000214 6841d006 - 1735510 ns MR4_I 01000218 d1fc07c9 - 1735510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1735590 ns MR4_D 40006004 00000001 - 1735590 ns R r1 00000001 - 1735590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1735610 ns MR4_I 0100021c 1c5b6002 - 1735610 ns R r1 80000000 - 1735610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1735630 ns R psr 81000200 - 1735650 ns MR4_I 01000214 6841d006 - 1735670 ns MR4_I 01000218 d1fc07c9 - 1735670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1735750 ns MR4_D 40006004 00000001 - 1735750 ns R r1 00000001 - 1735750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1735770 ns MR4_I 0100021c 1c5b6002 - 1735770 ns R r1 80000000 - 1735770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1735790 ns R psr 81000200 - 1735810 ns MR4_I 01000214 6841d006 - 1735830 ns MR4_I 01000218 d1fc07c9 - 1735830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1735910 ns MR4_D 40006004 00000001 - 1735910 ns R r1 00000001 - 1735910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1735930 ns MR4_I 0100021c 1c5b6002 - 1735930 ns R r1 80000000 - 1735930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1735950 ns R psr 81000200 - 1735970 ns MR4_I 01000214 6841d006 - 1735990 ns MR4_I 01000218 d1fc07c9 - 1735990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1736070 ns MR4_D 40006004 00000001 - 1736070 ns R r1 00000001 - 1736070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1736090 ns MR4_I 0100021c 1c5b6002 - 1736090 ns R r1 80000000 - 1736090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1736110 ns R psr 81000200 - 1736130 ns MR4_I 01000214 6841d006 - 1736150 ns MR4_I 01000218 d1fc07c9 - 1736150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1736230 ns MR4_D 40006004 00000001 - 1736230 ns R r1 00000001 - 1736230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1736250 ns MR4_I 0100021c 1c5b6002 - 1736250 ns R r1 80000000 - 1736250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1736270 ns R psr 81000200 - 1736290 ns MR4_I 01000214 6841d006 - 1736310 ns MR4_I 01000218 d1fc07c9 - 1736310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1736390 ns MR4_D 40006004 00000001 - 1736390 ns R r1 00000001 - 1736390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1736410 ns MR4_I 0100021c 1c5b6002 - 1736410 ns R r1 80000000 - 1736410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1736430 ns R psr 81000200 - 1736450 ns MR4_I 01000214 6841d006 - 1736470 ns MR4_I 01000218 d1fc07c9 - 1736470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1736550 ns MR4_D 40006004 00000001 - 1736550 ns R r1 00000001 - 1736550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1736570 ns MR4_I 0100021c 1c5b6002 - 1736570 ns R r1 80000000 - 1736570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1736590 ns R psr 81000200 - 1736610 ns MR4_I 01000214 6841d006 - 1736630 ns MR4_I 01000218 d1fc07c9 - 1736630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1736710 ns MR4_D 40006004 00000001 - 1736710 ns R r1 00000001 - 1736710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1736730 ns MR4_I 0100021c 1c5b6002 - 1736730 ns R r1 80000000 - 1736730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1736750 ns R psr 81000200 - 1736770 ns MR4_I 01000214 6841d006 - 1736790 ns MR4_I 01000218 d1fc07c9 - 1736790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1736870 ns MR4_D 40006004 00000001 - 1736870 ns R r1 00000001 - 1736870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1736890 ns MR4_I 0100021c 1c5b6002 - 1736890 ns R r1 80000000 - 1736890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1736910 ns R psr 81000200 - 1736930 ns MR4_I 01000214 6841d006 - 1736950 ns MR4_I 01000218 d1fc07c9 - 1736950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1737030 ns MR4_D 40006004 00000001 - 1737030 ns R r1 00000001 - 1737030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1737050 ns MR4_I 0100021c 1c5b6002 - 1737050 ns R r1 80000000 - 1737050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1737070 ns R psr 81000200 - 1737090 ns MR4_I 01000214 6841d006 - 1737110 ns MR4_I 01000218 d1fc07c9 - 1737110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1737190 ns MR4_D 40006004 00000001 - 1737190 ns R r1 00000001 - 1737190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1737210 ns MR4_I 0100021c 1c5b6002 - 1737210 ns R r1 80000000 - 1737210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1737230 ns R psr 81000200 - 1737250 ns MR4_I 01000214 6841d006 - 1737270 ns MR4_I 01000218 d1fc07c9 - 1737270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1737350 ns MR4_D 40006004 00000001 - 1737350 ns R r1 00000001 - 1737350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1737370 ns MR4_I 0100021c 1c5b6002 - 1737370 ns R r1 80000000 - 1737370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1737390 ns R psr 81000200 - 1737410 ns MR4_I 01000214 6841d006 - 1737430 ns MR4_I 01000218 d1fc07c9 - 1737430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1737510 ns MR4_D 40006004 00000001 - 1737510 ns R r1 00000001 - 1737510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1737530 ns MR4_I 0100021c 1c5b6002 - 1737530 ns R r1 80000000 - 1737530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1737550 ns R psr 81000200 - 1737570 ns MR4_I 01000214 6841d006 - 1737590 ns MR4_I 01000218 d1fc07c9 - 1737590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1737670 ns MR4_D 40006004 00000001 - 1737670 ns R r1 00000001 - 1737670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1737690 ns MR4_I 0100021c 1c5b6002 - 1737690 ns R r1 80000000 - 1737690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1737710 ns R psr 81000200 - 1737730 ns MR4_I 01000214 6841d006 - 1737750 ns MR4_I 01000218 d1fc07c9 - 1737750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1737830 ns MR4_D 40006004 00000001 - 1737830 ns R r1 00000001 - 1737830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1737850 ns MR4_I 0100021c 1c5b6002 - 1737850 ns R r1 80000000 - 1737850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1737870 ns R psr 81000200 - 1737890 ns MR4_I 01000214 6841d006 - 1737910 ns MR4_I 01000218 d1fc07c9 - 1737910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1737990 ns MR4_D 40006004 00000001 - 1737990 ns R r1 00000001 - 1737990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1738010 ns MR4_I 0100021c 1c5b6002 - 1738010 ns R r1 80000000 - 1738010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1738030 ns R psr 81000200 - 1738050 ns MR4_I 01000214 6841d006 - 1738070 ns MR4_I 01000218 d1fc07c9 - 1738070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1738150 ns MR4_D 40006004 00000001 - 1738150 ns R r1 00000001 - 1738150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1738170 ns MR4_I 0100021c 1c5b6002 - 1738170 ns R r1 80000000 - 1738170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1738190 ns R psr 81000200 - 1738210 ns MR4_I 01000214 6841d006 - 1738230 ns MR4_I 01000218 d1fc07c9 - 1738230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1738310 ns MR4_D 40006004 00000001 - 1738310 ns R r1 00000001 - 1738310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1738330 ns MR4_I 0100021c 1c5b6002 - 1738330 ns R r1 80000000 - 1738330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1738350 ns R psr 81000200 - 1738370 ns MR4_I 01000214 6841d006 - 1738390 ns MR4_I 01000218 d1fc07c9 - 1738390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1738470 ns MR4_D 40006004 00000001 - 1738470 ns R r1 00000001 - 1738470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1738490 ns MR4_I 0100021c 1c5b6002 - 1738490 ns R r1 80000000 - 1738490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1738510 ns R psr 81000200 - 1738530 ns MR4_I 01000214 6841d006 - 1738550 ns MR4_I 01000218 d1fc07c9 - 1738550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1738630 ns MR4_D 40006004 00000001 - 1738630 ns R r1 00000001 - 1738630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1738650 ns MR4_I 0100021c 1c5b6002 - 1738650 ns R r1 80000000 - 1738650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1738670 ns R psr 81000200 - 1738690 ns MR4_I 01000214 6841d006 - 1738710 ns MR4_I 01000218 d1fc07c9 - 1738710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1738790 ns MR4_D 40006004 00000001 - 1738790 ns R r1 00000001 - 1738790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1738810 ns MR4_I 0100021c 1c5b6002 - 1738810 ns R r1 80000000 - 1738810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1738830 ns R psr 81000200 - 1738850 ns MR4_I 01000214 6841d006 - 1738870 ns MR4_I 01000218 d1fc07c9 - 1738870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1738950 ns MR4_D 40006004 00000001 - 1738950 ns R r1 00000001 - 1738950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1738970 ns MR4_I 0100021c 1c5b6002 - 1738970 ns R r1 80000000 - 1738970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1738990 ns R psr 81000200 - 1739010 ns MR4_I 01000214 6841d006 - 1739030 ns MR4_I 01000218 d1fc07c9 - 1739030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1739110 ns MR4_D 40006004 00000001 - 1739110 ns R r1 00000001 - 1739110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1739130 ns MR4_I 0100021c 1c5b6002 - 1739130 ns R r1 80000000 - 1739130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1739150 ns R psr 81000200 - 1739170 ns MR4_I 01000214 6841d006 - 1739190 ns MR4_I 01000218 d1fc07c9 - 1739190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1739270 ns MR4_D 40006004 00000001 - 1739270 ns R r1 00000001 - 1739270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1739290 ns MR4_I 0100021c 1c5b6002 - 1739290 ns R r1 80000000 - 1739290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1739310 ns R psr 81000200 - 1739330 ns MR4_I 01000214 6841d006 - 1739350 ns MR4_I 01000218 d1fc07c9 - 1739350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1739430 ns MR4_D 40006004 00000001 - 1739430 ns R r1 00000001 - 1739430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1739450 ns MR4_I 0100021c 1c5b6002 - 1739450 ns R r1 80000000 - 1739450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1739470 ns R psr 81000200 - 1739490 ns MR4_I 01000214 6841d006 - 1739510 ns MR4_I 01000218 d1fc07c9 - 1739510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1739590 ns MR4_D 40006004 00000001 - 1739590 ns R r1 00000001 - 1739590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1739610 ns MR4_I 0100021c 1c5b6002 - 1739610 ns R r1 80000000 - 1739610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1739630 ns R psr 81000200 - 1739650 ns MR4_I 01000214 6841d006 - 1739670 ns MR4_I 01000218 d1fc07c9 - 1739670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1739750 ns MR4_D 40006004 00000001 - 1739750 ns R r1 00000001 - 1739750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1739770 ns MR4_I 0100021c 1c5b6002 - 1739770 ns R r1 80000000 - 1739770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1739790 ns R psr 81000200 - 1739810 ns MR4_I 01000214 6841d006 - 1739830 ns MR4_I 01000218 d1fc07c9 - 1739830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1739910 ns MR4_D 40006004 00000001 - 1739910 ns R r1 00000001 - 1739910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1739930 ns MR4_I 0100021c 1c5b6002 - 1739930 ns R r1 80000000 - 1739930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1739950 ns R psr 81000200 - 1739970 ns MR4_I 01000214 6841d006 - 1739990 ns MR4_I 01000218 d1fc07c9 - 1739990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1740070 ns MR4_D 40006004 00000001 - 1740070 ns R r1 00000001 - 1740070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1740090 ns MR4_I 0100021c 1c5b6002 - 1740090 ns R r1 80000000 - 1740090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1740110 ns R psr 81000200 - 1740130 ns MR4_I 01000214 6841d006 - 1740150 ns MR4_I 01000218 d1fc07c9 - 1740150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1740230 ns MR4_D 40006004 00000001 - 1740230 ns R r1 00000001 - 1740230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1740250 ns MR4_I 0100021c 1c5b6002 - 1740250 ns R r1 80000000 - 1740250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1740270 ns R psr 81000200 - 1740290 ns MR4_I 01000214 6841d006 - 1740310 ns MR4_I 01000218 d1fc07c9 - 1740310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1740390 ns MR4_D 40006004 00000001 - 1740390 ns R r1 00000001 - 1740390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1740410 ns MR4_I 0100021c 1c5b6002 - 1740410 ns R r1 80000000 - 1740410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1740430 ns R psr 81000200 - 1740450 ns MR4_I 01000214 6841d006 - 1740470 ns MR4_I 01000218 d1fc07c9 - 1740470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1740550 ns MR4_D 40006004 00000001 - 1740550 ns R r1 00000001 - 1740550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1740570 ns MR4_I 0100021c 1c5b6002 - 1740570 ns R r1 80000000 - 1740570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1740590 ns R psr 81000200 - 1740610 ns MR4_I 01000214 6841d006 - 1740630 ns MR4_I 01000218 d1fc07c9 - 1740630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1740710 ns MR4_D 40006004 00000001 - 1740710 ns R r1 00000001 - 1740710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1740730 ns MR4_I 0100021c 1c5b6002 - 1740730 ns R r1 80000000 - 1740730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1740750 ns R psr 81000200 - 1740770 ns MR4_I 01000214 6841d006 - 1740790 ns MR4_I 01000218 d1fc07c9 - 1740790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1740870 ns MR4_D 40006004 00000001 - 1740870 ns R r1 00000001 - 1740870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1740890 ns MR4_I 0100021c 1c5b6002 - 1740890 ns R r1 80000000 - 1740890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1740910 ns R psr 81000200 - 1740930 ns MR4_I 01000214 6841d006 - 1740950 ns MR4_I 01000218 d1fc07c9 - 1740950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1741030 ns MR4_D 40006004 00000001 - 1741030 ns R r1 00000001 - 1741030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1741050 ns MR4_I 0100021c 1c5b6002 - 1741050 ns R r1 80000000 - 1741050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1741070 ns R psr 81000200 - 1741090 ns MR4_I 01000214 6841d006 - 1741110 ns MR4_I 01000218 d1fc07c9 - 1741110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1741190 ns MR4_D 40006004 00000001 - 1741190 ns R r1 00000001 - 1741190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1741210 ns MR4_I 0100021c 1c5b6002 - 1741210 ns R r1 80000000 - 1741210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1741230 ns R psr 81000200 - 1741250 ns MR4_I 01000214 6841d006 - 1741270 ns MR4_I 01000218 d1fc07c9 - 1741270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1741350 ns MR4_D 40006004 00000001 - 1741350 ns R r1 00000001 - 1741350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1741370 ns MR4_I 0100021c 1c5b6002 - 1741370 ns R r1 80000000 - 1741370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1741390 ns R psr 81000200 - 1741410 ns MR4_I 01000214 6841d006 - 1741430 ns MR4_I 01000218 d1fc07c9 - 1741430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1741510 ns MR4_D 40006004 00000001 - 1741510 ns R r1 00000001 - 1741510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1741530 ns MR4_I 0100021c 1c5b6002 - 1741530 ns R r1 80000000 - 1741530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1741550 ns R psr 81000200 - 1741570 ns MR4_I 01000214 6841d006 - 1741590 ns MR4_I 01000218 d1fc07c9 - 1741590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1741670 ns MR4_D 40006004 00000001 - 1741670 ns R r1 00000001 - 1741670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1741690 ns MR4_I 0100021c 1c5b6002 - 1741690 ns R r1 80000000 - 1741690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1741710 ns R psr 81000200 - 1741730 ns MR4_I 01000214 6841d006 - 1741750 ns MR4_I 01000218 d1fc07c9 - 1741750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1741830 ns MR4_D 40006004 00000001 - 1741830 ns R r1 00000001 - 1741830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1741850 ns MR4_I 0100021c 1c5b6002 - 1741850 ns R r1 80000000 - 1741850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1741870 ns R psr 81000200 - 1741890 ns MR4_I 01000214 6841d006 - 1741910 ns MR4_I 01000218 d1fc07c9 - 1741910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1741990 ns MR4_D 40006004 00000001 - 1741990 ns R r1 00000001 - 1741990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1742010 ns MR4_I 0100021c 1c5b6002 - 1742010 ns R r1 80000000 - 1742010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1742030 ns R psr 81000200 - 1742050 ns MR4_I 01000214 6841d006 - 1742070 ns MR4_I 01000218 d1fc07c9 - 1742070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1742150 ns MR4_D 40006004 00000001 - 1742150 ns R r1 00000001 - 1742150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1742170 ns MR4_I 0100021c 1c5b6002 - 1742170 ns R r1 80000000 - 1742170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1742190 ns R psr 81000200 - 1742210 ns MR4_I 01000214 6841d006 - 1742230 ns MR4_I 01000218 d1fc07c9 - 1742230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1742310 ns MR4_D 40006004 00000001 - 1742310 ns R r1 00000001 - 1742310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1742330 ns MR4_I 0100021c 1c5b6002 - 1742330 ns R r1 80000000 - 1742330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1742350 ns R psr 81000200 - 1742370 ns MR4_I 01000214 6841d006 - 1742390 ns MR4_I 01000218 d1fc07c9 - 1742390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1742470 ns MR4_D 40006004 00000001 - 1742470 ns R r1 00000001 - 1742470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1742490 ns MR4_I 0100021c 1c5b6002 - 1742490 ns R r1 80000000 - 1742490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1742510 ns R psr 81000200 - 1742530 ns MR4_I 01000214 6841d006 - 1742550 ns MR4_I 01000218 d1fc07c9 - 1742550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1742630 ns MR4_D 40006004 00000001 - 1742630 ns R r1 00000001 - 1742630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1742650 ns MR4_I 0100021c 1c5b6002 - 1742650 ns R r1 80000000 - 1742650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1742670 ns R psr 81000200 - 1742690 ns MR4_I 01000214 6841d006 - 1742710 ns MR4_I 01000218 d1fc07c9 - 1742710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1742790 ns MR4_D 40006004 00000001 - 1742790 ns R r1 00000001 - 1742790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1742810 ns MR4_I 0100021c 1c5b6002 - 1742810 ns R r1 80000000 - 1742810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1742830 ns R psr 81000200 - 1742850 ns MR4_I 01000214 6841d006 - 1742870 ns MR4_I 01000218 d1fc07c9 - 1742870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1742950 ns MR4_D 40006004 00000001 - 1742950 ns R r1 00000001 - 1742950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1742970 ns MR4_I 0100021c 1c5b6002 - 1742970 ns R r1 80000000 - 1742970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1742990 ns R psr 81000200 - 1743010 ns MR4_I 01000214 6841d006 - 1743030 ns MR4_I 01000218 d1fc07c9 - 1743030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1743110 ns MR4_D 40006004 00000001 - 1743110 ns R r1 00000001 - 1743110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1743130 ns MR4_I 0100021c 1c5b6002 - 1743130 ns R r1 80000000 - 1743130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1743150 ns R psr 81000200 - 1743170 ns MR4_I 01000214 6841d006 - 1743190 ns MR4_I 01000218 d1fc07c9 - 1743190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1743270 ns MR4_D 40006004 00000001 - 1743270 ns R r1 00000001 - 1743270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1743290 ns MR4_I 0100021c 1c5b6002 - 1743290 ns R r1 80000000 - 1743290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1743310 ns R psr 81000200 - 1743330 ns MR4_I 01000214 6841d006 - 1743350 ns MR4_I 01000218 d1fc07c9 - 1743350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1743430 ns MR4_D 40006004 00000001 - 1743430 ns R r1 00000001 - 1743430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1743450 ns MR4_I 0100021c 1c5b6002 - 1743450 ns R r1 80000000 - 1743450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1743470 ns R psr 81000200 - 1743490 ns MR4_I 01000214 6841d006 - 1743510 ns MR4_I 01000218 d1fc07c9 - 1743510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1743590 ns MR4_D 40006004 00000001 - 1743590 ns R r1 00000001 - 1743590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1743610 ns MR4_I 0100021c 1c5b6002 - 1743610 ns R r1 80000000 - 1743610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1743630 ns R psr 81000200 - 1743650 ns MR4_I 01000214 6841d006 - 1743670 ns MR4_I 01000218 d1fc07c9 - 1743670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1743750 ns MR4_D 40006004 00000001 - 1743750 ns R r1 00000001 - 1743750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1743770 ns MR4_I 0100021c 1c5b6002 - 1743770 ns R r1 80000000 - 1743770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1743790 ns R psr 81000200 - 1743810 ns MR4_I 01000214 6841d006 - 1743830 ns MR4_I 01000218 d1fc07c9 - 1743830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1743910 ns MR4_D 40006004 00000001 - 1743910 ns R r1 00000001 - 1743910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1743930 ns MR4_I 0100021c 1c5b6002 - 1743930 ns R r1 80000000 - 1743930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1743950 ns R psr 81000200 - 1743970 ns MR4_I 01000214 6841d006 - 1743990 ns MR4_I 01000218 d1fc07c9 - 1743990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1744070 ns MR4_D 40006004 00000001 - 1744070 ns R r1 00000001 - 1744070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1744090 ns MR4_I 0100021c 1c5b6002 - 1744090 ns R r1 80000000 - 1744090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1744110 ns R psr 81000200 - 1744130 ns MR4_I 01000214 6841d006 - 1744150 ns MR4_I 01000218 d1fc07c9 - 1744150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1744230 ns MR4_D 40006004 00000001 - 1744230 ns R r1 00000001 - 1744230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1744250 ns MR4_I 0100021c 1c5b6002 - 1744250 ns R r1 80000000 - 1744250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1744270 ns R psr 81000200 - 1744290 ns MR4_I 01000214 6841d006 - 1744310 ns MR4_I 01000218 d1fc07c9 - 1744310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1744390 ns MR4_D 40006004 00000001 - 1744390 ns R r1 00000001 - 1744390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1744410 ns MR4_I 0100021c 1c5b6002 - 1744410 ns R r1 80000000 - 1744410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1744430 ns R psr 81000200 - 1744450 ns MR4_I 01000214 6841d006 - 1744470 ns MR4_I 01000218 d1fc07c9 - 1744470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1744550 ns MR4_D 40006004 00000001 - 1744550 ns R r1 00000001 - 1744550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1744570 ns MR4_I 0100021c 1c5b6002 - 1744570 ns R r1 80000000 - 1744570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1744590 ns R psr 81000200 - 1744610 ns MR4_I 01000214 6841d006 - 1744630 ns MR4_I 01000218 d1fc07c9 - 1744630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1744710 ns MR4_D 40006004 00000001 - 1744710 ns R r1 00000001 - 1744710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1744730 ns MR4_I 0100021c 1c5b6002 - 1744730 ns R r1 80000000 - 1744730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1744750 ns R psr 81000200 - 1744770 ns MR4_I 01000214 6841d006 - 1744790 ns MR4_I 01000218 d1fc07c9 - 1744790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1744870 ns MR4_D 40006004 00000001 - 1744870 ns R r1 00000001 - 1744870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1744890 ns MR4_I 0100021c 1c5b6002 - 1744890 ns R r1 80000000 - 1744890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1744910 ns R psr 81000200 - 1744930 ns MR4_I 01000214 6841d006 - 1744950 ns MR4_I 01000218 d1fc07c9 - 1744950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1745030 ns MR4_D 40006004 00000001 - 1745030 ns R r1 00000001 - 1745030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1745050 ns MR4_I 0100021c 1c5b6002 - 1745050 ns R r1 80000000 - 1745050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1745070 ns R psr 81000200 - 1745090 ns MR4_I 01000214 6841d006 - 1745110 ns MR4_I 01000218 d1fc07c9 - 1745110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1745190 ns MR4_D 40006004 00000001 - 1745190 ns R r1 00000001 - 1745190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1745210 ns MR4_I 0100021c 1c5b6002 - 1745210 ns R r1 80000000 - 1745210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1745230 ns R psr 81000200 - 1745250 ns MR4_I 01000214 6841d006 - 1745270 ns MR4_I 01000218 d1fc07c9 - 1745270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1745350 ns MR4_D 40006004 00000001 - 1745350 ns R r1 00000001 - 1745350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1745370 ns MR4_I 0100021c 1c5b6002 - 1745370 ns R r1 80000000 - 1745370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1745390 ns R psr 81000200 - 1745410 ns MR4_I 01000214 6841d006 - 1745430 ns MR4_I 01000218 d1fc07c9 - 1745430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1745510 ns MR4_D 40006004 00000001 - 1745510 ns R r1 00000001 - 1745510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1745530 ns MR4_I 0100021c 1c5b6002 - 1745530 ns R r1 80000000 - 1745530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1745550 ns R psr 81000200 - 1745570 ns MR4_I 01000214 6841d006 - 1745590 ns MR4_I 01000218 d1fc07c9 - 1745590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1745670 ns MR4_D 40006004 00000001 - 1745670 ns R r1 00000001 - 1745670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1745690 ns MR4_I 0100021c 1c5b6002 - 1745690 ns R r1 80000000 - 1745690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1745710 ns R psr 81000200 - 1745730 ns MR4_I 01000214 6841d006 - 1745750 ns MR4_I 01000218 d1fc07c9 - 1745750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1745830 ns MR4_D 40006004 00000001 - 1745830 ns R r1 00000001 - 1745830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1745850 ns MR4_I 0100021c 1c5b6002 - 1745850 ns R r1 80000000 - 1745850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1745870 ns R psr 81000200 - 1745890 ns MR4_I 01000214 6841d006 - 1745910 ns MR4_I 01000218 d1fc07c9 - 1745910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1745990 ns MR4_D 40006004 00000001 - 1745990 ns R r1 00000001 - 1745990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1746010 ns MR4_I 0100021c 1c5b6002 - 1746010 ns R r1 80000000 - 1746010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1746030 ns R psr 81000200 - 1746050 ns MR4_I 01000214 6841d006 - 1746070 ns MR4_I 01000218 d1fc07c9 - 1746070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1746150 ns MR4_D 40006004 00000001 - 1746150 ns R r1 00000001 - 1746150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1746170 ns MR4_I 0100021c 1c5b6002 - 1746170 ns R r1 80000000 - 1746170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1746190 ns R psr 81000200 - 1746210 ns MR4_I 01000214 6841d006 - 1746230 ns MR4_I 01000218 d1fc07c9 - 1746230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1746310 ns MR4_D 40006004 00000001 - 1746310 ns R r1 00000001 - 1746310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1746330 ns MR4_I 0100021c 1c5b6002 - 1746330 ns R r1 80000000 - 1746330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1746350 ns R psr 81000200 - 1746370 ns MR4_I 01000214 6841d006 - 1746390 ns MR4_I 01000218 d1fc07c9 - 1746390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1746470 ns MR4_D 40006004 00000001 - 1746470 ns R r1 00000001 - 1746470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1746490 ns MR4_I 0100021c 1c5b6002 - 1746490 ns R r1 80000000 - 1746490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1746510 ns R psr 81000200 - 1746530 ns MR4_I 01000214 6841d006 - 1746550 ns MR4_I 01000218 d1fc07c9 - 1746550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1746630 ns MR4_D 40006004 00000001 - 1746630 ns R r1 00000001 - 1746630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1746650 ns MR4_I 0100021c 1c5b6002 - 1746650 ns R r1 80000000 - 1746650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1746670 ns R psr 81000200 - 1746690 ns MR4_I 01000214 6841d006 - 1746710 ns MR4_I 01000218 d1fc07c9 - 1746710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1746790 ns MR4_D 40006004 00000001 - 1746790 ns R r1 00000001 - 1746790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1746810 ns MR4_I 0100021c 1c5b6002 - 1746810 ns R r1 80000000 - 1746810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1746830 ns R psr 81000200 - 1746850 ns MR4_I 01000214 6841d006 - 1746870 ns MR4_I 01000218 d1fc07c9 - 1746870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1746950 ns MR4_D 40006004 00000001 - 1746950 ns R r1 00000001 - 1746950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1746970 ns MR4_I 0100021c 1c5b6002 - 1746970 ns R r1 80000000 - 1746970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1746990 ns R psr 81000200 - 1747010 ns MR4_I 01000214 6841d006 - 1747030 ns MR4_I 01000218 d1fc07c9 - 1747030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1747110 ns MR4_D 40006004 00000001 - 1747110 ns R r1 00000001 - 1747110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1747130 ns MR4_I 0100021c 1c5b6002 - 1747130 ns R r1 80000000 - 1747130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1747150 ns R psr 81000200 - 1747170 ns MR4_I 01000214 6841d006 - 1747190 ns MR4_I 01000218 d1fc07c9 - 1747190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1747270 ns MR4_D 40006004 00000001 - 1747270 ns R r1 00000001 - 1747270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1747290 ns MR4_I 0100021c 1c5b6002 - 1747290 ns R r1 80000000 - 1747290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1747310 ns R psr 81000200 - 1747330 ns MR4_I 01000214 6841d006 - 1747350 ns MR4_I 01000218 d1fc07c9 - 1747350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1747430 ns MR4_D 40006004 00000001 - 1747430 ns R r1 00000001 - 1747430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1747450 ns MR4_I 0100021c 1c5b6002 - 1747450 ns R r1 80000000 - 1747450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1747470 ns R psr 81000200 - 1747490 ns MR4_I 01000214 6841d006 - 1747510 ns MR4_I 01000218 d1fc07c9 - 1747510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1747590 ns MR4_D 40006004 00000001 - 1747590 ns R r1 00000001 - 1747590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1747610 ns MR4_I 0100021c 1c5b6002 - 1747610 ns R r1 80000000 - 1747610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1747630 ns R psr 81000200 - 1747650 ns MR4_I 01000214 6841d006 - 1747670 ns MR4_I 01000218 d1fc07c9 - 1747670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1747750 ns MR4_D 40006004 00000001 - 1747750 ns R r1 00000001 - 1747750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1747770 ns MR4_I 0100021c 1c5b6002 - 1747770 ns R r1 80000000 - 1747770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1747790 ns R psr 81000200 - 1747810 ns MR4_I 01000214 6841d006 - 1747830 ns MR4_I 01000218 d1fc07c9 - 1747830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1747910 ns MR4_D 40006004 00000001 - 1747910 ns R r1 00000001 - 1747910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1747930 ns MR4_I 0100021c 1c5b6002 - 1747930 ns R r1 80000000 - 1747930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1747950 ns R psr 81000200 - 1747970 ns MR4_I 01000214 6841d006 - 1747990 ns MR4_I 01000218 d1fc07c9 - 1747990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1748070 ns MR4_D 40006004 00000001 - 1748070 ns R r1 00000001 - 1748070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1748090 ns MR4_I 0100021c 1c5b6002 - 1748090 ns R r1 80000000 - 1748090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1748110 ns R psr 81000200 - 1748130 ns MR4_I 01000214 6841d006 - 1748150 ns MR4_I 01000218 d1fc07c9 - 1748150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1748230 ns MR4_D 40006004 00000001 - 1748230 ns R r1 00000001 - 1748230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1748250 ns MR4_I 0100021c 1c5b6002 - 1748250 ns R r1 80000000 - 1748250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1748270 ns R psr 81000200 - 1748290 ns MR4_I 01000214 6841d006 - 1748310 ns MR4_I 01000218 d1fc07c9 - 1748310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1748390 ns MR4_D 40006004 00000001 - 1748390 ns R r1 00000001 - 1748390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1748410 ns MR4_I 0100021c 1c5b6002 - 1748410 ns R r1 80000000 - 1748410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1748430 ns R psr 81000200 - 1748450 ns MR4_I 01000214 6841d006 - 1748470 ns MR4_I 01000218 d1fc07c9 - 1748470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1748550 ns MR4_D 40006004 00000001 - 1748550 ns R r1 00000001 - 1748550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1748570 ns MR4_I 0100021c 1c5b6002 - 1748570 ns R r1 80000000 - 1748570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1748590 ns R psr 81000200 - 1748610 ns MR4_I 01000214 6841d006 - 1748630 ns MR4_I 01000218 d1fc07c9 - 1748630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1748710 ns MR4_D 40006004 00000001 - 1748710 ns R r1 00000001 - 1748710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1748730 ns MR4_I 0100021c 1c5b6002 - 1748730 ns R r1 80000000 - 1748730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1748750 ns R psr 81000200 - 1748770 ns MR4_I 01000214 6841d006 - 1748790 ns MR4_I 01000218 d1fc07c9 - 1748790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1748870 ns MR4_D 40006004 00000001 - 1748870 ns R r1 00000001 - 1748870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1748890 ns MR4_I 0100021c 1c5b6002 - 1748890 ns R r1 80000000 - 1748890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1748910 ns R psr 81000200 - 1748930 ns MR4_I 01000214 6841d006 - 1748950 ns MR4_I 01000218 d1fc07c9 - 1748950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1749030 ns MR4_D 40006004 00000001 - 1749030 ns R r1 00000001 - 1749030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1749050 ns MR4_I 0100021c 1c5b6002 - 1749050 ns R r1 80000000 - 1749050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1749070 ns R psr 81000200 - 1749090 ns MR4_I 01000214 6841d006 - 1749110 ns MR4_I 01000218 d1fc07c9 - 1749110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1749190 ns MR4_D 40006004 00000001 - 1749190 ns R r1 00000001 - 1749190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1749210 ns MR4_I 0100021c 1c5b6002 - 1749210 ns R r1 80000000 - 1749210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1749230 ns R psr 81000200 - 1749250 ns MR4_I 01000214 6841d006 - 1749270 ns MR4_I 01000218 d1fc07c9 - 1749270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1749350 ns MR4_D 40006004 00000001 - 1749350 ns R r1 00000001 - 1749350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1749370 ns MR4_I 0100021c 1c5b6002 - 1749370 ns R r1 80000000 - 1749370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1749390 ns R psr 81000200 - 1749410 ns MR4_I 01000214 6841d006 - 1749430 ns MR4_I 01000218 d1fc07c9 - 1749430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1749510 ns MR4_D 40006004 00000001 - 1749510 ns R r1 00000001 - 1749510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1749530 ns MR4_I 0100021c 1c5b6002 - 1749530 ns R r1 80000000 - 1749530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1749550 ns R psr 81000200 - 1749570 ns MR4_I 01000214 6841d006 - 1749590 ns MR4_I 01000218 d1fc07c9 - 1749590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1749670 ns MR4_D 40006004 00000001 - 1749670 ns R r1 00000001 - 1749670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1749690 ns MR4_I 0100021c 1c5b6002 - 1749690 ns R r1 80000000 - 1749690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1749710 ns R psr 81000200 - 1749730 ns MR4_I 01000214 6841d006 - 1749750 ns MR4_I 01000218 d1fc07c9 - 1749750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1749830 ns MR4_D 40006004 00000001 - 1749830 ns R r1 00000001 - 1749830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1749850 ns MR4_I 0100021c 1c5b6002 - 1749850 ns R r1 80000000 - 1749850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1749870 ns R psr 81000200 - 1749890 ns MR4_I 01000214 6841d006 - 1749910 ns MR4_I 01000218 d1fc07c9 - 1749910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1749990 ns MR4_D 40006004 00000001 - 1749990 ns R r1 00000001 - 1749990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1750010 ns MR4_I 0100021c 1c5b6002 - 1750010 ns R r1 80000000 - 1750010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1750030 ns R psr 81000200 - 1750050 ns MR4_I 01000214 6841d006 - 1750070 ns MR4_I 01000218 d1fc07c9 - 1750070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1750150 ns MR4_D 40006004 00000001 - 1750150 ns R r1 00000001 - 1750150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1750170 ns MR4_I 0100021c 1c5b6002 - 1750170 ns R r1 80000000 - 1750170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1750190 ns R psr 81000200 - 1750210 ns MR4_I 01000214 6841d006 - 1750230 ns MR4_I 01000218 d1fc07c9 - 1750230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1750310 ns MR4_D 40006004 00000001 - 1750310 ns R r1 00000001 - 1750310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1750330 ns MR4_I 0100021c 1c5b6002 - 1750330 ns R r1 80000000 - 1750330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1750350 ns R psr 81000200 - 1750370 ns MR4_I 01000214 6841d006 - 1750390 ns MR4_I 01000218 d1fc07c9 - 1750390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1750470 ns MR4_D 40006004 00000001 - 1750470 ns R r1 00000001 - 1750470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1750490 ns MR4_I 0100021c 1c5b6002 - 1750490 ns R r1 80000000 - 1750490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1750510 ns R psr 81000200 - 1750530 ns MR4_I 01000214 6841d006 - 1750550 ns MR4_I 01000218 d1fc07c9 - 1750550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1750630 ns MR4_D 40006004 00000001 - 1750630 ns R r1 00000001 - 1750630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1750650 ns MR4_I 0100021c 1c5b6002 - 1750650 ns R r1 80000000 - 1750650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1750670 ns R psr 81000200 - 1750690 ns MR4_I 01000214 6841d006 - 1750710 ns MR4_I 01000218 d1fc07c9 - 1750710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1750790 ns MR4_D 40006004 00000001 - 1750790 ns R r1 00000001 - 1750790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1750810 ns MR4_I 0100021c 1c5b6002 - 1750810 ns R r1 80000000 - 1750810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1750830 ns R psr 81000200 - 1750850 ns MR4_I 01000214 6841d006 - 1750870 ns MR4_I 01000218 d1fc07c9 - 1750870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1750950 ns MR4_D 40006004 00000001 - 1750950 ns R r1 00000001 - 1750950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1750970 ns MR4_I 0100021c 1c5b6002 - 1750970 ns R r1 80000000 - 1750970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1750990 ns R psr 81000200 - 1751010 ns MR4_I 01000214 6841d006 - 1751030 ns MR4_I 01000218 d1fc07c9 - 1751030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1751110 ns MR4_D 40006004 00000001 - 1751110 ns R r1 00000001 - 1751110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1751130 ns MR4_I 0100021c 1c5b6002 - 1751130 ns R r1 80000000 - 1751130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1751150 ns R psr 81000200 - 1751170 ns MR4_I 01000214 6841d006 - 1751190 ns MR4_I 01000218 d1fc07c9 - 1751190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1751270 ns MR4_D 40006004 00000001 - 1751270 ns R r1 00000001 - 1751270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1751290 ns MR4_I 0100021c 1c5b6002 - 1751290 ns R r1 80000000 - 1751290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1751310 ns R psr 81000200 - 1751330 ns MR4_I 01000214 6841d006 - 1751350 ns MR4_I 01000218 d1fc07c9 - 1751350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1751430 ns MR4_D 40006004 00000001 - 1751430 ns R r1 00000001 - 1751430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1751450 ns MR4_I 0100021c 1c5b6002 - 1751450 ns R r1 80000000 - 1751450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1751470 ns R psr 81000200 - 1751490 ns MR4_I 01000214 6841d006 - 1751510 ns MR4_I 01000218 d1fc07c9 - 1751510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1751590 ns MR4_D 40006004 00000001 - 1751590 ns R r1 00000001 - 1751590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1751610 ns MR4_I 0100021c 1c5b6002 - 1751610 ns R r1 80000000 - 1751610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1751630 ns R psr 81000200 - 1751650 ns MR4_I 01000214 6841d006 - 1751670 ns MR4_I 01000218 d1fc07c9 - 1751670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1751750 ns MR4_D 40006004 00000001 - 1751750 ns R r1 00000001 - 1751750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1751770 ns MR4_I 0100021c 1c5b6002 - 1751770 ns R r1 80000000 - 1751770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1751790 ns R psr 81000200 - 1751810 ns MR4_I 01000214 6841d006 - 1751830 ns MR4_I 01000218 d1fc07c9 - 1751830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1751910 ns MR4_D 40006004 00000001 - 1751910 ns R r1 00000001 - 1751910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1751930 ns MR4_I 0100021c 1c5b6002 - 1751930 ns R r1 80000000 - 1751930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1751950 ns R psr 81000200 - 1751970 ns MR4_I 01000214 6841d006 - 1751990 ns MR4_I 01000218 d1fc07c9 - 1751990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1752070 ns MR4_D 40006004 00000001 - 1752070 ns R r1 00000001 - 1752070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1752090 ns MR4_I 0100021c 1c5b6002 - 1752090 ns R r1 80000000 - 1752090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1752110 ns R psr 81000200 - 1752130 ns MR4_I 01000214 6841d006 - 1752150 ns MR4_I 01000218 d1fc07c9 - 1752150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1752230 ns MR4_D 40006004 00000001 - 1752230 ns R r1 00000001 - 1752230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1752250 ns MR4_I 0100021c 1c5b6002 - 1752250 ns R r1 80000000 - 1752250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1752270 ns R psr 81000200 - 1752290 ns MR4_I 01000214 6841d006 - 1752310 ns MR4_I 01000218 d1fc07c9 - 1752310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1752390 ns MR4_D 40006004 00000001 - 1752390 ns R r1 00000001 - 1752390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1752410 ns MR4_I 0100021c 1c5b6002 - 1752410 ns R r1 80000000 - 1752410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1752430 ns R psr 81000200 - 1752450 ns MR4_I 01000214 6841d006 - 1752470 ns MR4_I 01000218 d1fc07c9 - 1752470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1752550 ns MR4_D 40006004 00000001 - 1752550 ns R r1 00000001 - 1752550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1752570 ns MR4_I 0100021c 1c5b6002 - 1752570 ns R r1 80000000 - 1752570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1752590 ns R psr 81000200 - 1752610 ns MR4_I 01000214 6841d006 - 1752630 ns MR4_I 01000218 d1fc07c9 - 1752630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1752710 ns MR4_D 40006004 00000001 - 1752710 ns R r1 00000001 - 1752710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1752730 ns MR4_I 0100021c 1c5b6002 - 1752730 ns R r1 80000000 - 1752730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1752750 ns R psr 81000200 - 1752770 ns MR4_I 01000214 6841d006 - 1752790 ns MR4_I 01000218 d1fc07c9 - 1752790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1752870 ns MR4_D 40006004 00000001 - 1752870 ns R r1 00000001 - 1752870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1752890 ns MR4_I 0100021c 1c5b6002 - 1752890 ns R r1 80000000 - 1752890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1752910 ns R psr 81000200 - 1752930 ns MR4_I 01000214 6841d006 - 1752950 ns MR4_I 01000218 d1fc07c9 - 1752950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1753030 ns MR4_D 40006004 00000001 - 1753030 ns R r1 00000001 - 1753030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1753050 ns MR4_I 0100021c 1c5b6002 - 1753050 ns R r1 80000000 - 1753050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1753070 ns R psr 81000200 - 1753090 ns MR4_I 01000214 6841d006 - 1753110 ns MR4_I 01000218 d1fc07c9 - 1753110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1753190 ns MR4_D 40006004 00000001 - 1753190 ns R r1 00000001 - 1753190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1753210 ns MR4_I 0100021c 1c5b6002 - 1753210 ns R r1 80000000 - 1753210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1753230 ns R psr 81000200 - 1753250 ns MR4_I 01000214 6841d006 - 1753270 ns MR4_I 01000218 d1fc07c9 - 1753270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1753350 ns MR4_D 40006004 00000001 - 1753350 ns R r1 00000001 - 1753350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1753370 ns MR4_I 0100021c 1c5b6002 - 1753370 ns R r1 80000000 - 1753370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1753390 ns R psr 81000200 - 1753410 ns MR4_I 01000214 6841d006 - 1753430 ns MR4_I 01000218 d1fc07c9 - 1753430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1753510 ns MR4_D 40006004 00000001 - 1753510 ns R r1 00000001 - 1753510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1753530 ns MR4_I 0100021c 1c5b6002 - 1753530 ns R r1 80000000 - 1753530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1753550 ns R psr 81000200 - 1753570 ns MR4_I 01000214 6841d006 - 1753590 ns MR4_I 01000218 d1fc07c9 - 1753590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1753670 ns MR4_D 40006004 00000001 - 1753670 ns R r1 00000001 - 1753670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1753690 ns MR4_I 0100021c 1c5b6002 - 1753690 ns R r1 80000000 - 1753690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1753710 ns R psr 81000200 - 1753730 ns MR4_I 01000214 6841d006 - 1753750 ns MR4_I 01000218 d1fc07c9 - 1753750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1753830 ns MR4_D 40006004 00000001 - 1753830 ns R r1 00000001 - 1753830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1753850 ns MR4_I 0100021c 1c5b6002 - 1753850 ns R r1 80000000 - 1753850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1753870 ns R psr 81000200 - 1753890 ns MR4_I 01000214 6841d006 - 1753910 ns MR4_I 01000218 d1fc07c9 - 1753910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1753990 ns MR4_D 40006004 00000001 - 1753990 ns R r1 00000001 - 1753990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1754010 ns MR4_I 0100021c 1c5b6002 - 1754010 ns R r1 80000000 - 1754010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1754030 ns R psr 81000200 - 1754050 ns MR4_I 01000214 6841d006 - 1754070 ns MR4_I 01000218 d1fc07c9 - 1754070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1754150 ns MR4_D 40006004 00000001 - 1754150 ns R r1 00000001 - 1754150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1754170 ns MR4_I 0100021c 1c5b6002 - 1754170 ns R r1 80000000 - 1754170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1754190 ns R psr 81000200 - 1754210 ns MR4_I 01000214 6841d006 - 1754230 ns MR4_I 01000218 d1fc07c9 - 1754230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1754310 ns MR4_D 40006004 00000001 - 1754310 ns R r1 00000001 - 1754310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1754330 ns MR4_I 0100021c 1c5b6002 - 1754330 ns R r1 80000000 - 1754330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1754350 ns R psr 81000200 - 1754370 ns MR4_I 01000214 6841d006 - 1754390 ns MR4_I 01000218 d1fc07c9 - 1754390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1754470 ns MR4_D 40006004 00000001 - 1754470 ns R r1 00000001 - 1754470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1754490 ns MR4_I 0100021c 1c5b6002 - 1754490 ns R r1 80000000 - 1754490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1754510 ns R psr 81000200 - 1754530 ns MR4_I 01000214 6841d006 - 1754550 ns MR4_I 01000218 d1fc07c9 - 1754550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1754630 ns MR4_D 40006004 00000001 - 1754630 ns R r1 00000001 - 1754630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1754650 ns MR4_I 0100021c 1c5b6002 - 1754650 ns R r1 80000000 - 1754650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1754670 ns R psr 81000200 - 1754690 ns MR4_I 01000214 6841d006 - 1754710 ns MR4_I 01000218 d1fc07c9 - 1754710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1754790 ns MR4_D 40006004 00000001 - 1754790 ns R r1 00000001 - 1754790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1754810 ns MR4_I 0100021c 1c5b6002 - 1754810 ns R r1 80000000 - 1754810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1754830 ns R psr 81000200 - 1754850 ns MR4_I 01000214 6841d006 - 1754870 ns MR4_I 01000218 d1fc07c9 - 1754870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1754950 ns MR4_D 40006004 00000001 - 1754950 ns R r1 00000001 - 1754950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1754970 ns MR4_I 0100021c 1c5b6002 - 1754970 ns R r1 80000000 - 1754970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1754990 ns R psr 81000200 - 1755010 ns MR4_I 01000214 6841d006 - 1755030 ns MR4_I 01000218 d1fc07c9 - 1755030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1755110 ns MR4_D 40006004 00000001 - 1755110 ns R r1 00000001 - 1755110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1755130 ns MR4_I 0100021c 1c5b6002 - 1755130 ns R r1 80000000 - 1755130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1755150 ns R psr 81000200 - 1755170 ns MR4_I 01000214 6841d006 - 1755190 ns MR4_I 01000218 d1fc07c9 - 1755190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1755270 ns MR4_D 40006004 00000001 - 1755270 ns R r1 00000001 - 1755270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1755290 ns MR4_I 0100021c 1c5b6002 - 1755290 ns R r1 80000000 - 1755290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1755310 ns R psr 81000200 - 1755330 ns MR4_I 01000214 6841d006 - 1755350 ns MR4_I 01000218 d1fc07c9 - 1755350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1755430 ns MR4_D 40006004 00000001 - 1755430 ns R r1 00000001 - 1755430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1755450 ns MR4_I 0100021c 1c5b6002 - 1755450 ns R r1 80000000 - 1755450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1755470 ns R psr 81000200 - 1755490 ns MR4_I 01000214 6841d006 - 1755510 ns MR4_I 01000218 d1fc07c9 - 1755510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1755590 ns MR4_D 40006004 00000001 - 1755590 ns R r1 00000001 - 1755590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1755610 ns MR4_I 0100021c 1c5b6002 - 1755610 ns R r1 80000000 - 1755610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1755630 ns R psr 81000200 - 1755650 ns MR4_I 01000214 6841d006 - 1755670 ns MR4_I 01000218 d1fc07c9 - 1755670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1755750 ns MR4_D 40006004 00000001 - 1755750 ns R r1 00000001 - 1755750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1755770 ns MR4_I 0100021c 1c5b6002 - 1755770 ns R r1 80000000 - 1755770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1755790 ns R psr 81000200 - 1755810 ns MR4_I 01000214 6841d006 - 1755830 ns MR4_I 01000218 d1fc07c9 - 1755830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1755910 ns MR4_D 40006004 00000001 - 1755910 ns R r1 00000001 - 1755910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1755930 ns MR4_I 0100021c 1c5b6002 - 1755930 ns R r1 80000000 - 1755930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1755950 ns R psr 81000200 - 1755970 ns MR4_I 01000214 6841d006 - 1755990 ns MR4_I 01000218 d1fc07c9 - 1755990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1756070 ns MR4_D 40006004 00000001 - 1756070 ns R r1 00000001 - 1756070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1756090 ns MR4_I 0100021c 1c5b6002 - 1756090 ns R r1 80000000 - 1756090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1756110 ns R psr 81000200 - 1756130 ns MR4_I 01000214 6841d006 - 1756150 ns MR4_I 01000218 d1fc07c9 - 1756150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1756230 ns MR4_D 40006004 00000001 - 1756230 ns R r1 00000001 - 1756230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1756250 ns MR4_I 0100021c 1c5b6002 - 1756250 ns R r1 80000000 - 1756250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1756270 ns R psr 81000200 - 1756290 ns MR4_I 01000214 6841d006 - 1756310 ns MR4_I 01000218 d1fc07c9 - 1756310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1756390 ns MR4_D 40006004 00000001 - 1756390 ns R r1 00000001 - 1756390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1756410 ns MR4_I 0100021c 1c5b6002 - 1756410 ns R r1 80000000 - 1756410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1756430 ns R psr 81000200 - 1756450 ns MR4_I 01000214 6841d006 - 1756470 ns MR4_I 01000218 d1fc07c9 - 1756470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1756550 ns MR4_D 40006004 00000001 - 1756550 ns R r1 00000001 - 1756550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1756570 ns MR4_I 0100021c 1c5b6002 - 1756570 ns R r1 80000000 - 1756570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1756590 ns R psr 81000200 - 1756610 ns MR4_I 01000214 6841d006 - 1756630 ns MR4_I 01000218 d1fc07c9 - 1756630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1756710 ns MR4_D 40006004 00000001 - 1756710 ns R r1 00000001 - 1756710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1756730 ns MR4_I 0100021c 1c5b6002 - 1756730 ns R r1 80000000 - 1756730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1756750 ns R psr 81000200 - 1756770 ns MR4_I 01000214 6841d006 - 1756790 ns MR4_I 01000218 d1fc07c9 - 1756790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1756870 ns MR4_D 40006004 00000001 - 1756870 ns R r1 00000001 - 1756870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1756890 ns MR4_I 0100021c 1c5b6002 - 1756890 ns R r1 80000000 - 1756890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1756910 ns R psr 81000200 - 1756930 ns MR4_I 01000214 6841d006 - 1756950 ns MR4_I 01000218 d1fc07c9 - 1756950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1757030 ns MR4_D 40006004 00000001 - 1757030 ns R r1 00000001 - 1757030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1757050 ns MR4_I 0100021c 1c5b6002 - 1757050 ns R r1 80000000 - 1757050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1757070 ns R psr 81000200 - 1757090 ns MR4_I 01000214 6841d006 - 1757110 ns MR4_I 01000218 d1fc07c9 - 1757110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1757190 ns MR4_D 40006004 00000001 - 1757190 ns R r1 00000001 - 1757190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1757210 ns MR4_I 0100021c 1c5b6002 - 1757210 ns R r1 80000000 - 1757210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1757230 ns R psr 81000200 - 1757250 ns MR4_I 01000214 6841d006 - 1757270 ns MR4_I 01000218 d1fc07c9 - 1757270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1757350 ns MR4_D 40006004 00000001 - 1757350 ns R r1 00000001 - 1757350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1757370 ns MR4_I 0100021c 1c5b6002 - 1757370 ns R r1 80000000 - 1757370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1757390 ns R psr 81000200 - 1757410 ns MR4_I 01000214 6841d006 - 1757430 ns MR4_I 01000218 d1fc07c9 - 1757430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1757510 ns MR4_D 40006004 00000001 - 1757510 ns R r1 00000001 - 1757510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1757530 ns MR4_I 0100021c 1c5b6002 - 1757530 ns R r1 80000000 - 1757530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1757550 ns R psr 81000200 - 1757570 ns MR4_I 01000214 6841d006 - 1757590 ns MR4_I 01000218 d1fc07c9 - 1757590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1757670 ns MR4_D 40006004 00000001 - 1757670 ns R r1 00000001 - 1757670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1757690 ns MR4_I 0100021c 1c5b6002 - 1757690 ns R r1 80000000 - 1757690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1757710 ns R psr 81000200 - 1757730 ns MR4_I 01000214 6841d006 - 1757750 ns MR4_I 01000218 d1fc07c9 - 1757750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1757830 ns MR4_D 40006004 00000001 - 1757830 ns R r1 00000001 - 1757830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1757850 ns MR4_I 0100021c 1c5b6002 - 1757850 ns R r1 80000000 - 1757850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1757870 ns R psr 81000200 - 1757890 ns MR4_I 01000214 6841d006 - 1757910 ns MR4_I 01000218 d1fc07c9 - 1757910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1757990 ns MR4_D 40006004 00000001 - 1757990 ns R r1 00000001 - 1757990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1758010 ns MR4_I 0100021c 1c5b6002 - 1758010 ns R r1 80000000 - 1758010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1758030 ns R psr 81000200 - 1758050 ns MR4_I 01000214 6841d006 - 1758070 ns MR4_I 01000218 d1fc07c9 - 1758070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1758150 ns MR4_D 40006004 00000001 - 1758150 ns R r1 00000001 - 1758150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1758170 ns MR4_I 0100021c 1c5b6002 - 1758170 ns R r1 80000000 - 1758170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1758190 ns R psr 81000200 - 1758210 ns MR4_I 01000214 6841d006 - 1758230 ns MR4_I 01000218 d1fc07c9 - 1758230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1758310 ns MR4_D 40006004 00000001 - 1758310 ns R r1 00000001 - 1758310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1758330 ns MR4_I 0100021c 1c5b6002 - 1758330 ns R r1 80000000 - 1758330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1758350 ns R psr 81000200 - 1758370 ns MR4_I 01000214 6841d006 - 1758390 ns MR4_I 01000218 d1fc07c9 - 1758390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1758470 ns MR4_D 40006004 00000001 - 1758470 ns R r1 00000001 - 1758470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1758490 ns MR4_I 0100021c 1c5b6002 - 1758490 ns R r1 80000000 - 1758490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1758510 ns R psr 81000200 - 1758530 ns MR4_I 01000214 6841d006 - 1758550 ns MR4_I 01000218 d1fc07c9 - 1758550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1758630 ns MR4_D 40006004 00000001 - 1758630 ns R r1 00000001 - 1758630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1758650 ns MR4_I 0100021c 1c5b6002 - 1758650 ns R r1 80000000 - 1758650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1758670 ns R psr 81000200 - 1758690 ns MR4_I 01000214 6841d006 - 1758710 ns MR4_I 01000218 d1fc07c9 - 1758710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1758790 ns MR4_D 40006004 00000001 - 1758790 ns R r1 00000001 - 1758790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1758810 ns MR4_I 0100021c 1c5b6002 - 1758810 ns R r1 80000000 - 1758810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1758830 ns R psr 81000200 - 1758850 ns MR4_I 01000214 6841d006 - 1758870 ns MR4_I 01000218 d1fc07c9 - 1758870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1758950 ns MR4_D 40006004 00000001 - 1758950 ns R r1 00000001 - 1758950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1758970 ns MR4_I 0100021c 1c5b6002 - 1758970 ns R r1 80000000 - 1758970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1758990 ns R psr 81000200 - 1759010 ns MR4_I 01000214 6841d006 - 1759030 ns MR4_I 01000218 d1fc07c9 - 1759030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1759110 ns MR4_D 40006004 00000001 - 1759110 ns R r1 00000001 - 1759110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1759130 ns MR4_I 0100021c 1c5b6002 - 1759130 ns R r1 80000000 - 1759130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1759150 ns R psr 81000200 - 1759170 ns MR4_I 01000214 6841d006 - 1759190 ns MR4_I 01000218 d1fc07c9 - 1759190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1759270 ns MR4_D 40006004 00000001 - 1759270 ns R r1 00000001 - 1759270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1759290 ns MR4_I 0100021c 1c5b6002 - 1759290 ns R r1 80000000 - 1759290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1759310 ns R psr 81000200 - 1759330 ns MR4_I 01000214 6841d006 - 1759350 ns MR4_I 01000218 d1fc07c9 - 1759350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1759430 ns MR4_D 40006004 00000001 - 1759430 ns R r1 00000001 - 1759430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1759450 ns MR4_I 0100021c 1c5b6002 - 1759450 ns R r1 80000000 - 1759450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1759470 ns R psr 81000200 - 1759490 ns MR4_I 01000214 6841d006 - 1759510 ns MR4_I 01000218 d1fc07c9 - 1759510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1759590 ns MR4_D 40006004 00000001 - 1759590 ns R r1 00000001 - 1759590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1759610 ns MR4_I 0100021c 1c5b6002 - 1759610 ns R r1 80000000 - 1759610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1759630 ns R psr 81000200 - 1759650 ns MR4_I 01000214 6841d006 - 1759670 ns MR4_I 01000218 d1fc07c9 - 1759670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1759750 ns MR4_D 40006004 00000001 - 1759750 ns R r1 00000001 - 1759750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1759770 ns MR4_I 0100021c 1c5b6002 - 1759770 ns R r1 80000000 - 1759770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1759790 ns R psr 81000200 - 1759810 ns MR4_I 01000214 6841d006 - 1759830 ns MR4_I 01000218 d1fc07c9 - 1759830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1759910 ns MR4_D 40006004 00000001 - 1759910 ns R r1 00000001 - 1759910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1759930 ns MR4_I 0100021c 1c5b6002 - 1759930 ns R r1 80000000 - 1759930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1759950 ns R psr 81000200 - 1759970 ns MR4_I 01000214 6841d006 - 1759990 ns MR4_I 01000218 d1fc07c9 - 1759990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1760070 ns MR4_D 40006004 00000001 - 1760070 ns R r1 00000001 - 1760070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1760090 ns MR4_I 0100021c 1c5b6002 - 1760090 ns R r1 80000000 - 1760090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1760110 ns R psr 81000200 - 1760130 ns MR4_I 01000214 6841d006 - 1760150 ns MR4_I 01000218 d1fc07c9 - 1760150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1760230 ns MR4_D 40006004 00000001 - 1760230 ns R r1 00000001 - 1760230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1760250 ns MR4_I 0100021c 1c5b6002 - 1760250 ns R r1 80000000 - 1760250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1760270 ns R psr 81000200 - 1760290 ns MR4_I 01000214 6841d006 - 1760310 ns MR4_I 01000218 d1fc07c9 - 1760310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1760390 ns MR4_D 40006004 00000001 - 1760390 ns R r1 00000001 - 1760390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1760410 ns MR4_I 0100021c 1c5b6002 - 1760410 ns R r1 80000000 - 1760410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1760430 ns R psr 81000200 - 1760450 ns MR4_I 01000214 6841d006 - 1760470 ns MR4_I 01000218 d1fc07c9 - 1760470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1760550 ns MR4_D 40006004 00000001 - 1760550 ns R r1 00000001 - 1760550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1760570 ns MR4_I 0100021c 1c5b6002 - 1760570 ns R r1 80000000 - 1760570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1760590 ns R psr 81000200 - 1760610 ns MR4_I 01000214 6841d006 - 1760630 ns MR4_I 01000218 d1fc07c9 - 1760630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1760710 ns MR4_D 40006004 00000001 - 1760710 ns R r1 00000001 - 1760710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1760730 ns MR4_I 0100021c 1c5b6002 - 1760730 ns R r1 80000000 - 1760730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1760750 ns R psr 81000200 - 1760770 ns MR4_I 01000214 6841d006 - 1760790 ns MR4_I 01000218 d1fc07c9 - 1760790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1760870 ns MR4_D 40006004 00000001 - 1760870 ns R r1 00000001 - 1760870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1760890 ns MR4_I 0100021c 1c5b6002 - 1760890 ns R r1 80000000 - 1760890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1760910 ns R psr 81000200 - 1760930 ns MR4_I 01000214 6841d006 - 1760950 ns MR4_I 01000218 d1fc07c9 - 1760950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1761030 ns MR4_D 40006004 00000001 - 1761030 ns R r1 00000001 - 1761030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1761050 ns MR4_I 0100021c 1c5b6002 - 1761050 ns R r1 80000000 - 1761050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1761070 ns R psr 81000200 - 1761090 ns MR4_I 01000214 6841d006 - 1761110 ns MR4_I 01000218 d1fc07c9 - 1761110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1761190 ns MR4_D 40006004 00000001 - 1761190 ns R r1 00000001 - 1761190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1761210 ns MR4_I 0100021c 1c5b6002 - 1761210 ns R r1 80000000 - 1761210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1761230 ns R psr 81000200 - 1761250 ns MR4_I 01000214 6841d006 - 1761270 ns MR4_I 01000218 d1fc07c9 - 1761270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1761350 ns MR4_D 40006004 00000001 - 1761350 ns R r1 00000001 - 1761350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1761370 ns MR4_I 0100021c 1c5b6002 - 1761370 ns R r1 80000000 - 1761370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1761390 ns R psr 81000200 - 1761410 ns MR4_I 01000214 6841d006 - 1761430 ns MR4_I 01000218 d1fc07c9 - 1761430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1761510 ns MR4_D 40006004 00000001 - 1761510 ns R r1 00000001 - 1761510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1761530 ns MR4_I 0100021c 1c5b6002 - 1761530 ns R r1 80000000 - 1761530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1761550 ns R psr 81000200 - 1761570 ns MR4_I 01000214 6841d006 - 1761590 ns MR4_I 01000218 d1fc07c9 - 1761590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1761670 ns MR4_D 40006004 00000001 - 1761670 ns R r1 00000001 - 1761670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1761690 ns MR4_I 0100021c 1c5b6002 - 1761690 ns R r1 80000000 - 1761690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1761710 ns R psr 81000200 - 1761730 ns MR4_I 01000214 6841d006 - 1761750 ns MR4_I 01000218 d1fc07c9 - 1761750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1761830 ns MR4_D 40006004 00000001 - 1761830 ns R r1 00000001 - 1761830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1761850 ns MR4_I 0100021c 1c5b6002 - 1761850 ns R r1 80000000 - 1761850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1761870 ns R psr 81000200 - 1761890 ns MR4_I 01000214 6841d006 - 1761910 ns MR4_I 01000218 d1fc07c9 - 1761910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1761990 ns MR4_D 40006004 00000001 - 1761990 ns R r1 00000001 - 1761990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1762010 ns MR4_I 0100021c 1c5b6002 - 1762010 ns R r1 80000000 - 1762010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1762030 ns R psr 81000200 - 1762050 ns MR4_I 01000214 6841d006 - 1762070 ns MR4_I 01000218 d1fc07c9 - 1762070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1762150 ns MR4_D 40006004 00000001 - 1762150 ns R r1 00000001 - 1762150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1762170 ns MR4_I 0100021c 1c5b6002 - 1762170 ns R r1 80000000 - 1762170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1762190 ns R psr 81000200 - 1762210 ns MR4_I 01000214 6841d006 - 1762230 ns MR4_I 01000218 d1fc07c9 - 1762230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1762310 ns MR4_D 40006004 00000001 - 1762310 ns R r1 00000001 - 1762310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1762330 ns MR4_I 0100021c 1c5b6002 - 1762330 ns R r1 80000000 - 1762330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1762350 ns R psr 81000200 - 1762370 ns MR4_I 01000214 6841d006 - 1762390 ns MR4_I 01000218 d1fc07c9 - 1762390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1762470 ns MR4_D 40006004 00000001 - 1762470 ns R r1 00000001 - 1762470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1762490 ns MR4_I 0100021c 1c5b6002 - 1762490 ns R r1 80000000 - 1762490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1762510 ns R psr 81000200 - 1762530 ns MR4_I 01000214 6841d006 - 1762550 ns MR4_I 01000218 d1fc07c9 - 1762550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1762630 ns MR4_D 40006004 00000001 - 1762630 ns R r1 00000001 - 1762630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1762650 ns MR4_I 0100021c 1c5b6002 - 1762650 ns R r1 80000000 - 1762650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1762670 ns R psr 81000200 - 1762690 ns MR4_I 01000214 6841d006 - 1762710 ns MR4_I 01000218 d1fc07c9 - 1762710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1762790 ns MR4_D 40006004 00000001 - 1762790 ns R r1 00000001 - 1762790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1762810 ns MR4_I 0100021c 1c5b6002 - 1762810 ns R r1 80000000 - 1762810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1762830 ns R psr 81000200 - 1762850 ns MR4_I 01000214 6841d006 - 1762870 ns MR4_I 01000218 d1fc07c9 - 1762870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1762950 ns MR4_D 40006004 00000001 - 1762950 ns R r1 00000001 - 1762950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1762970 ns MR4_I 0100021c 1c5b6002 - 1762970 ns R r1 80000000 - 1762970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1762990 ns R psr 81000200 - 1763010 ns MR4_I 01000214 6841d006 - 1763030 ns MR4_I 01000218 d1fc07c9 - 1763030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1763110 ns MR4_D 40006004 00000001 - 1763110 ns R r1 00000001 - 1763110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1763130 ns MR4_I 0100021c 1c5b6002 - 1763130 ns R r1 80000000 - 1763130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1763150 ns R psr 81000200 - 1763170 ns MR4_I 01000214 6841d006 - 1763190 ns MR4_I 01000218 d1fc07c9 - 1763190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1763270 ns MR4_D 40006004 00000001 - 1763270 ns R r1 00000001 - 1763270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1763290 ns MR4_I 0100021c 1c5b6002 - 1763290 ns R r1 80000000 - 1763290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1763310 ns R psr 81000200 - 1763330 ns MR4_I 01000214 6841d006 - 1763350 ns MR4_I 01000218 d1fc07c9 - 1763350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1763430 ns MR4_D 40006004 00000001 - 1763430 ns R r1 00000001 - 1763430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1763450 ns MR4_I 0100021c 1c5b6002 - 1763450 ns R r1 80000000 - 1763450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1763470 ns R psr 81000200 - 1763490 ns MR4_I 01000214 6841d006 - 1763510 ns MR4_I 01000218 d1fc07c9 - 1763510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1763590 ns MR4_D 40006004 00000001 - 1763590 ns R r1 00000001 - 1763590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1763610 ns MR4_I 0100021c 1c5b6002 - 1763610 ns R r1 80000000 - 1763610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1763630 ns R psr 81000200 - 1763650 ns MR4_I 01000214 6841d006 - 1763670 ns MR4_I 01000218 d1fc07c9 - 1763670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1763750 ns MR4_D 40006004 00000001 - 1763750 ns R r1 00000001 - 1763750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1763770 ns MR4_I 0100021c 1c5b6002 - 1763770 ns R r1 80000000 - 1763770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1763790 ns R psr 81000200 - 1763810 ns MR4_I 01000214 6841d006 - 1763830 ns MR4_I 01000218 d1fc07c9 - 1763830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1763910 ns MR4_D 40006004 00000000 - 1763910 ns R r1 00000000 - 1763910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1763930 ns MR4_I 0100021c 1c5b6002 - 1763930 ns R r1 00000000 - 1763930 ns IS 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1763950 ns R psr 41000200 - 1763950 ns IT 0100021c 6002 STR r2,[r0,#0] - 1763970 ns MR4_I 01000220 d1f52a00 - 1764030 ns MW4_D 40006000 00000061 - 1764030 ns IT 0100021e 1c5b ADDS r3,r3,#1 - 1764050 ns R r3 010002c3 - 1764050 ns IT 01000220 2a00 CMP r2,#0 - 1764070 ns R psr 01000200 - 1764070 ns MR4_I 01000224 680a4911 - 1764070 ns IT 01000222 d1f5 BNE {pc} - 0x12 ; 0x1000210 - 1764090 ns R psr 21000200 - 1764110 ns MR4_I 01000210 2a00781a - 1764130 ns IT 01000210 781a LDRB r2,[r3,#0] - 1764150 ns MR4_I 01000214 6841d006 - 1764170 ns MR1_D 010002c3 73616c66 - 1764170 ns R r2 00000073 - 1764170 ns IT 01000212 2a00 CMP r2,#0 - 1764190 ns IS 01000214 d006 BEQ {pc} + 0x10 ; 0x1000224 - 1764210 ns R psr 21000200 - 1764210 ns MR4_I 01000218 d1fc07c9 - 1764210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1764290 ns MR4_D 40006004 00000001 - 1764290 ns R r1 00000001 - 1764290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1764310 ns MR4_I 0100021c 1c5b6002 - 1764310 ns R r1 80000000 - 1764310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1764330 ns R psr 81000200 - 1764350 ns MR4_I 01000214 6841d006 - 1764370 ns MR4_I 01000218 d1fc07c9 - 1764370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1764450 ns MR4_D 40006004 00000001 - 1764450 ns R r1 00000001 - 1764450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1764470 ns MR4_I 0100021c 1c5b6002 - 1764470 ns R r1 80000000 - 1764470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1764490 ns R psr 81000200 - 1764510 ns MR4_I 01000214 6841d006 - 1764530 ns MR4_I 01000218 d1fc07c9 - 1764530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1764610 ns MR4_D 40006004 00000001 - 1764610 ns R r1 00000001 - 1764610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1764630 ns MR4_I 0100021c 1c5b6002 - 1764630 ns R r1 80000000 - 1764630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1764650 ns R psr 81000200 - 1764670 ns MR4_I 01000214 6841d006 - 1764690 ns MR4_I 01000218 d1fc07c9 - 1764690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1764770 ns MR4_D 40006004 00000001 - 1764770 ns R r1 00000001 - 1764770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1764790 ns MR4_I 0100021c 1c5b6002 - 1764790 ns R r1 80000000 - 1764790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1764810 ns R psr 81000200 - 1764830 ns MR4_I 01000214 6841d006 - 1764850 ns MR4_I 01000218 d1fc07c9 - 1764850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1764930 ns MR4_D 40006004 00000001 - 1764930 ns R r1 00000001 - 1764930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1764950 ns MR4_I 0100021c 1c5b6002 - 1764950 ns R r1 80000000 - 1764950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1764970 ns R psr 81000200 - 1764990 ns MR4_I 01000214 6841d006 - 1765010 ns MR4_I 01000218 d1fc07c9 - 1765010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1765090 ns MR4_D 40006004 00000001 - 1765090 ns R r1 00000001 - 1765090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1765110 ns MR4_I 0100021c 1c5b6002 - 1765110 ns R r1 80000000 - 1765110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1765130 ns R psr 81000200 - 1765150 ns MR4_I 01000214 6841d006 - 1765170 ns MR4_I 01000218 d1fc07c9 - 1765170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1765250 ns MR4_D 40006004 00000001 - 1765250 ns R r1 00000001 - 1765250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1765270 ns MR4_I 0100021c 1c5b6002 - 1765270 ns R r1 80000000 - 1765270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1765290 ns R psr 81000200 - 1765310 ns MR4_I 01000214 6841d006 - 1765330 ns MR4_I 01000218 d1fc07c9 - 1765330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1765410 ns MR4_D 40006004 00000001 - 1765410 ns R r1 00000001 - 1765410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1765430 ns MR4_I 0100021c 1c5b6002 - 1765430 ns R r1 80000000 - 1765430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1765450 ns R psr 81000200 - 1765470 ns MR4_I 01000214 6841d006 - 1765490 ns MR4_I 01000218 d1fc07c9 - 1765490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1765570 ns MR4_D 40006004 00000001 - 1765570 ns R r1 00000001 - 1765570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1765590 ns MR4_I 0100021c 1c5b6002 - 1765590 ns R r1 80000000 - 1765590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1765610 ns R psr 81000200 - 1765630 ns MR4_I 01000214 6841d006 - 1765650 ns MR4_I 01000218 d1fc07c9 - 1765650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1765730 ns MR4_D 40006004 00000001 - 1765730 ns R r1 00000001 - 1765730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1765750 ns MR4_I 0100021c 1c5b6002 - 1765750 ns R r1 80000000 - 1765750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1765770 ns R psr 81000200 - 1765790 ns MR4_I 01000214 6841d006 - 1765810 ns MR4_I 01000218 d1fc07c9 - 1765810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1765890 ns MR4_D 40006004 00000001 - 1765890 ns R r1 00000001 - 1765890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1765910 ns MR4_I 0100021c 1c5b6002 - 1765910 ns R r1 80000000 - 1765910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1765930 ns R psr 81000200 - 1765950 ns MR4_I 01000214 6841d006 - 1765970 ns MR4_I 01000218 d1fc07c9 - 1765970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1766050 ns MR4_D 40006004 00000001 - 1766050 ns R r1 00000001 - 1766050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1766070 ns MR4_I 0100021c 1c5b6002 - 1766070 ns R r1 80000000 - 1766070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1766090 ns R psr 81000200 - 1766110 ns MR4_I 01000214 6841d006 - 1766130 ns MR4_I 01000218 d1fc07c9 - 1766130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1766210 ns MR4_D 40006004 00000001 - 1766210 ns R r1 00000001 - 1766210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1766230 ns MR4_I 0100021c 1c5b6002 - 1766230 ns R r1 80000000 - 1766230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1766250 ns R psr 81000200 - 1766270 ns MR4_I 01000214 6841d006 - 1766290 ns MR4_I 01000218 d1fc07c9 - 1766290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1766370 ns MR4_D 40006004 00000001 - 1766370 ns R r1 00000001 - 1766370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1766390 ns MR4_I 0100021c 1c5b6002 - 1766390 ns R r1 80000000 - 1766390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1766410 ns R psr 81000200 - 1766430 ns MR4_I 01000214 6841d006 - 1766450 ns MR4_I 01000218 d1fc07c9 - 1766450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1766530 ns MR4_D 40006004 00000001 - 1766530 ns R r1 00000001 - 1766530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1766550 ns MR4_I 0100021c 1c5b6002 - 1766550 ns R r1 80000000 - 1766550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1766570 ns R psr 81000200 - 1766590 ns MR4_I 01000214 6841d006 - 1766610 ns MR4_I 01000218 d1fc07c9 - 1766610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1766690 ns MR4_D 40006004 00000001 - 1766690 ns R r1 00000001 - 1766690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1766710 ns MR4_I 0100021c 1c5b6002 - 1766710 ns R r1 80000000 - 1766710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1766730 ns R psr 81000200 - 1766750 ns MR4_I 01000214 6841d006 - 1766770 ns MR4_I 01000218 d1fc07c9 - 1766770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1766850 ns MR4_D 40006004 00000001 - 1766850 ns R r1 00000001 - 1766850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1766870 ns MR4_I 0100021c 1c5b6002 - 1766870 ns R r1 80000000 - 1766870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1766890 ns R psr 81000200 - 1766910 ns MR4_I 01000214 6841d006 - 1766930 ns MR4_I 01000218 d1fc07c9 - 1766930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1767010 ns MR4_D 40006004 00000001 - 1767010 ns R r1 00000001 - 1767010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1767030 ns MR4_I 0100021c 1c5b6002 - 1767030 ns R r1 80000000 - 1767030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1767050 ns R psr 81000200 - 1767070 ns MR4_I 01000214 6841d006 - 1767090 ns MR4_I 01000218 d1fc07c9 - 1767090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1767170 ns MR4_D 40006004 00000001 - 1767170 ns R r1 00000001 - 1767170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1767190 ns MR4_I 0100021c 1c5b6002 - 1767190 ns R r1 80000000 - 1767190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1767210 ns R psr 81000200 - 1767230 ns MR4_I 01000214 6841d006 - 1767250 ns MR4_I 01000218 d1fc07c9 - 1767250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1767330 ns MR4_D 40006004 00000001 - 1767330 ns R r1 00000001 - 1767330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1767350 ns MR4_I 0100021c 1c5b6002 - 1767350 ns R r1 80000000 - 1767350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1767370 ns R psr 81000200 - 1767390 ns MR4_I 01000214 6841d006 - 1767410 ns MR4_I 01000218 d1fc07c9 - 1767410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1767490 ns MR4_D 40006004 00000001 - 1767490 ns R r1 00000001 - 1767490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1767510 ns MR4_I 0100021c 1c5b6002 - 1767510 ns R r1 80000000 - 1767510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1767530 ns R psr 81000200 - 1767550 ns MR4_I 01000214 6841d006 - 1767570 ns MR4_I 01000218 d1fc07c9 - 1767570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1767650 ns MR4_D 40006004 00000001 - 1767650 ns R r1 00000001 - 1767650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1767670 ns MR4_I 0100021c 1c5b6002 - 1767670 ns R r1 80000000 - 1767670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1767690 ns R psr 81000200 - 1767710 ns MR4_I 01000214 6841d006 - 1767730 ns MR4_I 01000218 d1fc07c9 - 1767730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1767810 ns MR4_D 40006004 00000001 - 1767810 ns R r1 00000001 - 1767810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1767830 ns MR4_I 0100021c 1c5b6002 - 1767830 ns R r1 80000000 - 1767830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1767850 ns R psr 81000200 - 1767870 ns MR4_I 01000214 6841d006 - 1767890 ns MR4_I 01000218 d1fc07c9 - 1767890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1767970 ns MR4_D 40006004 00000001 - 1767970 ns R r1 00000001 - 1767970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1767990 ns MR4_I 0100021c 1c5b6002 - 1767990 ns R r1 80000000 - 1767990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1768010 ns R psr 81000200 - 1768030 ns MR4_I 01000214 6841d006 - 1768050 ns MR4_I 01000218 d1fc07c9 - 1768050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1768130 ns MR4_D 40006004 00000001 - 1768130 ns R r1 00000001 - 1768130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1768150 ns MR4_I 0100021c 1c5b6002 - 1768150 ns R r1 80000000 - 1768150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1768170 ns R psr 81000200 - 1768190 ns MR4_I 01000214 6841d006 - 1768210 ns MR4_I 01000218 d1fc07c9 - 1768210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1768290 ns MR4_D 40006004 00000001 - 1768290 ns R r1 00000001 - 1768290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1768310 ns MR4_I 0100021c 1c5b6002 - 1768310 ns R r1 80000000 - 1768310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1768330 ns R psr 81000200 - 1768350 ns MR4_I 01000214 6841d006 - 1768370 ns MR4_I 01000218 d1fc07c9 - 1768370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1768450 ns MR4_D 40006004 00000001 - 1768450 ns R r1 00000001 - 1768450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1768470 ns MR4_I 0100021c 1c5b6002 - 1768470 ns R r1 80000000 - 1768470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1768490 ns R psr 81000200 - 1768510 ns MR4_I 01000214 6841d006 - 1768530 ns MR4_I 01000218 d1fc07c9 - 1768530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1768610 ns MR4_D 40006004 00000001 - 1768610 ns R r1 00000001 - 1768610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1768630 ns MR4_I 0100021c 1c5b6002 - 1768630 ns R r1 80000000 - 1768630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1768650 ns R psr 81000200 - 1768670 ns MR4_I 01000214 6841d006 - 1768690 ns MR4_I 01000218 d1fc07c9 - 1768690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1768770 ns MR4_D 40006004 00000001 - 1768770 ns R r1 00000001 - 1768770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1768790 ns MR4_I 0100021c 1c5b6002 - 1768790 ns R r1 80000000 - 1768790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1768810 ns R psr 81000200 - 1768830 ns MR4_I 01000214 6841d006 - 1768850 ns MR4_I 01000218 d1fc07c9 - 1768850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1768930 ns MR4_D 40006004 00000001 - 1768930 ns R r1 00000001 - 1768930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1768950 ns MR4_I 0100021c 1c5b6002 - 1768950 ns R r1 80000000 - 1768950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1768970 ns R psr 81000200 - 1768990 ns MR4_I 01000214 6841d006 - 1769010 ns MR4_I 01000218 d1fc07c9 - 1769010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1769090 ns MR4_D 40006004 00000001 - 1769090 ns R r1 00000001 - 1769090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1769110 ns MR4_I 0100021c 1c5b6002 - 1769110 ns R r1 80000000 - 1769110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1769130 ns R psr 81000200 - 1769150 ns MR4_I 01000214 6841d006 - 1769170 ns MR4_I 01000218 d1fc07c9 - 1769170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1769250 ns MR4_D 40006004 00000001 - 1769250 ns R r1 00000001 - 1769250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1769270 ns MR4_I 0100021c 1c5b6002 - 1769270 ns R r1 80000000 - 1769270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1769290 ns R psr 81000200 - 1769310 ns MR4_I 01000214 6841d006 - 1769330 ns MR4_I 01000218 d1fc07c9 - 1769330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1769410 ns MR4_D 40006004 00000001 - 1769410 ns R r1 00000001 - 1769410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1769430 ns MR4_I 0100021c 1c5b6002 - 1769430 ns R r1 80000000 - 1769430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1769450 ns R psr 81000200 - 1769470 ns MR4_I 01000214 6841d006 - 1769490 ns MR4_I 01000218 d1fc07c9 - 1769490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1769570 ns MR4_D 40006004 00000001 - 1769570 ns R r1 00000001 - 1769570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1769590 ns MR4_I 0100021c 1c5b6002 - 1769590 ns R r1 80000000 - 1769590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1769610 ns R psr 81000200 - 1769630 ns MR4_I 01000214 6841d006 - 1769650 ns MR4_I 01000218 d1fc07c9 - 1769650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1769730 ns MR4_D 40006004 00000001 - 1769730 ns R r1 00000001 - 1769730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1769750 ns MR4_I 0100021c 1c5b6002 - 1769750 ns R r1 80000000 - 1769750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1769770 ns R psr 81000200 - 1769790 ns MR4_I 01000214 6841d006 - 1769810 ns MR4_I 01000218 d1fc07c9 - 1769810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1769890 ns MR4_D 40006004 00000001 - 1769890 ns R r1 00000001 - 1769890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1769910 ns MR4_I 0100021c 1c5b6002 - 1769910 ns R r1 80000000 - 1769910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1769930 ns R psr 81000200 - 1769950 ns MR4_I 01000214 6841d006 - 1769970 ns MR4_I 01000218 d1fc07c9 - 1769970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1770050 ns MR4_D 40006004 00000001 - 1770050 ns R r1 00000001 - 1770050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1770070 ns MR4_I 0100021c 1c5b6002 - 1770070 ns R r1 80000000 - 1770070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1770090 ns R psr 81000200 - 1770110 ns MR4_I 01000214 6841d006 - 1770130 ns MR4_I 01000218 d1fc07c9 - 1770130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1770210 ns MR4_D 40006004 00000001 - 1770210 ns R r1 00000001 - 1770210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1770230 ns MR4_I 0100021c 1c5b6002 - 1770230 ns R r1 80000000 - 1770230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1770250 ns R psr 81000200 - 1770270 ns MR4_I 01000214 6841d006 - 1770290 ns MR4_I 01000218 d1fc07c9 - 1770290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1770370 ns MR4_D 40006004 00000001 - 1770370 ns R r1 00000001 - 1770370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1770390 ns MR4_I 0100021c 1c5b6002 - 1770390 ns R r1 80000000 - 1770390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1770410 ns R psr 81000200 - 1770430 ns MR4_I 01000214 6841d006 - 1770450 ns MR4_I 01000218 d1fc07c9 - 1770450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1770530 ns MR4_D 40006004 00000001 - 1770530 ns R r1 00000001 - 1770530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1770550 ns MR4_I 0100021c 1c5b6002 - 1770550 ns R r1 80000000 - 1770550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1770570 ns R psr 81000200 - 1770590 ns MR4_I 01000214 6841d006 - 1770610 ns MR4_I 01000218 d1fc07c9 - 1770610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1770690 ns MR4_D 40006004 00000001 - 1770690 ns R r1 00000001 - 1770690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1770710 ns MR4_I 0100021c 1c5b6002 - 1770710 ns R r1 80000000 - 1770710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1770730 ns R psr 81000200 - 1770750 ns MR4_I 01000214 6841d006 - 1770770 ns MR4_I 01000218 d1fc07c9 - 1770770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1770850 ns MR4_D 40006004 00000001 - 1770850 ns R r1 00000001 - 1770850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1770870 ns MR4_I 0100021c 1c5b6002 - 1770870 ns R r1 80000000 - 1770870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1770890 ns R psr 81000200 - 1770910 ns MR4_I 01000214 6841d006 - 1770930 ns MR4_I 01000218 d1fc07c9 - 1770930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1771010 ns MR4_D 40006004 00000001 - 1771010 ns R r1 00000001 - 1771010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1771030 ns MR4_I 0100021c 1c5b6002 - 1771030 ns R r1 80000000 - 1771030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1771050 ns R psr 81000200 - 1771070 ns MR4_I 01000214 6841d006 - 1771090 ns MR4_I 01000218 d1fc07c9 - 1771090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1771170 ns MR4_D 40006004 00000001 - 1771170 ns R r1 00000001 - 1771170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1771190 ns MR4_I 0100021c 1c5b6002 - 1771190 ns R r1 80000000 - 1771190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1771210 ns R psr 81000200 - 1771230 ns MR4_I 01000214 6841d006 - 1771250 ns MR4_I 01000218 d1fc07c9 - 1771250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1771330 ns MR4_D 40006004 00000001 - 1771330 ns R r1 00000001 - 1771330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1771350 ns MR4_I 0100021c 1c5b6002 - 1771350 ns R r1 80000000 - 1771350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1771370 ns R psr 81000200 - 1771390 ns MR4_I 01000214 6841d006 - 1771410 ns MR4_I 01000218 d1fc07c9 - 1771410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1771490 ns MR4_D 40006004 00000001 - 1771490 ns R r1 00000001 - 1771490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1771510 ns MR4_I 0100021c 1c5b6002 - 1771510 ns R r1 80000000 - 1771510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1771530 ns R psr 81000200 - 1771550 ns MR4_I 01000214 6841d006 - 1771570 ns MR4_I 01000218 d1fc07c9 - 1771570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1771650 ns MR4_D 40006004 00000001 - 1771650 ns R r1 00000001 - 1771650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1771670 ns MR4_I 0100021c 1c5b6002 - 1771670 ns R r1 80000000 - 1771670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1771690 ns R psr 81000200 - 1771710 ns MR4_I 01000214 6841d006 - 1771730 ns MR4_I 01000218 d1fc07c9 - 1771730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1771810 ns MR4_D 40006004 00000001 - 1771810 ns R r1 00000001 - 1771810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1771830 ns MR4_I 0100021c 1c5b6002 - 1771830 ns R r1 80000000 - 1771830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1771850 ns R psr 81000200 - 1771870 ns MR4_I 01000214 6841d006 - 1771890 ns MR4_I 01000218 d1fc07c9 - 1771890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1771970 ns MR4_D 40006004 00000001 - 1771970 ns R r1 00000001 - 1771970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1771990 ns MR4_I 0100021c 1c5b6002 - 1771990 ns R r1 80000000 - 1771990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1772010 ns R psr 81000200 - 1772030 ns MR4_I 01000214 6841d006 - 1772050 ns MR4_I 01000218 d1fc07c9 - 1772050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1772130 ns MR4_D 40006004 00000001 - 1772130 ns R r1 00000001 - 1772130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1772150 ns MR4_I 0100021c 1c5b6002 - 1772150 ns R r1 80000000 - 1772150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1772170 ns R psr 81000200 - 1772190 ns MR4_I 01000214 6841d006 - 1772210 ns MR4_I 01000218 d1fc07c9 - 1772210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1772290 ns MR4_D 40006004 00000001 - 1772290 ns R r1 00000001 - 1772290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1772310 ns MR4_I 0100021c 1c5b6002 - 1772310 ns R r1 80000000 - 1772310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1772330 ns R psr 81000200 - 1772350 ns MR4_I 01000214 6841d006 - 1772370 ns MR4_I 01000218 d1fc07c9 - 1772370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1772450 ns MR4_D 40006004 00000001 - 1772450 ns R r1 00000001 - 1772450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1772470 ns MR4_I 0100021c 1c5b6002 - 1772470 ns R r1 80000000 - 1772470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1772490 ns R psr 81000200 - 1772510 ns MR4_I 01000214 6841d006 - 1772530 ns MR4_I 01000218 d1fc07c9 - 1772530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1772610 ns MR4_D 40006004 00000001 - 1772610 ns R r1 00000001 - 1772610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1772630 ns MR4_I 0100021c 1c5b6002 - 1772630 ns R r1 80000000 - 1772630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1772650 ns R psr 81000200 - 1772670 ns MR4_I 01000214 6841d006 - 1772690 ns MR4_I 01000218 d1fc07c9 - 1772690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1772770 ns MR4_D 40006004 00000001 - 1772770 ns R r1 00000001 - 1772770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1772790 ns MR4_I 0100021c 1c5b6002 - 1772790 ns R r1 80000000 - 1772790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1772810 ns R psr 81000200 - 1772830 ns MR4_I 01000214 6841d006 - 1772850 ns MR4_I 01000218 d1fc07c9 - 1772850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1772930 ns MR4_D 40006004 00000001 - 1772930 ns R r1 00000001 - 1772930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1772950 ns MR4_I 0100021c 1c5b6002 - 1772950 ns R r1 80000000 - 1772950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1772970 ns R psr 81000200 - 1772990 ns MR4_I 01000214 6841d006 - 1773010 ns MR4_I 01000218 d1fc07c9 - 1773010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1773090 ns MR4_D 40006004 00000001 - 1773090 ns R r1 00000001 - 1773090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1773110 ns MR4_I 0100021c 1c5b6002 - 1773110 ns R r1 80000000 - 1773110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1773130 ns R psr 81000200 - 1773150 ns MR4_I 01000214 6841d006 - 1773170 ns MR4_I 01000218 d1fc07c9 - 1773170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1773250 ns MR4_D 40006004 00000001 - 1773250 ns R r1 00000001 - 1773250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1773270 ns MR4_I 0100021c 1c5b6002 - 1773270 ns R r1 80000000 - 1773270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1773290 ns R psr 81000200 - 1773310 ns MR4_I 01000214 6841d006 - 1773330 ns MR4_I 01000218 d1fc07c9 - 1773330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1773410 ns MR4_D 40006004 00000001 - 1773410 ns R r1 00000001 - 1773410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1773430 ns MR4_I 0100021c 1c5b6002 - 1773430 ns R r1 80000000 - 1773430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1773450 ns R psr 81000200 - 1773470 ns MR4_I 01000214 6841d006 - 1773490 ns MR4_I 01000218 d1fc07c9 - 1773490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1773570 ns MR4_D 40006004 00000001 - 1773570 ns R r1 00000001 - 1773570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1773590 ns MR4_I 0100021c 1c5b6002 - 1773590 ns R r1 80000000 - 1773590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1773610 ns R psr 81000200 - 1773630 ns MR4_I 01000214 6841d006 - 1773650 ns MR4_I 01000218 d1fc07c9 - 1773650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1773730 ns MR4_D 40006004 00000001 - 1773730 ns R r1 00000001 - 1773730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1773750 ns MR4_I 0100021c 1c5b6002 - 1773750 ns R r1 80000000 - 1773750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1773770 ns R psr 81000200 - 1773790 ns MR4_I 01000214 6841d006 - 1773810 ns MR4_I 01000218 d1fc07c9 - 1773810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1773890 ns MR4_D 40006004 00000001 - 1773890 ns R r1 00000001 - 1773890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1773910 ns MR4_I 0100021c 1c5b6002 - 1773910 ns R r1 80000000 - 1773910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1773930 ns R psr 81000200 - 1773950 ns MR4_I 01000214 6841d006 - 1773970 ns MR4_I 01000218 d1fc07c9 - 1773970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1774050 ns MR4_D 40006004 00000001 - 1774050 ns R r1 00000001 - 1774050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1774070 ns MR4_I 0100021c 1c5b6002 - 1774070 ns R r1 80000000 - 1774070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1774090 ns R psr 81000200 - 1774110 ns MR4_I 01000214 6841d006 - 1774130 ns MR4_I 01000218 d1fc07c9 - 1774130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1774210 ns MR4_D 40006004 00000001 - 1774210 ns R r1 00000001 - 1774210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1774230 ns MR4_I 0100021c 1c5b6002 - 1774230 ns R r1 80000000 - 1774230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1774250 ns R psr 81000200 - 1774270 ns MR4_I 01000214 6841d006 - 1774290 ns MR4_I 01000218 d1fc07c9 - 1774290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1774370 ns MR4_D 40006004 00000001 - 1774370 ns R r1 00000001 - 1774370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1774390 ns MR4_I 0100021c 1c5b6002 - 1774390 ns R r1 80000000 - 1774390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1774410 ns R psr 81000200 - 1774430 ns MR4_I 01000214 6841d006 - 1774450 ns MR4_I 01000218 d1fc07c9 - 1774450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1774530 ns MR4_D 40006004 00000001 - 1774530 ns R r1 00000001 - 1774530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1774550 ns MR4_I 0100021c 1c5b6002 - 1774550 ns R r1 80000000 - 1774550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1774570 ns R psr 81000200 - 1774590 ns MR4_I 01000214 6841d006 - 1774610 ns MR4_I 01000218 d1fc07c9 - 1774610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1774690 ns MR4_D 40006004 00000001 - 1774690 ns R r1 00000001 - 1774690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1774710 ns MR4_I 0100021c 1c5b6002 - 1774710 ns R r1 80000000 - 1774710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1774730 ns R psr 81000200 - 1774750 ns MR4_I 01000214 6841d006 - 1774770 ns MR4_I 01000218 d1fc07c9 - 1774770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1774850 ns MR4_D 40006004 00000001 - 1774850 ns R r1 00000001 - 1774850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1774870 ns MR4_I 0100021c 1c5b6002 - 1774870 ns R r1 80000000 - 1774870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1774890 ns R psr 81000200 - 1774910 ns MR4_I 01000214 6841d006 - 1774930 ns MR4_I 01000218 d1fc07c9 - 1774930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1775010 ns MR4_D 40006004 00000001 - 1775010 ns R r1 00000001 - 1775010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1775030 ns MR4_I 0100021c 1c5b6002 - 1775030 ns R r1 80000000 - 1775030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1775050 ns R psr 81000200 - 1775070 ns MR4_I 01000214 6841d006 - 1775090 ns MR4_I 01000218 d1fc07c9 - 1775090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1775170 ns MR4_D 40006004 00000001 - 1775170 ns R r1 00000001 - 1775170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1775190 ns MR4_I 0100021c 1c5b6002 - 1775190 ns R r1 80000000 - 1775190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1775210 ns R psr 81000200 - 1775230 ns MR4_I 01000214 6841d006 - 1775250 ns MR4_I 01000218 d1fc07c9 - 1775250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1775330 ns MR4_D 40006004 00000001 - 1775330 ns R r1 00000001 - 1775330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1775350 ns MR4_I 0100021c 1c5b6002 - 1775350 ns R r1 80000000 - 1775350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1775370 ns R psr 81000200 - 1775390 ns MR4_I 01000214 6841d006 - 1775410 ns MR4_I 01000218 d1fc07c9 - 1775410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1775490 ns MR4_D 40006004 00000001 - 1775490 ns R r1 00000001 - 1775490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1775510 ns MR4_I 0100021c 1c5b6002 - 1775510 ns R r1 80000000 - 1775510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1775530 ns R psr 81000200 - 1775550 ns MR4_I 01000214 6841d006 - 1775570 ns MR4_I 01000218 d1fc07c9 - 1775570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1775650 ns MR4_D 40006004 00000001 - 1775650 ns R r1 00000001 - 1775650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1775670 ns MR4_I 0100021c 1c5b6002 - 1775670 ns R r1 80000000 - 1775670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1775690 ns R psr 81000200 - 1775710 ns MR4_I 01000214 6841d006 - 1775730 ns MR4_I 01000218 d1fc07c9 - 1775730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1775810 ns MR4_D 40006004 00000001 - 1775810 ns R r1 00000001 - 1775810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1775830 ns MR4_I 0100021c 1c5b6002 - 1775830 ns R r1 80000000 - 1775830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1775850 ns R psr 81000200 - 1775870 ns MR4_I 01000214 6841d006 - 1775890 ns MR4_I 01000218 d1fc07c9 - 1775890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1775970 ns MR4_D 40006004 00000001 - 1775970 ns R r1 00000001 - 1775970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1775990 ns MR4_I 0100021c 1c5b6002 - 1775990 ns R r1 80000000 - 1775990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1776010 ns R psr 81000200 - 1776030 ns MR4_I 01000214 6841d006 - 1776050 ns MR4_I 01000218 d1fc07c9 - 1776050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1776130 ns MR4_D 40006004 00000001 - 1776130 ns R r1 00000001 - 1776130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1776150 ns MR4_I 0100021c 1c5b6002 - 1776150 ns R r1 80000000 - 1776150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1776170 ns R psr 81000200 - 1776190 ns MR4_I 01000214 6841d006 - 1776210 ns MR4_I 01000218 d1fc07c9 - 1776210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1776290 ns MR4_D 40006004 00000001 - 1776290 ns R r1 00000001 - 1776290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1776310 ns MR4_I 0100021c 1c5b6002 - 1776310 ns R r1 80000000 - 1776310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1776330 ns R psr 81000200 - 1776350 ns MR4_I 01000214 6841d006 - 1776370 ns MR4_I 01000218 d1fc07c9 - 1776370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1776450 ns MR4_D 40006004 00000001 - 1776450 ns R r1 00000001 - 1776450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1776470 ns MR4_I 0100021c 1c5b6002 - 1776470 ns R r1 80000000 - 1776470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1776490 ns R psr 81000200 - 1776510 ns MR4_I 01000214 6841d006 - 1776530 ns MR4_I 01000218 d1fc07c9 - 1776530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1776610 ns MR4_D 40006004 00000001 - 1776610 ns R r1 00000001 - 1776610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1776630 ns MR4_I 0100021c 1c5b6002 - 1776630 ns R r1 80000000 - 1776630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1776650 ns R psr 81000200 - 1776670 ns MR4_I 01000214 6841d006 - 1776690 ns MR4_I 01000218 d1fc07c9 - 1776690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1776770 ns MR4_D 40006004 00000001 - 1776770 ns R r1 00000001 - 1776770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1776790 ns MR4_I 0100021c 1c5b6002 - 1776790 ns R r1 80000000 - 1776790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1776810 ns R psr 81000200 - 1776830 ns MR4_I 01000214 6841d006 - 1776850 ns MR4_I 01000218 d1fc07c9 - 1776850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1776930 ns MR4_D 40006004 00000001 - 1776930 ns R r1 00000001 - 1776930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1776950 ns MR4_I 0100021c 1c5b6002 - 1776950 ns R r1 80000000 - 1776950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1776970 ns R psr 81000200 - 1776990 ns MR4_I 01000214 6841d006 - 1777010 ns MR4_I 01000218 d1fc07c9 - 1777010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1777090 ns MR4_D 40006004 00000001 - 1777090 ns R r1 00000001 - 1777090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1777110 ns MR4_I 0100021c 1c5b6002 - 1777110 ns R r1 80000000 - 1777110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1777130 ns R psr 81000200 - 1777150 ns MR4_I 01000214 6841d006 - 1777170 ns MR4_I 01000218 d1fc07c9 - 1777170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1777250 ns MR4_D 40006004 00000001 - 1777250 ns R r1 00000001 - 1777250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1777270 ns MR4_I 0100021c 1c5b6002 - 1777270 ns R r1 80000000 - 1777270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1777290 ns R psr 81000200 - 1777310 ns MR4_I 01000214 6841d006 - 1777330 ns MR4_I 01000218 d1fc07c9 - 1777330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1777410 ns MR4_D 40006004 00000001 - 1777410 ns R r1 00000001 - 1777410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1777430 ns MR4_I 0100021c 1c5b6002 - 1777430 ns R r1 80000000 - 1777430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1777450 ns R psr 81000200 - 1777470 ns MR4_I 01000214 6841d006 - 1777490 ns MR4_I 01000218 d1fc07c9 - 1777490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1777570 ns MR4_D 40006004 00000001 - 1777570 ns R r1 00000001 - 1777570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1777590 ns MR4_I 0100021c 1c5b6002 - 1777590 ns R r1 80000000 - 1777590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1777610 ns R psr 81000200 - 1777630 ns MR4_I 01000214 6841d006 - 1777650 ns MR4_I 01000218 d1fc07c9 - 1777650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1777730 ns MR4_D 40006004 00000001 - 1777730 ns R r1 00000001 - 1777730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1777750 ns MR4_I 0100021c 1c5b6002 - 1777750 ns R r1 80000000 - 1777750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1777770 ns R psr 81000200 - 1777790 ns MR4_I 01000214 6841d006 - 1777810 ns MR4_I 01000218 d1fc07c9 - 1777810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1777890 ns MR4_D 40006004 00000001 - 1777890 ns R r1 00000001 - 1777890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1777910 ns MR4_I 0100021c 1c5b6002 - 1777910 ns R r1 80000000 - 1777910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1777930 ns R psr 81000200 - 1777950 ns MR4_I 01000214 6841d006 - 1777970 ns MR4_I 01000218 d1fc07c9 - 1777970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1778050 ns MR4_D 40006004 00000001 - 1778050 ns R r1 00000001 - 1778050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1778070 ns MR4_I 0100021c 1c5b6002 - 1778070 ns R r1 80000000 - 1778070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1778090 ns R psr 81000200 - 1778110 ns MR4_I 01000214 6841d006 - 1778130 ns MR4_I 01000218 d1fc07c9 - 1778130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1778210 ns MR4_D 40006004 00000001 - 1778210 ns R r1 00000001 - 1778210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1778230 ns MR4_I 0100021c 1c5b6002 - 1778230 ns R r1 80000000 - 1778230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1778250 ns R psr 81000200 - 1778270 ns MR4_I 01000214 6841d006 - 1778290 ns MR4_I 01000218 d1fc07c9 - 1778290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1778370 ns MR4_D 40006004 00000001 - 1778370 ns R r1 00000001 - 1778370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1778390 ns MR4_I 0100021c 1c5b6002 - 1778390 ns R r1 80000000 - 1778390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1778410 ns R psr 81000200 - 1778430 ns MR4_I 01000214 6841d006 - 1778450 ns MR4_I 01000218 d1fc07c9 - 1778450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1778530 ns MR4_D 40006004 00000001 - 1778530 ns R r1 00000001 - 1778530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1778550 ns MR4_I 0100021c 1c5b6002 - 1778550 ns R r1 80000000 - 1778550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1778570 ns R psr 81000200 - 1778590 ns MR4_I 01000214 6841d006 - 1778610 ns MR4_I 01000218 d1fc07c9 - 1778610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1778690 ns MR4_D 40006004 00000001 - 1778690 ns R r1 00000001 - 1778690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1778710 ns MR4_I 0100021c 1c5b6002 - 1778710 ns R r1 80000000 - 1778710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1778730 ns R psr 81000200 - 1778750 ns MR4_I 01000214 6841d006 - 1778770 ns MR4_I 01000218 d1fc07c9 - 1778770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1778850 ns MR4_D 40006004 00000001 - 1778850 ns R r1 00000001 - 1778850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1778870 ns MR4_I 0100021c 1c5b6002 - 1778870 ns R r1 80000000 - 1778870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1778890 ns R psr 81000200 - 1778910 ns MR4_I 01000214 6841d006 - 1778930 ns MR4_I 01000218 d1fc07c9 - 1778930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1779010 ns MR4_D 40006004 00000001 - 1779010 ns R r1 00000001 - 1779010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1779030 ns MR4_I 0100021c 1c5b6002 - 1779030 ns R r1 80000000 - 1779030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1779050 ns R psr 81000200 - 1779070 ns MR4_I 01000214 6841d006 - 1779090 ns MR4_I 01000218 d1fc07c9 - 1779090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1779170 ns MR4_D 40006004 00000001 - 1779170 ns R r1 00000001 - 1779170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1779190 ns MR4_I 0100021c 1c5b6002 - 1779190 ns R r1 80000000 - 1779190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1779210 ns R psr 81000200 - 1779230 ns MR4_I 01000214 6841d006 - 1779250 ns MR4_I 01000218 d1fc07c9 - 1779250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1779330 ns MR4_D 40006004 00000001 - 1779330 ns R r1 00000001 - 1779330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1779350 ns MR4_I 0100021c 1c5b6002 - 1779350 ns R r1 80000000 - 1779350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1779370 ns R psr 81000200 - 1779390 ns MR4_I 01000214 6841d006 - 1779410 ns MR4_I 01000218 d1fc07c9 - 1779410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1779490 ns MR4_D 40006004 00000001 - 1779490 ns R r1 00000001 - 1779490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1779510 ns MR4_I 0100021c 1c5b6002 - 1779510 ns R r1 80000000 - 1779510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1779530 ns R psr 81000200 - 1779550 ns MR4_I 01000214 6841d006 - 1779570 ns MR4_I 01000218 d1fc07c9 - 1779570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1779650 ns MR4_D 40006004 00000001 - 1779650 ns R r1 00000001 - 1779650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1779670 ns MR4_I 0100021c 1c5b6002 - 1779670 ns R r1 80000000 - 1779670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1779690 ns R psr 81000200 - 1779710 ns MR4_I 01000214 6841d006 - 1779730 ns MR4_I 01000218 d1fc07c9 - 1779730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1779810 ns MR4_D 40006004 00000001 - 1779810 ns R r1 00000001 - 1779810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1779830 ns MR4_I 0100021c 1c5b6002 - 1779830 ns R r1 80000000 - 1779830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1779850 ns R psr 81000200 - 1779870 ns MR4_I 01000214 6841d006 - 1779890 ns MR4_I 01000218 d1fc07c9 - 1779890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1779970 ns MR4_D 40006004 00000001 - 1779970 ns R r1 00000001 - 1779970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1779990 ns MR4_I 0100021c 1c5b6002 - 1779990 ns R r1 80000000 - 1779990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1780010 ns R psr 81000200 - 1780030 ns MR4_I 01000214 6841d006 - 1780050 ns MR4_I 01000218 d1fc07c9 - 1780050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1780130 ns MR4_D 40006004 00000001 - 1780130 ns R r1 00000001 - 1780130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1780150 ns MR4_I 0100021c 1c5b6002 - 1780150 ns R r1 80000000 - 1780150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1780170 ns R psr 81000200 - 1780190 ns MR4_I 01000214 6841d006 - 1780210 ns MR4_I 01000218 d1fc07c9 - 1780210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1780290 ns MR4_D 40006004 00000001 - 1780290 ns R r1 00000001 - 1780290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1780310 ns MR4_I 0100021c 1c5b6002 - 1780310 ns R r1 80000000 - 1780310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1780330 ns R psr 81000200 - 1780350 ns MR4_I 01000214 6841d006 - 1780370 ns MR4_I 01000218 d1fc07c9 - 1780370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1780450 ns MR4_D 40006004 00000001 - 1780450 ns R r1 00000001 - 1780450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1780470 ns MR4_I 0100021c 1c5b6002 - 1780470 ns R r1 80000000 - 1780470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1780490 ns R psr 81000200 - 1780510 ns MR4_I 01000214 6841d006 - 1780530 ns MR4_I 01000218 d1fc07c9 - 1780530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1780610 ns MR4_D 40006004 00000001 - 1780610 ns R r1 00000001 - 1780610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1780630 ns MR4_I 0100021c 1c5b6002 - 1780630 ns R r1 80000000 - 1780630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1780650 ns R psr 81000200 - 1780670 ns MR4_I 01000214 6841d006 - 1780690 ns MR4_I 01000218 d1fc07c9 - 1780690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1780770 ns MR4_D 40006004 00000001 - 1780770 ns R r1 00000001 - 1780770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1780790 ns MR4_I 0100021c 1c5b6002 - 1780790 ns R r1 80000000 - 1780790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1780810 ns R psr 81000200 - 1780830 ns MR4_I 01000214 6841d006 - 1780850 ns MR4_I 01000218 d1fc07c9 - 1780850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1780930 ns MR4_D 40006004 00000001 - 1780930 ns R r1 00000001 - 1780930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1780950 ns MR4_I 0100021c 1c5b6002 - 1780950 ns R r1 80000000 - 1780950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1780970 ns R psr 81000200 - 1780990 ns MR4_I 01000214 6841d006 - 1781010 ns MR4_I 01000218 d1fc07c9 - 1781010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1781090 ns MR4_D 40006004 00000001 - 1781090 ns R r1 00000001 - 1781090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1781110 ns MR4_I 0100021c 1c5b6002 - 1781110 ns R r1 80000000 - 1781110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1781130 ns R psr 81000200 - 1781150 ns MR4_I 01000214 6841d006 - 1781170 ns MR4_I 01000218 d1fc07c9 - 1781170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1781250 ns MR4_D 40006004 00000001 - 1781250 ns R r1 00000001 - 1781250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1781270 ns MR4_I 0100021c 1c5b6002 - 1781270 ns R r1 80000000 - 1781270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1781290 ns R psr 81000200 - 1781310 ns MR4_I 01000214 6841d006 - 1781330 ns MR4_I 01000218 d1fc07c9 - 1781330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1781410 ns MR4_D 40006004 00000001 - 1781410 ns R r1 00000001 - 1781410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1781430 ns MR4_I 0100021c 1c5b6002 - 1781430 ns R r1 80000000 - 1781430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1781450 ns R psr 81000200 - 1781470 ns MR4_I 01000214 6841d006 - 1781490 ns MR4_I 01000218 d1fc07c9 - 1781490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1781570 ns MR4_D 40006004 00000001 - 1781570 ns R r1 00000001 - 1781570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1781590 ns MR4_I 0100021c 1c5b6002 - 1781590 ns R r1 80000000 - 1781590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1781610 ns R psr 81000200 - 1781630 ns MR4_I 01000214 6841d006 - 1781650 ns MR4_I 01000218 d1fc07c9 - 1781650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1781730 ns MR4_D 40006004 00000001 - 1781730 ns R r1 00000001 - 1781730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1781750 ns MR4_I 0100021c 1c5b6002 - 1781750 ns R r1 80000000 - 1781750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1781770 ns R psr 81000200 - 1781790 ns MR4_I 01000214 6841d006 - 1781810 ns MR4_I 01000218 d1fc07c9 - 1781810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1781890 ns MR4_D 40006004 00000001 - 1781890 ns R r1 00000001 - 1781890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1781910 ns MR4_I 0100021c 1c5b6002 - 1781910 ns R r1 80000000 - 1781910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1781930 ns R psr 81000200 - 1781950 ns MR4_I 01000214 6841d006 - 1781970 ns MR4_I 01000218 d1fc07c9 - 1781970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1782050 ns MR4_D 40006004 00000001 - 1782050 ns R r1 00000001 - 1782050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1782070 ns MR4_I 0100021c 1c5b6002 - 1782070 ns R r1 80000000 - 1782070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1782090 ns R psr 81000200 - 1782110 ns MR4_I 01000214 6841d006 - 1782130 ns MR4_I 01000218 d1fc07c9 - 1782130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1782210 ns MR4_D 40006004 00000001 - 1782210 ns R r1 00000001 - 1782210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1782230 ns MR4_I 0100021c 1c5b6002 - 1782230 ns R r1 80000000 - 1782230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1782250 ns R psr 81000200 - 1782270 ns MR4_I 01000214 6841d006 - 1782290 ns MR4_I 01000218 d1fc07c9 - 1782290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1782370 ns MR4_D 40006004 00000001 - 1782370 ns R r1 00000001 - 1782370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1782390 ns MR4_I 0100021c 1c5b6002 - 1782390 ns R r1 80000000 - 1782390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1782410 ns R psr 81000200 - 1782430 ns MR4_I 01000214 6841d006 - 1782450 ns MR4_I 01000218 d1fc07c9 - 1782450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1782530 ns MR4_D 40006004 00000001 - 1782530 ns R r1 00000001 - 1782530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1782550 ns MR4_I 0100021c 1c5b6002 - 1782550 ns R r1 80000000 - 1782550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1782570 ns R psr 81000200 - 1782590 ns MR4_I 01000214 6841d006 - 1782610 ns MR4_I 01000218 d1fc07c9 - 1782610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1782690 ns MR4_D 40006004 00000001 - 1782690 ns R r1 00000001 - 1782690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1782710 ns MR4_I 0100021c 1c5b6002 - 1782710 ns R r1 80000000 - 1782710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1782730 ns R psr 81000200 - 1782750 ns MR4_I 01000214 6841d006 - 1782770 ns MR4_I 01000218 d1fc07c9 - 1782770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1782850 ns MR4_D 40006004 00000001 - 1782850 ns R r1 00000001 - 1782850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1782870 ns MR4_I 0100021c 1c5b6002 - 1782870 ns R r1 80000000 - 1782870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1782890 ns R psr 81000200 - 1782910 ns MR4_I 01000214 6841d006 - 1782930 ns MR4_I 01000218 d1fc07c9 - 1782930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1783010 ns MR4_D 40006004 00000001 - 1783010 ns R r1 00000001 - 1783010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1783030 ns MR4_I 0100021c 1c5b6002 - 1783030 ns R r1 80000000 - 1783030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1783050 ns R psr 81000200 - 1783070 ns MR4_I 01000214 6841d006 - 1783090 ns MR4_I 01000218 d1fc07c9 - 1783090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1783170 ns MR4_D 40006004 00000001 - 1783170 ns R r1 00000001 - 1783170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1783190 ns MR4_I 0100021c 1c5b6002 - 1783190 ns R r1 80000000 - 1783190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1783210 ns R psr 81000200 - 1783230 ns MR4_I 01000214 6841d006 - 1783250 ns MR4_I 01000218 d1fc07c9 - 1783250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1783330 ns MR4_D 40006004 00000001 - 1783330 ns R r1 00000001 - 1783330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1783350 ns MR4_I 0100021c 1c5b6002 - 1783350 ns R r1 80000000 - 1783350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1783370 ns R psr 81000200 - 1783390 ns MR4_I 01000214 6841d006 - 1783410 ns MR4_I 01000218 d1fc07c9 - 1783410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1783490 ns MR4_D 40006004 00000001 - 1783490 ns R r1 00000001 - 1783490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1783510 ns MR4_I 0100021c 1c5b6002 - 1783510 ns R r1 80000000 - 1783510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1783530 ns R psr 81000200 - 1783550 ns MR4_I 01000214 6841d006 - 1783570 ns MR4_I 01000218 d1fc07c9 - 1783570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1783650 ns MR4_D 40006004 00000001 - 1783650 ns R r1 00000001 - 1783650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1783670 ns MR4_I 0100021c 1c5b6002 - 1783670 ns R r1 80000000 - 1783670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1783690 ns R psr 81000200 - 1783710 ns MR4_I 01000214 6841d006 - 1783730 ns MR4_I 01000218 d1fc07c9 - 1783730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1783810 ns MR4_D 40006004 00000001 - 1783810 ns R r1 00000001 - 1783810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1783830 ns MR4_I 0100021c 1c5b6002 - 1783830 ns R r1 80000000 - 1783830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1783850 ns R psr 81000200 - 1783870 ns MR4_I 01000214 6841d006 - 1783890 ns MR4_I 01000218 d1fc07c9 - 1783890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1783970 ns MR4_D 40006004 00000001 - 1783970 ns R r1 00000001 - 1783970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1783990 ns MR4_I 0100021c 1c5b6002 - 1783990 ns R r1 80000000 - 1783990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1784010 ns R psr 81000200 - 1784030 ns MR4_I 01000214 6841d006 - 1784050 ns MR4_I 01000218 d1fc07c9 - 1784050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1784130 ns MR4_D 40006004 00000001 - 1784130 ns R r1 00000001 - 1784130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1784150 ns MR4_I 0100021c 1c5b6002 - 1784150 ns R r1 80000000 - 1784150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1784170 ns R psr 81000200 - 1784190 ns MR4_I 01000214 6841d006 - 1784210 ns MR4_I 01000218 d1fc07c9 - 1784210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1784290 ns MR4_D 40006004 00000001 - 1784290 ns R r1 00000001 - 1784290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1784310 ns MR4_I 0100021c 1c5b6002 - 1784310 ns R r1 80000000 - 1784310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1784330 ns R psr 81000200 - 1784350 ns MR4_I 01000214 6841d006 - 1784370 ns MR4_I 01000218 d1fc07c9 - 1784370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1784450 ns MR4_D 40006004 00000001 - 1784450 ns R r1 00000001 - 1784450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1784470 ns MR4_I 0100021c 1c5b6002 - 1784470 ns R r1 80000000 - 1784470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1784490 ns R psr 81000200 - 1784510 ns MR4_I 01000214 6841d006 - 1784530 ns MR4_I 01000218 d1fc07c9 - 1784530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1784610 ns MR4_D 40006004 00000001 - 1784610 ns R r1 00000001 - 1784610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1784630 ns MR4_I 0100021c 1c5b6002 - 1784630 ns R r1 80000000 - 1784630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1784650 ns R psr 81000200 - 1784670 ns MR4_I 01000214 6841d006 - 1784690 ns MR4_I 01000218 d1fc07c9 - 1784690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1784770 ns MR4_D 40006004 00000001 - 1784770 ns R r1 00000001 - 1784770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1784790 ns MR4_I 0100021c 1c5b6002 - 1784790 ns R r1 80000000 - 1784790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1784810 ns R psr 81000200 - 1784830 ns MR4_I 01000214 6841d006 - 1784850 ns MR4_I 01000218 d1fc07c9 - 1784850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1784930 ns MR4_D 40006004 00000001 - 1784930 ns R r1 00000001 - 1784930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1784950 ns MR4_I 0100021c 1c5b6002 - 1784950 ns R r1 80000000 - 1784950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1784970 ns R psr 81000200 - 1784990 ns MR4_I 01000214 6841d006 - 1785010 ns MR4_I 01000218 d1fc07c9 - 1785010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1785090 ns MR4_D 40006004 00000001 - 1785090 ns R r1 00000001 - 1785090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1785110 ns MR4_I 0100021c 1c5b6002 - 1785110 ns R r1 80000000 - 1785110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1785130 ns R psr 81000200 - 1785150 ns MR4_I 01000214 6841d006 - 1785170 ns MR4_I 01000218 d1fc07c9 - 1785170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1785250 ns MR4_D 40006004 00000001 - 1785250 ns R r1 00000001 - 1785250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1785270 ns MR4_I 0100021c 1c5b6002 - 1785270 ns R r1 80000000 - 1785270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1785290 ns R psr 81000200 - 1785310 ns MR4_I 01000214 6841d006 - 1785330 ns MR4_I 01000218 d1fc07c9 - 1785330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1785410 ns MR4_D 40006004 00000001 - 1785410 ns R r1 00000001 - 1785410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1785430 ns MR4_I 0100021c 1c5b6002 - 1785430 ns R r1 80000000 - 1785430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1785450 ns R psr 81000200 - 1785470 ns MR4_I 01000214 6841d006 - 1785490 ns MR4_I 01000218 d1fc07c9 - 1785490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1785570 ns MR4_D 40006004 00000001 - 1785570 ns R r1 00000001 - 1785570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1785590 ns MR4_I 0100021c 1c5b6002 - 1785590 ns R r1 80000000 - 1785590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1785610 ns R psr 81000200 - 1785630 ns MR4_I 01000214 6841d006 - 1785650 ns MR4_I 01000218 d1fc07c9 - 1785650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1785730 ns MR4_D 40006004 00000001 - 1785730 ns R r1 00000001 - 1785730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1785750 ns MR4_I 0100021c 1c5b6002 - 1785750 ns R r1 80000000 - 1785750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1785770 ns R psr 81000200 - 1785790 ns MR4_I 01000214 6841d006 - 1785810 ns MR4_I 01000218 d1fc07c9 - 1785810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1785890 ns MR4_D 40006004 00000001 - 1785890 ns R r1 00000001 - 1785890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1785910 ns MR4_I 0100021c 1c5b6002 - 1785910 ns R r1 80000000 - 1785910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1785930 ns R psr 81000200 - 1785950 ns MR4_I 01000214 6841d006 - 1785970 ns MR4_I 01000218 d1fc07c9 - 1785970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1786050 ns MR4_D 40006004 00000001 - 1786050 ns R r1 00000001 - 1786050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1786070 ns MR4_I 0100021c 1c5b6002 - 1786070 ns R r1 80000000 - 1786070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1786090 ns R psr 81000200 - 1786110 ns MR4_I 01000214 6841d006 - 1786130 ns MR4_I 01000218 d1fc07c9 - 1786130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1786210 ns MR4_D 40006004 00000001 - 1786210 ns R r1 00000001 - 1786210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1786230 ns MR4_I 0100021c 1c5b6002 - 1786230 ns R r1 80000000 - 1786230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1786250 ns R psr 81000200 - 1786270 ns MR4_I 01000214 6841d006 - 1786290 ns MR4_I 01000218 d1fc07c9 - 1786290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1786370 ns MR4_D 40006004 00000001 - 1786370 ns R r1 00000001 - 1786370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1786390 ns MR4_I 0100021c 1c5b6002 - 1786390 ns R r1 80000000 - 1786390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1786410 ns R psr 81000200 - 1786430 ns MR4_I 01000214 6841d006 - 1786450 ns MR4_I 01000218 d1fc07c9 - 1786450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1786530 ns MR4_D 40006004 00000001 - 1786530 ns R r1 00000001 - 1786530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1786550 ns MR4_I 0100021c 1c5b6002 - 1786550 ns R r1 80000000 - 1786550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1786570 ns R psr 81000200 - 1786590 ns MR4_I 01000214 6841d006 - 1786610 ns MR4_I 01000218 d1fc07c9 - 1786610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1786690 ns MR4_D 40006004 00000001 - 1786690 ns R r1 00000001 - 1786690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1786710 ns MR4_I 0100021c 1c5b6002 - 1786710 ns R r1 80000000 - 1786710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1786730 ns R psr 81000200 - 1786750 ns MR4_I 01000214 6841d006 - 1786770 ns MR4_I 01000218 d1fc07c9 - 1786770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1786850 ns MR4_D 40006004 00000001 - 1786850 ns R r1 00000001 - 1786850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1786870 ns MR4_I 0100021c 1c5b6002 - 1786870 ns R r1 80000000 - 1786870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1786890 ns R psr 81000200 - 1786910 ns MR4_I 01000214 6841d006 - 1786930 ns MR4_I 01000218 d1fc07c9 - 1786930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1787010 ns MR4_D 40006004 00000001 - 1787010 ns R r1 00000001 - 1787010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1787030 ns MR4_I 0100021c 1c5b6002 - 1787030 ns R r1 80000000 - 1787030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1787050 ns R psr 81000200 - 1787070 ns MR4_I 01000214 6841d006 - 1787090 ns MR4_I 01000218 d1fc07c9 - 1787090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1787170 ns MR4_D 40006004 00000001 - 1787170 ns R r1 00000001 - 1787170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1787190 ns MR4_I 0100021c 1c5b6002 - 1787190 ns R r1 80000000 - 1787190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1787210 ns R psr 81000200 - 1787230 ns MR4_I 01000214 6841d006 - 1787250 ns MR4_I 01000218 d1fc07c9 - 1787250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1787330 ns MR4_D 40006004 00000001 - 1787330 ns R r1 00000001 - 1787330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1787350 ns MR4_I 0100021c 1c5b6002 - 1787350 ns R r1 80000000 - 1787350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1787370 ns R psr 81000200 - 1787390 ns MR4_I 01000214 6841d006 - 1787410 ns MR4_I 01000218 d1fc07c9 - 1787410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1787490 ns MR4_D 40006004 00000001 - 1787490 ns R r1 00000001 - 1787490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1787510 ns MR4_I 0100021c 1c5b6002 - 1787510 ns R r1 80000000 - 1787510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1787530 ns R psr 81000200 - 1787550 ns MR4_I 01000214 6841d006 - 1787570 ns MR4_I 01000218 d1fc07c9 - 1787570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1787650 ns MR4_D 40006004 00000001 - 1787650 ns R r1 00000001 - 1787650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1787670 ns MR4_I 0100021c 1c5b6002 - 1787670 ns R r1 80000000 - 1787670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1787690 ns R psr 81000200 - 1787710 ns MR4_I 01000214 6841d006 - 1787730 ns MR4_I 01000218 d1fc07c9 - 1787730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1787810 ns MR4_D 40006004 00000001 - 1787810 ns R r1 00000001 - 1787810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1787830 ns MR4_I 0100021c 1c5b6002 - 1787830 ns R r1 80000000 - 1787830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1787850 ns R psr 81000200 - 1787870 ns MR4_I 01000214 6841d006 - 1787890 ns MR4_I 01000218 d1fc07c9 - 1787890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1787970 ns MR4_D 40006004 00000001 - 1787970 ns R r1 00000001 - 1787970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1787990 ns MR4_I 0100021c 1c5b6002 - 1787990 ns R r1 80000000 - 1787990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1788010 ns R psr 81000200 - 1788030 ns MR4_I 01000214 6841d006 - 1788050 ns MR4_I 01000218 d1fc07c9 - 1788050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1788130 ns MR4_D 40006004 00000001 - 1788130 ns R r1 00000001 - 1788130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1788150 ns MR4_I 0100021c 1c5b6002 - 1788150 ns R r1 80000000 - 1788150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1788170 ns R psr 81000200 - 1788190 ns MR4_I 01000214 6841d006 - 1788210 ns MR4_I 01000218 d1fc07c9 - 1788210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1788290 ns MR4_D 40006004 00000001 - 1788290 ns R r1 00000001 - 1788290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1788310 ns MR4_I 0100021c 1c5b6002 - 1788310 ns R r1 80000000 - 1788310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1788330 ns R psr 81000200 - 1788350 ns MR4_I 01000214 6841d006 - 1788370 ns MR4_I 01000218 d1fc07c9 - 1788370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1788450 ns MR4_D 40006004 00000001 - 1788450 ns R r1 00000001 - 1788450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1788470 ns MR4_I 0100021c 1c5b6002 - 1788470 ns R r1 80000000 - 1788470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1788490 ns R psr 81000200 - 1788510 ns MR4_I 01000214 6841d006 - 1788530 ns MR4_I 01000218 d1fc07c9 - 1788530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1788610 ns MR4_D 40006004 00000001 - 1788610 ns R r1 00000001 - 1788610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1788630 ns MR4_I 0100021c 1c5b6002 - 1788630 ns R r1 80000000 - 1788630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1788650 ns R psr 81000200 - 1788670 ns MR4_I 01000214 6841d006 - 1788690 ns MR4_I 01000218 d1fc07c9 - 1788690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1788770 ns MR4_D 40006004 00000001 - 1788770 ns R r1 00000001 - 1788770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1788790 ns MR4_I 0100021c 1c5b6002 - 1788790 ns R r1 80000000 - 1788790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1788810 ns R psr 81000200 - 1788830 ns MR4_I 01000214 6841d006 - 1788850 ns MR4_I 01000218 d1fc07c9 - 1788850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1788930 ns MR4_D 40006004 00000001 - 1788930 ns R r1 00000001 - 1788930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1788950 ns MR4_I 0100021c 1c5b6002 - 1788950 ns R r1 80000000 - 1788950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1788970 ns R psr 81000200 - 1788990 ns MR4_I 01000214 6841d006 - 1789010 ns MR4_I 01000218 d1fc07c9 - 1789010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1789090 ns MR4_D 40006004 00000001 - 1789090 ns R r1 00000001 - 1789090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1789110 ns MR4_I 0100021c 1c5b6002 - 1789110 ns R r1 80000000 - 1789110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1789130 ns R psr 81000200 - 1789150 ns MR4_I 01000214 6841d006 - 1789170 ns MR4_I 01000218 d1fc07c9 - 1789170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1789250 ns MR4_D 40006004 00000001 - 1789250 ns R r1 00000001 - 1789250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1789270 ns MR4_I 0100021c 1c5b6002 - 1789270 ns R r1 80000000 - 1789270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1789290 ns R psr 81000200 - 1789310 ns MR4_I 01000214 6841d006 - 1789330 ns MR4_I 01000218 d1fc07c9 - 1789330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1789410 ns MR4_D 40006004 00000001 - 1789410 ns R r1 00000001 - 1789410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1789430 ns MR4_I 0100021c 1c5b6002 - 1789430 ns R r1 80000000 - 1789430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1789450 ns R psr 81000200 - 1789470 ns MR4_I 01000214 6841d006 - 1789490 ns MR4_I 01000218 d1fc07c9 - 1789490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1789570 ns MR4_D 40006004 00000001 - 1789570 ns R r1 00000001 - 1789570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1789590 ns MR4_I 0100021c 1c5b6002 - 1789590 ns R r1 80000000 - 1789590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1789610 ns R psr 81000200 - 1789630 ns MR4_I 01000214 6841d006 - 1789650 ns MR4_I 01000218 d1fc07c9 - 1789650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1789730 ns MR4_D 40006004 00000001 - 1789730 ns R r1 00000001 - 1789730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1789750 ns MR4_I 0100021c 1c5b6002 - 1789750 ns R r1 80000000 - 1789750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1789770 ns R psr 81000200 - 1789790 ns MR4_I 01000214 6841d006 - 1789810 ns MR4_I 01000218 d1fc07c9 - 1789810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1789890 ns MR4_D 40006004 00000001 - 1789890 ns R r1 00000001 - 1789890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1789910 ns MR4_I 0100021c 1c5b6002 - 1789910 ns R r1 80000000 - 1789910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1789930 ns R psr 81000200 - 1789950 ns MR4_I 01000214 6841d006 - 1789970 ns MR4_I 01000218 d1fc07c9 - 1789970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1790050 ns MR4_D 40006004 00000001 - 1790050 ns R r1 00000001 - 1790050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1790070 ns MR4_I 0100021c 1c5b6002 - 1790070 ns R r1 80000000 - 1790070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1790090 ns R psr 81000200 - 1790110 ns MR4_I 01000214 6841d006 - 1790130 ns MR4_I 01000218 d1fc07c9 - 1790130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1790210 ns MR4_D 40006004 00000001 - 1790210 ns R r1 00000001 - 1790210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1790230 ns MR4_I 0100021c 1c5b6002 - 1790230 ns R r1 80000000 - 1790230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1790250 ns R psr 81000200 - 1790270 ns MR4_I 01000214 6841d006 - 1790290 ns MR4_I 01000218 d1fc07c9 - 1790290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1790370 ns MR4_D 40006004 00000001 - 1790370 ns R r1 00000001 - 1790370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1790390 ns MR4_I 0100021c 1c5b6002 - 1790390 ns R r1 80000000 - 1790390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1790410 ns R psr 81000200 - 1790430 ns MR4_I 01000214 6841d006 - 1790450 ns MR4_I 01000218 d1fc07c9 - 1790450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1790530 ns MR4_D 40006004 00000001 - 1790530 ns R r1 00000001 - 1790530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1790550 ns MR4_I 0100021c 1c5b6002 - 1790550 ns R r1 80000000 - 1790550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1790570 ns R psr 81000200 - 1790590 ns MR4_I 01000214 6841d006 - 1790610 ns MR4_I 01000218 d1fc07c9 - 1790610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1790690 ns MR4_D 40006004 00000001 - 1790690 ns R r1 00000001 - 1790690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1790710 ns MR4_I 0100021c 1c5b6002 - 1790710 ns R r1 80000000 - 1790710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1790730 ns R psr 81000200 - 1790750 ns MR4_I 01000214 6841d006 - 1790770 ns MR4_I 01000218 d1fc07c9 - 1790770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1790850 ns MR4_D 40006004 00000001 - 1790850 ns R r1 00000001 - 1790850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1790870 ns MR4_I 0100021c 1c5b6002 - 1790870 ns R r1 80000000 - 1790870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1790890 ns R psr 81000200 - 1790910 ns MR4_I 01000214 6841d006 - 1790930 ns MR4_I 01000218 d1fc07c9 - 1790930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1791010 ns MR4_D 40006004 00000001 - 1791010 ns R r1 00000001 - 1791010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1791030 ns MR4_I 0100021c 1c5b6002 - 1791030 ns R r1 80000000 - 1791030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1791050 ns R psr 81000200 - 1791070 ns MR4_I 01000214 6841d006 - 1791090 ns MR4_I 01000218 d1fc07c9 - 1791090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1791170 ns MR4_D 40006004 00000001 - 1791170 ns R r1 00000001 - 1791170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1791190 ns MR4_I 0100021c 1c5b6002 - 1791190 ns R r1 80000000 - 1791190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1791210 ns R psr 81000200 - 1791230 ns MR4_I 01000214 6841d006 - 1791250 ns MR4_I 01000218 d1fc07c9 - 1791250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1791330 ns MR4_D 40006004 00000001 - 1791330 ns R r1 00000001 - 1791330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1791350 ns MR4_I 0100021c 1c5b6002 - 1791350 ns R r1 80000000 - 1791350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1791370 ns R psr 81000200 - 1791390 ns MR4_I 01000214 6841d006 - 1791410 ns MR4_I 01000218 d1fc07c9 - 1791410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1791490 ns MR4_D 40006004 00000001 - 1791490 ns R r1 00000001 - 1791490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1791510 ns MR4_I 0100021c 1c5b6002 - 1791510 ns R r1 80000000 - 1791510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1791530 ns R psr 81000200 - 1791550 ns MR4_I 01000214 6841d006 - 1791570 ns MR4_I 01000218 d1fc07c9 - 1791570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1791650 ns MR4_D 40006004 00000001 - 1791650 ns R r1 00000001 - 1791650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1791670 ns MR4_I 0100021c 1c5b6002 - 1791670 ns R r1 80000000 - 1791670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1791690 ns R psr 81000200 - 1791710 ns MR4_I 01000214 6841d006 - 1791730 ns MR4_I 01000218 d1fc07c9 - 1791730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1791810 ns MR4_D 40006004 00000001 - 1791810 ns R r1 00000001 - 1791810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1791830 ns MR4_I 0100021c 1c5b6002 - 1791830 ns R r1 80000000 - 1791830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1791850 ns R psr 81000200 - 1791870 ns MR4_I 01000214 6841d006 - 1791890 ns MR4_I 01000218 d1fc07c9 - 1791890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1791970 ns MR4_D 40006004 00000001 - 1791970 ns R r1 00000001 - 1791970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1791990 ns MR4_I 0100021c 1c5b6002 - 1791990 ns R r1 80000000 - 1791990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1792010 ns R psr 81000200 - 1792030 ns MR4_I 01000214 6841d006 - 1792050 ns MR4_I 01000218 d1fc07c9 - 1792050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1792130 ns MR4_D 40006004 00000001 - 1792130 ns R r1 00000001 - 1792130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1792150 ns MR4_I 0100021c 1c5b6002 - 1792150 ns R r1 80000000 - 1792150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1792170 ns R psr 81000200 - 1792190 ns MR4_I 01000214 6841d006 - 1792210 ns MR4_I 01000218 d1fc07c9 - 1792210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1792290 ns MR4_D 40006004 00000001 - 1792290 ns R r1 00000001 - 1792290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1792310 ns MR4_I 0100021c 1c5b6002 - 1792310 ns R r1 80000000 - 1792310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1792330 ns R psr 81000200 - 1792350 ns MR4_I 01000214 6841d006 - 1792370 ns MR4_I 01000218 d1fc07c9 - 1792370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1792450 ns MR4_D 40006004 00000001 - 1792450 ns R r1 00000001 - 1792450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1792470 ns MR4_I 0100021c 1c5b6002 - 1792470 ns R r1 80000000 - 1792470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1792490 ns R psr 81000200 - 1792510 ns MR4_I 01000214 6841d006 - 1792530 ns MR4_I 01000218 d1fc07c9 - 1792530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1792610 ns MR4_D 40006004 00000001 - 1792610 ns R r1 00000001 - 1792610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1792630 ns MR4_I 0100021c 1c5b6002 - 1792630 ns R r1 80000000 - 1792630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1792650 ns R psr 81000200 - 1792670 ns MR4_I 01000214 6841d006 - 1792690 ns MR4_I 01000218 d1fc07c9 - 1792690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1792770 ns MR4_D 40006004 00000001 - 1792770 ns R r1 00000001 - 1792770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1792790 ns MR4_I 0100021c 1c5b6002 - 1792790 ns R r1 80000000 - 1792790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1792810 ns R psr 81000200 - 1792830 ns MR4_I 01000214 6841d006 - 1792850 ns MR4_I 01000218 d1fc07c9 - 1792850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1792930 ns MR4_D 40006004 00000001 - 1792930 ns R r1 00000001 - 1792930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1792950 ns MR4_I 0100021c 1c5b6002 - 1792950 ns R r1 80000000 - 1792950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1792970 ns R psr 81000200 - 1792990 ns MR4_I 01000214 6841d006 - 1793010 ns MR4_I 01000218 d1fc07c9 - 1793010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1793090 ns MR4_D 40006004 00000001 - 1793090 ns R r1 00000001 - 1793090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1793110 ns MR4_I 0100021c 1c5b6002 - 1793110 ns R r1 80000000 - 1793110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1793130 ns R psr 81000200 - 1793150 ns MR4_I 01000214 6841d006 - 1793170 ns MR4_I 01000218 d1fc07c9 - 1793170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1793250 ns MR4_D 40006004 00000001 - 1793250 ns R r1 00000001 - 1793250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1793270 ns MR4_I 0100021c 1c5b6002 - 1793270 ns R r1 80000000 - 1793270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1793290 ns R psr 81000200 - 1793310 ns MR4_I 01000214 6841d006 - 1793330 ns MR4_I 01000218 d1fc07c9 - 1793330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1793410 ns MR4_D 40006004 00000001 - 1793410 ns R r1 00000001 - 1793410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1793430 ns MR4_I 0100021c 1c5b6002 - 1793430 ns R r1 80000000 - 1793430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1793450 ns R psr 81000200 - 1793470 ns MR4_I 01000214 6841d006 - 1793490 ns MR4_I 01000218 d1fc07c9 - 1793490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1793570 ns MR4_D 40006004 00000001 - 1793570 ns R r1 00000001 - 1793570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1793590 ns MR4_I 0100021c 1c5b6002 - 1793590 ns R r1 80000000 - 1793590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1793610 ns R psr 81000200 - 1793630 ns MR4_I 01000214 6841d006 - 1793650 ns MR4_I 01000218 d1fc07c9 - 1793650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1793730 ns MR4_D 40006004 00000001 - 1793730 ns R r1 00000001 - 1793730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1793750 ns MR4_I 0100021c 1c5b6002 - 1793750 ns R r1 80000000 - 1793750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1793770 ns R psr 81000200 - 1793790 ns MR4_I 01000214 6841d006 - 1793810 ns MR4_I 01000218 d1fc07c9 - 1793810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1793890 ns MR4_D 40006004 00000001 - 1793890 ns R r1 00000001 - 1793890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1793910 ns MR4_I 0100021c 1c5b6002 - 1793910 ns R r1 80000000 - 1793910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1793930 ns R psr 81000200 - 1793950 ns MR4_I 01000214 6841d006 - 1793970 ns MR4_I 01000218 d1fc07c9 - 1793970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1794050 ns MR4_D 40006004 00000001 - 1794050 ns R r1 00000001 - 1794050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1794070 ns MR4_I 0100021c 1c5b6002 - 1794070 ns R r1 80000000 - 1794070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1794090 ns R psr 81000200 - 1794110 ns MR4_I 01000214 6841d006 - 1794130 ns MR4_I 01000218 d1fc07c9 - 1794130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1794210 ns MR4_D 40006004 00000001 - 1794210 ns R r1 00000001 - 1794210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1794230 ns MR4_I 0100021c 1c5b6002 - 1794230 ns R r1 80000000 - 1794230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1794250 ns R psr 81000200 - 1794270 ns MR4_I 01000214 6841d006 - 1794290 ns MR4_I 01000218 d1fc07c9 - 1794290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1794370 ns MR4_D 40006004 00000001 - 1794370 ns R r1 00000001 - 1794370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1794390 ns MR4_I 0100021c 1c5b6002 - 1794390 ns R r1 80000000 - 1794390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1794410 ns R psr 81000200 - 1794430 ns MR4_I 01000214 6841d006 - 1794450 ns MR4_I 01000218 d1fc07c9 - 1794450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1794530 ns MR4_D 40006004 00000001 - 1794530 ns R r1 00000001 - 1794530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1794550 ns MR4_I 0100021c 1c5b6002 - 1794550 ns R r1 80000000 - 1794550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1794570 ns R psr 81000200 - 1794590 ns MR4_I 01000214 6841d006 - 1794610 ns MR4_I 01000218 d1fc07c9 - 1794610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1794690 ns MR4_D 40006004 00000001 - 1794690 ns R r1 00000001 - 1794690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1794710 ns MR4_I 0100021c 1c5b6002 - 1794710 ns R r1 80000000 - 1794710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1794730 ns R psr 81000200 - 1794750 ns MR4_I 01000214 6841d006 - 1794770 ns MR4_I 01000218 d1fc07c9 - 1794770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1794850 ns MR4_D 40006004 00000001 - 1794850 ns R r1 00000001 - 1794850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1794870 ns MR4_I 0100021c 1c5b6002 - 1794870 ns R r1 80000000 - 1794870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1794890 ns R psr 81000200 - 1794910 ns MR4_I 01000214 6841d006 - 1794930 ns MR4_I 01000218 d1fc07c9 - 1794930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1795010 ns MR4_D 40006004 00000001 - 1795010 ns R r1 00000001 - 1795010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1795030 ns MR4_I 0100021c 1c5b6002 - 1795030 ns R r1 80000000 - 1795030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1795050 ns R psr 81000200 - 1795070 ns MR4_I 01000214 6841d006 - 1795090 ns MR4_I 01000218 d1fc07c9 - 1795090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1795170 ns MR4_D 40006004 00000001 - 1795170 ns R r1 00000001 - 1795170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1795190 ns MR4_I 0100021c 1c5b6002 - 1795190 ns R r1 80000000 - 1795190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1795210 ns R psr 81000200 - 1795230 ns MR4_I 01000214 6841d006 - 1795250 ns MR4_I 01000218 d1fc07c9 - 1795250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1795330 ns MR4_D 40006004 00000001 - 1795330 ns R r1 00000001 - 1795330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1795350 ns MR4_I 0100021c 1c5b6002 - 1795350 ns R r1 80000000 - 1795350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1795370 ns R psr 81000200 - 1795390 ns MR4_I 01000214 6841d006 - 1795410 ns MR4_I 01000218 d1fc07c9 - 1795410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1795490 ns MR4_D 40006004 00000001 - 1795490 ns R r1 00000001 - 1795490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1795510 ns MR4_I 0100021c 1c5b6002 - 1795510 ns R r1 80000000 - 1795510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1795530 ns R psr 81000200 - 1795550 ns MR4_I 01000214 6841d006 - 1795570 ns MR4_I 01000218 d1fc07c9 - 1795570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1795650 ns MR4_D 40006004 00000001 - 1795650 ns R r1 00000001 - 1795650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1795670 ns MR4_I 0100021c 1c5b6002 - 1795670 ns R r1 80000000 - 1795670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1795690 ns R psr 81000200 - 1795710 ns MR4_I 01000214 6841d006 - 1795730 ns MR4_I 01000218 d1fc07c9 - 1795730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1795810 ns MR4_D 40006004 00000001 - 1795810 ns R r1 00000001 - 1795810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1795830 ns MR4_I 0100021c 1c5b6002 - 1795830 ns R r1 80000000 - 1795830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1795850 ns R psr 81000200 - 1795870 ns MR4_I 01000214 6841d006 - 1795890 ns MR4_I 01000218 d1fc07c9 - 1795890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1795970 ns MR4_D 40006004 00000001 - 1795970 ns R r1 00000001 - 1795970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1795990 ns MR4_I 0100021c 1c5b6002 - 1795990 ns R r1 80000000 - 1795990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1796010 ns R psr 81000200 - 1796030 ns MR4_I 01000214 6841d006 - 1796050 ns MR4_I 01000218 d1fc07c9 - 1796050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1796130 ns MR4_D 40006004 00000001 - 1796130 ns R r1 00000001 - 1796130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1796150 ns MR4_I 0100021c 1c5b6002 - 1796150 ns R r1 80000000 - 1796150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1796170 ns R psr 81000200 - 1796190 ns MR4_I 01000214 6841d006 - 1796210 ns MR4_I 01000218 d1fc07c9 - 1796210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1796290 ns MR4_D 40006004 00000001 - 1796290 ns R r1 00000001 - 1796290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1796310 ns MR4_I 0100021c 1c5b6002 - 1796310 ns R r1 80000000 - 1796310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1796330 ns R psr 81000200 - 1796350 ns MR4_I 01000214 6841d006 - 1796370 ns MR4_I 01000218 d1fc07c9 - 1796370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1796450 ns MR4_D 40006004 00000001 - 1796450 ns R r1 00000001 - 1796450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1796470 ns MR4_I 0100021c 1c5b6002 - 1796470 ns R r1 80000000 - 1796470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1796490 ns R psr 81000200 - 1796510 ns MR4_I 01000214 6841d006 - 1796530 ns MR4_I 01000218 d1fc07c9 - 1796530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1796610 ns MR4_D 40006004 00000001 - 1796610 ns R r1 00000001 - 1796610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1796630 ns MR4_I 0100021c 1c5b6002 - 1796630 ns R r1 80000000 - 1796630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1796650 ns R psr 81000200 - 1796670 ns MR4_I 01000214 6841d006 - 1796690 ns MR4_I 01000218 d1fc07c9 - 1796690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1796770 ns MR4_D 40006004 00000001 - 1796770 ns R r1 00000001 - 1796770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1796790 ns MR4_I 0100021c 1c5b6002 - 1796790 ns R r1 80000000 - 1796790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1796810 ns R psr 81000200 - 1796830 ns MR4_I 01000214 6841d006 - 1796850 ns MR4_I 01000218 d1fc07c9 - 1796850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1796930 ns MR4_D 40006004 00000001 - 1796930 ns R r1 00000001 - 1796930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1796950 ns MR4_I 0100021c 1c5b6002 - 1796950 ns R r1 80000000 - 1796950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1796970 ns R psr 81000200 - 1796990 ns MR4_I 01000214 6841d006 - 1797010 ns MR4_I 01000218 d1fc07c9 - 1797010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1797090 ns MR4_D 40006004 00000001 - 1797090 ns R r1 00000001 - 1797090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1797110 ns MR4_I 0100021c 1c5b6002 - 1797110 ns R r1 80000000 - 1797110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1797130 ns R psr 81000200 - 1797150 ns MR4_I 01000214 6841d006 - 1797170 ns MR4_I 01000218 d1fc07c9 - 1797170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1797250 ns MR4_D 40006004 00000001 - 1797250 ns R r1 00000001 - 1797250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1797270 ns MR4_I 0100021c 1c5b6002 - 1797270 ns R r1 80000000 - 1797270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1797290 ns R psr 81000200 - 1797310 ns MR4_I 01000214 6841d006 - 1797330 ns MR4_I 01000218 d1fc07c9 - 1797330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1797410 ns MR4_D 40006004 00000001 - 1797410 ns R r1 00000001 - 1797410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1797430 ns MR4_I 0100021c 1c5b6002 - 1797430 ns R r1 80000000 - 1797430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1797450 ns R psr 81000200 - 1797470 ns MR4_I 01000214 6841d006 - 1797490 ns MR4_I 01000218 d1fc07c9 - 1797490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1797570 ns MR4_D 40006004 00000001 - 1797570 ns R r1 00000001 - 1797570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1797590 ns MR4_I 0100021c 1c5b6002 - 1797590 ns R r1 80000000 - 1797590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1797610 ns R psr 81000200 - 1797630 ns MR4_I 01000214 6841d006 - 1797650 ns MR4_I 01000218 d1fc07c9 - 1797650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1797730 ns MR4_D 40006004 00000001 - 1797730 ns R r1 00000001 - 1797730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1797750 ns MR4_I 0100021c 1c5b6002 - 1797750 ns R r1 80000000 - 1797750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1797770 ns R psr 81000200 - 1797790 ns MR4_I 01000214 6841d006 - 1797810 ns MR4_I 01000218 d1fc07c9 - 1797810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1797890 ns MR4_D 40006004 00000001 - 1797890 ns R r1 00000001 - 1797890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1797910 ns MR4_I 0100021c 1c5b6002 - 1797910 ns R r1 80000000 - 1797910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1797930 ns R psr 81000200 - 1797950 ns MR4_I 01000214 6841d006 - 1797970 ns MR4_I 01000218 d1fc07c9 - 1797970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1798050 ns MR4_D 40006004 00000001 - 1798050 ns R r1 00000001 - 1798050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1798070 ns MR4_I 0100021c 1c5b6002 - 1798070 ns R r1 80000000 - 1798070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1798090 ns R psr 81000200 - 1798110 ns MR4_I 01000214 6841d006 - 1798130 ns MR4_I 01000218 d1fc07c9 - 1798130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1798210 ns MR4_D 40006004 00000001 - 1798210 ns R r1 00000001 - 1798210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1798230 ns MR4_I 0100021c 1c5b6002 - 1798230 ns R r1 80000000 - 1798230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1798250 ns R psr 81000200 - 1798270 ns MR4_I 01000214 6841d006 - 1798290 ns MR4_I 01000218 d1fc07c9 - 1798290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1798370 ns MR4_D 40006004 00000001 - 1798370 ns R r1 00000001 - 1798370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1798390 ns MR4_I 0100021c 1c5b6002 - 1798390 ns R r1 80000000 - 1798390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1798410 ns R psr 81000200 - 1798430 ns MR4_I 01000214 6841d006 - 1798450 ns MR4_I 01000218 d1fc07c9 - 1798450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1798530 ns MR4_D 40006004 00000001 - 1798530 ns R r1 00000001 - 1798530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1798550 ns MR4_I 0100021c 1c5b6002 - 1798550 ns R r1 80000000 - 1798550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1798570 ns R psr 81000200 - 1798590 ns MR4_I 01000214 6841d006 - 1798610 ns MR4_I 01000218 d1fc07c9 - 1798610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1798690 ns MR4_D 40006004 00000001 - 1798690 ns R r1 00000001 - 1798690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1798710 ns MR4_I 0100021c 1c5b6002 - 1798710 ns R r1 80000000 - 1798710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1798730 ns R psr 81000200 - 1798750 ns MR4_I 01000214 6841d006 - 1798770 ns MR4_I 01000218 d1fc07c9 - 1798770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1798850 ns MR4_D 40006004 00000001 - 1798850 ns R r1 00000001 - 1798850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1798870 ns MR4_I 0100021c 1c5b6002 - 1798870 ns R r1 80000000 - 1798870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1798890 ns R psr 81000200 - 1798910 ns MR4_I 01000214 6841d006 - 1798930 ns MR4_I 01000218 d1fc07c9 - 1798930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1799010 ns MR4_D 40006004 00000001 - 1799010 ns R r1 00000001 - 1799010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1799030 ns MR4_I 0100021c 1c5b6002 - 1799030 ns R r1 80000000 - 1799030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1799050 ns R psr 81000200 - 1799070 ns MR4_I 01000214 6841d006 - 1799090 ns MR4_I 01000218 d1fc07c9 - 1799090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1799170 ns MR4_D 40006004 00000001 - 1799170 ns R r1 00000001 - 1799170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1799190 ns MR4_I 0100021c 1c5b6002 - 1799190 ns R r1 80000000 - 1799190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1799210 ns R psr 81000200 - 1799230 ns MR4_I 01000214 6841d006 - 1799250 ns MR4_I 01000218 d1fc07c9 - 1799250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1799330 ns MR4_D 40006004 00000001 - 1799330 ns R r1 00000001 - 1799330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1799350 ns MR4_I 0100021c 1c5b6002 - 1799350 ns R r1 80000000 - 1799350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1799370 ns R psr 81000200 - 1799390 ns MR4_I 01000214 6841d006 - 1799410 ns MR4_I 01000218 d1fc07c9 - 1799410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1799490 ns MR4_D 40006004 00000001 - 1799490 ns R r1 00000001 - 1799490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1799510 ns MR4_I 0100021c 1c5b6002 - 1799510 ns R r1 80000000 - 1799510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1799530 ns R psr 81000200 - 1799550 ns MR4_I 01000214 6841d006 - 1799570 ns MR4_I 01000218 d1fc07c9 - 1799570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1799650 ns MR4_D 40006004 00000001 - 1799650 ns R r1 00000001 - 1799650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1799670 ns MR4_I 0100021c 1c5b6002 - 1799670 ns R r1 80000000 - 1799670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1799690 ns R psr 81000200 - 1799710 ns MR4_I 01000214 6841d006 - 1799730 ns MR4_I 01000218 d1fc07c9 - 1799730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1799810 ns MR4_D 40006004 00000001 - 1799810 ns R r1 00000001 - 1799810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1799830 ns MR4_I 0100021c 1c5b6002 - 1799830 ns R r1 80000000 - 1799830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1799850 ns R psr 81000200 - 1799870 ns MR4_I 01000214 6841d006 - 1799890 ns MR4_I 01000218 d1fc07c9 - 1799890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1799970 ns MR4_D 40006004 00000001 - 1799970 ns R r1 00000001 - 1799970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1799990 ns MR4_I 0100021c 1c5b6002 - 1799990 ns R r1 80000000 - 1799990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1800010 ns R psr 81000200 - 1800030 ns MR4_I 01000214 6841d006 - 1800050 ns MR4_I 01000218 d1fc07c9 - 1800050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1800130 ns MR4_D 40006004 00000001 - 1800130 ns R r1 00000001 - 1800130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1800150 ns MR4_I 0100021c 1c5b6002 - 1800150 ns R r1 80000000 - 1800150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1800170 ns R psr 81000200 - 1800190 ns MR4_I 01000214 6841d006 - 1800210 ns MR4_I 01000218 d1fc07c9 - 1800210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1800290 ns MR4_D 40006004 00000001 - 1800290 ns R r1 00000001 - 1800290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1800310 ns MR4_I 0100021c 1c5b6002 - 1800310 ns R r1 80000000 - 1800310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1800330 ns R psr 81000200 - 1800350 ns MR4_I 01000214 6841d006 - 1800370 ns MR4_I 01000218 d1fc07c9 - 1800370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1800450 ns MR4_D 40006004 00000001 - 1800450 ns R r1 00000001 - 1800450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1800470 ns MR4_I 0100021c 1c5b6002 - 1800470 ns R r1 80000000 - 1800470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1800490 ns R psr 81000200 - 1800510 ns MR4_I 01000214 6841d006 - 1800530 ns MR4_I 01000218 d1fc07c9 - 1800530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1800610 ns MR4_D 40006004 00000001 - 1800610 ns R r1 00000001 - 1800610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1800630 ns MR4_I 0100021c 1c5b6002 - 1800630 ns R r1 80000000 - 1800630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1800650 ns R psr 81000200 - 1800670 ns MR4_I 01000214 6841d006 - 1800690 ns MR4_I 01000218 d1fc07c9 - 1800690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1800770 ns MR4_D 40006004 00000001 - 1800770 ns R r1 00000001 - 1800770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1800790 ns MR4_I 0100021c 1c5b6002 - 1800790 ns R r1 80000000 - 1800790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1800810 ns R psr 81000200 - 1800830 ns MR4_I 01000214 6841d006 - 1800850 ns MR4_I 01000218 d1fc07c9 - 1800850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1800930 ns MR4_D 40006004 00000001 - 1800930 ns R r1 00000001 - 1800930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1800950 ns MR4_I 0100021c 1c5b6002 - 1800950 ns R r1 80000000 - 1800950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1800970 ns R psr 81000200 - 1800990 ns MR4_I 01000214 6841d006 - 1801010 ns MR4_I 01000218 d1fc07c9 - 1801010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1801090 ns MR4_D 40006004 00000001 - 1801090 ns R r1 00000001 - 1801090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1801110 ns MR4_I 0100021c 1c5b6002 - 1801110 ns R r1 80000000 - 1801110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1801130 ns R psr 81000200 - 1801150 ns MR4_I 01000214 6841d006 - 1801170 ns MR4_I 01000218 d1fc07c9 - 1801170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1801250 ns MR4_D 40006004 00000001 - 1801250 ns R r1 00000001 - 1801250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1801270 ns MR4_I 0100021c 1c5b6002 - 1801270 ns R r1 80000000 - 1801270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1801290 ns R psr 81000200 - 1801310 ns MR4_I 01000214 6841d006 - 1801330 ns MR4_I 01000218 d1fc07c9 - 1801330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1801410 ns MR4_D 40006004 00000001 - 1801410 ns R r1 00000001 - 1801410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1801430 ns MR4_I 0100021c 1c5b6002 - 1801430 ns R r1 80000000 - 1801430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1801450 ns R psr 81000200 - 1801470 ns MR4_I 01000214 6841d006 - 1801490 ns MR4_I 01000218 d1fc07c9 - 1801490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1801570 ns MR4_D 40006004 00000001 - 1801570 ns R r1 00000001 - 1801570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1801590 ns MR4_I 0100021c 1c5b6002 - 1801590 ns R r1 80000000 - 1801590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1801610 ns R psr 81000200 - 1801630 ns MR4_I 01000214 6841d006 - 1801650 ns MR4_I 01000218 d1fc07c9 - 1801650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1801730 ns MR4_D 40006004 00000001 - 1801730 ns R r1 00000001 - 1801730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1801750 ns MR4_I 0100021c 1c5b6002 - 1801750 ns R r1 80000000 - 1801750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1801770 ns R psr 81000200 - 1801790 ns MR4_I 01000214 6841d006 - 1801810 ns MR4_I 01000218 d1fc07c9 - 1801810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1801890 ns MR4_D 40006004 00000001 - 1801890 ns R r1 00000001 - 1801890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1801910 ns MR4_I 0100021c 1c5b6002 - 1801910 ns R r1 80000000 - 1801910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1801930 ns R psr 81000200 - 1801950 ns MR4_I 01000214 6841d006 - 1801970 ns MR4_I 01000218 d1fc07c9 - 1801970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1802050 ns MR4_D 40006004 00000001 - 1802050 ns R r1 00000001 - 1802050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1802070 ns MR4_I 0100021c 1c5b6002 - 1802070 ns R r1 80000000 - 1802070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1802090 ns R psr 81000200 - 1802110 ns MR4_I 01000214 6841d006 - 1802130 ns MR4_I 01000218 d1fc07c9 - 1802130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1802210 ns MR4_D 40006004 00000001 - 1802210 ns R r1 00000001 - 1802210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1802230 ns MR4_I 0100021c 1c5b6002 - 1802230 ns R r1 80000000 - 1802230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1802250 ns R psr 81000200 - 1802270 ns MR4_I 01000214 6841d006 - 1802290 ns MR4_I 01000218 d1fc07c9 - 1802290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1802370 ns MR4_D 40006004 00000001 - 1802370 ns R r1 00000001 - 1802370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1802390 ns MR4_I 0100021c 1c5b6002 - 1802390 ns R r1 80000000 - 1802390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1802410 ns R psr 81000200 - 1802430 ns MR4_I 01000214 6841d006 - 1802450 ns MR4_I 01000218 d1fc07c9 - 1802450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1802530 ns MR4_D 40006004 00000001 - 1802530 ns R r1 00000001 - 1802530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1802550 ns MR4_I 0100021c 1c5b6002 - 1802550 ns R r1 80000000 - 1802550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1802570 ns R psr 81000200 - 1802590 ns MR4_I 01000214 6841d006 - 1802610 ns MR4_I 01000218 d1fc07c9 - 1802610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1802690 ns MR4_D 40006004 00000001 - 1802690 ns R r1 00000001 - 1802690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1802710 ns MR4_I 0100021c 1c5b6002 - 1802710 ns R r1 80000000 - 1802710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1802730 ns R psr 81000200 - 1802750 ns MR4_I 01000214 6841d006 - 1802770 ns MR4_I 01000218 d1fc07c9 - 1802770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1802850 ns MR4_D 40006004 00000001 - 1802850 ns R r1 00000001 - 1802850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1802870 ns MR4_I 0100021c 1c5b6002 - 1802870 ns R r1 80000000 - 1802870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1802890 ns R psr 81000200 - 1802910 ns MR4_I 01000214 6841d006 - 1802930 ns MR4_I 01000218 d1fc07c9 - 1802930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1803010 ns MR4_D 40006004 00000001 - 1803010 ns R r1 00000001 - 1803010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1803030 ns MR4_I 0100021c 1c5b6002 - 1803030 ns R r1 80000000 - 1803030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1803050 ns R psr 81000200 - 1803070 ns MR4_I 01000214 6841d006 - 1803090 ns MR4_I 01000218 d1fc07c9 - 1803090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1803170 ns MR4_D 40006004 00000001 - 1803170 ns R r1 00000001 - 1803170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1803190 ns MR4_I 0100021c 1c5b6002 - 1803190 ns R r1 80000000 - 1803190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1803210 ns R psr 81000200 - 1803230 ns MR4_I 01000214 6841d006 - 1803250 ns MR4_I 01000218 d1fc07c9 - 1803250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1803330 ns MR4_D 40006004 00000001 - 1803330 ns R r1 00000001 - 1803330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1803350 ns MR4_I 0100021c 1c5b6002 - 1803350 ns R r1 80000000 - 1803350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1803370 ns R psr 81000200 - 1803390 ns MR4_I 01000214 6841d006 - 1803410 ns MR4_I 01000218 d1fc07c9 - 1803410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1803490 ns MR4_D 40006004 00000001 - 1803490 ns R r1 00000001 - 1803490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1803510 ns MR4_I 0100021c 1c5b6002 - 1803510 ns R r1 80000000 - 1803510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1803530 ns R psr 81000200 - 1803550 ns MR4_I 01000214 6841d006 - 1803570 ns MR4_I 01000218 d1fc07c9 - 1803570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1803650 ns MR4_D 40006004 00000001 - 1803650 ns R r1 00000001 - 1803650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1803670 ns MR4_I 0100021c 1c5b6002 - 1803670 ns R r1 80000000 - 1803670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1803690 ns R psr 81000200 - 1803710 ns MR4_I 01000214 6841d006 - 1803730 ns MR4_I 01000218 d1fc07c9 - 1803730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1803810 ns MR4_D 40006004 00000001 - 1803810 ns R r1 00000001 - 1803810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1803830 ns MR4_I 0100021c 1c5b6002 - 1803830 ns R r1 80000000 - 1803830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1803850 ns R psr 81000200 - 1803870 ns MR4_I 01000214 6841d006 - 1803890 ns MR4_I 01000218 d1fc07c9 - 1803890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1803970 ns MR4_D 40006004 00000001 - 1803970 ns R r1 00000001 - 1803970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1803990 ns MR4_I 0100021c 1c5b6002 - 1803990 ns R r1 80000000 - 1803990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1804010 ns R psr 81000200 - 1804030 ns MR4_I 01000214 6841d006 - 1804050 ns MR4_I 01000218 d1fc07c9 - 1804050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1804130 ns MR4_D 40006004 00000001 - 1804130 ns R r1 00000001 - 1804130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1804150 ns MR4_I 0100021c 1c5b6002 - 1804150 ns R r1 80000000 - 1804150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1804170 ns R psr 81000200 - 1804190 ns MR4_I 01000214 6841d006 - 1804210 ns MR4_I 01000218 d1fc07c9 - 1804210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1804290 ns MR4_D 40006004 00000001 - 1804290 ns R r1 00000001 - 1804290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1804310 ns MR4_I 0100021c 1c5b6002 - 1804310 ns R r1 80000000 - 1804310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1804330 ns R psr 81000200 - 1804350 ns MR4_I 01000214 6841d006 - 1804370 ns MR4_I 01000218 d1fc07c9 - 1804370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1804450 ns MR4_D 40006004 00000001 - 1804450 ns R r1 00000001 - 1804450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1804470 ns MR4_I 0100021c 1c5b6002 - 1804470 ns R r1 80000000 - 1804470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1804490 ns R psr 81000200 - 1804510 ns MR4_I 01000214 6841d006 - 1804530 ns MR4_I 01000218 d1fc07c9 - 1804530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1804610 ns MR4_D 40006004 00000001 - 1804610 ns R r1 00000001 - 1804610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1804630 ns MR4_I 0100021c 1c5b6002 - 1804630 ns R r1 80000000 - 1804630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1804650 ns R psr 81000200 - 1804670 ns MR4_I 01000214 6841d006 - 1804690 ns MR4_I 01000218 d1fc07c9 - 1804690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1804770 ns MR4_D 40006004 00000001 - 1804770 ns R r1 00000001 - 1804770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1804790 ns MR4_I 0100021c 1c5b6002 - 1804790 ns R r1 80000000 - 1804790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1804810 ns R psr 81000200 - 1804830 ns MR4_I 01000214 6841d006 - 1804850 ns MR4_I 01000218 d1fc07c9 - 1804850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1804930 ns MR4_D 40006004 00000001 - 1804930 ns R r1 00000001 - 1804930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1804950 ns MR4_I 0100021c 1c5b6002 - 1804950 ns R r1 80000000 - 1804950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1804970 ns R psr 81000200 - 1804990 ns MR4_I 01000214 6841d006 - 1805010 ns MR4_I 01000218 d1fc07c9 - 1805010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1805090 ns MR4_D 40006004 00000001 - 1805090 ns R r1 00000001 - 1805090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1805110 ns MR4_I 0100021c 1c5b6002 - 1805110 ns R r1 80000000 - 1805110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1805130 ns R psr 81000200 - 1805150 ns MR4_I 01000214 6841d006 - 1805170 ns MR4_I 01000218 d1fc07c9 - 1805170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1805250 ns MR4_D 40006004 00000001 - 1805250 ns R r1 00000001 - 1805250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1805270 ns MR4_I 0100021c 1c5b6002 - 1805270 ns R r1 80000000 - 1805270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1805290 ns R psr 81000200 - 1805310 ns MR4_I 01000214 6841d006 - 1805330 ns MR4_I 01000218 d1fc07c9 - 1805330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1805410 ns MR4_D 40006004 00000001 - 1805410 ns R r1 00000001 - 1805410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1805430 ns MR4_I 0100021c 1c5b6002 - 1805430 ns R r1 80000000 - 1805430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1805450 ns R psr 81000200 - 1805470 ns MR4_I 01000214 6841d006 - 1805490 ns MR4_I 01000218 d1fc07c9 - 1805490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1805570 ns MR4_D 40006004 00000000 - 1805570 ns R r1 00000000 - 1805570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1805590 ns MR4_I 0100021c 1c5b6002 - 1805590 ns R r1 00000000 - 1805590 ns IS 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1805610 ns R psr 41000200 - 1805610 ns IT 0100021c 6002 STR r2,[r0,#0] - 1805630 ns MR4_I 01000220 d1f52a00 - 1805690 ns MW4_D 40006000 00000073 - 1805690 ns IT 0100021e 1c5b ADDS r3,r3,#1 - 1805710 ns R r3 010002c4 - 1805710 ns IT 01000220 2a00 CMP r2,#0 - 1805730 ns R psr 01000200 - 1805730 ns MR4_I 01000224 680a4911 - 1805730 ns IT 01000222 d1f5 BNE {pc} - 0x12 ; 0x1000210 - 1805750 ns R psr 21000200 - 1805770 ns MR4_I 01000210 2a00781a - 1805790 ns IT 01000210 781a LDRB r2,[r3,#0] - 1805810 ns MR4_I 01000214 6841d006 - 1805830 ns MR1_D 010002c4 000a0a68 - 1805830 ns R r2 00000068 - 1805830 ns IT 01000212 2a00 CMP r2,#0 - 1805850 ns IS 01000214 d006 BEQ {pc} + 0x10 ; 0x1000224 - 1805870 ns R psr 21000200 - 1805870 ns MR4_I 01000218 d1fc07c9 - 1805870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1805950 ns MR4_D 40006004 00000001 - 1805950 ns R r1 00000001 - 1805950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1805970 ns MR4_I 0100021c 1c5b6002 - 1805970 ns R r1 80000000 - 1805970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1805990 ns R psr 81000200 - 1806010 ns MR4_I 01000214 6841d006 - 1806030 ns MR4_I 01000218 d1fc07c9 - 1806030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1806110 ns MR4_D 40006004 00000001 - 1806110 ns R r1 00000001 - 1806110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1806130 ns MR4_I 0100021c 1c5b6002 - 1806130 ns R r1 80000000 - 1806130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1806150 ns R psr 81000200 - 1806170 ns MR4_I 01000214 6841d006 - 1806190 ns MR4_I 01000218 d1fc07c9 - 1806190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1806270 ns MR4_D 40006004 00000001 - 1806270 ns R r1 00000001 - 1806270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1806290 ns MR4_I 0100021c 1c5b6002 - 1806290 ns R r1 80000000 - 1806290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1806310 ns R psr 81000200 - 1806330 ns MR4_I 01000214 6841d006 - 1806350 ns MR4_I 01000218 d1fc07c9 - 1806350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1806430 ns MR4_D 40006004 00000001 - 1806430 ns R r1 00000001 - 1806430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1806450 ns MR4_I 0100021c 1c5b6002 - 1806450 ns R r1 80000000 - 1806450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1806470 ns R psr 81000200 - 1806490 ns MR4_I 01000214 6841d006 - 1806510 ns MR4_I 01000218 d1fc07c9 - 1806510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1806590 ns MR4_D 40006004 00000001 - 1806590 ns R r1 00000001 - 1806590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1806610 ns MR4_I 0100021c 1c5b6002 - 1806610 ns R r1 80000000 - 1806610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1806630 ns R psr 81000200 - 1806650 ns MR4_I 01000214 6841d006 - 1806670 ns MR4_I 01000218 d1fc07c9 - 1806670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1806750 ns MR4_D 40006004 00000001 - 1806750 ns R r1 00000001 - 1806750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1806770 ns MR4_I 0100021c 1c5b6002 - 1806770 ns R r1 80000000 - 1806770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1806790 ns R psr 81000200 - 1806810 ns MR4_I 01000214 6841d006 - 1806830 ns MR4_I 01000218 d1fc07c9 - 1806830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1806910 ns MR4_D 40006004 00000001 - 1806910 ns R r1 00000001 - 1806910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1806930 ns MR4_I 0100021c 1c5b6002 - 1806930 ns R r1 80000000 - 1806930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1806950 ns R psr 81000200 - 1806970 ns MR4_I 01000214 6841d006 - 1806990 ns MR4_I 01000218 d1fc07c9 - 1806990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1807070 ns MR4_D 40006004 00000001 - 1807070 ns R r1 00000001 - 1807070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1807090 ns MR4_I 0100021c 1c5b6002 - 1807090 ns R r1 80000000 - 1807090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1807110 ns R psr 81000200 - 1807130 ns MR4_I 01000214 6841d006 - 1807150 ns MR4_I 01000218 d1fc07c9 - 1807150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1807230 ns MR4_D 40006004 00000001 - 1807230 ns R r1 00000001 - 1807230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1807250 ns MR4_I 0100021c 1c5b6002 - 1807250 ns R r1 80000000 - 1807250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1807270 ns R psr 81000200 - 1807290 ns MR4_I 01000214 6841d006 - 1807310 ns MR4_I 01000218 d1fc07c9 - 1807310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1807390 ns MR4_D 40006004 00000001 - 1807390 ns R r1 00000001 - 1807390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1807410 ns MR4_I 0100021c 1c5b6002 - 1807410 ns R r1 80000000 - 1807410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1807430 ns R psr 81000200 - 1807450 ns MR4_I 01000214 6841d006 - 1807470 ns MR4_I 01000218 d1fc07c9 - 1807470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1807550 ns MR4_D 40006004 00000001 - 1807550 ns R r1 00000001 - 1807550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1807570 ns MR4_I 0100021c 1c5b6002 - 1807570 ns R r1 80000000 - 1807570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1807590 ns R psr 81000200 - 1807610 ns MR4_I 01000214 6841d006 - 1807630 ns MR4_I 01000218 d1fc07c9 - 1807630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1807710 ns MR4_D 40006004 00000001 - 1807710 ns R r1 00000001 - 1807710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1807730 ns MR4_I 0100021c 1c5b6002 - 1807730 ns R r1 80000000 - 1807730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1807750 ns R psr 81000200 - 1807770 ns MR4_I 01000214 6841d006 - 1807790 ns MR4_I 01000218 d1fc07c9 - 1807790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1807870 ns MR4_D 40006004 00000001 - 1807870 ns R r1 00000001 - 1807870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1807890 ns MR4_I 0100021c 1c5b6002 - 1807890 ns R r1 80000000 - 1807890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1807910 ns R psr 81000200 - 1807930 ns MR4_I 01000214 6841d006 - 1807950 ns MR4_I 01000218 d1fc07c9 - 1807950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1808030 ns MR4_D 40006004 00000001 - 1808030 ns R r1 00000001 - 1808030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1808050 ns MR4_I 0100021c 1c5b6002 - 1808050 ns R r1 80000000 - 1808050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1808070 ns R psr 81000200 - 1808090 ns MR4_I 01000214 6841d006 - 1808110 ns MR4_I 01000218 d1fc07c9 - 1808110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1808190 ns MR4_D 40006004 00000001 - 1808190 ns R r1 00000001 - 1808190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1808210 ns MR4_I 0100021c 1c5b6002 - 1808210 ns R r1 80000000 - 1808210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1808230 ns R psr 81000200 - 1808250 ns MR4_I 01000214 6841d006 - 1808270 ns MR4_I 01000218 d1fc07c9 - 1808270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1808350 ns MR4_D 40006004 00000001 - 1808350 ns R r1 00000001 - 1808350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1808370 ns MR4_I 0100021c 1c5b6002 - 1808370 ns R r1 80000000 - 1808370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1808390 ns R psr 81000200 - 1808410 ns MR4_I 01000214 6841d006 - 1808430 ns MR4_I 01000218 d1fc07c9 - 1808430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1808510 ns MR4_D 40006004 00000001 - 1808510 ns R r1 00000001 - 1808510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1808530 ns MR4_I 0100021c 1c5b6002 - 1808530 ns R r1 80000000 - 1808530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1808550 ns R psr 81000200 - 1808570 ns MR4_I 01000214 6841d006 - 1808590 ns MR4_I 01000218 d1fc07c9 - 1808590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1808670 ns MR4_D 40006004 00000001 - 1808670 ns R r1 00000001 - 1808670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1808690 ns MR4_I 0100021c 1c5b6002 - 1808690 ns R r1 80000000 - 1808690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1808710 ns R psr 81000200 - 1808730 ns MR4_I 01000214 6841d006 - 1808750 ns MR4_I 01000218 d1fc07c9 - 1808750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1808830 ns MR4_D 40006004 00000001 - 1808830 ns R r1 00000001 - 1808830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1808850 ns MR4_I 0100021c 1c5b6002 - 1808850 ns R r1 80000000 - 1808850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1808870 ns R psr 81000200 - 1808890 ns MR4_I 01000214 6841d006 - 1808910 ns MR4_I 01000218 d1fc07c9 - 1808910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1808990 ns MR4_D 40006004 00000001 - 1808990 ns R r1 00000001 - 1808990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1809010 ns MR4_I 0100021c 1c5b6002 - 1809010 ns R r1 80000000 - 1809010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1809030 ns R psr 81000200 - 1809050 ns MR4_I 01000214 6841d006 - 1809070 ns MR4_I 01000218 d1fc07c9 - 1809070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1809150 ns MR4_D 40006004 00000001 - 1809150 ns R r1 00000001 - 1809150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1809170 ns MR4_I 0100021c 1c5b6002 - 1809170 ns R r1 80000000 - 1809170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1809190 ns R psr 81000200 - 1809210 ns MR4_I 01000214 6841d006 - 1809230 ns MR4_I 01000218 d1fc07c9 - 1809230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1809310 ns MR4_D 40006004 00000001 - 1809310 ns R r1 00000001 - 1809310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1809330 ns MR4_I 0100021c 1c5b6002 - 1809330 ns R r1 80000000 - 1809330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1809350 ns R psr 81000200 - 1809370 ns MR4_I 01000214 6841d006 - 1809390 ns MR4_I 01000218 d1fc07c9 - 1809390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1809470 ns MR4_D 40006004 00000001 - 1809470 ns R r1 00000001 - 1809470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1809490 ns MR4_I 0100021c 1c5b6002 - 1809490 ns R r1 80000000 - 1809490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1809510 ns R psr 81000200 - 1809530 ns MR4_I 01000214 6841d006 - 1809550 ns MR4_I 01000218 d1fc07c9 - 1809550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1809630 ns MR4_D 40006004 00000001 - 1809630 ns R r1 00000001 - 1809630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1809650 ns MR4_I 0100021c 1c5b6002 - 1809650 ns R r1 80000000 - 1809650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1809670 ns R psr 81000200 - 1809690 ns MR4_I 01000214 6841d006 - 1809710 ns MR4_I 01000218 d1fc07c9 - 1809710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1809790 ns MR4_D 40006004 00000001 - 1809790 ns R r1 00000001 - 1809790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1809810 ns MR4_I 0100021c 1c5b6002 - 1809810 ns R r1 80000000 - 1809810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1809830 ns R psr 81000200 - 1809850 ns MR4_I 01000214 6841d006 - 1809870 ns MR4_I 01000218 d1fc07c9 - 1809870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1809950 ns MR4_D 40006004 00000001 - 1809950 ns R r1 00000001 - 1809950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1809970 ns MR4_I 0100021c 1c5b6002 - 1809970 ns R r1 80000000 - 1809970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1809990 ns R psr 81000200 - 1810010 ns MR4_I 01000214 6841d006 - 1810030 ns MR4_I 01000218 d1fc07c9 - 1810030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1810110 ns MR4_D 40006004 00000001 - 1810110 ns R r1 00000001 - 1810110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1810130 ns MR4_I 0100021c 1c5b6002 - 1810130 ns R r1 80000000 - 1810130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1810150 ns R psr 81000200 - 1810170 ns MR4_I 01000214 6841d006 - 1810190 ns MR4_I 01000218 d1fc07c9 - 1810190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1810270 ns MR4_D 40006004 00000001 - 1810270 ns R r1 00000001 - 1810270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1810290 ns MR4_I 0100021c 1c5b6002 - 1810290 ns R r1 80000000 - 1810290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1810310 ns R psr 81000200 - 1810330 ns MR4_I 01000214 6841d006 - 1810350 ns MR4_I 01000218 d1fc07c9 - 1810350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1810430 ns MR4_D 40006004 00000001 - 1810430 ns R r1 00000001 - 1810430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1810450 ns MR4_I 0100021c 1c5b6002 - 1810450 ns R r1 80000000 - 1810450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1810470 ns R psr 81000200 - 1810490 ns MR4_I 01000214 6841d006 - 1810510 ns MR4_I 01000218 d1fc07c9 - 1810510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1810590 ns MR4_D 40006004 00000001 - 1810590 ns R r1 00000001 - 1810590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1810610 ns MR4_I 0100021c 1c5b6002 - 1810610 ns R r1 80000000 - 1810610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1810630 ns R psr 81000200 - 1810650 ns MR4_I 01000214 6841d006 - 1810670 ns MR4_I 01000218 d1fc07c9 - 1810670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1810750 ns MR4_D 40006004 00000001 - 1810750 ns R r1 00000001 - 1810750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1810770 ns MR4_I 0100021c 1c5b6002 - 1810770 ns R r1 80000000 - 1810770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1810790 ns R psr 81000200 - 1810810 ns MR4_I 01000214 6841d006 - 1810830 ns MR4_I 01000218 d1fc07c9 - 1810830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1810910 ns MR4_D 40006004 00000001 - 1810910 ns R r1 00000001 - 1810910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1810930 ns MR4_I 0100021c 1c5b6002 - 1810930 ns R r1 80000000 - 1810930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1810950 ns R psr 81000200 - 1810970 ns MR4_I 01000214 6841d006 - 1810990 ns MR4_I 01000218 d1fc07c9 - 1810990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1811070 ns MR4_D 40006004 00000001 - 1811070 ns R r1 00000001 - 1811070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1811090 ns MR4_I 0100021c 1c5b6002 - 1811090 ns R r1 80000000 - 1811090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1811110 ns R psr 81000200 - 1811130 ns MR4_I 01000214 6841d006 - 1811150 ns MR4_I 01000218 d1fc07c9 - 1811150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1811230 ns MR4_D 40006004 00000001 - 1811230 ns R r1 00000001 - 1811230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1811250 ns MR4_I 0100021c 1c5b6002 - 1811250 ns R r1 80000000 - 1811250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1811270 ns R psr 81000200 - 1811290 ns MR4_I 01000214 6841d006 - 1811310 ns MR4_I 01000218 d1fc07c9 - 1811310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1811390 ns MR4_D 40006004 00000001 - 1811390 ns R r1 00000001 - 1811390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1811410 ns MR4_I 0100021c 1c5b6002 - 1811410 ns R r1 80000000 - 1811410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1811430 ns R psr 81000200 - 1811450 ns MR4_I 01000214 6841d006 - 1811470 ns MR4_I 01000218 d1fc07c9 - 1811470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1811550 ns MR4_D 40006004 00000001 - 1811550 ns R r1 00000001 - 1811550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1811570 ns MR4_I 0100021c 1c5b6002 - 1811570 ns R r1 80000000 - 1811570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1811590 ns R psr 81000200 - 1811610 ns MR4_I 01000214 6841d006 - 1811630 ns MR4_I 01000218 d1fc07c9 - 1811630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1811710 ns MR4_D 40006004 00000001 - 1811710 ns R r1 00000001 - 1811710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1811730 ns MR4_I 0100021c 1c5b6002 - 1811730 ns R r1 80000000 - 1811730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1811750 ns R psr 81000200 - 1811770 ns MR4_I 01000214 6841d006 - 1811790 ns MR4_I 01000218 d1fc07c9 - 1811790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1811870 ns MR4_D 40006004 00000001 - 1811870 ns R r1 00000001 - 1811870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1811890 ns MR4_I 0100021c 1c5b6002 - 1811890 ns R r1 80000000 - 1811890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1811910 ns R psr 81000200 - 1811930 ns MR4_I 01000214 6841d006 - 1811950 ns MR4_I 01000218 d1fc07c9 - 1811950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1812030 ns MR4_D 40006004 00000001 - 1812030 ns R r1 00000001 - 1812030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1812050 ns MR4_I 0100021c 1c5b6002 - 1812050 ns R r1 80000000 - 1812050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1812070 ns R psr 81000200 - 1812090 ns MR4_I 01000214 6841d006 - 1812110 ns MR4_I 01000218 d1fc07c9 - 1812110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1812190 ns MR4_D 40006004 00000001 - 1812190 ns R r1 00000001 - 1812190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1812210 ns MR4_I 0100021c 1c5b6002 - 1812210 ns R r1 80000000 - 1812210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1812230 ns R psr 81000200 - 1812250 ns MR4_I 01000214 6841d006 - 1812270 ns MR4_I 01000218 d1fc07c9 - 1812270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1812350 ns MR4_D 40006004 00000001 - 1812350 ns R r1 00000001 - 1812350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1812370 ns MR4_I 0100021c 1c5b6002 - 1812370 ns R r1 80000000 - 1812370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1812390 ns R psr 81000200 - 1812410 ns MR4_I 01000214 6841d006 - 1812430 ns MR4_I 01000218 d1fc07c9 - 1812430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1812510 ns MR4_D 40006004 00000001 - 1812510 ns R r1 00000001 - 1812510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1812530 ns MR4_I 0100021c 1c5b6002 - 1812530 ns R r1 80000000 - 1812530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1812550 ns R psr 81000200 - 1812570 ns MR4_I 01000214 6841d006 - 1812590 ns MR4_I 01000218 d1fc07c9 - 1812590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1812670 ns MR4_D 40006004 00000001 - 1812670 ns R r1 00000001 - 1812670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1812690 ns MR4_I 0100021c 1c5b6002 - 1812690 ns R r1 80000000 - 1812690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1812710 ns R psr 81000200 - 1812730 ns MR4_I 01000214 6841d006 - 1812750 ns MR4_I 01000218 d1fc07c9 - 1812750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1812830 ns MR4_D 40006004 00000001 - 1812830 ns R r1 00000001 - 1812830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1812850 ns MR4_I 0100021c 1c5b6002 - 1812850 ns R r1 80000000 - 1812850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1812870 ns R psr 81000200 - 1812890 ns MR4_I 01000214 6841d006 - 1812910 ns MR4_I 01000218 d1fc07c9 - 1812910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1812990 ns MR4_D 40006004 00000001 - 1812990 ns R r1 00000001 - 1812990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1813010 ns MR4_I 0100021c 1c5b6002 - 1813010 ns R r1 80000000 - 1813010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1813030 ns R psr 81000200 - 1813050 ns MR4_I 01000214 6841d006 - 1813070 ns MR4_I 01000218 d1fc07c9 - 1813070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1813150 ns MR4_D 40006004 00000001 - 1813150 ns R r1 00000001 - 1813150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1813170 ns MR4_I 0100021c 1c5b6002 - 1813170 ns R r1 80000000 - 1813170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1813190 ns R psr 81000200 - 1813210 ns MR4_I 01000214 6841d006 - 1813230 ns MR4_I 01000218 d1fc07c9 - 1813230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1813310 ns MR4_D 40006004 00000001 - 1813310 ns R r1 00000001 - 1813310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1813330 ns MR4_I 0100021c 1c5b6002 - 1813330 ns R r1 80000000 - 1813330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1813350 ns R psr 81000200 - 1813370 ns MR4_I 01000214 6841d006 - 1813390 ns MR4_I 01000218 d1fc07c9 - 1813390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1813470 ns MR4_D 40006004 00000001 - 1813470 ns R r1 00000001 - 1813470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1813490 ns MR4_I 0100021c 1c5b6002 - 1813490 ns R r1 80000000 - 1813490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1813510 ns R psr 81000200 - 1813530 ns MR4_I 01000214 6841d006 - 1813550 ns MR4_I 01000218 d1fc07c9 - 1813550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1813630 ns MR4_D 40006004 00000001 - 1813630 ns R r1 00000001 - 1813630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1813650 ns MR4_I 0100021c 1c5b6002 - 1813650 ns R r1 80000000 - 1813650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1813670 ns R psr 81000200 - 1813690 ns MR4_I 01000214 6841d006 - 1813710 ns MR4_I 01000218 d1fc07c9 - 1813710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1813790 ns MR4_D 40006004 00000001 - 1813790 ns R r1 00000001 - 1813790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1813810 ns MR4_I 0100021c 1c5b6002 - 1813810 ns R r1 80000000 - 1813810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1813830 ns R psr 81000200 - 1813850 ns MR4_I 01000214 6841d006 - 1813870 ns MR4_I 01000218 d1fc07c9 - 1813870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1813950 ns MR4_D 40006004 00000001 - 1813950 ns R r1 00000001 - 1813950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1813970 ns MR4_I 0100021c 1c5b6002 - 1813970 ns R r1 80000000 - 1813970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1813990 ns R psr 81000200 - 1814010 ns MR4_I 01000214 6841d006 - 1814030 ns MR4_I 01000218 d1fc07c9 - 1814030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1814110 ns MR4_D 40006004 00000001 - 1814110 ns R r1 00000001 - 1814110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1814130 ns MR4_I 0100021c 1c5b6002 - 1814130 ns R r1 80000000 - 1814130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1814150 ns R psr 81000200 - 1814170 ns MR4_I 01000214 6841d006 - 1814190 ns MR4_I 01000218 d1fc07c9 - 1814190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1814270 ns MR4_D 40006004 00000001 - 1814270 ns R r1 00000001 - 1814270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1814290 ns MR4_I 0100021c 1c5b6002 - 1814290 ns R r1 80000000 - 1814290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1814310 ns R psr 81000200 - 1814330 ns MR4_I 01000214 6841d006 - 1814350 ns MR4_I 01000218 d1fc07c9 - 1814350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1814430 ns MR4_D 40006004 00000001 - 1814430 ns R r1 00000001 - 1814430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1814450 ns MR4_I 0100021c 1c5b6002 - 1814450 ns R r1 80000000 - 1814450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1814470 ns R psr 81000200 - 1814490 ns MR4_I 01000214 6841d006 - 1814510 ns MR4_I 01000218 d1fc07c9 - 1814510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1814590 ns MR4_D 40006004 00000001 - 1814590 ns R r1 00000001 - 1814590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1814610 ns MR4_I 0100021c 1c5b6002 - 1814610 ns R r1 80000000 - 1814610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1814630 ns R psr 81000200 - 1814650 ns MR4_I 01000214 6841d006 - 1814670 ns MR4_I 01000218 d1fc07c9 - 1814670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1814750 ns MR4_D 40006004 00000001 - 1814750 ns R r1 00000001 - 1814750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1814770 ns MR4_I 0100021c 1c5b6002 - 1814770 ns R r1 80000000 - 1814770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1814790 ns R psr 81000200 - 1814810 ns MR4_I 01000214 6841d006 - 1814830 ns MR4_I 01000218 d1fc07c9 - 1814830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1814910 ns MR4_D 40006004 00000001 - 1814910 ns R r1 00000001 - 1814910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1814930 ns MR4_I 0100021c 1c5b6002 - 1814930 ns R r1 80000000 - 1814930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1814950 ns R psr 81000200 - 1814970 ns MR4_I 01000214 6841d006 - 1814990 ns MR4_I 01000218 d1fc07c9 - 1814990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1815070 ns MR4_D 40006004 00000001 - 1815070 ns R r1 00000001 - 1815070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1815090 ns MR4_I 0100021c 1c5b6002 - 1815090 ns R r1 80000000 - 1815090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1815110 ns R psr 81000200 - 1815130 ns MR4_I 01000214 6841d006 - 1815150 ns MR4_I 01000218 d1fc07c9 - 1815150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1815230 ns MR4_D 40006004 00000001 - 1815230 ns R r1 00000001 - 1815230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1815250 ns MR4_I 0100021c 1c5b6002 - 1815250 ns R r1 80000000 - 1815250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1815270 ns R psr 81000200 - 1815290 ns MR4_I 01000214 6841d006 - 1815310 ns MR4_I 01000218 d1fc07c9 - 1815310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1815390 ns MR4_D 40006004 00000001 - 1815390 ns R r1 00000001 - 1815390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1815410 ns MR4_I 0100021c 1c5b6002 - 1815410 ns R r1 80000000 - 1815410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1815430 ns R psr 81000200 - 1815450 ns MR4_I 01000214 6841d006 - 1815470 ns MR4_I 01000218 d1fc07c9 - 1815470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1815550 ns MR4_D 40006004 00000001 - 1815550 ns R r1 00000001 - 1815550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1815570 ns MR4_I 0100021c 1c5b6002 - 1815570 ns R r1 80000000 - 1815570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1815590 ns R psr 81000200 - 1815610 ns MR4_I 01000214 6841d006 - 1815630 ns MR4_I 01000218 d1fc07c9 - 1815630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1815710 ns MR4_D 40006004 00000001 - 1815710 ns R r1 00000001 - 1815710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1815730 ns MR4_I 0100021c 1c5b6002 - 1815730 ns R r1 80000000 - 1815730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1815750 ns R psr 81000200 - 1815770 ns MR4_I 01000214 6841d006 - 1815790 ns MR4_I 01000218 d1fc07c9 - 1815790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1815870 ns MR4_D 40006004 00000001 - 1815870 ns R r1 00000001 - 1815870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1815890 ns MR4_I 0100021c 1c5b6002 - 1815890 ns R r1 80000000 - 1815890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1815910 ns R psr 81000200 - 1815930 ns MR4_I 01000214 6841d006 - 1815950 ns MR4_I 01000218 d1fc07c9 - 1815950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1816030 ns MR4_D 40006004 00000001 - 1816030 ns R r1 00000001 - 1816030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1816050 ns MR4_I 0100021c 1c5b6002 - 1816050 ns R r1 80000000 - 1816050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1816070 ns R psr 81000200 - 1816090 ns MR4_I 01000214 6841d006 - 1816110 ns MR4_I 01000218 d1fc07c9 - 1816110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1816190 ns MR4_D 40006004 00000001 - 1816190 ns R r1 00000001 - 1816190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1816210 ns MR4_I 0100021c 1c5b6002 - 1816210 ns R r1 80000000 - 1816210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1816230 ns R psr 81000200 - 1816250 ns MR4_I 01000214 6841d006 - 1816270 ns MR4_I 01000218 d1fc07c9 - 1816270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1816350 ns MR4_D 40006004 00000001 - 1816350 ns R r1 00000001 - 1816350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1816370 ns MR4_I 0100021c 1c5b6002 - 1816370 ns R r1 80000000 - 1816370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1816390 ns R psr 81000200 - 1816410 ns MR4_I 01000214 6841d006 - 1816430 ns MR4_I 01000218 d1fc07c9 - 1816430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1816510 ns MR4_D 40006004 00000001 - 1816510 ns R r1 00000001 - 1816510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1816530 ns MR4_I 0100021c 1c5b6002 - 1816530 ns R r1 80000000 - 1816530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1816550 ns R psr 81000200 - 1816570 ns MR4_I 01000214 6841d006 - 1816590 ns MR4_I 01000218 d1fc07c9 - 1816590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1816670 ns MR4_D 40006004 00000001 - 1816670 ns R r1 00000001 - 1816670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1816690 ns MR4_I 0100021c 1c5b6002 - 1816690 ns R r1 80000000 - 1816690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1816710 ns R psr 81000200 - 1816730 ns MR4_I 01000214 6841d006 - 1816750 ns MR4_I 01000218 d1fc07c9 - 1816750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1816830 ns MR4_D 40006004 00000001 - 1816830 ns R r1 00000001 - 1816830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1816850 ns MR4_I 0100021c 1c5b6002 - 1816850 ns R r1 80000000 - 1816850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1816870 ns R psr 81000200 - 1816890 ns MR4_I 01000214 6841d006 - 1816910 ns MR4_I 01000218 d1fc07c9 - 1816910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1816990 ns MR4_D 40006004 00000001 - 1816990 ns R r1 00000001 - 1816990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1817010 ns MR4_I 0100021c 1c5b6002 - 1817010 ns R r1 80000000 - 1817010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1817030 ns R psr 81000200 - 1817050 ns MR4_I 01000214 6841d006 - 1817070 ns MR4_I 01000218 d1fc07c9 - 1817070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1817150 ns MR4_D 40006004 00000001 - 1817150 ns R r1 00000001 - 1817150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1817170 ns MR4_I 0100021c 1c5b6002 - 1817170 ns R r1 80000000 - 1817170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1817190 ns R psr 81000200 - 1817210 ns MR4_I 01000214 6841d006 - 1817230 ns MR4_I 01000218 d1fc07c9 - 1817230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1817310 ns MR4_D 40006004 00000001 - 1817310 ns R r1 00000001 - 1817310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1817330 ns MR4_I 0100021c 1c5b6002 - 1817330 ns R r1 80000000 - 1817330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1817350 ns R psr 81000200 - 1817370 ns MR4_I 01000214 6841d006 - 1817390 ns MR4_I 01000218 d1fc07c9 - 1817390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1817470 ns MR4_D 40006004 00000001 - 1817470 ns R r1 00000001 - 1817470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1817490 ns MR4_I 0100021c 1c5b6002 - 1817490 ns R r1 80000000 - 1817490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1817510 ns R psr 81000200 - 1817530 ns MR4_I 01000214 6841d006 - 1817550 ns MR4_I 01000218 d1fc07c9 - 1817550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1817630 ns MR4_D 40006004 00000001 - 1817630 ns R r1 00000001 - 1817630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1817650 ns MR4_I 0100021c 1c5b6002 - 1817650 ns R r1 80000000 - 1817650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1817670 ns R psr 81000200 - 1817690 ns MR4_I 01000214 6841d006 - 1817710 ns MR4_I 01000218 d1fc07c9 - 1817710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1817790 ns MR4_D 40006004 00000001 - 1817790 ns R r1 00000001 - 1817790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1817810 ns MR4_I 0100021c 1c5b6002 - 1817810 ns R r1 80000000 - 1817810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1817830 ns R psr 81000200 - 1817850 ns MR4_I 01000214 6841d006 - 1817870 ns MR4_I 01000218 d1fc07c9 - 1817870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1817950 ns MR4_D 40006004 00000001 - 1817950 ns R r1 00000001 - 1817950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1817970 ns MR4_I 0100021c 1c5b6002 - 1817970 ns R r1 80000000 - 1817970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1817990 ns R psr 81000200 - 1818010 ns MR4_I 01000214 6841d006 - 1818030 ns MR4_I 01000218 d1fc07c9 - 1818030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1818110 ns MR4_D 40006004 00000001 - 1818110 ns R r1 00000001 - 1818110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1818130 ns MR4_I 0100021c 1c5b6002 - 1818130 ns R r1 80000000 - 1818130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1818150 ns R psr 81000200 - 1818170 ns MR4_I 01000214 6841d006 - 1818190 ns MR4_I 01000218 d1fc07c9 - 1818190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1818270 ns MR4_D 40006004 00000001 - 1818270 ns R r1 00000001 - 1818270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1818290 ns MR4_I 0100021c 1c5b6002 - 1818290 ns R r1 80000000 - 1818290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1818310 ns R psr 81000200 - 1818330 ns MR4_I 01000214 6841d006 - 1818350 ns MR4_I 01000218 d1fc07c9 - 1818350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1818430 ns MR4_D 40006004 00000001 - 1818430 ns R r1 00000001 - 1818430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1818450 ns MR4_I 0100021c 1c5b6002 - 1818450 ns R r1 80000000 - 1818450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1818470 ns R psr 81000200 - 1818490 ns MR4_I 01000214 6841d006 - 1818510 ns MR4_I 01000218 d1fc07c9 - 1818510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1818590 ns MR4_D 40006004 00000001 - 1818590 ns R r1 00000001 - 1818590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1818610 ns MR4_I 0100021c 1c5b6002 - 1818610 ns R r1 80000000 - 1818610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1818630 ns R psr 81000200 - 1818650 ns MR4_I 01000214 6841d006 - 1818670 ns MR4_I 01000218 d1fc07c9 - 1818670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1818750 ns MR4_D 40006004 00000001 - 1818750 ns R r1 00000001 - 1818750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1818770 ns MR4_I 0100021c 1c5b6002 - 1818770 ns R r1 80000000 - 1818770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1818790 ns R psr 81000200 - 1818810 ns MR4_I 01000214 6841d006 - 1818830 ns MR4_I 01000218 d1fc07c9 - 1818830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1818910 ns MR4_D 40006004 00000001 - 1818910 ns R r1 00000001 - 1818910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1818930 ns MR4_I 0100021c 1c5b6002 - 1818930 ns R r1 80000000 - 1818930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1818950 ns R psr 81000200 - 1818970 ns MR4_I 01000214 6841d006 - 1818990 ns MR4_I 01000218 d1fc07c9 - 1818990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1819070 ns MR4_D 40006004 00000001 - 1819070 ns R r1 00000001 - 1819070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1819090 ns MR4_I 0100021c 1c5b6002 - 1819090 ns R r1 80000000 - 1819090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1819110 ns R psr 81000200 - 1819130 ns MR4_I 01000214 6841d006 - 1819150 ns MR4_I 01000218 d1fc07c9 - 1819150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1819230 ns MR4_D 40006004 00000001 - 1819230 ns R r1 00000001 - 1819230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1819250 ns MR4_I 0100021c 1c5b6002 - 1819250 ns R r1 80000000 - 1819250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1819270 ns R psr 81000200 - 1819290 ns MR4_I 01000214 6841d006 - 1819310 ns MR4_I 01000218 d1fc07c9 - 1819310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1819390 ns MR4_D 40006004 00000001 - 1819390 ns R r1 00000001 - 1819390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1819410 ns MR4_I 0100021c 1c5b6002 - 1819410 ns R r1 80000000 - 1819410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1819430 ns R psr 81000200 - 1819450 ns MR4_I 01000214 6841d006 - 1819470 ns MR4_I 01000218 d1fc07c9 - 1819470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1819550 ns MR4_D 40006004 00000001 - 1819550 ns R r1 00000001 - 1819550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1819570 ns MR4_I 0100021c 1c5b6002 - 1819570 ns R r1 80000000 - 1819570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1819590 ns R psr 81000200 - 1819610 ns MR4_I 01000214 6841d006 - 1819630 ns MR4_I 01000218 d1fc07c9 - 1819630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1819710 ns MR4_D 40006004 00000001 - 1819710 ns R r1 00000001 - 1819710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1819730 ns MR4_I 0100021c 1c5b6002 - 1819730 ns R r1 80000000 - 1819730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1819750 ns R psr 81000200 - 1819770 ns MR4_I 01000214 6841d006 - 1819790 ns MR4_I 01000218 d1fc07c9 - 1819790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1819870 ns MR4_D 40006004 00000001 - 1819870 ns R r1 00000001 - 1819870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1819890 ns MR4_I 0100021c 1c5b6002 - 1819890 ns R r1 80000000 - 1819890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1819910 ns R psr 81000200 - 1819930 ns MR4_I 01000214 6841d006 - 1819950 ns MR4_I 01000218 d1fc07c9 - 1819950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1820030 ns MR4_D 40006004 00000001 - 1820030 ns R r1 00000001 - 1820030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1820050 ns MR4_I 0100021c 1c5b6002 - 1820050 ns R r1 80000000 - 1820050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1820070 ns R psr 81000200 - 1820090 ns MR4_I 01000214 6841d006 - 1820110 ns MR4_I 01000218 d1fc07c9 - 1820110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1820190 ns MR4_D 40006004 00000001 - 1820190 ns R r1 00000001 - 1820190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1820210 ns MR4_I 0100021c 1c5b6002 - 1820210 ns R r1 80000000 - 1820210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1820230 ns R psr 81000200 - 1820250 ns MR4_I 01000214 6841d006 - 1820270 ns MR4_I 01000218 d1fc07c9 - 1820270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1820350 ns MR4_D 40006004 00000001 - 1820350 ns R r1 00000001 - 1820350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1820370 ns MR4_I 0100021c 1c5b6002 - 1820370 ns R r1 80000000 - 1820370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1820390 ns R psr 81000200 - 1820410 ns MR4_I 01000214 6841d006 - 1820430 ns MR4_I 01000218 d1fc07c9 - 1820430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1820510 ns MR4_D 40006004 00000001 - 1820510 ns R r1 00000001 - 1820510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1820530 ns MR4_I 0100021c 1c5b6002 - 1820530 ns R r1 80000000 - 1820530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1820550 ns R psr 81000200 - 1820570 ns MR4_I 01000214 6841d006 - 1820590 ns MR4_I 01000218 d1fc07c9 - 1820590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1820670 ns MR4_D 40006004 00000001 - 1820670 ns R r1 00000001 - 1820670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1820690 ns MR4_I 0100021c 1c5b6002 - 1820690 ns R r1 80000000 - 1820690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1820710 ns R psr 81000200 - 1820730 ns MR4_I 01000214 6841d006 - 1820750 ns MR4_I 01000218 d1fc07c9 - 1820750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1820830 ns MR4_D 40006004 00000001 - 1820830 ns R r1 00000001 - 1820830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1820850 ns MR4_I 0100021c 1c5b6002 - 1820850 ns R r1 80000000 - 1820850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1820870 ns R psr 81000200 - 1820890 ns MR4_I 01000214 6841d006 - 1820910 ns MR4_I 01000218 d1fc07c9 - 1820910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1820990 ns MR4_D 40006004 00000001 - 1820990 ns R r1 00000001 - 1820990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1821010 ns MR4_I 0100021c 1c5b6002 - 1821010 ns R r1 80000000 - 1821010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1821030 ns R psr 81000200 - 1821050 ns MR4_I 01000214 6841d006 - 1821070 ns MR4_I 01000218 d1fc07c9 - 1821070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1821150 ns MR4_D 40006004 00000001 - 1821150 ns R r1 00000001 - 1821150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1821170 ns MR4_I 0100021c 1c5b6002 - 1821170 ns R r1 80000000 - 1821170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1821190 ns R psr 81000200 - 1821210 ns MR4_I 01000214 6841d006 - 1821230 ns MR4_I 01000218 d1fc07c9 - 1821230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1821310 ns MR4_D 40006004 00000001 - 1821310 ns R r1 00000001 - 1821310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1821330 ns MR4_I 0100021c 1c5b6002 - 1821330 ns R r1 80000000 - 1821330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1821350 ns R psr 81000200 - 1821370 ns MR4_I 01000214 6841d006 - 1821390 ns MR4_I 01000218 d1fc07c9 - 1821390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1821470 ns MR4_D 40006004 00000001 - 1821470 ns R r1 00000001 - 1821470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1821490 ns MR4_I 0100021c 1c5b6002 - 1821490 ns R r1 80000000 - 1821490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1821510 ns R psr 81000200 - 1821530 ns MR4_I 01000214 6841d006 - 1821550 ns MR4_I 01000218 d1fc07c9 - 1821550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1821630 ns MR4_D 40006004 00000001 - 1821630 ns R r1 00000001 - 1821630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1821650 ns MR4_I 0100021c 1c5b6002 - 1821650 ns R r1 80000000 - 1821650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1821670 ns R psr 81000200 - 1821690 ns MR4_I 01000214 6841d006 - 1821710 ns MR4_I 01000218 d1fc07c9 - 1821710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1821790 ns MR4_D 40006004 00000001 - 1821790 ns R r1 00000001 - 1821790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1821810 ns MR4_I 0100021c 1c5b6002 - 1821810 ns R r1 80000000 - 1821810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1821830 ns R psr 81000200 - 1821850 ns MR4_I 01000214 6841d006 - 1821870 ns MR4_I 01000218 d1fc07c9 - 1821870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1821950 ns MR4_D 40006004 00000001 - 1821950 ns R r1 00000001 - 1821950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1821970 ns MR4_I 0100021c 1c5b6002 - 1821970 ns R r1 80000000 - 1821970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1821990 ns R psr 81000200 - 1822010 ns MR4_I 01000214 6841d006 - 1822030 ns MR4_I 01000218 d1fc07c9 - 1822030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1822110 ns MR4_D 40006004 00000001 - 1822110 ns R r1 00000001 - 1822110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1822130 ns MR4_I 0100021c 1c5b6002 - 1822130 ns R r1 80000000 - 1822130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1822150 ns R psr 81000200 - 1822170 ns MR4_I 01000214 6841d006 - 1822190 ns MR4_I 01000218 d1fc07c9 - 1822190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1822270 ns MR4_D 40006004 00000001 - 1822270 ns R r1 00000001 - 1822270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1822290 ns MR4_I 0100021c 1c5b6002 - 1822290 ns R r1 80000000 - 1822290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1822310 ns R psr 81000200 - 1822330 ns MR4_I 01000214 6841d006 - 1822350 ns MR4_I 01000218 d1fc07c9 - 1822350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1822430 ns MR4_D 40006004 00000001 - 1822430 ns R r1 00000001 - 1822430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1822450 ns MR4_I 0100021c 1c5b6002 - 1822450 ns R r1 80000000 - 1822450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1822470 ns R psr 81000200 - 1822490 ns MR4_I 01000214 6841d006 - 1822510 ns MR4_I 01000218 d1fc07c9 - 1822510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1822590 ns MR4_D 40006004 00000001 - 1822590 ns R r1 00000001 - 1822590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1822610 ns MR4_I 0100021c 1c5b6002 - 1822610 ns R r1 80000000 - 1822610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1822630 ns R psr 81000200 - 1822650 ns MR4_I 01000214 6841d006 - 1822670 ns MR4_I 01000218 d1fc07c9 - 1822670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1822750 ns MR4_D 40006004 00000001 - 1822750 ns R r1 00000001 - 1822750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1822770 ns MR4_I 0100021c 1c5b6002 - 1822770 ns R r1 80000000 - 1822770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1822790 ns R psr 81000200 - 1822810 ns MR4_I 01000214 6841d006 - 1822830 ns MR4_I 01000218 d1fc07c9 - 1822830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1822910 ns MR4_D 40006004 00000001 - 1822910 ns R r1 00000001 - 1822910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1822930 ns MR4_I 0100021c 1c5b6002 - 1822930 ns R r1 80000000 - 1822930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1822950 ns R psr 81000200 - 1822970 ns MR4_I 01000214 6841d006 - 1822990 ns MR4_I 01000218 d1fc07c9 - 1822990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1823070 ns MR4_D 40006004 00000001 - 1823070 ns R r1 00000001 - 1823070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1823090 ns MR4_I 0100021c 1c5b6002 - 1823090 ns R r1 80000000 - 1823090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1823110 ns R psr 81000200 - 1823130 ns MR4_I 01000214 6841d006 - 1823150 ns MR4_I 01000218 d1fc07c9 - 1823150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1823230 ns MR4_D 40006004 00000001 - 1823230 ns R r1 00000001 - 1823230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1823250 ns MR4_I 0100021c 1c5b6002 - 1823250 ns R r1 80000000 - 1823250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1823270 ns R psr 81000200 - 1823290 ns MR4_I 01000214 6841d006 - 1823310 ns MR4_I 01000218 d1fc07c9 - 1823310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1823390 ns MR4_D 40006004 00000001 - 1823390 ns R r1 00000001 - 1823390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1823410 ns MR4_I 0100021c 1c5b6002 - 1823410 ns R r1 80000000 - 1823410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1823430 ns R psr 81000200 - 1823450 ns MR4_I 01000214 6841d006 - 1823470 ns MR4_I 01000218 d1fc07c9 - 1823470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1823550 ns MR4_D 40006004 00000001 - 1823550 ns R r1 00000001 - 1823550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1823570 ns MR4_I 0100021c 1c5b6002 - 1823570 ns R r1 80000000 - 1823570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1823590 ns R psr 81000200 - 1823610 ns MR4_I 01000214 6841d006 - 1823630 ns MR4_I 01000218 d1fc07c9 - 1823630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1823710 ns MR4_D 40006004 00000001 - 1823710 ns R r1 00000001 - 1823710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1823730 ns MR4_I 0100021c 1c5b6002 - 1823730 ns R r1 80000000 - 1823730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1823750 ns R psr 81000200 - 1823770 ns MR4_I 01000214 6841d006 - 1823790 ns MR4_I 01000218 d1fc07c9 - 1823790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1823870 ns MR4_D 40006004 00000001 - 1823870 ns R r1 00000001 - 1823870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1823890 ns MR4_I 0100021c 1c5b6002 - 1823890 ns R r1 80000000 - 1823890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1823910 ns R psr 81000200 - 1823930 ns MR4_I 01000214 6841d006 - 1823950 ns MR4_I 01000218 d1fc07c9 - 1823950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1824030 ns MR4_D 40006004 00000001 - 1824030 ns R r1 00000001 - 1824030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1824050 ns MR4_I 0100021c 1c5b6002 - 1824050 ns R r1 80000000 - 1824050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1824070 ns R psr 81000200 - 1824090 ns MR4_I 01000214 6841d006 - 1824110 ns MR4_I 01000218 d1fc07c9 - 1824110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1824190 ns MR4_D 40006004 00000001 - 1824190 ns R r1 00000001 - 1824190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1824210 ns MR4_I 0100021c 1c5b6002 - 1824210 ns R r1 80000000 - 1824210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1824230 ns R psr 81000200 - 1824250 ns MR4_I 01000214 6841d006 - 1824270 ns MR4_I 01000218 d1fc07c9 - 1824270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1824350 ns MR4_D 40006004 00000001 - 1824350 ns R r1 00000001 - 1824350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1824370 ns MR4_I 0100021c 1c5b6002 - 1824370 ns R r1 80000000 - 1824370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1824390 ns R psr 81000200 - 1824410 ns MR4_I 01000214 6841d006 - 1824430 ns MR4_I 01000218 d1fc07c9 - 1824430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1824510 ns MR4_D 40006004 00000001 - 1824510 ns R r1 00000001 - 1824510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1824530 ns MR4_I 0100021c 1c5b6002 - 1824530 ns R r1 80000000 - 1824530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1824550 ns R psr 81000200 - 1824570 ns MR4_I 01000214 6841d006 - 1824590 ns MR4_I 01000218 d1fc07c9 - 1824590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1824670 ns MR4_D 40006004 00000001 - 1824670 ns R r1 00000001 - 1824670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1824690 ns MR4_I 0100021c 1c5b6002 - 1824690 ns R r1 80000000 - 1824690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1824710 ns R psr 81000200 - 1824730 ns MR4_I 01000214 6841d006 - 1824750 ns MR4_I 01000218 d1fc07c9 - 1824750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1824830 ns MR4_D 40006004 00000001 - 1824830 ns R r1 00000001 - 1824830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1824850 ns MR4_I 0100021c 1c5b6002 - 1824850 ns R r1 80000000 - 1824850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1824870 ns R psr 81000200 - 1824890 ns MR4_I 01000214 6841d006 - 1824910 ns MR4_I 01000218 d1fc07c9 - 1824910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1824990 ns MR4_D 40006004 00000001 - 1824990 ns R r1 00000001 - 1824990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1825010 ns MR4_I 0100021c 1c5b6002 - 1825010 ns R r1 80000000 - 1825010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1825030 ns R psr 81000200 - 1825050 ns MR4_I 01000214 6841d006 - 1825070 ns MR4_I 01000218 d1fc07c9 - 1825070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1825150 ns MR4_D 40006004 00000001 - 1825150 ns R r1 00000001 - 1825150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1825170 ns MR4_I 0100021c 1c5b6002 - 1825170 ns R r1 80000000 - 1825170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1825190 ns R psr 81000200 - 1825210 ns MR4_I 01000214 6841d006 - 1825230 ns MR4_I 01000218 d1fc07c9 - 1825230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1825310 ns MR4_D 40006004 00000001 - 1825310 ns R r1 00000001 - 1825310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1825330 ns MR4_I 0100021c 1c5b6002 - 1825330 ns R r1 80000000 - 1825330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1825350 ns R psr 81000200 - 1825370 ns MR4_I 01000214 6841d006 - 1825390 ns MR4_I 01000218 d1fc07c9 - 1825390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1825470 ns MR4_D 40006004 00000001 - 1825470 ns R r1 00000001 - 1825470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1825490 ns MR4_I 0100021c 1c5b6002 - 1825490 ns R r1 80000000 - 1825490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1825510 ns R psr 81000200 - 1825530 ns MR4_I 01000214 6841d006 - 1825550 ns MR4_I 01000218 d1fc07c9 - 1825550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1825630 ns MR4_D 40006004 00000001 - 1825630 ns R r1 00000001 - 1825630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1825650 ns MR4_I 0100021c 1c5b6002 - 1825650 ns R r1 80000000 - 1825650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1825670 ns R psr 81000200 - 1825690 ns MR4_I 01000214 6841d006 - 1825710 ns MR4_I 01000218 d1fc07c9 - 1825710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1825790 ns MR4_D 40006004 00000001 - 1825790 ns R r1 00000001 - 1825790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1825810 ns MR4_I 0100021c 1c5b6002 - 1825810 ns R r1 80000000 - 1825810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1825830 ns R psr 81000200 - 1825850 ns MR4_I 01000214 6841d006 - 1825870 ns MR4_I 01000218 d1fc07c9 - 1825870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1825950 ns MR4_D 40006004 00000001 - 1825950 ns R r1 00000001 - 1825950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1825970 ns MR4_I 0100021c 1c5b6002 - 1825970 ns R r1 80000000 - 1825970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1825990 ns R psr 81000200 - 1826010 ns MR4_I 01000214 6841d006 - 1826030 ns MR4_I 01000218 d1fc07c9 - 1826030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1826110 ns MR4_D 40006004 00000001 - 1826110 ns R r1 00000001 - 1826110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1826130 ns MR4_I 0100021c 1c5b6002 - 1826130 ns R r1 80000000 - 1826130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1826150 ns R psr 81000200 - 1826170 ns MR4_I 01000214 6841d006 - 1826190 ns MR4_I 01000218 d1fc07c9 - 1826190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1826270 ns MR4_D 40006004 00000001 - 1826270 ns R r1 00000001 - 1826270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1826290 ns MR4_I 0100021c 1c5b6002 - 1826290 ns R r1 80000000 - 1826290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1826310 ns R psr 81000200 - 1826330 ns MR4_I 01000214 6841d006 - 1826350 ns MR4_I 01000218 d1fc07c9 - 1826350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1826430 ns MR4_D 40006004 00000001 - 1826430 ns R r1 00000001 - 1826430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1826450 ns MR4_I 0100021c 1c5b6002 - 1826450 ns R r1 80000000 - 1826450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1826470 ns R psr 81000200 - 1826490 ns MR4_I 01000214 6841d006 - 1826510 ns MR4_I 01000218 d1fc07c9 - 1826510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1826590 ns MR4_D 40006004 00000001 - 1826590 ns R r1 00000001 - 1826590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1826610 ns MR4_I 0100021c 1c5b6002 - 1826610 ns R r1 80000000 - 1826610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1826630 ns R psr 81000200 - 1826650 ns MR4_I 01000214 6841d006 - 1826670 ns MR4_I 01000218 d1fc07c9 - 1826670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1826750 ns MR4_D 40006004 00000001 - 1826750 ns R r1 00000001 - 1826750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1826770 ns MR4_I 0100021c 1c5b6002 - 1826770 ns R r1 80000000 - 1826770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1826790 ns R psr 81000200 - 1826810 ns MR4_I 01000214 6841d006 - 1826830 ns MR4_I 01000218 d1fc07c9 - 1826830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1826910 ns MR4_D 40006004 00000001 - 1826910 ns R r1 00000001 - 1826910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1826930 ns MR4_I 0100021c 1c5b6002 - 1826930 ns R r1 80000000 - 1826930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1826950 ns R psr 81000200 - 1826970 ns MR4_I 01000214 6841d006 - 1826990 ns MR4_I 01000218 d1fc07c9 - 1826990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1827070 ns MR4_D 40006004 00000001 - 1827070 ns R r1 00000001 - 1827070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1827090 ns MR4_I 0100021c 1c5b6002 - 1827090 ns R r1 80000000 - 1827090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1827110 ns R psr 81000200 - 1827130 ns MR4_I 01000214 6841d006 - 1827150 ns MR4_I 01000218 d1fc07c9 - 1827150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1827230 ns MR4_D 40006004 00000001 - 1827230 ns R r1 00000001 - 1827230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1827250 ns MR4_I 0100021c 1c5b6002 - 1827250 ns R r1 80000000 - 1827250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1827270 ns R psr 81000200 - 1827290 ns MR4_I 01000214 6841d006 - 1827310 ns MR4_I 01000218 d1fc07c9 - 1827310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1827390 ns MR4_D 40006004 00000001 - 1827390 ns R r1 00000001 - 1827390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1827410 ns MR4_I 0100021c 1c5b6002 - 1827410 ns R r1 80000000 - 1827410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1827430 ns R psr 81000200 - 1827450 ns MR4_I 01000214 6841d006 - 1827470 ns MR4_I 01000218 d1fc07c9 - 1827470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1827550 ns MR4_D 40006004 00000001 - 1827550 ns R r1 00000001 - 1827550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1827570 ns MR4_I 0100021c 1c5b6002 - 1827570 ns R r1 80000000 - 1827570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1827590 ns R psr 81000200 - 1827610 ns MR4_I 01000214 6841d006 - 1827630 ns MR4_I 01000218 d1fc07c9 - 1827630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1827710 ns MR4_D 40006004 00000001 - 1827710 ns R r1 00000001 - 1827710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1827730 ns MR4_I 0100021c 1c5b6002 - 1827730 ns R r1 80000000 - 1827730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1827750 ns R psr 81000200 - 1827770 ns MR4_I 01000214 6841d006 - 1827790 ns MR4_I 01000218 d1fc07c9 - 1827790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1827870 ns MR4_D 40006004 00000001 - 1827870 ns R r1 00000001 - 1827870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1827890 ns MR4_I 0100021c 1c5b6002 - 1827890 ns R r1 80000000 - 1827890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1827910 ns R psr 81000200 - 1827930 ns MR4_I 01000214 6841d006 - 1827950 ns MR4_I 01000218 d1fc07c9 - 1827950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1828030 ns MR4_D 40006004 00000001 - 1828030 ns R r1 00000001 - 1828030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1828050 ns MR4_I 0100021c 1c5b6002 - 1828050 ns R r1 80000000 - 1828050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1828070 ns R psr 81000200 - 1828090 ns MR4_I 01000214 6841d006 - 1828110 ns MR4_I 01000218 d1fc07c9 - 1828110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1828190 ns MR4_D 40006004 00000001 - 1828190 ns R r1 00000001 - 1828190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1828210 ns MR4_I 0100021c 1c5b6002 - 1828210 ns R r1 80000000 - 1828210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1828230 ns R psr 81000200 - 1828250 ns MR4_I 01000214 6841d006 - 1828270 ns MR4_I 01000218 d1fc07c9 - 1828270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1828350 ns MR4_D 40006004 00000001 - 1828350 ns R r1 00000001 - 1828350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1828370 ns MR4_I 0100021c 1c5b6002 - 1828370 ns R r1 80000000 - 1828370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1828390 ns R psr 81000200 - 1828410 ns MR4_I 01000214 6841d006 - 1828430 ns MR4_I 01000218 d1fc07c9 - 1828430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1828510 ns MR4_D 40006004 00000001 - 1828510 ns R r1 00000001 - 1828510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1828530 ns MR4_I 0100021c 1c5b6002 - 1828530 ns R r1 80000000 - 1828530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1828550 ns R psr 81000200 - 1828570 ns MR4_I 01000214 6841d006 - 1828590 ns MR4_I 01000218 d1fc07c9 - 1828590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1828670 ns MR4_D 40006004 00000001 - 1828670 ns R r1 00000001 - 1828670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1828690 ns MR4_I 0100021c 1c5b6002 - 1828690 ns R r1 80000000 - 1828690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1828710 ns R psr 81000200 - 1828730 ns MR4_I 01000214 6841d006 - 1828750 ns MR4_I 01000218 d1fc07c9 - 1828750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1828830 ns MR4_D 40006004 00000001 - 1828830 ns R r1 00000001 - 1828830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1828850 ns MR4_I 0100021c 1c5b6002 - 1828850 ns R r1 80000000 - 1828850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1828870 ns R psr 81000200 - 1828890 ns MR4_I 01000214 6841d006 - 1828910 ns MR4_I 01000218 d1fc07c9 - 1828910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1828990 ns MR4_D 40006004 00000001 - 1828990 ns R r1 00000001 - 1828990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1829010 ns MR4_I 0100021c 1c5b6002 - 1829010 ns R r1 80000000 - 1829010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1829030 ns R psr 81000200 - 1829050 ns MR4_I 01000214 6841d006 - 1829070 ns MR4_I 01000218 d1fc07c9 - 1829070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1829150 ns MR4_D 40006004 00000001 - 1829150 ns R r1 00000001 - 1829150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1829170 ns MR4_I 0100021c 1c5b6002 - 1829170 ns R r1 80000000 - 1829170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1829190 ns R psr 81000200 - 1829210 ns MR4_I 01000214 6841d006 - 1829230 ns MR4_I 01000218 d1fc07c9 - 1829230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1829310 ns MR4_D 40006004 00000001 - 1829310 ns R r1 00000001 - 1829310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1829330 ns MR4_I 0100021c 1c5b6002 - 1829330 ns R r1 80000000 - 1829330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1829350 ns R psr 81000200 - 1829370 ns MR4_I 01000214 6841d006 - 1829390 ns MR4_I 01000218 d1fc07c9 - 1829390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1829470 ns MR4_D 40006004 00000001 - 1829470 ns R r1 00000001 - 1829470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1829490 ns MR4_I 0100021c 1c5b6002 - 1829490 ns R r1 80000000 - 1829490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1829510 ns R psr 81000200 - 1829530 ns MR4_I 01000214 6841d006 - 1829550 ns MR4_I 01000218 d1fc07c9 - 1829550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1829630 ns MR4_D 40006004 00000001 - 1829630 ns R r1 00000001 - 1829630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1829650 ns MR4_I 0100021c 1c5b6002 - 1829650 ns R r1 80000000 - 1829650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1829670 ns R psr 81000200 - 1829690 ns MR4_I 01000214 6841d006 - 1829710 ns MR4_I 01000218 d1fc07c9 - 1829710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1829790 ns MR4_D 40006004 00000001 - 1829790 ns R r1 00000001 - 1829790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1829810 ns MR4_I 0100021c 1c5b6002 - 1829810 ns R r1 80000000 - 1829810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1829830 ns R psr 81000200 - 1829850 ns MR4_I 01000214 6841d006 - 1829870 ns MR4_I 01000218 d1fc07c9 - 1829870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1829950 ns MR4_D 40006004 00000001 - 1829950 ns R r1 00000001 - 1829950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1829970 ns MR4_I 0100021c 1c5b6002 - 1829970 ns R r1 80000000 - 1829970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1829990 ns R psr 81000200 - 1830010 ns MR4_I 01000214 6841d006 - 1830030 ns MR4_I 01000218 d1fc07c9 - 1830030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1830110 ns MR4_D 40006004 00000001 - 1830110 ns R r1 00000001 - 1830110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1830130 ns MR4_I 0100021c 1c5b6002 - 1830130 ns R r1 80000000 - 1830130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1830150 ns R psr 81000200 - 1830170 ns MR4_I 01000214 6841d006 - 1830190 ns MR4_I 01000218 d1fc07c9 - 1830190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1830270 ns MR4_D 40006004 00000001 - 1830270 ns R r1 00000001 - 1830270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1830290 ns MR4_I 0100021c 1c5b6002 - 1830290 ns R r1 80000000 - 1830290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1830310 ns R psr 81000200 - 1830330 ns MR4_I 01000214 6841d006 - 1830350 ns MR4_I 01000218 d1fc07c9 - 1830350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1830430 ns MR4_D 40006004 00000001 - 1830430 ns R r1 00000001 - 1830430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1830450 ns MR4_I 0100021c 1c5b6002 - 1830450 ns R r1 80000000 - 1830450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1830470 ns R psr 81000200 - 1830490 ns MR4_I 01000214 6841d006 - 1830510 ns MR4_I 01000218 d1fc07c9 - 1830510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1830590 ns MR4_D 40006004 00000001 - 1830590 ns R r1 00000001 - 1830590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1830610 ns MR4_I 0100021c 1c5b6002 - 1830610 ns R r1 80000000 - 1830610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1830630 ns R psr 81000200 - 1830650 ns MR4_I 01000214 6841d006 - 1830670 ns MR4_I 01000218 d1fc07c9 - 1830670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1830750 ns MR4_D 40006004 00000001 - 1830750 ns R r1 00000001 - 1830750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1830770 ns MR4_I 0100021c 1c5b6002 - 1830770 ns R r1 80000000 - 1830770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1830790 ns R psr 81000200 - 1830810 ns MR4_I 01000214 6841d006 - 1830830 ns MR4_I 01000218 d1fc07c9 - 1830830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1830910 ns MR4_D 40006004 00000001 - 1830910 ns R r1 00000001 - 1830910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1830930 ns MR4_I 0100021c 1c5b6002 - 1830930 ns R r1 80000000 - 1830930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1830950 ns R psr 81000200 - 1830970 ns MR4_I 01000214 6841d006 - 1830990 ns MR4_I 01000218 d1fc07c9 - 1830990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1831070 ns MR4_D 40006004 00000001 - 1831070 ns R r1 00000001 - 1831070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1831090 ns MR4_I 0100021c 1c5b6002 - 1831090 ns R r1 80000000 - 1831090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1831110 ns R psr 81000200 - 1831130 ns MR4_I 01000214 6841d006 - 1831150 ns MR4_I 01000218 d1fc07c9 - 1831150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1831230 ns MR4_D 40006004 00000001 - 1831230 ns R r1 00000001 - 1831230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1831250 ns MR4_I 0100021c 1c5b6002 - 1831250 ns R r1 80000000 - 1831250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1831270 ns R psr 81000200 - 1831290 ns MR4_I 01000214 6841d006 - 1831310 ns MR4_I 01000218 d1fc07c9 - 1831310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1831390 ns MR4_D 40006004 00000001 - 1831390 ns R r1 00000001 - 1831390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1831410 ns MR4_I 0100021c 1c5b6002 - 1831410 ns R r1 80000000 - 1831410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1831430 ns R psr 81000200 - 1831450 ns MR4_I 01000214 6841d006 - 1831470 ns MR4_I 01000218 d1fc07c9 - 1831470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1831550 ns MR4_D 40006004 00000001 - 1831550 ns R r1 00000001 - 1831550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1831570 ns MR4_I 0100021c 1c5b6002 - 1831570 ns R r1 80000000 - 1831570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1831590 ns R psr 81000200 - 1831610 ns MR4_I 01000214 6841d006 - 1831630 ns MR4_I 01000218 d1fc07c9 - 1831630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1831710 ns MR4_D 40006004 00000001 - 1831710 ns R r1 00000001 - 1831710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1831730 ns MR4_I 0100021c 1c5b6002 - 1831730 ns R r1 80000000 - 1831730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1831750 ns R psr 81000200 - 1831770 ns MR4_I 01000214 6841d006 - 1831790 ns MR4_I 01000218 d1fc07c9 - 1831790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1831870 ns MR4_D 40006004 00000001 - 1831870 ns R r1 00000001 - 1831870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1831890 ns MR4_I 0100021c 1c5b6002 - 1831890 ns R r1 80000000 - 1831890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1831910 ns R psr 81000200 - 1831930 ns MR4_I 01000214 6841d006 - 1831950 ns MR4_I 01000218 d1fc07c9 - 1831950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1832030 ns MR4_D 40006004 00000001 - 1832030 ns R r1 00000001 - 1832030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1832050 ns MR4_I 0100021c 1c5b6002 - 1832050 ns R r1 80000000 - 1832050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1832070 ns R psr 81000200 - 1832090 ns MR4_I 01000214 6841d006 - 1832110 ns MR4_I 01000218 d1fc07c9 - 1832110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1832190 ns MR4_D 40006004 00000001 - 1832190 ns R r1 00000001 - 1832190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1832210 ns MR4_I 0100021c 1c5b6002 - 1832210 ns R r1 80000000 - 1832210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1832230 ns R psr 81000200 - 1832250 ns MR4_I 01000214 6841d006 - 1832270 ns MR4_I 01000218 d1fc07c9 - 1832270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1832350 ns MR4_D 40006004 00000001 - 1832350 ns R r1 00000001 - 1832350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1832370 ns MR4_I 0100021c 1c5b6002 - 1832370 ns R r1 80000000 - 1832370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1832390 ns R psr 81000200 - 1832410 ns MR4_I 01000214 6841d006 - 1832430 ns MR4_I 01000218 d1fc07c9 - 1832430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1832510 ns MR4_D 40006004 00000001 - 1832510 ns R r1 00000001 - 1832510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1832530 ns MR4_I 0100021c 1c5b6002 - 1832530 ns R r1 80000000 - 1832530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1832550 ns R psr 81000200 - 1832570 ns MR4_I 01000214 6841d006 - 1832590 ns MR4_I 01000218 d1fc07c9 - 1832590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1832670 ns MR4_D 40006004 00000001 - 1832670 ns R r1 00000001 - 1832670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1832690 ns MR4_I 0100021c 1c5b6002 - 1832690 ns R r1 80000000 - 1832690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1832710 ns R psr 81000200 - 1832730 ns MR4_I 01000214 6841d006 - 1832750 ns MR4_I 01000218 d1fc07c9 - 1832750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1832830 ns MR4_D 40006004 00000001 - 1832830 ns R r1 00000001 - 1832830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1832850 ns MR4_I 0100021c 1c5b6002 - 1832850 ns R r1 80000000 - 1832850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1832870 ns R psr 81000200 - 1832890 ns MR4_I 01000214 6841d006 - 1832910 ns MR4_I 01000218 d1fc07c9 - 1832910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1832990 ns MR4_D 40006004 00000001 - 1832990 ns R r1 00000001 - 1832990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1833010 ns MR4_I 0100021c 1c5b6002 - 1833010 ns R r1 80000000 - 1833010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1833030 ns R psr 81000200 - 1833050 ns MR4_I 01000214 6841d006 - 1833070 ns MR4_I 01000218 d1fc07c9 - 1833070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1833150 ns MR4_D 40006004 00000001 - 1833150 ns R r1 00000001 - 1833150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1833170 ns MR4_I 0100021c 1c5b6002 - 1833170 ns R r1 80000000 - 1833170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1833190 ns R psr 81000200 - 1833210 ns MR4_I 01000214 6841d006 - 1833230 ns MR4_I 01000218 d1fc07c9 - 1833230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1833310 ns MR4_D 40006004 00000001 - 1833310 ns R r1 00000001 - 1833310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1833330 ns MR4_I 0100021c 1c5b6002 - 1833330 ns R r1 80000000 - 1833330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1833350 ns R psr 81000200 - 1833370 ns MR4_I 01000214 6841d006 - 1833390 ns MR4_I 01000218 d1fc07c9 - 1833390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1833470 ns MR4_D 40006004 00000001 - 1833470 ns R r1 00000001 - 1833470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1833490 ns MR4_I 0100021c 1c5b6002 - 1833490 ns R r1 80000000 - 1833490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1833510 ns R psr 81000200 - 1833530 ns MR4_I 01000214 6841d006 - 1833550 ns MR4_I 01000218 d1fc07c9 - 1833550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1833630 ns MR4_D 40006004 00000001 - 1833630 ns R r1 00000001 - 1833630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1833650 ns MR4_I 0100021c 1c5b6002 - 1833650 ns R r1 80000000 - 1833650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1833670 ns R psr 81000200 - 1833690 ns MR4_I 01000214 6841d006 - 1833710 ns MR4_I 01000218 d1fc07c9 - 1833710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1833790 ns MR4_D 40006004 00000001 - 1833790 ns R r1 00000001 - 1833790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1833810 ns MR4_I 0100021c 1c5b6002 - 1833810 ns R r1 80000000 - 1833810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1833830 ns R psr 81000200 - 1833850 ns MR4_I 01000214 6841d006 - 1833870 ns MR4_I 01000218 d1fc07c9 - 1833870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1833950 ns MR4_D 40006004 00000001 - 1833950 ns R r1 00000001 - 1833950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1833970 ns MR4_I 0100021c 1c5b6002 - 1833970 ns R r1 80000000 - 1833970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1833990 ns R psr 81000200 - 1834010 ns MR4_I 01000214 6841d006 - 1834030 ns MR4_I 01000218 d1fc07c9 - 1834030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1834110 ns MR4_D 40006004 00000001 - 1834110 ns R r1 00000001 - 1834110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1834130 ns MR4_I 0100021c 1c5b6002 - 1834130 ns R r1 80000000 - 1834130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1834150 ns R psr 81000200 - 1834170 ns MR4_I 01000214 6841d006 - 1834190 ns MR4_I 01000218 d1fc07c9 - 1834190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1834270 ns MR4_D 40006004 00000001 - 1834270 ns R r1 00000001 - 1834270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1834290 ns MR4_I 0100021c 1c5b6002 - 1834290 ns R r1 80000000 - 1834290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1834310 ns R psr 81000200 - 1834330 ns MR4_I 01000214 6841d006 - 1834350 ns MR4_I 01000218 d1fc07c9 - 1834350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1834430 ns MR4_D 40006004 00000001 - 1834430 ns R r1 00000001 - 1834430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1834450 ns MR4_I 0100021c 1c5b6002 - 1834450 ns R r1 80000000 - 1834450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1834470 ns R psr 81000200 - 1834490 ns MR4_I 01000214 6841d006 - 1834510 ns MR4_I 01000218 d1fc07c9 - 1834510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1834590 ns MR4_D 40006004 00000001 - 1834590 ns R r1 00000001 - 1834590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1834610 ns MR4_I 0100021c 1c5b6002 - 1834610 ns R r1 80000000 - 1834610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1834630 ns R psr 81000200 - 1834650 ns MR4_I 01000214 6841d006 - 1834670 ns MR4_I 01000218 d1fc07c9 - 1834670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1834750 ns MR4_D 40006004 00000001 - 1834750 ns R r1 00000001 - 1834750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1834770 ns MR4_I 0100021c 1c5b6002 - 1834770 ns R r1 80000000 - 1834770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1834790 ns R psr 81000200 - 1834810 ns MR4_I 01000214 6841d006 - 1834830 ns MR4_I 01000218 d1fc07c9 - 1834830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1834910 ns MR4_D 40006004 00000001 - 1834910 ns R r1 00000001 - 1834910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1834930 ns MR4_I 0100021c 1c5b6002 - 1834930 ns R r1 80000000 - 1834930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1834950 ns R psr 81000200 - 1834970 ns MR4_I 01000214 6841d006 - 1834990 ns MR4_I 01000218 d1fc07c9 - 1834990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1835070 ns MR4_D 40006004 00000001 - 1835070 ns R r1 00000001 - 1835070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1835090 ns MR4_I 0100021c 1c5b6002 - 1835090 ns R r1 80000000 - 1835090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1835110 ns R psr 81000200 - 1835130 ns MR4_I 01000214 6841d006 - 1835150 ns MR4_I 01000218 d1fc07c9 - 1835150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1835230 ns MR4_D 40006004 00000001 - 1835230 ns R r1 00000001 - 1835230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1835250 ns MR4_I 0100021c 1c5b6002 - 1835250 ns R r1 80000000 - 1835250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1835270 ns R psr 81000200 - 1835290 ns MR4_I 01000214 6841d006 - 1835310 ns MR4_I 01000218 d1fc07c9 - 1835310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1835390 ns MR4_D 40006004 00000001 - 1835390 ns R r1 00000001 - 1835390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1835410 ns MR4_I 0100021c 1c5b6002 - 1835410 ns R r1 80000000 - 1835410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1835430 ns R psr 81000200 - 1835450 ns MR4_I 01000214 6841d006 - 1835470 ns MR4_I 01000218 d1fc07c9 - 1835470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1835550 ns MR4_D 40006004 00000001 - 1835550 ns R r1 00000001 - 1835550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1835570 ns MR4_I 0100021c 1c5b6002 - 1835570 ns R r1 80000000 - 1835570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1835590 ns R psr 81000200 - 1835610 ns MR4_I 01000214 6841d006 - 1835630 ns MR4_I 01000218 d1fc07c9 - 1835630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1835710 ns MR4_D 40006004 00000001 - 1835710 ns R r1 00000001 - 1835710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1835730 ns MR4_I 0100021c 1c5b6002 - 1835730 ns R r1 80000000 - 1835730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1835750 ns R psr 81000200 - 1835770 ns MR4_I 01000214 6841d006 - 1835790 ns MR4_I 01000218 d1fc07c9 - 1835790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1835870 ns MR4_D 40006004 00000001 - 1835870 ns R r1 00000001 - 1835870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1835890 ns MR4_I 0100021c 1c5b6002 - 1835890 ns R r1 80000000 - 1835890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1835910 ns R psr 81000200 - 1835930 ns MR4_I 01000214 6841d006 - 1835950 ns MR4_I 01000218 d1fc07c9 - 1835950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1836030 ns MR4_D 40006004 00000001 - 1836030 ns R r1 00000001 - 1836030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1836050 ns MR4_I 0100021c 1c5b6002 - 1836050 ns R r1 80000000 - 1836050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1836070 ns R psr 81000200 - 1836090 ns MR4_I 01000214 6841d006 - 1836110 ns MR4_I 01000218 d1fc07c9 - 1836110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1836190 ns MR4_D 40006004 00000001 - 1836190 ns R r1 00000001 - 1836190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1836210 ns MR4_I 0100021c 1c5b6002 - 1836210 ns R r1 80000000 - 1836210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1836230 ns R psr 81000200 - 1836250 ns MR4_I 01000214 6841d006 - 1836270 ns MR4_I 01000218 d1fc07c9 - 1836270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1836350 ns MR4_D 40006004 00000001 - 1836350 ns R r1 00000001 - 1836350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1836370 ns MR4_I 0100021c 1c5b6002 - 1836370 ns R r1 80000000 - 1836370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1836390 ns R psr 81000200 - 1836410 ns MR4_I 01000214 6841d006 - 1836430 ns MR4_I 01000218 d1fc07c9 - 1836430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1836510 ns MR4_D 40006004 00000001 - 1836510 ns R r1 00000001 - 1836510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1836530 ns MR4_I 0100021c 1c5b6002 - 1836530 ns R r1 80000000 - 1836530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1836550 ns R psr 81000200 - 1836570 ns MR4_I 01000214 6841d006 - 1836590 ns MR4_I 01000218 d1fc07c9 - 1836590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1836670 ns MR4_D 40006004 00000001 - 1836670 ns R r1 00000001 - 1836670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1836690 ns MR4_I 0100021c 1c5b6002 - 1836690 ns R r1 80000000 - 1836690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1836710 ns R psr 81000200 - 1836730 ns MR4_I 01000214 6841d006 - 1836750 ns MR4_I 01000218 d1fc07c9 - 1836750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1836830 ns MR4_D 40006004 00000001 - 1836830 ns R r1 00000001 - 1836830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1836850 ns MR4_I 0100021c 1c5b6002 - 1836850 ns R r1 80000000 - 1836850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1836870 ns R psr 81000200 - 1836890 ns MR4_I 01000214 6841d006 - 1836910 ns MR4_I 01000218 d1fc07c9 - 1836910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1836990 ns MR4_D 40006004 00000001 - 1836990 ns R r1 00000001 - 1836990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1837010 ns MR4_I 0100021c 1c5b6002 - 1837010 ns R r1 80000000 - 1837010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1837030 ns R psr 81000200 - 1837050 ns MR4_I 01000214 6841d006 - 1837070 ns MR4_I 01000218 d1fc07c9 - 1837070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1837150 ns MR4_D 40006004 00000001 - 1837150 ns R r1 00000001 - 1837150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1837170 ns MR4_I 0100021c 1c5b6002 - 1837170 ns R r1 80000000 - 1837170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1837190 ns R psr 81000200 - 1837210 ns MR4_I 01000214 6841d006 - 1837230 ns MR4_I 01000218 d1fc07c9 - 1837230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1837310 ns MR4_D 40006004 00000001 - 1837310 ns R r1 00000001 - 1837310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1837330 ns MR4_I 0100021c 1c5b6002 - 1837330 ns R r1 80000000 - 1837330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1837350 ns R psr 81000200 - 1837370 ns MR4_I 01000214 6841d006 - 1837390 ns MR4_I 01000218 d1fc07c9 - 1837390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1837470 ns MR4_D 40006004 00000001 - 1837470 ns R r1 00000001 - 1837470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1837490 ns MR4_I 0100021c 1c5b6002 - 1837490 ns R r1 80000000 - 1837490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1837510 ns R psr 81000200 - 1837530 ns MR4_I 01000214 6841d006 - 1837550 ns MR4_I 01000218 d1fc07c9 - 1837550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1837630 ns MR4_D 40006004 00000001 - 1837630 ns R r1 00000001 - 1837630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1837650 ns MR4_I 0100021c 1c5b6002 - 1837650 ns R r1 80000000 - 1837650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1837670 ns R psr 81000200 - 1837690 ns MR4_I 01000214 6841d006 - 1837710 ns MR4_I 01000218 d1fc07c9 - 1837710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1837790 ns MR4_D 40006004 00000001 - 1837790 ns R r1 00000001 - 1837790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1837810 ns MR4_I 0100021c 1c5b6002 - 1837810 ns R r1 80000000 - 1837810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1837830 ns R psr 81000200 - 1837850 ns MR4_I 01000214 6841d006 - 1837870 ns MR4_I 01000218 d1fc07c9 - 1837870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1837950 ns MR4_D 40006004 00000001 - 1837950 ns R r1 00000001 - 1837950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1837970 ns MR4_I 0100021c 1c5b6002 - 1837970 ns R r1 80000000 - 1837970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1837990 ns R psr 81000200 - 1838010 ns MR4_I 01000214 6841d006 - 1838030 ns MR4_I 01000218 d1fc07c9 - 1838030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1838110 ns MR4_D 40006004 00000001 - 1838110 ns R r1 00000001 - 1838110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1838130 ns MR4_I 0100021c 1c5b6002 - 1838130 ns R r1 80000000 - 1838130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1838150 ns R psr 81000200 - 1838170 ns MR4_I 01000214 6841d006 - 1838190 ns MR4_I 01000218 d1fc07c9 - 1838190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1838270 ns MR4_D 40006004 00000001 - 1838270 ns R r1 00000001 - 1838270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1838290 ns MR4_I 0100021c 1c5b6002 - 1838290 ns R r1 80000000 - 1838290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1838310 ns R psr 81000200 - 1838330 ns MR4_I 01000214 6841d006 - 1838350 ns MR4_I 01000218 d1fc07c9 - 1838350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1838430 ns MR4_D 40006004 00000001 - 1838430 ns R r1 00000001 - 1838430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1838450 ns MR4_I 0100021c 1c5b6002 - 1838450 ns R r1 80000000 - 1838450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1838470 ns R psr 81000200 - 1838490 ns MR4_I 01000214 6841d006 - 1838510 ns MR4_I 01000218 d1fc07c9 - 1838510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1838590 ns MR4_D 40006004 00000001 - 1838590 ns R r1 00000001 - 1838590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1838610 ns MR4_I 0100021c 1c5b6002 - 1838610 ns R r1 80000000 - 1838610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1838630 ns R psr 81000200 - 1838650 ns MR4_I 01000214 6841d006 - 1838670 ns MR4_I 01000218 d1fc07c9 - 1838670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1838750 ns MR4_D 40006004 00000001 - 1838750 ns R r1 00000001 - 1838750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1838770 ns MR4_I 0100021c 1c5b6002 - 1838770 ns R r1 80000000 - 1838770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1838790 ns R psr 81000200 - 1838810 ns MR4_I 01000214 6841d006 - 1838830 ns MR4_I 01000218 d1fc07c9 - 1838830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1838910 ns MR4_D 40006004 00000001 - 1838910 ns R r1 00000001 - 1838910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1838930 ns MR4_I 0100021c 1c5b6002 - 1838930 ns R r1 80000000 - 1838930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1838950 ns R psr 81000200 - 1838970 ns MR4_I 01000214 6841d006 - 1838990 ns MR4_I 01000218 d1fc07c9 - 1838990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1839070 ns MR4_D 40006004 00000001 - 1839070 ns R r1 00000001 - 1839070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1839090 ns MR4_I 0100021c 1c5b6002 - 1839090 ns R r1 80000000 - 1839090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1839110 ns R psr 81000200 - 1839130 ns MR4_I 01000214 6841d006 - 1839150 ns MR4_I 01000218 d1fc07c9 - 1839150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1839230 ns MR4_D 40006004 00000001 - 1839230 ns R r1 00000001 - 1839230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1839250 ns MR4_I 0100021c 1c5b6002 - 1839250 ns R r1 80000000 - 1839250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1839270 ns R psr 81000200 - 1839290 ns MR4_I 01000214 6841d006 - 1839310 ns MR4_I 01000218 d1fc07c9 - 1839310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1839390 ns MR4_D 40006004 00000001 - 1839390 ns R r1 00000001 - 1839390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1839410 ns MR4_I 0100021c 1c5b6002 - 1839410 ns R r1 80000000 - 1839410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1839430 ns R psr 81000200 - 1839450 ns MR4_I 01000214 6841d006 - 1839470 ns MR4_I 01000218 d1fc07c9 - 1839470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1839550 ns MR4_D 40006004 00000001 - 1839550 ns R r1 00000001 - 1839550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1839570 ns MR4_I 0100021c 1c5b6002 - 1839570 ns R r1 80000000 - 1839570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1839590 ns R psr 81000200 - 1839610 ns MR4_I 01000214 6841d006 - 1839630 ns MR4_I 01000218 d1fc07c9 - 1839630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1839710 ns MR4_D 40006004 00000001 - 1839710 ns R r1 00000001 - 1839710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1839730 ns MR4_I 0100021c 1c5b6002 - 1839730 ns R r1 80000000 - 1839730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1839750 ns R psr 81000200 - 1839770 ns MR4_I 01000214 6841d006 - 1839790 ns MR4_I 01000218 d1fc07c9 - 1839790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1839870 ns MR4_D 40006004 00000001 - 1839870 ns R r1 00000001 - 1839870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1839890 ns MR4_I 0100021c 1c5b6002 - 1839890 ns R r1 80000000 - 1839890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1839910 ns R psr 81000200 - 1839930 ns MR4_I 01000214 6841d006 - 1839950 ns MR4_I 01000218 d1fc07c9 - 1839950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1840030 ns MR4_D 40006004 00000001 - 1840030 ns R r1 00000001 - 1840030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1840050 ns MR4_I 0100021c 1c5b6002 - 1840050 ns R r1 80000000 - 1840050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1840070 ns R psr 81000200 - 1840090 ns MR4_I 01000214 6841d006 - 1840110 ns MR4_I 01000218 d1fc07c9 - 1840110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1840190 ns MR4_D 40006004 00000001 - 1840190 ns R r1 00000001 - 1840190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1840210 ns MR4_I 0100021c 1c5b6002 - 1840210 ns R r1 80000000 - 1840210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1840230 ns R psr 81000200 - 1840250 ns MR4_I 01000214 6841d006 - 1840270 ns MR4_I 01000218 d1fc07c9 - 1840270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1840350 ns MR4_D 40006004 00000001 - 1840350 ns R r1 00000001 - 1840350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1840370 ns MR4_I 0100021c 1c5b6002 - 1840370 ns R r1 80000000 - 1840370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1840390 ns R psr 81000200 - 1840410 ns MR4_I 01000214 6841d006 - 1840430 ns MR4_I 01000218 d1fc07c9 - 1840430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1840510 ns MR4_D 40006004 00000001 - 1840510 ns R r1 00000001 - 1840510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1840530 ns MR4_I 0100021c 1c5b6002 - 1840530 ns R r1 80000000 - 1840530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1840550 ns R psr 81000200 - 1840570 ns MR4_I 01000214 6841d006 - 1840590 ns MR4_I 01000218 d1fc07c9 - 1840590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1840670 ns MR4_D 40006004 00000001 - 1840670 ns R r1 00000001 - 1840670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1840690 ns MR4_I 0100021c 1c5b6002 - 1840690 ns R r1 80000000 - 1840690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1840710 ns R psr 81000200 - 1840730 ns MR4_I 01000214 6841d006 - 1840750 ns MR4_I 01000218 d1fc07c9 - 1840750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1840830 ns MR4_D 40006004 00000001 - 1840830 ns R r1 00000001 - 1840830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1840850 ns MR4_I 0100021c 1c5b6002 - 1840850 ns R r1 80000000 - 1840850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1840870 ns R psr 81000200 - 1840890 ns MR4_I 01000214 6841d006 - 1840910 ns MR4_I 01000218 d1fc07c9 - 1840910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1840990 ns MR4_D 40006004 00000001 - 1840990 ns R r1 00000001 - 1840990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1841010 ns MR4_I 0100021c 1c5b6002 - 1841010 ns R r1 80000000 - 1841010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1841030 ns R psr 81000200 - 1841050 ns MR4_I 01000214 6841d006 - 1841070 ns MR4_I 01000218 d1fc07c9 - 1841070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1841150 ns MR4_D 40006004 00000001 - 1841150 ns R r1 00000001 - 1841150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1841170 ns MR4_I 0100021c 1c5b6002 - 1841170 ns R r1 80000000 - 1841170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1841190 ns R psr 81000200 - 1841210 ns MR4_I 01000214 6841d006 - 1841230 ns MR4_I 01000218 d1fc07c9 - 1841230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1841310 ns MR4_D 40006004 00000001 - 1841310 ns R r1 00000001 - 1841310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1841330 ns MR4_I 0100021c 1c5b6002 - 1841330 ns R r1 80000000 - 1841330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1841350 ns R psr 81000200 - 1841370 ns MR4_I 01000214 6841d006 - 1841390 ns MR4_I 01000218 d1fc07c9 - 1841390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1841470 ns MR4_D 40006004 00000001 - 1841470 ns R r1 00000001 - 1841470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1841490 ns MR4_I 0100021c 1c5b6002 - 1841490 ns R r1 80000000 - 1841490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1841510 ns R psr 81000200 - 1841530 ns MR4_I 01000214 6841d006 - 1841550 ns MR4_I 01000218 d1fc07c9 - 1841550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1841630 ns MR4_D 40006004 00000001 - 1841630 ns R r1 00000001 - 1841630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1841650 ns MR4_I 0100021c 1c5b6002 - 1841650 ns R r1 80000000 - 1841650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1841670 ns R psr 81000200 - 1841690 ns MR4_I 01000214 6841d006 - 1841710 ns MR4_I 01000218 d1fc07c9 - 1841710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1841790 ns MR4_D 40006004 00000001 - 1841790 ns R r1 00000001 - 1841790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1841810 ns MR4_I 0100021c 1c5b6002 - 1841810 ns R r1 80000000 - 1841810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1841830 ns R psr 81000200 - 1841850 ns MR4_I 01000214 6841d006 - 1841870 ns MR4_I 01000218 d1fc07c9 - 1841870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1841950 ns MR4_D 40006004 00000001 - 1841950 ns R r1 00000001 - 1841950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1841970 ns MR4_I 0100021c 1c5b6002 - 1841970 ns R r1 80000000 - 1841970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1841990 ns R psr 81000200 - 1842010 ns MR4_I 01000214 6841d006 - 1842030 ns MR4_I 01000218 d1fc07c9 - 1842030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1842110 ns MR4_D 40006004 00000001 - 1842110 ns R r1 00000001 - 1842110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1842130 ns MR4_I 0100021c 1c5b6002 - 1842130 ns R r1 80000000 - 1842130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1842150 ns R psr 81000200 - 1842170 ns MR4_I 01000214 6841d006 - 1842190 ns MR4_I 01000218 d1fc07c9 - 1842190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1842270 ns MR4_D 40006004 00000001 - 1842270 ns R r1 00000001 - 1842270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1842290 ns MR4_I 0100021c 1c5b6002 - 1842290 ns R r1 80000000 - 1842290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1842310 ns R psr 81000200 - 1842330 ns MR4_I 01000214 6841d006 - 1842350 ns MR4_I 01000218 d1fc07c9 - 1842350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1842430 ns MR4_D 40006004 00000001 - 1842430 ns R r1 00000001 - 1842430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1842450 ns MR4_I 0100021c 1c5b6002 - 1842450 ns R r1 80000000 - 1842450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1842470 ns R psr 81000200 - 1842490 ns MR4_I 01000214 6841d006 - 1842510 ns MR4_I 01000218 d1fc07c9 - 1842510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1842590 ns MR4_D 40006004 00000001 - 1842590 ns R r1 00000001 - 1842590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1842610 ns MR4_I 0100021c 1c5b6002 - 1842610 ns R r1 80000000 - 1842610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1842630 ns R psr 81000200 - 1842650 ns MR4_I 01000214 6841d006 - 1842670 ns MR4_I 01000218 d1fc07c9 - 1842670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1842750 ns MR4_D 40006004 00000001 - 1842750 ns R r1 00000001 - 1842750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1842770 ns MR4_I 0100021c 1c5b6002 - 1842770 ns R r1 80000000 - 1842770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1842790 ns R psr 81000200 - 1842810 ns MR4_I 01000214 6841d006 - 1842830 ns MR4_I 01000218 d1fc07c9 - 1842830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1842910 ns MR4_D 40006004 00000001 - 1842910 ns R r1 00000001 - 1842910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1842930 ns MR4_I 0100021c 1c5b6002 - 1842930 ns R r1 80000000 - 1842930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1842950 ns R psr 81000200 - 1842970 ns MR4_I 01000214 6841d006 - 1842990 ns MR4_I 01000218 d1fc07c9 - 1842990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1843070 ns MR4_D 40006004 00000001 - 1843070 ns R r1 00000001 - 1843070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1843090 ns MR4_I 0100021c 1c5b6002 - 1843090 ns R r1 80000000 - 1843090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1843110 ns R psr 81000200 - 1843130 ns MR4_I 01000214 6841d006 - 1843150 ns MR4_I 01000218 d1fc07c9 - 1843150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1843230 ns MR4_D 40006004 00000001 - 1843230 ns R r1 00000001 - 1843230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1843250 ns MR4_I 0100021c 1c5b6002 - 1843250 ns R r1 80000000 - 1843250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1843270 ns R psr 81000200 - 1843290 ns MR4_I 01000214 6841d006 - 1843310 ns MR4_I 01000218 d1fc07c9 - 1843310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1843390 ns MR4_D 40006004 00000001 - 1843390 ns R r1 00000001 - 1843390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1843410 ns MR4_I 0100021c 1c5b6002 - 1843410 ns R r1 80000000 - 1843410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1843430 ns R psr 81000200 - 1843450 ns MR4_I 01000214 6841d006 - 1843470 ns MR4_I 01000218 d1fc07c9 - 1843470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1843550 ns MR4_D 40006004 00000001 - 1843550 ns R r1 00000001 - 1843550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1843570 ns MR4_I 0100021c 1c5b6002 - 1843570 ns R r1 80000000 - 1843570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1843590 ns R psr 81000200 - 1843610 ns MR4_I 01000214 6841d006 - 1843630 ns MR4_I 01000218 d1fc07c9 - 1843630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1843710 ns MR4_D 40006004 00000001 - 1843710 ns R r1 00000001 - 1843710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1843730 ns MR4_I 0100021c 1c5b6002 - 1843730 ns R r1 80000000 - 1843730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1843750 ns R psr 81000200 - 1843770 ns MR4_I 01000214 6841d006 - 1843790 ns MR4_I 01000218 d1fc07c9 - 1843790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1843870 ns MR4_D 40006004 00000001 - 1843870 ns R r1 00000001 - 1843870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1843890 ns MR4_I 0100021c 1c5b6002 - 1843890 ns R r1 80000000 - 1843890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1843910 ns R psr 81000200 - 1843930 ns MR4_I 01000214 6841d006 - 1843950 ns MR4_I 01000218 d1fc07c9 - 1843950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1844030 ns MR4_D 40006004 00000001 - 1844030 ns R r1 00000001 - 1844030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1844050 ns MR4_I 0100021c 1c5b6002 - 1844050 ns R r1 80000000 - 1844050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1844070 ns R psr 81000200 - 1844090 ns MR4_I 01000214 6841d006 - 1844110 ns MR4_I 01000218 d1fc07c9 - 1844110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1844190 ns MR4_D 40006004 00000001 - 1844190 ns R r1 00000001 - 1844190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1844210 ns MR4_I 0100021c 1c5b6002 - 1844210 ns R r1 80000000 - 1844210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1844230 ns R psr 81000200 - 1844250 ns MR4_I 01000214 6841d006 - 1844270 ns MR4_I 01000218 d1fc07c9 - 1844270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1844350 ns MR4_D 40006004 00000001 - 1844350 ns R r1 00000001 - 1844350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1844370 ns MR4_I 0100021c 1c5b6002 - 1844370 ns R r1 80000000 - 1844370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1844390 ns R psr 81000200 - 1844410 ns MR4_I 01000214 6841d006 - 1844430 ns MR4_I 01000218 d1fc07c9 - 1844430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1844510 ns MR4_D 40006004 00000001 - 1844510 ns R r1 00000001 - 1844510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1844530 ns MR4_I 0100021c 1c5b6002 - 1844530 ns R r1 80000000 - 1844530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1844550 ns R psr 81000200 - 1844570 ns MR4_I 01000214 6841d006 - 1844590 ns MR4_I 01000218 d1fc07c9 - 1844590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1844670 ns MR4_D 40006004 00000001 - 1844670 ns R r1 00000001 - 1844670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1844690 ns MR4_I 0100021c 1c5b6002 - 1844690 ns R r1 80000000 - 1844690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1844710 ns R psr 81000200 - 1844730 ns MR4_I 01000214 6841d006 - 1844750 ns MR4_I 01000218 d1fc07c9 - 1844750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1844830 ns MR4_D 40006004 00000001 - 1844830 ns R r1 00000001 - 1844830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1844850 ns MR4_I 0100021c 1c5b6002 - 1844850 ns R r1 80000000 - 1844850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1844870 ns R psr 81000200 - 1844890 ns MR4_I 01000214 6841d006 - 1844910 ns MR4_I 01000218 d1fc07c9 - 1844910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1844990 ns MR4_D 40006004 00000001 - 1844990 ns R r1 00000001 - 1844990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1845010 ns MR4_I 0100021c 1c5b6002 - 1845010 ns R r1 80000000 - 1845010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1845030 ns R psr 81000200 - 1845050 ns MR4_I 01000214 6841d006 - 1845070 ns MR4_I 01000218 d1fc07c9 - 1845070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1845150 ns MR4_D 40006004 00000001 - 1845150 ns R r1 00000001 - 1845150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1845170 ns MR4_I 0100021c 1c5b6002 - 1845170 ns R r1 80000000 - 1845170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1845190 ns R psr 81000200 - 1845210 ns MR4_I 01000214 6841d006 - 1845230 ns MR4_I 01000218 d1fc07c9 - 1845230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1845310 ns MR4_D 40006004 00000001 - 1845310 ns R r1 00000001 - 1845310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1845330 ns MR4_I 0100021c 1c5b6002 - 1845330 ns R r1 80000000 - 1845330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1845350 ns R psr 81000200 - 1845370 ns MR4_I 01000214 6841d006 - 1845390 ns MR4_I 01000218 d1fc07c9 - 1845390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1845470 ns MR4_D 40006004 00000001 - 1845470 ns R r1 00000001 - 1845470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1845490 ns MR4_I 0100021c 1c5b6002 - 1845490 ns R r1 80000000 - 1845490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1845510 ns R psr 81000200 - 1845530 ns MR4_I 01000214 6841d006 - 1845550 ns MR4_I 01000218 d1fc07c9 - 1845550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1845630 ns MR4_D 40006004 00000001 - 1845630 ns R r1 00000001 - 1845630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1845650 ns MR4_I 0100021c 1c5b6002 - 1845650 ns R r1 80000000 - 1845650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1845670 ns R psr 81000200 - 1845690 ns MR4_I 01000214 6841d006 - 1845710 ns MR4_I 01000218 d1fc07c9 - 1845710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1845790 ns MR4_D 40006004 00000001 - 1845790 ns R r1 00000001 - 1845790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1845810 ns MR4_I 0100021c 1c5b6002 - 1845810 ns R r1 80000000 - 1845810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1845830 ns R psr 81000200 - 1845850 ns MR4_I 01000214 6841d006 - 1845870 ns MR4_I 01000218 d1fc07c9 - 1845870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1845950 ns MR4_D 40006004 00000001 - 1845950 ns R r1 00000001 - 1845950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1845970 ns MR4_I 0100021c 1c5b6002 - 1845970 ns R r1 80000000 - 1845970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1845990 ns R psr 81000200 - 1846010 ns MR4_I 01000214 6841d006 - 1846030 ns MR4_I 01000218 d1fc07c9 - 1846030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1846110 ns MR4_D 40006004 00000001 - 1846110 ns R r1 00000001 - 1846110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1846130 ns MR4_I 0100021c 1c5b6002 - 1846130 ns R r1 80000000 - 1846130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1846150 ns R psr 81000200 - 1846170 ns MR4_I 01000214 6841d006 - 1846190 ns MR4_I 01000218 d1fc07c9 - 1846190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1846270 ns MR4_D 40006004 00000001 - 1846270 ns R r1 00000001 - 1846270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1846290 ns MR4_I 0100021c 1c5b6002 - 1846290 ns R r1 80000000 - 1846290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1846310 ns R psr 81000200 - 1846330 ns MR4_I 01000214 6841d006 - 1846350 ns MR4_I 01000218 d1fc07c9 - 1846350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1846430 ns MR4_D 40006004 00000001 - 1846430 ns R r1 00000001 - 1846430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1846450 ns MR4_I 0100021c 1c5b6002 - 1846450 ns R r1 80000000 - 1846450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1846470 ns R psr 81000200 - 1846490 ns MR4_I 01000214 6841d006 - 1846510 ns MR4_I 01000218 d1fc07c9 - 1846510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1846590 ns MR4_D 40006004 00000001 - 1846590 ns R r1 00000001 - 1846590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1846610 ns MR4_I 0100021c 1c5b6002 - 1846610 ns R r1 80000000 - 1846610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1846630 ns R psr 81000200 - 1846650 ns MR4_I 01000214 6841d006 - 1846670 ns MR4_I 01000218 d1fc07c9 - 1846670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1846750 ns MR4_D 40006004 00000001 - 1846750 ns R r1 00000001 - 1846750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1846770 ns MR4_I 0100021c 1c5b6002 - 1846770 ns R r1 80000000 - 1846770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1846790 ns R psr 81000200 - 1846810 ns MR4_I 01000214 6841d006 - 1846830 ns MR4_I 01000218 d1fc07c9 - 1846830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1846910 ns MR4_D 40006004 00000001 - 1846910 ns R r1 00000001 - 1846910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1846930 ns MR4_I 0100021c 1c5b6002 - 1846930 ns R r1 80000000 - 1846930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1846950 ns R psr 81000200 - 1846970 ns MR4_I 01000214 6841d006 - 1846990 ns MR4_I 01000218 d1fc07c9 - 1846990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1847070 ns MR4_D 40006004 00000000 - 1847070 ns R r1 00000000 - 1847070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1847090 ns MR4_I 0100021c 1c5b6002 - 1847090 ns R r1 00000000 - 1847090 ns IS 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1847110 ns R psr 41000200 - 1847110 ns IT 0100021c 6002 STR r2,[r0,#0] - 1847130 ns MR4_I 01000220 d1f52a00 - 1847190 ns MW4_D 40006000 00000068 - 1847190 ns IT 0100021e 1c5b ADDS r3,r3,#1 - 1847210 ns R r3 010002c5 - 1847210 ns IT 01000220 2a00 CMP r2,#0 - 1847230 ns R psr 01000200 - 1847230 ns MR4_I 01000224 680a4911 - 1847230 ns IT 01000222 d1f5 BNE {pc} - 0x12 ; 0x1000210 - 1847250 ns R psr 21000200 - 1847270 ns MR4_I 01000210 2a00781a - 1847290 ns IT 01000210 781a LDRB r2,[r3,#0] - 1847310 ns MR4_I 01000214 6841d006 - 1847330 ns MR1_D 010002c5 000a0a68 - 1847330 ns R r2 0000000a - 1847330 ns IT 01000212 2a00 CMP r2,#0 - 1847350 ns IS 01000214 d006 BEQ {pc} + 0x10 ; 0x1000224 - 1847370 ns R psr 21000200 - 1847370 ns MR4_I 01000218 d1fc07c9 - 1847370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1847450 ns MR4_D 40006004 00000001 - 1847450 ns R r1 00000001 - 1847450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1847470 ns MR4_I 0100021c 1c5b6002 - 1847470 ns R r1 80000000 - 1847470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1847490 ns R psr 81000200 - 1847510 ns MR4_I 01000214 6841d006 - 1847530 ns MR4_I 01000218 d1fc07c9 - 1847530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1847610 ns MR4_D 40006004 00000001 - 1847610 ns R r1 00000001 - 1847610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1847630 ns MR4_I 0100021c 1c5b6002 - 1847630 ns R r1 80000000 - 1847630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1847650 ns R psr 81000200 - 1847670 ns MR4_I 01000214 6841d006 - 1847690 ns MR4_I 01000218 d1fc07c9 - 1847690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1847770 ns MR4_D 40006004 00000001 - 1847770 ns R r1 00000001 - 1847770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1847790 ns MR4_I 0100021c 1c5b6002 - 1847790 ns R r1 80000000 - 1847790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1847810 ns R psr 81000200 - 1847830 ns MR4_I 01000214 6841d006 - 1847850 ns MR4_I 01000218 d1fc07c9 - 1847850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1847930 ns MR4_D 40006004 00000001 - 1847930 ns R r1 00000001 - 1847930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1847950 ns MR4_I 0100021c 1c5b6002 - 1847950 ns R r1 80000000 - 1847950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1847970 ns R psr 81000200 - 1847990 ns MR4_I 01000214 6841d006 - 1848010 ns MR4_I 01000218 d1fc07c9 - 1848010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1848090 ns MR4_D 40006004 00000001 - 1848090 ns R r1 00000001 - 1848090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1848110 ns MR4_I 0100021c 1c5b6002 - 1848110 ns R r1 80000000 - 1848110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1848130 ns R psr 81000200 - 1848150 ns MR4_I 01000214 6841d006 - 1848170 ns MR4_I 01000218 d1fc07c9 - 1848170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1848250 ns MR4_D 40006004 00000001 - 1848250 ns R r1 00000001 - 1848250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1848270 ns MR4_I 0100021c 1c5b6002 - 1848270 ns R r1 80000000 - 1848270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1848290 ns R psr 81000200 - 1848310 ns MR4_I 01000214 6841d006 - 1848330 ns MR4_I 01000218 d1fc07c9 - 1848330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1848410 ns MR4_D 40006004 00000001 - 1848410 ns R r1 00000001 - 1848410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1848430 ns MR4_I 0100021c 1c5b6002 - 1848430 ns R r1 80000000 - 1848430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1848450 ns R psr 81000200 - 1848470 ns MR4_I 01000214 6841d006 - 1848490 ns MR4_I 01000218 d1fc07c9 - 1848490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1848570 ns MR4_D 40006004 00000001 - 1848570 ns R r1 00000001 - 1848570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1848590 ns MR4_I 0100021c 1c5b6002 - 1848590 ns R r1 80000000 - 1848590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1848610 ns R psr 81000200 - 1848630 ns MR4_I 01000214 6841d006 - 1848650 ns MR4_I 01000218 d1fc07c9 - 1848650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1848730 ns MR4_D 40006004 00000001 - 1848730 ns R r1 00000001 - 1848730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1848750 ns MR4_I 0100021c 1c5b6002 - 1848750 ns R r1 80000000 - 1848750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1848770 ns R psr 81000200 - 1848790 ns MR4_I 01000214 6841d006 - 1848810 ns MR4_I 01000218 d1fc07c9 - 1848810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1848890 ns MR4_D 40006004 00000001 - 1848890 ns R r1 00000001 - 1848890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1848910 ns MR4_I 0100021c 1c5b6002 - 1848910 ns R r1 80000000 - 1848910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1848930 ns R psr 81000200 - 1848950 ns MR4_I 01000214 6841d006 - 1848970 ns MR4_I 01000218 d1fc07c9 - 1848970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1849050 ns MR4_D 40006004 00000001 - 1849050 ns R r1 00000001 - 1849050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1849070 ns MR4_I 0100021c 1c5b6002 - 1849070 ns R r1 80000000 - 1849070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1849090 ns R psr 81000200 - 1849110 ns MR4_I 01000214 6841d006 - 1849130 ns MR4_I 01000218 d1fc07c9 - 1849130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1849210 ns MR4_D 40006004 00000001 - 1849210 ns R r1 00000001 - 1849210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1849230 ns MR4_I 0100021c 1c5b6002 - 1849230 ns R r1 80000000 - 1849230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1849250 ns R psr 81000200 - 1849270 ns MR4_I 01000214 6841d006 - 1849290 ns MR4_I 01000218 d1fc07c9 - 1849290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1849370 ns MR4_D 40006004 00000001 - 1849370 ns R r1 00000001 - 1849370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1849390 ns MR4_I 0100021c 1c5b6002 - 1849390 ns R r1 80000000 - 1849390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1849410 ns R psr 81000200 - 1849430 ns MR4_I 01000214 6841d006 - 1849450 ns MR4_I 01000218 d1fc07c9 - 1849450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1849530 ns MR4_D 40006004 00000001 - 1849530 ns R r1 00000001 - 1849530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1849550 ns MR4_I 0100021c 1c5b6002 - 1849550 ns R r1 80000000 - 1849550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1849570 ns R psr 81000200 - 1849590 ns MR4_I 01000214 6841d006 - 1849610 ns MR4_I 01000218 d1fc07c9 - 1849610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1849690 ns MR4_D 40006004 00000001 - 1849690 ns R r1 00000001 - 1849690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1849710 ns MR4_I 0100021c 1c5b6002 - 1849710 ns R r1 80000000 - 1849710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1849730 ns R psr 81000200 - 1849750 ns MR4_I 01000214 6841d006 - 1849770 ns MR4_I 01000218 d1fc07c9 - 1849770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1849850 ns MR4_D 40006004 00000001 - 1849850 ns R r1 00000001 - 1849850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1849870 ns MR4_I 0100021c 1c5b6002 - 1849870 ns R r1 80000000 - 1849870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1849890 ns R psr 81000200 - 1849910 ns MR4_I 01000214 6841d006 - 1849930 ns MR4_I 01000218 d1fc07c9 - 1849930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1850010 ns MR4_D 40006004 00000001 - 1850010 ns R r1 00000001 - 1850010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1850030 ns MR4_I 0100021c 1c5b6002 - 1850030 ns R r1 80000000 - 1850030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1850050 ns R psr 81000200 - 1850070 ns MR4_I 01000214 6841d006 - 1850090 ns MR4_I 01000218 d1fc07c9 - 1850090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1850170 ns MR4_D 40006004 00000001 - 1850170 ns R r1 00000001 - 1850170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1850190 ns MR4_I 0100021c 1c5b6002 - 1850190 ns R r1 80000000 - 1850190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1850210 ns R psr 81000200 - 1850230 ns MR4_I 01000214 6841d006 - 1850250 ns MR4_I 01000218 d1fc07c9 - 1850250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1850330 ns MR4_D 40006004 00000001 - 1850330 ns R r1 00000001 - 1850330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1850350 ns MR4_I 0100021c 1c5b6002 - 1850350 ns R r1 80000000 - 1850350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1850370 ns R psr 81000200 - 1850390 ns MR4_I 01000214 6841d006 - 1850410 ns MR4_I 01000218 d1fc07c9 - 1850410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1850490 ns MR4_D 40006004 00000001 - 1850490 ns R r1 00000001 - 1850490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1850510 ns MR4_I 0100021c 1c5b6002 - 1850510 ns R r1 80000000 - 1850510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1850530 ns R psr 81000200 - 1850550 ns MR4_I 01000214 6841d006 - 1850570 ns MR4_I 01000218 d1fc07c9 - 1850570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1850650 ns MR4_D 40006004 00000001 - 1850650 ns R r1 00000001 - 1850650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1850670 ns MR4_I 0100021c 1c5b6002 - 1850670 ns R r1 80000000 - 1850670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1850690 ns R psr 81000200 - 1850710 ns MR4_I 01000214 6841d006 - 1850730 ns MR4_I 01000218 d1fc07c9 - 1850730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1850810 ns MR4_D 40006004 00000001 - 1850810 ns R r1 00000001 - 1850810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1850830 ns MR4_I 0100021c 1c5b6002 - 1850830 ns R r1 80000000 - 1850830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1850850 ns R psr 81000200 - 1850870 ns MR4_I 01000214 6841d006 - 1850890 ns MR4_I 01000218 d1fc07c9 - 1850890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1850970 ns MR4_D 40006004 00000001 - 1850970 ns R r1 00000001 - 1850970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1850990 ns MR4_I 0100021c 1c5b6002 - 1850990 ns R r1 80000000 - 1850990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1851010 ns R psr 81000200 - 1851030 ns MR4_I 01000214 6841d006 - 1851050 ns MR4_I 01000218 d1fc07c9 - 1851050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1851130 ns MR4_D 40006004 00000001 - 1851130 ns R r1 00000001 - 1851130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1851150 ns MR4_I 0100021c 1c5b6002 - 1851150 ns R r1 80000000 - 1851150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1851170 ns R psr 81000200 - 1851190 ns MR4_I 01000214 6841d006 - 1851210 ns MR4_I 01000218 d1fc07c9 - 1851210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1851290 ns MR4_D 40006004 00000001 - 1851290 ns R r1 00000001 - 1851290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1851310 ns MR4_I 0100021c 1c5b6002 - 1851310 ns R r1 80000000 - 1851310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1851330 ns R psr 81000200 - 1851350 ns MR4_I 01000214 6841d006 - 1851370 ns MR4_I 01000218 d1fc07c9 - 1851370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1851450 ns MR4_D 40006004 00000001 - 1851450 ns R r1 00000001 - 1851450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1851470 ns MR4_I 0100021c 1c5b6002 - 1851470 ns R r1 80000000 - 1851470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1851490 ns R psr 81000200 - 1851510 ns MR4_I 01000214 6841d006 - 1851530 ns MR4_I 01000218 d1fc07c9 - 1851530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1851610 ns MR4_D 40006004 00000001 - 1851610 ns R r1 00000001 - 1851610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1851630 ns MR4_I 0100021c 1c5b6002 - 1851630 ns R r1 80000000 - 1851630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1851650 ns R psr 81000200 - 1851670 ns MR4_I 01000214 6841d006 - 1851690 ns MR4_I 01000218 d1fc07c9 - 1851690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1851770 ns MR4_D 40006004 00000001 - 1851770 ns R r1 00000001 - 1851770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1851790 ns MR4_I 0100021c 1c5b6002 - 1851790 ns R r1 80000000 - 1851790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1851810 ns R psr 81000200 - 1851830 ns MR4_I 01000214 6841d006 - 1851850 ns MR4_I 01000218 d1fc07c9 - 1851850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1851930 ns MR4_D 40006004 00000001 - 1851930 ns R r1 00000001 - 1851930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1851950 ns MR4_I 0100021c 1c5b6002 - 1851950 ns R r1 80000000 - 1851950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1851970 ns R psr 81000200 - 1851990 ns MR4_I 01000214 6841d006 - 1852010 ns MR4_I 01000218 d1fc07c9 - 1852010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1852090 ns MR4_D 40006004 00000001 - 1852090 ns R r1 00000001 - 1852090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1852110 ns MR4_I 0100021c 1c5b6002 - 1852110 ns R r1 80000000 - 1852110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1852130 ns R psr 81000200 - 1852150 ns MR4_I 01000214 6841d006 - 1852170 ns MR4_I 01000218 d1fc07c9 - 1852170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1852250 ns MR4_D 40006004 00000001 - 1852250 ns R r1 00000001 - 1852250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1852270 ns MR4_I 0100021c 1c5b6002 - 1852270 ns R r1 80000000 - 1852270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1852290 ns R psr 81000200 - 1852310 ns MR4_I 01000214 6841d006 - 1852330 ns MR4_I 01000218 d1fc07c9 - 1852330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1852410 ns MR4_D 40006004 00000001 - 1852410 ns R r1 00000001 - 1852410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1852430 ns MR4_I 0100021c 1c5b6002 - 1852430 ns R r1 80000000 - 1852430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1852450 ns R psr 81000200 - 1852470 ns MR4_I 01000214 6841d006 - 1852490 ns MR4_I 01000218 d1fc07c9 - 1852490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1852570 ns MR4_D 40006004 00000001 - 1852570 ns R r1 00000001 - 1852570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1852590 ns MR4_I 0100021c 1c5b6002 - 1852590 ns R r1 80000000 - 1852590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1852610 ns R psr 81000200 - 1852630 ns MR4_I 01000214 6841d006 - 1852650 ns MR4_I 01000218 d1fc07c9 - 1852650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1852730 ns MR4_D 40006004 00000001 - 1852730 ns R r1 00000001 - 1852730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1852750 ns MR4_I 0100021c 1c5b6002 - 1852750 ns R r1 80000000 - 1852750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1852770 ns R psr 81000200 - 1852790 ns MR4_I 01000214 6841d006 - 1852810 ns MR4_I 01000218 d1fc07c9 - 1852810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1852890 ns MR4_D 40006004 00000001 - 1852890 ns R r1 00000001 - 1852890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1852910 ns MR4_I 0100021c 1c5b6002 - 1852910 ns R r1 80000000 - 1852910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1852930 ns R psr 81000200 - 1852950 ns MR4_I 01000214 6841d006 - 1852970 ns MR4_I 01000218 d1fc07c9 - 1852970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1853050 ns MR4_D 40006004 00000001 - 1853050 ns R r1 00000001 - 1853050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1853070 ns MR4_I 0100021c 1c5b6002 - 1853070 ns R r1 80000000 - 1853070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1853090 ns R psr 81000200 - 1853110 ns MR4_I 01000214 6841d006 - 1853130 ns MR4_I 01000218 d1fc07c9 - 1853130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1853210 ns MR4_D 40006004 00000001 - 1853210 ns R r1 00000001 - 1853210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1853230 ns MR4_I 0100021c 1c5b6002 - 1853230 ns R r1 80000000 - 1853230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1853250 ns R psr 81000200 - 1853270 ns MR4_I 01000214 6841d006 - 1853290 ns MR4_I 01000218 d1fc07c9 - 1853290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1853370 ns MR4_D 40006004 00000001 - 1853370 ns R r1 00000001 - 1853370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1853390 ns MR4_I 0100021c 1c5b6002 - 1853390 ns R r1 80000000 - 1853390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1853410 ns R psr 81000200 - 1853430 ns MR4_I 01000214 6841d006 - 1853450 ns MR4_I 01000218 d1fc07c9 - 1853450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1853530 ns MR4_D 40006004 00000001 - 1853530 ns R r1 00000001 - 1853530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1853550 ns MR4_I 0100021c 1c5b6002 - 1853550 ns R r1 80000000 - 1853550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1853570 ns R psr 81000200 - 1853590 ns MR4_I 01000214 6841d006 - 1853610 ns MR4_I 01000218 d1fc07c9 - 1853610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1853690 ns MR4_D 40006004 00000001 - 1853690 ns R r1 00000001 - 1853690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1853710 ns MR4_I 0100021c 1c5b6002 - 1853710 ns R r1 80000000 - 1853710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1853730 ns R psr 81000200 - 1853750 ns MR4_I 01000214 6841d006 - 1853770 ns MR4_I 01000218 d1fc07c9 - 1853770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1853850 ns MR4_D 40006004 00000001 - 1853850 ns R r1 00000001 - 1853850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1853870 ns MR4_I 0100021c 1c5b6002 - 1853870 ns R r1 80000000 - 1853870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1853890 ns R psr 81000200 - 1853910 ns MR4_I 01000214 6841d006 - 1853930 ns MR4_I 01000218 d1fc07c9 - 1853930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1854010 ns MR4_D 40006004 00000001 - 1854010 ns R r1 00000001 - 1854010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1854030 ns MR4_I 0100021c 1c5b6002 - 1854030 ns R r1 80000000 - 1854030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1854050 ns R psr 81000200 - 1854070 ns MR4_I 01000214 6841d006 - 1854090 ns MR4_I 01000218 d1fc07c9 - 1854090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1854170 ns MR4_D 40006004 00000001 - 1854170 ns R r1 00000001 - 1854170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1854190 ns MR4_I 0100021c 1c5b6002 - 1854190 ns R r1 80000000 - 1854190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1854210 ns R psr 81000200 - 1854230 ns MR4_I 01000214 6841d006 - 1854250 ns MR4_I 01000218 d1fc07c9 - 1854250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1854330 ns MR4_D 40006004 00000001 - 1854330 ns R r1 00000001 - 1854330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1854350 ns MR4_I 0100021c 1c5b6002 - 1854350 ns R r1 80000000 - 1854350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1854370 ns R psr 81000200 - 1854390 ns MR4_I 01000214 6841d006 - 1854410 ns MR4_I 01000218 d1fc07c9 - 1854410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1854490 ns MR4_D 40006004 00000001 - 1854490 ns R r1 00000001 - 1854490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1854510 ns MR4_I 0100021c 1c5b6002 - 1854510 ns R r1 80000000 - 1854510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1854530 ns R psr 81000200 - 1854550 ns MR4_I 01000214 6841d006 - 1854570 ns MR4_I 01000218 d1fc07c9 - 1854570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1854650 ns MR4_D 40006004 00000001 - 1854650 ns R r1 00000001 - 1854650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1854670 ns MR4_I 0100021c 1c5b6002 - 1854670 ns R r1 80000000 - 1854670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1854690 ns R psr 81000200 - 1854710 ns MR4_I 01000214 6841d006 - 1854730 ns MR4_I 01000218 d1fc07c9 - 1854730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1854810 ns MR4_D 40006004 00000001 - 1854810 ns R r1 00000001 - 1854810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1854830 ns MR4_I 0100021c 1c5b6002 - 1854830 ns R r1 80000000 - 1854830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1854850 ns R psr 81000200 - 1854870 ns MR4_I 01000214 6841d006 - 1854890 ns MR4_I 01000218 d1fc07c9 - 1854890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1854970 ns MR4_D 40006004 00000001 - 1854970 ns R r1 00000001 - 1854970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1854990 ns MR4_I 0100021c 1c5b6002 - 1854990 ns R r1 80000000 - 1854990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1855010 ns R psr 81000200 - 1855030 ns MR4_I 01000214 6841d006 - 1855050 ns MR4_I 01000218 d1fc07c9 - 1855050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1855130 ns MR4_D 40006004 00000001 - 1855130 ns R r1 00000001 - 1855130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1855150 ns MR4_I 0100021c 1c5b6002 - 1855150 ns R r1 80000000 - 1855150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1855170 ns R psr 81000200 - 1855190 ns MR4_I 01000214 6841d006 - 1855210 ns MR4_I 01000218 d1fc07c9 - 1855210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1855290 ns MR4_D 40006004 00000001 - 1855290 ns R r1 00000001 - 1855290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1855310 ns MR4_I 0100021c 1c5b6002 - 1855310 ns R r1 80000000 - 1855310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1855330 ns R psr 81000200 - 1855350 ns MR4_I 01000214 6841d006 - 1855370 ns MR4_I 01000218 d1fc07c9 - 1855370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1855450 ns MR4_D 40006004 00000001 - 1855450 ns R r1 00000001 - 1855450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1855470 ns MR4_I 0100021c 1c5b6002 - 1855470 ns R r1 80000000 - 1855470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1855490 ns R psr 81000200 - 1855510 ns MR4_I 01000214 6841d006 - 1855530 ns MR4_I 01000218 d1fc07c9 - 1855530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1855610 ns MR4_D 40006004 00000001 - 1855610 ns R r1 00000001 - 1855610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1855630 ns MR4_I 0100021c 1c5b6002 - 1855630 ns R r1 80000000 - 1855630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1855650 ns R psr 81000200 - 1855670 ns MR4_I 01000214 6841d006 - 1855690 ns MR4_I 01000218 d1fc07c9 - 1855690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1855770 ns MR4_D 40006004 00000001 - 1855770 ns R r1 00000001 - 1855770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1855790 ns MR4_I 0100021c 1c5b6002 - 1855790 ns R r1 80000000 - 1855790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1855810 ns R psr 81000200 - 1855830 ns MR4_I 01000214 6841d006 - 1855850 ns MR4_I 01000218 d1fc07c9 - 1855850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1855930 ns MR4_D 40006004 00000001 - 1855930 ns R r1 00000001 - 1855930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1855950 ns MR4_I 0100021c 1c5b6002 - 1855950 ns R r1 80000000 - 1855950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1855970 ns R psr 81000200 - 1855990 ns MR4_I 01000214 6841d006 - 1856010 ns MR4_I 01000218 d1fc07c9 - 1856010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1856090 ns MR4_D 40006004 00000001 - 1856090 ns R r1 00000001 - 1856090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1856110 ns MR4_I 0100021c 1c5b6002 - 1856110 ns R r1 80000000 - 1856110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1856130 ns R psr 81000200 - 1856150 ns MR4_I 01000214 6841d006 - 1856170 ns MR4_I 01000218 d1fc07c9 - 1856170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1856250 ns MR4_D 40006004 00000001 - 1856250 ns R r1 00000001 - 1856250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1856270 ns MR4_I 0100021c 1c5b6002 - 1856270 ns R r1 80000000 - 1856270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1856290 ns R psr 81000200 - 1856310 ns MR4_I 01000214 6841d006 - 1856330 ns MR4_I 01000218 d1fc07c9 - 1856330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1856410 ns MR4_D 40006004 00000001 - 1856410 ns R r1 00000001 - 1856410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1856430 ns MR4_I 0100021c 1c5b6002 - 1856430 ns R r1 80000000 - 1856430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1856450 ns R psr 81000200 - 1856470 ns MR4_I 01000214 6841d006 - 1856490 ns MR4_I 01000218 d1fc07c9 - 1856490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1856570 ns MR4_D 40006004 00000001 - 1856570 ns R r1 00000001 - 1856570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1856590 ns MR4_I 0100021c 1c5b6002 - 1856590 ns R r1 80000000 - 1856590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1856610 ns R psr 81000200 - 1856630 ns MR4_I 01000214 6841d006 - 1856650 ns MR4_I 01000218 d1fc07c9 - 1856650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1856730 ns MR4_D 40006004 00000001 - 1856730 ns R r1 00000001 - 1856730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1856750 ns MR4_I 0100021c 1c5b6002 - 1856750 ns R r1 80000000 - 1856750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1856770 ns R psr 81000200 - 1856790 ns MR4_I 01000214 6841d006 - 1856810 ns MR4_I 01000218 d1fc07c9 - 1856810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1856890 ns MR4_D 40006004 00000001 - 1856890 ns R r1 00000001 - 1856890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1856910 ns MR4_I 0100021c 1c5b6002 - 1856910 ns R r1 80000000 - 1856910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1856930 ns R psr 81000200 - 1856950 ns MR4_I 01000214 6841d006 - 1856970 ns MR4_I 01000218 d1fc07c9 - 1856970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1857050 ns MR4_D 40006004 00000001 - 1857050 ns R r1 00000001 - 1857050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1857070 ns MR4_I 0100021c 1c5b6002 - 1857070 ns R r1 80000000 - 1857070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1857090 ns R psr 81000200 - 1857110 ns MR4_I 01000214 6841d006 - 1857130 ns MR4_I 01000218 d1fc07c9 - 1857130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1857210 ns MR4_D 40006004 00000001 - 1857210 ns R r1 00000001 - 1857210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1857230 ns MR4_I 0100021c 1c5b6002 - 1857230 ns R r1 80000000 - 1857230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1857250 ns R psr 81000200 - 1857270 ns MR4_I 01000214 6841d006 - 1857290 ns MR4_I 01000218 d1fc07c9 - 1857290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1857370 ns MR4_D 40006004 00000001 - 1857370 ns R r1 00000001 - 1857370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1857390 ns MR4_I 0100021c 1c5b6002 - 1857390 ns R r1 80000000 - 1857390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1857410 ns R psr 81000200 - 1857430 ns MR4_I 01000214 6841d006 - 1857450 ns MR4_I 01000218 d1fc07c9 - 1857450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1857530 ns MR4_D 40006004 00000001 - 1857530 ns R r1 00000001 - 1857530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1857550 ns MR4_I 0100021c 1c5b6002 - 1857550 ns R r1 80000000 - 1857550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1857570 ns R psr 81000200 - 1857590 ns MR4_I 01000214 6841d006 - 1857610 ns MR4_I 01000218 d1fc07c9 - 1857610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1857690 ns MR4_D 40006004 00000001 - 1857690 ns R r1 00000001 - 1857690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1857710 ns MR4_I 0100021c 1c5b6002 - 1857710 ns R r1 80000000 - 1857710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1857730 ns R psr 81000200 - 1857750 ns MR4_I 01000214 6841d006 - 1857770 ns MR4_I 01000218 d1fc07c9 - 1857770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1857850 ns MR4_D 40006004 00000001 - 1857850 ns R r1 00000001 - 1857850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1857870 ns MR4_I 0100021c 1c5b6002 - 1857870 ns R r1 80000000 - 1857870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1857890 ns R psr 81000200 - 1857910 ns MR4_I 01000214 6841d006 - 1857930 ns MR4_I 01000218 d1fc07c9 - 1857930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1858010 ns MR4_D 40006004 00000001 - 1858010 ns R r1 00000001 - 1858010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1858030 ns MR4_I 0100021c 1c5b6002 - 1858030 ns R r1 80000000 - 1858030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1858050 ns R psr 81000200 - 1858070 ns MR4_I 01000214 6841d006 - 1858090 ns MR4_I 01000218 d1fc07c9 - 1858090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1858170 ns MR4_D 40006004 00000001 - 1858170 ns R r1 00000001 - 1858170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1858190 ns MR4_I 0100021c 1c5b6002 - 1858190 ns R r1 80000000 - 1858190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1858210 ns R psr 81000200 - 1858230 ns MR4_I 01000214 6841d006 - 1858250 ns MR4_I 01000218 d1fc07c9 - 1858250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1858330 ns MR4_D 40006004 00000001 - 1858330 ns R r1 00000001 - 1858330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1858350 ns MR4_I 0100021c 1c5b6002 - 1858350 ns R r1 80000000 - 1858350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1858370 ns R psr 81000200 - 1858390 ns MR4_I 01000214 6841d006 - 1858410 ns MR4_I 01000218 d1fc07c9 - 1858410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1858490 ns MR4_D 40006004 00000001 - 1858490 ns R r1 00000001 - 1858490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1858510 ns MR4_I 0100021c 1c5b6002 - 1858510 ns R r1 80000000 - 1858510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1858530 ns R psr 81000200 - 1858550 ns MR4_I 01000214 6841d006 - 1858570 ns MR4_I 01000218 d1fc07c9 - 1858570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1858650 ns MR4_D 40006004 00000001 - 1858650 ns R r1 00000001 - 1858650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1858670 ns MR4_I 0100021c 1c5b6002 - 1858670 ns R r1 80000000 - 1858670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1858690 ns R psr 81000200 - 1858710 ns MR4_I 01000214 6841d006 - 1858730 ns MR4_I 01000218 d1fc07c9 - 1858730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1858810 ns MR4_D 40006004 00000001 - 1858810 ns R r1 00000001 - 1858810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1858830 ns MR4_I 0100021c 1c5b6002 - 1858830 ns R r1 80000000 - 1858830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1858850 ns R psr 81000200 - 1858870 ns MR4_I 01000214 6841d006 - 1858890 ns MR4_I 01000218 d1fc07c9 - 1858890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1858970 ns MR4_D 40006004 00000001 - 1858970 ns R r1 00000001 - 1858970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1858990 ns MR4_I 0100021c 1c5b6002 - 1858990 ns R r1 80000000 - 1858990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1859010 ns R psr 81000200 - 1859030 ns MR4_I 01000214 6841d006 - 1859050 ns MR4_I 01000218 d1fc07c9 - 1859050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1859130 ns MR4_D 40006004 00000001 - 1859130 ns R r1 00000001 - 1859130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1859150 ns MR4_I 0100021c 1c5b6002 - 1859150 ns R r1 80000000 - 1859150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1859170 ns R psr 81000200 - 1859190 ns MR4_I 01000214 6841d006 - 1859210 ns MR4_I 01000218 d1fc07c9 - 1859210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1859290 ns MR4_D 40006004 00000001 - 1859290 ns R r1 00000001 - 1859290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1859310 ns MR4_I 0100021c 1c5b6002 - 1859310 ns R r1 80000000 - 1859310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1859330 ns R psr 81000200 - 1859350 ns MR4_I 01000214 6841d006 - 1859370 ns MR4_I 01000218 d1fc07c9 - 1859370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1859450 ns MR4_D 40006004 00000001 - 1859450 ns R r1 00000001 - 1859450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1859470 ns MR4_I 0100021c 1c5b6002 - 1859470 ns R r1 80000000 - 1859470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1859490 ns R psr 81000200 - 1859510 ns MR4_I 01000214 6841d006 - 1859530 ns MR4_I 01000218 d1fc07c9 - 1859530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1859610 ns MR4_D 40006004 00000001 - 1859610 ns R r1 00000001 - 1859610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1859630 ns MR4_I 0100021c 1c5b6002 - 1859630 ns R r1 80000000 - 1859630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1859650 ns R psr 81000200 - 1859670 ns MR4_I 01000214 6841d006 - 1859690 ns MR4_I 01000218 d1fc07c9 - 1859690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1859770 ns MR4_D 40006004 00000001 - 1859770 ns R r1 00000001 - 1859770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1859790 ns MR4_I 0100021c 1c5b6002 - 1859790 ns R r1 80000000 - 1859790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1859810 ns R psr 81000200 - 1859830 ns MR4_I 01000214 6841d006 - 1859850 ns MR4_I 01000218 d1fc07c9 - 1859850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1859930 ns MR4_D 40006004 00000001 - 1859930 ns R r1 00000001 - 1859930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1859950 ns MR4_I 0100021c 1c5b6002 - 1859950 ns R r1 80000000 - 1859950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1859970 ns R psr 81000200 - 1859990 ns MR4_I 01000214 6841d006 - 1860010 ns MR4_I 01000218 d1fc07c9 - 1860010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1860090 ns MR4_D 40006004 00000001 - 1860090 ns R r1 00000001 - 1860090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1860110 ns MR4_I 0100021c 1c5b6002 - 1860110 ns R r1 80000000 - 1860110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1860130 ns R psr 81000200 - 1860150 ns MR4_I 01000214 6841d006 - 1860170 ns MR4_I 01000218 d1fc07c9 - 1860170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1860250 ns MR4_D 40006004 00000001 - 1860250 ns R r1 00000001 - 1860250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1860270 ns MR4_I 0100021c 1c5b6002 - 1860270 ns R r1 80000000 - 1860270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1860290 ns R psr 81000200 - 1860310 ns MR4_I 01000214 6841d006 - 1860330 ns MR4_I 01000218 d1fc07c9 - 1860330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1860410 ns MR4_D 40006004 00000001 - 1860410 ns R r1 00000001 - 1860410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1860430 ns MR4_I 0100021c 1c5b6002 - 1860430 ns R r1 80000000 - 1860430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1860450 ns R psr 81000200 - 1860470 ns MR4_I 01000214 6841d006 - 1860490 ns MR4_I 01000218 d1fc07c9 - 1860490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1860570 ns MR4_D 40006004 00000001 - 1860570 ns R r1 00000001 - 1860570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1860590 ns MR4_I 0100021c 1c5b6002 - 1860590 ns R r1 80000000 - 1860590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1860610 ns R psr 81000200 - 1860630 ns MR4_I 01000214 6841d006 - 1860650 ns MR4_I 01000218 d1fc07c9 - 1860650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1860730 ns MR4_D 40006004 00000001 - 1860730 ns R r1 00000001 - 1860730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1860750 ns MR4_I 0100021c 1c5b6002 - 1860750 ns R r1 80000000 - 1860750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1860770 ns R psr 81000200 - 1860790 ns MR4_I 01000214 6841d006 - 1860810 ns MR4_I 01000218 d1fc07c9 - 1860810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1860890 ns MR4_D 40006004 00000001 - 1860890 ns R r1 00000001 - 1860890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1860910 ns MR4_I 0100021c 1c5b6002 - 1860910 ns R r1 80000000 - 1860910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1860930 ns R psr 81000200 - 1860950 ns MR4_I 01000214 6841d006 - 1860970 ns MR4_I 01000218 d1fc07c9 - 1860970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1861050 ns MR4_D 40006004 00000001 - 1861050 ns R r1 00000001 - 1861050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1861070 ns MR4_I 0100021c 1c5b6002 - 1861070 ns R r1 80000000 - 1861070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1861090 ns R psr 81000200 - 1861110 ns MR4_I 01000214 6841d006 - 1861130 ns MR4_I 01000218 d1fc07c9 - 1861130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1861210 ns MR4_D 40006004 00000001 - 1861210 ns R r1 00000001 - 1861210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1861230 ns MR4_I 0100021c 1c5b6002 - 1861230 ns R r1 80000000 - 1861230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1861250 ns R psr 81000200 - 1861270 ns MR4_I 01000214 6841d006 - 1861290 ns MR4_I 01000218 d1fc07c9 - 1861290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1861370 ns MR4_D 40006004 00000001 - 1861370 ns R r1 00000001 - 1861370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1861390 ns MR4_I 0100021c 1c5b6002 - 1861390 ns R r1 80000000 - 1861390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1861410 ns R psr 81000200 - 1861430 ns MR4_I 01000214 6841d006 - 1861450 ns MR4_I 01000218 d1fc07c9 - 1861450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1861530 ns MR4_D 40006004 00000001 - 1861530 ns R r1 00000001 - 1861530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1861550 ns MR4_I 0100021c 1c5b6002 - 1861550 ns R r1 80000000 - 1861550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1861570 ns R psr 81000200 - 1861590 ns MR4_I 01000214 6841d006 - 1861610 ns MR4_I 01000218 d1fc07c9 - 1861610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1861690 ns MR4_D 40006004 00000001 - 1861690 ns R r1 00000001 - 1861690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1861710 ns MR4_I 0100021c 1c5b6002 - 1861710 ns R r1 80000000 - 1861710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1861730 ns R psr 81000200 - 1861750 ns MR4_I 01000214 6841d006 - 1861770 ns MR4_I 01000218 d1fc07c9 - 1861770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1861850 ns MR4_D 40006004 00000001 - 1861850 ns R r1 00000001 - 1861850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1861870 ns MR4_I 0100021c 1c5b6002 - 1861870 ns R r1 80000000 - 1861870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1861890 ns R psr 81000200 - 1861910 ns MR4_I 01000214 6841d006 - 1861930 ns MR4_I 01000218 d1fc07c9 - 1861930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1862010 ns MR4_D 40006004 00000001 - 1862010 ns R r1 00000001 - 1862010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1862030 ns MR4_I 0100021c 1c5b6002 - 1862030 ns R r1 80000000 - 1862030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1862050 ns R psr 81000200 - 1862070 ns MR4_I 01000214 6841d006 - 1862090 ns MR4_I 01000218 d1fc07c9 - 1862090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1862170 ns MR4_D 40006004 00000001 - 1862170 ns R r1 00000001 - 1862170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1862190 ns MR4_I 0100021c 1c5b6002 - 1862190 ns R r1 80000000 - 1862190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1862210 ns R psr 81000200 - 1862230 ns MR4_I 01000214 6841d006 - 1862250 ns MR4_I 01000218 d1fc07c9 - 1862250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1862330 ns MR4_D 40006004 00000001 - 1862330 ns R r1 00000001 - 1862330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1862350 ns MR4_I 0100021c 1c5b6002 - 1862350 ns R r1 80000000 - 1862350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1862370 ns R psr 81000200 - 1862390 ns MR4_I 01000214 6841d006 - 1862410 ns MR4_I 01000218 d1fc07c9 - 1862410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1862490 ns MR4_D 40006004 00000001 - 1862490 ns R r1 00000001 - 1862490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1862510 ns MR4_I 0100021c 1c5b6002 - 1862510 ns R r1 80000000 - 1862510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1862530 ns R psr 81000200 - 1862550 ns MR4_I 01000214 6841d006 - 1862570 ns MR4_I 01000218 d1fc07c9 - 1862570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1862650 ns MR4_D 40006004 00000001 - 1862650 ns R r1 00000001 - 1862650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1862670 ns MR4_I 0100021c 1c5b6002 - 1862670 ns R r1 80000000 - 1862670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1862690 ns R psr 81000200 - 1862710 ns MR4_I 01000214 6841d006 - 1862730 ns MR4_I 01000218 d1fc07c9 - 1862730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1862810 ns MR4_D 40006004 00000001 - 1862810 ns R r1 00000001 - 1862810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1862830 ns MR4_I 0100021c 1c5b6002 - 1862830 ns R r1 80000000 - 1862830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1862850 ns R psr 81000200 - 1862870 ns MR4_I 01000214 6841d006 - 1862890 ns MR4_I 01000218 d1fc07c9 - 1862890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1862970 ns MR4_D 40006004 00000001 - 1862970 ns R r1 00000001 - 1862970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1862990 ns MR4_I 0100021c 1c5b6002 - 1862990 ns R r1 80000000 - 1862990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1863010 ns R psr 81000200 - 1863030 ns MR4_I 01000214 6841d006 - 1863050 ns MR4_I 01000218 d1fc07c9 - 1863050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1863130 ns MR4_D 40006004 00000001 - 1863130 ns R r1 00000001 - 1863130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1863150 ns MR4_I 0100021c 1c5b6002 - 1863150 ns R r1 80000000 - 1863150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1863170 ns R psr 81000200 - 1863190 ns MR4_I 01000214 6841d006 - 1863210 ns MR4_I 01000218 d1fc07c9 - 1863210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1863290 ns MR4_D 40006004 00000001 - 1863290 ns R r1 00000001 - 1863290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1863310 ns MR4_I 0100021c 1c5b6002 - 1863310 ns R r1 80000000 - 1863310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1863330 ns R psr 81000200 - 1863350 ns MR4_I 01000214 6841d006 - 1863370 ns MR4_I 01000218 d1fc07c9 - 1863370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1863450 ns MR4_D 40006004 00000001 - 1863450 ns R r1 00000001 - 1863450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1863470 ns MR4_I 0100021c 1c5b6002 - 1863470 ns R r1 80000000 - 1863470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1863490 ns R psr 81000200 - 1863510 ns MR4_I 01000214 6841d006 - 1863530 ns MR4_I 01000218 d1fc07c9 - 1863530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1863610 ns MR4_D 40006004 00000001 - 1863610 ns R r1 00000001 - 1863610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1863630 ns MR4_I 0100021c 1c5b6002 - 1863630 ns R r1 80000000 - 1863630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1863650 ns R psr 81000200 - 1863670 ns MR4_I 01000214 6841d006 - 1863690 ns MR4_I 01000218 d1fc07c9 - 1863690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1863770 ns MR4_D 40006004 00000001 - 1863770 ns R r1 00000001 - 1863770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1863790 ns MR4_I 0100021c 1c5b6002 - 1863790 ns R r1 80000000 - 1863790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1863810 ns R psr 81000200 - 1863830 ns MR4_I 01000214 6841d006 - 1863850 ns MR4_I 01000218 d1fc07c9 - 1863850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1863930 ns MR4_D 40006004 00000001 - 1863930 ns R r1 00000001 - 1863930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1863950 ns MR4_I 0100021c 1c5b6002 - 1863950 ns R r1 80000000 - 1863950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1863970 ns R psr 81000200 - 1863990 ns MR4_I 01000214 6841d006 - 1864010 ns MR4_I 01000218 d1fc07c9 - 1864010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1864090 ns MR4_D 40006004 00000001 - 1864090 ns R r1 00000001 - 1864090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1864110 ns MR4_I 0100021c 1c5b6002 - 1864110 ns R r1 80000000 - 1864110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1864130 ns R psr 81000200 - 1864150 ns MR4_I 01000214 6841d006 - 1864170 ns MR4_I 01000218 d1fc07c9 - 1864170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1864250 ns MR4_D 40006004 00000001 - 1864250 ns R r1 00000001 - 1864250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1864270 ns MR4_I 0100021c 1c5b6002 - 1864270 ns R r1 80000000 - 1864270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1864290 ns R psr 81000200 - 1864310 ns MR4_I 01000214 6841d006 - 1864330 ns MR4_I 01000218 d1fc07c9 - 1864330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1864410 ns MR4_D 40006004 00000001 - 1864410 ns R r1 00000001 - 1864410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1864430 ns MR4_I 0100021c 1c5b6002 - 1864430 ns R r1 80000000 - 1864430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1864450 ns R psr 81000200 - 1864470 ns MR4_I 01000214 6841d006 - 1864490 ns MR4_I 01000218 d1fc07c9 - 1864490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1864570 ns MR4_D 40006004 00000001 - 1864570 ns R r1 00000001 - 1864570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1864590 ns MR4_I 0100021c 1c5b6002 - 1864590 ns R r1 80000000 - 1864590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1864610 ns R psr 81000200 - 1864630 ns MR4_I 01000214 6841d006 - 1864650 ns MR4_I 01000218 d1fc07c9 - 1864650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1864730 ns MR4_D 40006004 00000001 - 1864730 ns R r1 00000001 - 1864730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1864750 ns MR4_I 0100021c 1c5b6002 - 1864750 ns R r1 80000000 - 1864750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1864770 ns R psr 81000200 - 1864790 ns MR4_I 01000214 6841d006 - 1864810 ns MR4_I 01000218 d1fc07c9 - 1864810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1864890 ns MR4_D 40006004 00000001 - 1864890 ns R r1 00000001 - 1864890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1864910 ns MR4_I 0100021c 1c5b6002 - 1864910 ns R r1 80000000 - 1864910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1864930 ns R psr 81000200 - 1864950 ns MR4_I 01000214 6841d006 - 1864970 ns MR4_I 01000218 d1fc07c9 - 1864970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1865050 ns MR4_D 40006004 00000001 - 1865050 ns R r1 00000001 - 1865050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1865070 ns MR4_I 0100021c 1c5b6002 - 1865070 ns R r1 80000000 - 1865070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1865090 ns R psr 81000200 - 1865110 ns MR4_I 01000214 6841d006 - 1865130 ns MR4_I 01000218 d1fc07c9 - 1865130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1865210 ns MR4_D 40006004 00000001 - 1865210 ns R r1 00000001 - 1865210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1865230 ns MR4_I 0100021c 1c5b6002 - 1865230 ns R r1 80000000 - 1865230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1865250 ns R psr 81000200 - 1865270 ns MR4_I 01000214 6841d006 - 1865290 ns MR4_I 01000218 d1fc07c9 - 1865290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1865370 ns MR4_D 40006004 00000001 - 1865370 ns R r1 00000001 - 1865370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1865390 ns MR4_I 0100021c 1c5b6002 - 1865390 ns R r1 80000000 - 1865390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1865410 ns R psr 81000200 - 1865430 ns MR4_I 01000214 6841d006 - 1865450 ns MR4_I 01000218 d1fc07c9 - 1865450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1865530 ns MR4_D 40006004 00000001 - 1865530 ns R r1 00000001 - 1865530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1865550 ns MR4_I 0100021c 1c5b6002 - 1865550 ns R r1 80000000 - 1865550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1865570 ns R psr 81000200 - 1865590 ns MR4_I 01000214 6841d006 - 1865610 ns MR4_I 01000218 d1fc07c9 - 1865610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1865690 ns MR4_D 40006004 00000001 - 1865690 ns R r1 00000001 - 1865690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1865710 ns MR4_I 0100021c 1c5b6002 - 1865710 ns R r1 80000000 - 1865710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1865730 ns R psr 81000200 - 1865750 ns MR4_I 01000214 6841d006 - 1865770 ns MR4_I 01000218 d1fc07c9 - 1865770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1865850 ns MR4_D 40006004 00000001 - 1865850 ns R r1 00000001 - 1865850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1865870 ns MR4_I 0100021c 1c5b6002 - 1865870 ns R r1 80000000 - 1865870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1865890 ns R psr 81000200 - 1865910 ns MR4_I 01000214 6841d006 - 1865930 ns MR4_I 01000218 d1fc07c9 - 1865930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1866010 ns MR4_D 40006004 00000001 - 1866010 ns R r1 00000001 - 1866010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1866030 ns MR4_I 0100021c 1c5b6002 - 1866030 ns R r1 80000000 - 1866030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1866050 ns R psr 81000200 - 1866070 ns MR4_I 01000214 6841d006 - 1866090 ns MR4_I 01000218 d1fc07c9 - 1866090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1866170 ns MR4_D 40006004 00000001 - 1866170 ns R r1 00000001 - 1866170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1866190 ns MR4_I 0100021c 1c5b6002 - 1866190 ns R r1 80000000 - 1866190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1866210 ns R psr 81000200 - 1866230 ns MR4_I 01000214 6841d006 - 1866250 ns MR4_I 01000218 d1fc07c9 - 1866250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1866330 ns MR4_D 40006004 00000001 - 1866330 ns R r1 00000001 - 1866330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1866350 ns MR4_I 0100021c 1c5b6002 - 1866350 ns R r1 80000000 - 1866350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1866370 ns R psr 81000200 - 1866390 ns MR4_I 01000214 6841d006 - 1866410 ns MR4_I 01000218 d1fc07c9 - 1866410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1866490 ns MR4_D 40006004 00000001 - 1866490 ns R r1 00000001 - 1866490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1866510 ns MR4_I 0100021c 1c5b6002 - 1866510 ns R r1 80000000 - 1866510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1866530 ns R psr 81000200 - 1866550 ns MR4_I 01000214 6841d006 - 1866570 ns MR4_I 01000218 d1fc07c9 - 1866570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1866650 ns MR4_D 40006004 00000001 - 1866650 ns R r1 00000001 - 1866650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1866670 ns MR4_I 0100021c 1c5b6002 - 1866670 ns R r1 80000000 - 1866670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1866690 ns R psr 81000200 - 1866710 ns MR4_I 01000214 6841d006 - 1866730 ns MR4_I 01000218 d1fc07c9 - 1866730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1866810 ns MR4_D 40006004 00000001 - 1866810 ns R r1 00000001 - 1866810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1866830 ns MR4_I 0100021c 1c5b6002 - 1866830 ns R r1 80000000 - 1866830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1866850 ns R psr 81000200 - 1866870 ns MR4_I 01000214 6841d006 - 1866890 ns MR4_I 01000218 d1fc07c9 - 1866890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1866970 ns MR4_D 40006004 00000001 - 1866970 ns R r1 00000001 - 1866970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1866990 ns MR4_I 0100021c 1c5b6002 - 1866990 ns R r1 80000000 - 1866990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1867010 ns R psr 81000200 - 1867030 ns MR4_I 01000214 6841d006 - 1867050 ns MR4_I 01000218 d1fc07c9 - 1867050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1867130 ns MR4_D 40006004 00000001 - 1867130 ns R r1 00000001 - 1867130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1867150 ns MR4_I 0100021c 1c5b6002 - 1867150 ns R r1 80000000 - 1867150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1867170 ns R psr 81000200 - 1867190 ns MR4_I 01000214 6841d006 - 1867210 ns MR4_I 01000218 d1fc07c9 - 1867210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1867290 ns MR4_D 40006004 00000001 - 1867290 ns R r1 00000001 - 1867290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1867310 ns MR4_I 0100021c 1c5b6002 - 1867310 ns R r1 80000000 - 1867310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1867330 ns R psr 81000200 - 1867350 ns MR4_I 01000214 6841d006 - 1867370 ns MR4_I 01000218 d1fc07c9 - 1867370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1867450 ns MR4_D 40006004 00000001 - 1867450 ns R r1 00000001 - 1867450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1867470 ns MR4_I 0100021c 1c5b6002 - 1867470 ns R r1 80000000 - 1867470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1867490 ns R psr 81000200 - 1867510 ns MR4_I 01000214 6841d006 - 1867530 ns MR4_I 01000218 d1fc07c9 - 1867530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1867610 ns MR4_D 40006004 00000001 - 1867610 ns R r1 00000001 - 1867610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1867630 ns MR4_I 0100021c 1c5b6002 - 1867630 ns R r1 80000000 - 1867630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1867650 ns R psr 81000200 - 1867670 ns MR4_I 01000214 6841d006 - 1867690 ns MR4_I 01000218 d1fc07c9 - 1867690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1867770 ns MR4_D 40006004 00000001 - 1867770 ns R r1 00000001 - 1867770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1867790 ns MR4_I 0100021c 1c5b6002 - 1867790 ns R r1 80000000 - 1867790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1867810 ns R psr 81000200 - 1867830 ns MR4_I 01000214 6841d006 - 1867850 ns MR4_I 01000218 d1fc07c9 - 1867850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1867930 ns MR4_D 40006004 00000001 - 1867930 ns R r1 00000001 - 1867930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1867950 ns MR4_I 0100021c 1c5b6002 - 1867950 ns R r1 80000000 - 1867950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1867970 ns R psr 81000200 - 1867990 ns MR4_I 01000214 6841d006 - 1868010 ns MR4_I 01000218 d1fc07c9 - 1868010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1868090 ns MR4_D 40006004 00000001 - 1868090 ns R r1 00000001 - 1868090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1868110 ns MR4_I 0100021c 1c5b6002 - 1868110 ns R r1 80000000 - 1868110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1868130 ns R psr 81000200 - 1868150 ns MR4_I 01000214 6841d006 - 1868170 ns MR4_I 01000218 d1fc07c9 - 1868170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1868250 ns MR4_D 40006004 00000001 - 1868250 ns R r1 00000001 - 1868250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1868270 ns MR4_I 0100021c 1c5b6002 - 1868270 ns R r1 80000000 - 1868270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1868290 ns R psr 81000200 - 1868310 ns MR4_I 01000214 6841d006 - 1868330 ns MR4_I 01000218 d1fc07c9 - 1868330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1868410 ns MR4_D 40006004 00000001 - 1868410 ns R r1 00000001 - 1868410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1868430 ns MR4_I 0100021c 1c5b6002 - 1868430 ns R r1 80000000 - 1868430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1868450 ns R psr 81000200 - 1868470 ns MR4_I 01000214 6841d006 - 1868490 ns MR4_I 01000218 d1fc07c9 - 1868490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1868570 ns MR4_D 40006004 00000001 - 1868570 ns R r1 00000001 - 1868570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1868590 ns MR4_I 0100021c 1c5b6002 - 1868590 ns R r1 80000000 - 1868590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1868610 ns R psr 81000200 - 1868630 ns MR4_I 01000214 6841d006 - 1868650 ns MR4_I 01000218 d1fc07c9 - 1868650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1868730 ns MR4_D 40006004 00000001 - 1868730 ns R r1 00000001 - 1868730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1868750 ns MR4_I 0100021c 1c5b6002 - 1868750 ns R r1 80000000 - 1868750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1868770 ns R psr 81000200 - 1868790 ns MR4_I 01000214 6841d006 - 1868810 ns MR4_I 01000218 d1fc07c9 - 1868810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1868890 ns MR4_D 40006004 00000001 - 1868890 ns R r1 00000001 - 1868890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1868910 ns MR4_I 0100021c 1c5b6002 - 1868910 ns R r1 80000000 - 1868910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1868930 ns R psr 81000200 - 1868950 ns MR4_I 01000214 6841d006 - 1868970 ns MR4_I 01000218 d1fc07c9 - 1868970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1869050 ns MR4_D 40006004 00000001 - 1869050 ns R r1 00000001 - 1869050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1869070 ns MR4_I 0100021c 1c5b6002 - 1869070 ns R r1 80000000 - 1869070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1869090 ns R psr 81000200 - 1869110 ns MR4_I 01000214 6841d006 - 1869130 ns MR4_I 01000218 d1fc07c9 - 1869130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1869210 ns MR4_D 40006004 00000001 - 1869210 ns R r1 00000001 - 1869210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1869230 ns MR4_I 0100021c 1c5b6002 - 1869230 ns R r1 80000000 - 1869230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1869250 ns R psr 81000200 - 1869270 ns MR4_I 01000214 6841d006 - 1869290 ns MR4_I 01000218 d1fc07c9 - 1869290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1869370 ns MR4_D 40006004 00000001 - 1869370 ns R r1 00000001 - 1869370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1869390 ns MR4_I 0100021c 1c5b6002 - 1869390 ns R r1 80000000 - 1869390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1869410 ns R psr 81000200 - 1869430 ns MR4_I 01000214 6841d006 - 1869450 ns MR4_I 01000218 d1fc07c9 - 1869450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1869530 ns MR4_D 40006004 00000001 - 1869530 ns R r1 00000001 - 1869530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1869550 ns MR4_I 0100021c 1c5b6002 - 1869550 ns R r1 80000000 - 1869550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1869570 ns R psr 81000200 - 1869590 ns MR4_I 01000214 6841d006 - 1869610 ns MR4_I 01000218 d1fc07c9 - 1869610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1869690 ns MR4_D 40006004 00000001 - 1869690 ns R r1 00000001 - 1869690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1869710 ns MR4_I 0100021c 1c5b6002 - 1869710 ns R r1 80000000 - 1869710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1869730 ns R psr 81000200 - 1869750 ns MR4_I 01000214 6841d006 - 1869770 ns MR4_I 01000218 d1fc07c9 - 1869770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1869850 ns MR4_D 40006004 00000001 - 1869850 ns R r1 00000001 - 1869850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1869870 ns MR4_I 0100021c 1c5b6002 - 1869870 ns R r1 80000000 - 1869870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1869890 ns R psr 81000200 - 1869910 ns MR4_I 01000214 6841d006 - 1869930 ns MR4_I 01000218 d1fc07c9 - 1869930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1870010 ns MR4_D 40006004 00000001 - 1870010 ns R r1 00000001 - 1870010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1870030 ns MR4_I 0100021c 1c5b6002 - 1870030 ns R r1 80000000 - 1870030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1870050 ns R psr 81000200 - 1870070 ns MR4_I 01000214 6841d006 - 1870090 ns MR4_I 01000218 d1fc07c9 - 1870090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1870170 ns MR4_D 40006004 00000001 - 1870170 ns R r1 00000001 - 1870170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1870190 ns MR4_I 0100021c 1c5b6002 - 1870190 ns R r1 80000000 - 1870190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1870210 ns R psr 81000200 - 1870230 ns MR4_I 01000214 6841d006 - 1870250 ns MR4_I 01000218 d1fc07c9 - 1870250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1870330 ns MR4_D 40006004 00000001 - 1870330 ns R r1 00000001 - 1870330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1870350 ns MR4_I 0100021c 1c5b6002 - 1870350 ns R r1 80000000 - 1870350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1870370 ns R psr 81000200 - 1870390 ns MR4_I 01000214 6841d006 - 1870410 ns MR4_I 01000218 d1fc07c9 - 1870410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1870490 ns MR4_D 40006004 00000001 - 1870490 ns R r1 00000001 - 1870490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1870510 ns MR4_I 0100021c 1c5b6002 - 1870510 ns R r1 80000000 - 1870510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1870530 ns R psr 81000200 - 1870550 ns MR4_I 01000214 6841d006 - 1870570 ns MR4_I 01000218 d1fc07c9 - 1870570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1870650 ns MR4_D 40006004 00000001 - 1870650 ns R r1 00000001 - 1870650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1870670 ns MR4_I 0100021c 1c5b6002 - 1870670 ns R r1 80000000 - 1870670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1870690 ns R psr 81000200 - 1870710 ns MR4_I 01000214 6841d006 - 1870730 ns MR4_I 01000218 d1fc07c9 - 1870730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1870810 ns MR4_D 40006004 00000001 - 1870810 ns R r1 00000001 - 1870810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1870830 ns MR4_I 0100021c 1c5b6002 - 1870830 ns R r1 80000000 - 1870830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1870850 ns R psr 81000200 - 1870870 ns MR4_I 01000214 6841d006 - 1870890 ns MR4_I 01000218 d1fc07c9 - 1870890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1870970 ns MR4_D 40006004 00000001 - 1870970 ns R r1 00000001 - 1870970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1870990 ns MR4_I 0100021c 1c5b6002 - 1870990 ns R r1 80000000 - 1870990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1871010 ns R psr 81000200 - 1871030 ns MR4_I 01000214 6841d006 - 1871050 ns MR4_I 01000218 d1fc07c9 - 1871050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1871130 ns MR4_D 40006004 00000001 - 1871130 ns R r1 00000001 - 1871130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1871150 ns MR4_I 0100021c 1c5b6002 - 1871150 ns R r1 80000000 - 1871150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1871170 ns R psr 81000200 - 1871190 ns MR4_I 01000214 6841d006 - 1871210 ns MR4_I 01000218 d1fc07c9 - 1871210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1871290 ns MR4_D 40006004 00000001 - 1871290 ns R r1 00000001 - 1871290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1871310 ns MR4_I 0100021c 1c5b6002 - 1871310 ns R r1 80000000 - 1871310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1871330 ns R psr 81000200 - 1871350 ns MR4_I 01000214 6841d006 - 1871370 ns MR4_I 01000218 d1fc07c9 - 1871370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1871450 ns MR4_D 40006004 00000001 - 1871450 ns R r1 00000001 - 1871450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1871470 ns MR4_I 0100021c 1c5b6002 - 1871470 ns R r1 80000000 - 1871470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1871490 ns R psr 81000200 - 1871510 ns MR4_I 01000214 6841d006 - 1871530 ns MR4_I 01000218 d1fc07c9 - 1871530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1871610 ns MR4_D 40006004 00000001 - 1871610 ns R r1 00000001 - 1871610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1871630 ns MR4_I 0100021c 1c5b6002 - 1871630 ns R r1 80000000 - 1871630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1871650 ns R psr 81000200 - 1871670 ns MR4_I 01000214 6841d006 - 1871690 ns MR4_I 01000218 d1fc07c9 - 1871690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1871770 ns MR4_D 40006004 00000001 - 1871770 ns R r1 00000001 - 1871770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1871790 ns MR4_I 0100021c 1c5b6002 - 1871790 ns R r1 80000000 - 1871790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1871810 ns R psr 81000200 - 1871830 ns MR4_I 01000214 6841d006 - 1871850 ns MR4_I 01000218 d1fc07c9 - 1871850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1871930 ns MR4_D 40006004 00000001 - 1871930 ns R r1 00000001 - 1871930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1871950 ns MR4_I 0100021c 1c5b6002 - 1871950 ns R r1 80000000 - 1871950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1871970 ns R psr 81000200 - 1871990 ns MR4_I 01000214 6841d006 - 1872010 ns MR4_I 01000218 d1fc07c9 - 1872010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1872090 ns MR4_D 40006004 00000001 - 1872090 ns R r1 00000001 - 1872090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1872110 ns MR4_I 0100021c 1c5b6002 - 1872110 ns R r1 80000000 - 1872110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1872130 ns R psr 81000200 - 1872150 ns MR4_I 01000214 6841d006 - 1872170 ns MR4_I 01000218 d1fc07c9 - 1872170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1872250 ns MR4_D 40006004 00000001 - 1872250 ns R r1 00000001 - 1872250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1872270 ns MR4_I 0100021c 1c5b6002 - 1872270 ns R r1 80000000 - 1872270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1872290 ns R psr 81000200 - 1872310 ns MR4_I 01000214 6841d006 - 1872330 ns MR4_I 01000218 d1fc07c9 - 1872330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1872410 ns MR4_D 40006004 00000001 - 1872410 ns R r1 00000001 - 1872410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1872430 ns MR4_I 0100021c 1c5b6002 - 1872430 ns R r1 80000000 - 1872430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1872450 ns R psr 81000200 - 1872470 ns MR4_I 01000214 6841d006 - 1872490 ns MR4_I 01000218 d1fc07c9 - 1872490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1872570 ns MR4_D 40006004 00000001 - 1872570 ns R r1 00000001 - 1872570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1872590 ns MR4_I 0100021c 1c5b6002 - 1872590 ns R r1 80000000 - 1872590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1872610 ns R psr 81000200 - 1872630 ns MR4_I 01000214 6841d006 - 1872650 ns MR4_I 01000218 d1fc07c9 - 1872650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1872730 ns MR4_D 40006004 00000001 - 1872730 ns R r1 00000001 - 1872730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1872750 ns MR4_I 0100021c 1c5b6002 - 1872750 ns R r1 80000000 - 1872750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1872770 ns R psr 81000200 - 1872790 ns MR4_I 01000214 6841d006 - 1872810 ns MR4_I 01000218 d1fc07c9 - 1872810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1872890 ns MR4_D 40006004 00000001 - 1872890 ns R r1 00000001 - 1872890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1872910 ns MR4_I 0100021c 1c5b6002 - 1872910 ns R r1 80000000 - 1872910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1872930 ns R psr 81000200 - 1872950 ns MR4_I 01000214 6841d006 - 1872970 ns MR4_I 01000218 d1fc07c9 - 1872970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1873050 ns MR4_D 40006004 00000001 - 1873050 ns R r1 00000001 - 1873050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1873070 ns MR4_I 0100021c 1c5b6002 - 1873070 ns R r1 80000000 - 1873070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1873090 ns R psr 81000200 - 1873110 ns MR4_I 01000214 6841d006 - 1873130 ns MR4_I 01000218 d1fc07c9 - 1873130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1873210 ns MR4_D 40006004 00000001 - 1873210 ns R r1 00000001 - 1873210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1873230 ns MR4_I 0100021c 1c5b6002 - 1873230 ns R r1 80000000 - 1873230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1873250 ns R psr 81000200 - 1873270 ns MR4_I 01000214 6841d006 - 1873290 ns MR4_I 01000218 d1fc07c9 - 1873290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1873370 ns MR4_D 40006004 00000001 - 1873370 ns R r1 00000001 - 1873370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1873390 ns MR4_I 0100021c 1c5b6002 - 1873390 ns R r1 80000000 - 1873390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1873410 ns R psr 81000200 - 1873430 ns MR4_I 01000214 6841d006 - 1873450 ns MR4_I 01000218 d1fc07c9 - 1873450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1873530 ns MR4_D 40006004 00000001 - 1873530 ns R r1 00000001 - 1873530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1873550 ns MR4_I 0100021c 1c5b6002 - 1873550 ns R r1 80000000 - 1873550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1873570 ns R psr 81000200 - 1873590 ns MR4_I 01000214 6841d006 - 1873610 ns MR4_I 01000218 d1fc07c9 - 1873610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1873690 ns MR4_D 40006004 00000001 - 1873690 ns R r1 00000001 - 1873690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1873710 ns MR4_I 0100021c 1c5b6002 - 1873710 ns R r1 80000000 - 1873710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1873730 ns R psr 81000200 - 1873750 ns MR4_I 01000214 6841d006 - 1873770 ns MR4_I 01000218 d1fc07c9 - 1873770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1873850 ns MR4_D 40006004 00000001 - 1873850 ns R r1 00000001 - 1873850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1873870 ns MR4_I 0100021c 1c5b6002 - 1873870 ns R r1 80000000 - 1873870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1873890 ns R psr 81000200 - 1873910 ns MR4_I 01000214 6841d006 - 1873930 ns MR4_I 01000218 d1fc07c9 - 1873930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1874010 ns MR4_D 40006004 00000001 - 1874010 ns R r1 00000001 - 1874010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1874030 ns MR4_I 0100021c 1c5b6002 - 1874030 ns R r1 80000000 - 1874030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1874050 ns R psr 81000200 - 1874070 ns MR4_I 01000214 6841d006 - 1874090 ns MR4_I 01000218 d1fc07c9 - 1874090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1874170 ns MR4_D 40006004 00000001 - 1874170 ns R r1 00000001 - 1874170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1874190 ns MR4_I 0100021c 1c5b6002 - 1874190 ns R r1 80000000 - 1874190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1874210 ns R psr 81000200 - 1874230 ns MR4_I 01000214 6841d006 - 1874250 ns MR4_I 01000218 d1fc07c9 - 1874250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1874330 ns MR4_D 40006004 00000001 - 1874330 ns R r1 00000001 - 1874330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1874350 ns MR4_I 0100021c 1c5b6002 - 1874350 ns R r1 80000000 - 1874350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1874370 ns R psr 81000200 - 1874390 ns MR4_I 01000214 6841d006 - 1874410 ns MR4_I 01000218 d1fc07c9 - 1874410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1874490 ns MR4_D 40006004 00000001 - 1874490 ns R r1 00000001 - 1874490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1874510 ns MR4_I 0100021c 1c5b6002 - 1874510 ns R r1 80000000 - 1874510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1874530 ns R psr 81000200 - 1874550 ns MR4_I 01000214 6841d006 - 1874570 ns MR4_I 01000218 d1fc07c9 - 1874570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1874650 ns MR4_D 40006004 00000001 - 1874650 ns R r1 00000001 - 1874650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1874670 ns MR4_I 0100021c 1c5b6002 - 1874670 ns R r1 80000000 - 1874670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1874690 ns R psr 81000200 - 1874710 ns MR4_I 01000214 6841d006 - 1874730 ns MR4_I 01000218 d1fc07c9 - 1874730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1874810 ns MR4_D 40006004 00000001 - 1874810 ns R r1 00000001 - 1874810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1874830 ns MR4_I 0100021c 1c5b6002 - 1874830 ns R r1 80000000 - 1874830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1874850 ns R psr 81000200 - 1874870 ns MR4_I 01000214 6841d006 - 1874890 ns MR4_I 01000218 d1fc07c9 - 1874890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1874970 ns MR4_D 40006004 00000001 - 1874970 ns R r1 00000001 - 1874970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1874990 ns MR4_I 0100021c 1c5b6002 - 1874990 ns R r1 80000000 - 1874990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1875010 ns R psr 81000200 - 1875030 ns MR4_I 01000214 6841d006 - 1875050 ns MR4_I 01000218 d1fc07c9 - 1875050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1875130 ns MR4_D 40006004 00000001 - 1875130 ns R r1 00000001 - 1875130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1875150 ns MR4_I 0100021c 1c5b6002 - 1875150 ns R r1 80000000 - 1875150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1875170 ns R psr 81000200 - 1875190 ns MR4_I 01000214 6841d006 - 1875210 ns MR4_I 01000218 d1fc07c9 - 1875210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1875290 ns MR4_D 40006004 00000001 - 1875290 ns R r1 00000001 - 1875290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1875310 ns MR4_I 0100021c 1c5b6002 - 1875310 ns R r1 80000000 - 1875310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1875330 ns R psr 81000200 - 1875350 ns MR4_I 01000214 6841d006 - 1875370 ns MR4_I 01000218 d1fc07c9 - 1875370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1875450 ns MR4_D 40006004 00000001 - 1875450 ns R r1 00000001 - 1875450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1875470 ns MR4_I 0100021c 1c5b6002 - 1875470 ns R r1 80000000 - 1875470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1875490 ns R psr 81000200 - 1875510 ns MR4_I 01000214 6841d006 - 1875530 ns MR4_I 01000218 d1fc07c9 - 1875530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1875610 ns MR4_D 40006004 00000001 - 1875610 ns R r1 00000001 - 1875610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1875630 ns MR4_I 0100021c 1c5b6002 - 1875630 ns R r1 80000000 - 1875630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1875650 ns R psr 81000200 - 1875670 ns MR4_I 01000214 6841d006 - 1875690 ns MR4_I 01000218 d1fc07c9 - 1875690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1875770 ns MR4_D 40006004 00000001 - 1875770 ns R r1 00000001 - 1875770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1875790 ns MR4_I 0100021c 1c5b6002 - 1875790 ns R r1 80000000 - 1875790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1875810 ns R psr 81000200 - 1875830 ns MR4_I 01000214 6841d006 - 1875850 ns MR4_I 01000218 d1fc07c9 - 1875850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1875930 ns MR4_D 40006004 00000001 - 1875930 ns R r1 00000001 - 1875930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1875950 ns MR4_I 0100021c 1c5b6002 - 1875950 ns R r1 80000000 - 1875950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1875970 ns R psr 81000200 - 1875990 ns MR4_I 01000214 6841d006 - 1876010 ns MR4_I 01000218 d1fc07c9 - 1876010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1876090 ns MR4_D 40006004 00000001 - 1876090 ns R r1 00000001 - 1876090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1876110 ns MR4_I 0100021c 1c5b6002 - 1876110 ns R r1 80000000 - 1876110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1876130 ns R psr 81000200 - 1876150 ns MR4_I 01000214 6841d006 - 1876170 ns MR4_I 01000218 d1fc07c9 - 1876170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1876250 ns MR4_D 40006004 00000001 - 1876250 ns R r1 00000001 - 1876250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1876270 ns MR4_I 0100021c 1c5b6002 - 1876270 ns R r1 80000000 - 1876270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1876290 ns R psr 81000200 - 1876310 ns MR4_I 01000214 6841d006 - 1876330 ns MR4_I 01000218 d1fc07c9 - 1876330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1876410 ns MR4_D 40006004 00000001 - 1876410 ns R r1 00000001 - 1876410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1876430 ns MR4_I 0100021c 1c5b6002 - 1876430 ns R r1 80000000 - 1876430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1876450 ns R psr 81000200 - 1876470 ns MR4_I 01000214 6841d006 - 1876490 ns MR4_I 01000218 d1fc07c9 - 1876490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1876570 ns MR4_D 40006004 00000001 - 1876570 ns R r1 00000001 - 1876570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1876590 ns MR4_I 0100021c 1c5b6002 - 1876590 ns R r1 80000000 - 1876590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1876610 ns R psr 81000200 - 1876630 ns MR4_I 01000214 6841d006 - 1876650 ns MR4_I 01000218 d1fc07c9 - 1876650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1876730 ns MR4_D 40006004 00000001 - 1876730 ns R r1 00000001 - 1876730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1876750 ns MR4_I 0100021c 1c5b6002 - 1876750 ns R r1 80000000 - 1876750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1876770 ns R psr 81000200 - 1876790 ns MR4_I 01000214 6841d006 - 1876810 ns MR4_I 01000218 d1fc07c9 - 1876810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1876890 ns MR4_D 40006004 00000001 - 1876890 ns R r1 00000001 - 1876890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1876910 ns MR4_I 0100021c 1c5b6002 - 1876910 ns R r1 80000000 - 1876910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1876930 ns R psr 81000200 - 1876950 ns MR4_I 01000214 6841d006 - 1876970 ns MR4_I 01000218 d1fc07c9 - 1876970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1877050 ns MR4_D 40006004 00000001 - 1877050 ns R r1 00000001 - 1877050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1877070 ns MR4_I 0100021c 1c5b6002 - 1877070 ns R r1 80000000 - 1877070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1877090 ns R psr 81000200 - 1877110 ns MR4_I 01000214 6841d006 - 1877130 ns MR4_I 01000218 d1fc07c9 - 1877130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1877210 ns MR4_D 40006004 00000001 - 1877210 ns R r1 00000001 - 1877210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1877230 ns MR4_I 0100021c 1c5b6002 - 1877230 ns R r1 80000000 - 1877230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1877250 ns R psr 81000200 - 1877270 ns MR4_I 01000214 6841d006 - 1877290 ns MR4_I 01000218 d1fc07c9 - 1877290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1877370 ns MR4_D 40006004 00000001 - 1877370 ns R r1 00000001 - 1877370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1877390 ns MR4_I 0100021c 1c5b6002 - 1877390 ns R r1 80000000 - 1877390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1877410 ns R psr 81000200 - 1877430 ns MR4_I 01000214 6841d006 - 1877450 ns MR4_I 01000218 d1fc07c9 - 1877450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1877530 ns MR4_D 40006004 00000001 - 1877530 ns R r1 00000001 - 1877530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1877550 ns MR4_I 0100021c 1c5b6002 - 1877550 ns R r1 80000000 - 1877550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1877570 ns R psr 81000200 - 1877590 ns MR4_I 01000214 6841d006 - 1877610 ns MR4_I 01000218 d1fc07c9 - 1877610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1877690 ns MR4_D 40006004 00000001 - 1877690 ns R r1 00000001 - 1877690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1877710 ns MR4_I 0100021c 1c5b6002 - 1877710 ns R r1 80000000 - 1877710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1877730 ns R psr 81000200 - 1877750 ns MR4_I 01000214 6841d006 - 1877770 ns MR4_I 01000218 d1fc07c9 - 1877770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1877850 ns MR4_D 40006004 00000001 - 1877850 ns R r1 00000001 - 1877850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1877870 ns MR4_I 0100021c 1c5b6002 - 1877870 ns R r1 80000000 - 1877870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1877890 ns R psr 81000200 - 1877910 ns MR4_I 01000214 6841d006 - 1877930 ns MR4_I 01000218 d1fc07c9 - 1877930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1878010 ns MR4_D 40006004 00000001 - 1878010 ns R r1 00000001 - 1878010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1878030 ns MR4_I 0100021c 1c5b6002 - 1878030 ns R r1 80000000 - 1878030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1878050 ns R psr 81000200 - 1878070 ns MR4_I 01000214 6841d006 - 1878090 ns MR4_I 01000218 d1fc07c9 - 1878090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1878170 ns MR4_D 40006004 00000001 - 1878170 ns R r1 00000001 - 1878170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1878190 ns MR4_I 0100021c 1c5b6002 - 1878190 ns R r1 80000000 - 1878190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1878210 ns R psr 81000200 - 1878230 ns MR4_I 01000214 6841d006 - 1878250 ns MR4_I 01000218 d1fc07c9 - 1878250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1878330 ns MR4_D 40006004 00000001 - 1878330 ns R r1 00000001 - 1878330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1878350 ns MR4_I 0100021c 1c5b6002 - 1878350 ns R r1 80000000 - 1878350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1878370 ns R psr 81000200 - 1878390 ns MR4_I 01000214 6841d006 - 1878410 ns MR4_I 01000218 d1fc07c9 - 1878410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1878490 ns MR4_D 40006004 00000001 - 1878490 ns R r1 00000001 - 1878490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1878510 ns MR4_I 0100021c 1c5b6002 - 1878510 ns R r1 80000000 - 1878510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1878530 ns R psr 81000200 - 1878550 ns MR4_I 01000214 6841d006 - 1878570 ns MR4_I 01000218 d1fc07c9 - 1878570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1878650 ns MR4_D 40006004 00000001 - 1878650 ns R r1 00000001 - 1878650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1878670 ns MR4_I 0100021c 1c5b6002 - 1878670 ns R r1 80000000 - 1878670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1878690 ns R psr 81000200 - 1878710 ns MR4_I 01000214 6841d006 - 1878730 ns MR4_I 01000218 d1fc07c9 - 1878730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1878810 ns MR4_D 40006004 00000001 - 1878810 ns R r1 00000001 - 1878810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1878830 ns MR4_I 0100021c 1c5b6002 - 1878830 ns R r1 80000000 - 1878830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1878850 ns R psr 81000200 - 1878870 ns MR4_I 01000214 6841d006 - 1878890 ns MR4_I 01000218 d1fc07c9 - 1878890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1878970 ns MR4_D 40006004 00000001 - 1878970 ns R r1 00000001 - 1878970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1878990 ns MR4_I 0100021c 1c5b6002 - 1878990 ns R r1 80000000 - 1878990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1879010 ns R psr 81000200 - 1879030 ns MR4_I 01000214 6841d006 - 1879050 ns MR4_I 01000218 d1fc07c9 - 1879050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1879130 ns MR4_D 40006004 00000001 - 1879130 ns R r1 00000001 - 1879130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1879150 ns MR4_I 0100021c 1c5b6002 - 1879150 ns R r1 80000000 - 1879150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1879170 ns R psr 81000200 - 1879190 ns MR4_I 01000214 6841d006 - 1879210 ns MR4_I 01000218 d1fc07c9 - 1879210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1879290 ns MR4_D 40006004 00000001 - 1879290 ns R r1 00000001 - 1879290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1879310 ns MR4_I 0100021c 1c5b6002 - 1879310 ns R r1 80000000 - 1879310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1879330 ns R psr 81000200 - 1879350 ns MR4_I 01000214 6841d006 - 1879370 ns MR4_I 01000218 d1fc07c9 - 1879370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1879450 ns MR4_D 40006004 00000001 - 1879450 ns R r1 00000001 - 1879450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1879470 ns MR4_I 0100021c 1c5b6002 - 1879470 ns R r1 80000000 - 1879470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1879490 ns R psr 81000200 - 1879510 ns MR4_I 01000214 6841d006 - 1879530 ns MR4_I 01000218 d1fc07c9 - 1879530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1879610 ns MR4_D 40006004 00000001 - 1879610 ns R r1 00000001 - 1879610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1879630 ns MR4_I 0100021c 1c5b6002 - 1879630 ns R r1 80000000 - 1879630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1879650 ns R psr 81000200 - 1879670 ns MR4_I 01000214 6841d006 - 1879690 ns MR4_I 01000218 d1fc07c9 - 1879690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1879770 ns MR4_D 40006004 00000001 - 1879770 ns R r1 00000001 - 1879770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1879790 ns MR4_I 0100021c 1c5b6002 - 1879790 ns R r1 80000000 - 1879790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1879810 ns R psr 81000200 - 1879830 ns MR4_I 01000214 6841d006 - 1879850 ns MR4_I 01000218 d1fc07c9 - 1879850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1879930 ns MR4_D 40006004 00000001 - 1879930 ns R r1 00000001 - 1879930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1879950 ns MR4_I 0100021c 1c5b6002 - 1879950 ns R r1 80000000 - 1879950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1879970 ns R psr 81000200 - 1879990 ns MR4_I 01000214 6841d006 - 1880010 ns MR4_I 01000218 d1fc07c9 - 1880010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1880090 ns MR4_D 40006004 00000001 - 1880090 ns R r1 00000001 - 1880090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1880110 ns MR4_I 0100021c 1c5b6002 - 1880110 ns R r1 80000000 - 1880110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1880130 ns R psr 81000200 - 1880150 ns MR4_I 01000214 6841d006 - 1880170 ns MR4_I 01000218 d1fc07c9 - 1880170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1880250 ns MR4_D 40006004 00000001 - 1880250 ns R r1 00000001 - 1880250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1880270 ns MR4_I 0100021c 1c5b6002 - 1880270 ns R r1 80000000 - 1880270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1880290 ns R psr 81000200 - 1880310 ns MR4_I 01000214 6841d006 - 1880330 ns MR4_I 01000218 d1fc07c9 - 1880330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1880410 ns MR4_D 40006004 00000001 - 1880410 ns R r1 00000001 - 1880410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1880430 ns MR4_I 0100021c 1c5b6002 - 1880430 ns R r1 80000000 - 1880430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1880450 ns R psr 81000200 - 1880470 ns MR4_I 01000214 6841d006 - 1880490 ns MR4_I 01000218 d1fc07c9 - 1880490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1880570 ns MR4_D 40006004 00000001 - 1880570 ns R r1 00000001 - 1880570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1880590 ns MR4_I 0100021c 1c5b6002 - 1880590 ns R r1 80000000 - 1880590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1880610 ns R psr 81000200 - 1880630 ns MR4_I 01000214 6841d006 - 1880650 ns MR4_I 01000218 d1fc07c9 - 1880650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1880730 ns MR4_D 40006004 00000001 - 1880730 ns R r1 00000001 - 1880730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1880750 ns MR4_I 0100021c 1c5b6002 - 1880750 ns R r1 80000000 - 1880750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1880770 ns R psr 81000200 - 1880790 ns MR4_I 01000214 6841d006 - 1880810 ns MR4_I 01000218 d1fc07c9 - 1880810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1880890 ns MR4_D 40006004 00000001 - 1880890 ns R r1 00000001 - 1880890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1880910 ns MR4_I 0100021c 1c5b6002 - 1880910 ns R r1 80000000 - 1880910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1880930 ns R psr 81000200 - 1880950 ns MR4_I 01000214 6841d006 - 1880970 ns MR4_I 01000218 d1fc07c9 - 1880970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1881050 ns MR4_D 40006004 00000001 - 1881050 ns R r1 00000001 - 1881050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1881070 ns MR4_I 0100021c 1c5b6002 - 1881070 ns R r1 80000000 - 1881070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1881090 ns R psr 81000200 - 1881110 ns MR4_I 01000214 6841d006 - 1881130 ns MR4_I 01000218 d1fc07c9 - 1881130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1881210 ns MR4_D 40006004 00000001 - 1881210 ns R r1 00000001 - 1881210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1881230 ns MR4_I 0100021c 1c5b6002 - 1881230 ns R r1 80000000 - 1881230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1881250 ns R psr 81000200 - 1881270 ns MR4_I 01000214 6841d006 - 1881290 ns MR4_I 01000218 d1fc07c9 - 1881290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1881370 ns MR4_D 40006004 00000001 - 1881370 ns R r1 00000001 - 1881370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1881390 ns MR4_I 0100021c 1c5b6002 - 1881390 ns R r1 80000000 - 1881390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1881410 ns R psr 81000200 - 1881430 ns MR4_I 01000214 6841d006 - 1881450 ns MR4_I 01000218 d1fc07c9 - 1881450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1881530 ns MR4_D 40006004 00000001 - 1881530 ns R r1 00000001 - 1881530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1881550 ns MR4_I 0100021c 1c5b6002 - 1881550 ns R r1 80000000 - 1881550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1881570 ns R psr 81000200 - 1881590 ns MR4_I 01000214 6841d006 - 1881610 ns MR4_I 01000218 d1fc07c9 - 1881610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1881690 ns MR4_D 40006004 00000001 - 1881690 ns R r1 00000001 - 1881690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1881710 ns MR4_I 0100021c 1c5b6002 - 1881710 ns R r1 80000000 - 1881710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1881730 ns R psr 81000200 - 1881750 ns MR4_I 01000214 6841d006 - 1881770 ns MR4_I 01000218 d1fc07c9 - 1881770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1881850 ns MR4_D 40006004 00000001 - 1881850 ns R r1 00000001 - 1881850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1881870 ns MR4_I 0100021c 1c5b6002 - 1881870 ns R r1 80000000 - 1881870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1881890 ns R psr 81000200 - 1881910 ns MR4_I 01000214 6841d006 - 1881930 ns MR4_I 01000218 d1fc07c9 - 1881930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1882010 ns MR4_D 40006004 00000001 - 1882010 ns R r1 00000001 - 1882010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1882030 ns MR4_I 0100021c 1c5b6002 - 1882030 ns R r1 80000000 - 1882030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1882050 ns R psr 81000200 - 1882070 ns MR4_I 01000214 6841d006 - 1882090 ns MR4_I 01000218 d1fc07c9 - 1882090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1882170 ns MR4_D 40006004 00000001 - 1882170 ns R r1 00000001 - 1882170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1882190 ns MR4_I 0100021c 1c5b6002 - 1882190 ns R r1 80000000 - 1882190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1882210 ns R psr 81000200 - 1882230 ns MR4_I 01000214 6841d006 - 1882250 ns MR4_I 01000218 d1fc07c9 - 1882250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1882330 ns MR4_D 40006004 00000001 - 1882330 ns R r1 00000001 - 1882330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1882350 ns MR4_I 0100021c 1c5b6002 - 1882350 ns R r1 80000000 - 1882350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1882370 ns R psr 81000200 - 1882390 ns MR4_I 01000214 6841d006 - 1882410 ns MR4_I 01000218 d1fc07c9 - 1882410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1882490 ns MR4_D 40006004 00000001 - 1882490 ns R r1 00000001 - 1882490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1882510 ns MR4_I 0100021c 1c5b6002 - 1882510 ns R r1 80000000 - 1882510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1882530 ns R psr 81000200 - 1882550 ns MR4_I 01000214 6841d006 - 1882570 ns MR4_I 01000218 d1fc07c9 - 1882570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1882650 ns MR4_D 40006004 00000001 - 1882650 ns R r1 00000001 - 1882650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1882670 ns MR4_I 0100021c 1c5b6002 - 1882670 ns R r1 80000000 - 1882670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1882690 ns R psr 81000200 - 1882710 ns MR4_I 01000214 6841d006 - 1882730 ns MR4_I 01000218 d1fc07c9 - 1882730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1882810 ns MR4_D 40006004 00000001 - 1882810 ns R r1 00000001 - 1882810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1882830 ns MR4_I 0100021c 1c5b6002 - 1882830 ns R r1 80000000 - 1882830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1882850 ns R psr 81000200 - 1882870 ns MR4_I 01000214 6841d006 - 1882890 ns MR4_I 01000218 d1fc07c9 - 1882890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1882970 ns MR4_D 40006004 00000001 - 1882970 ns R r1 00000001 - 1882970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1882990 ns MR4_I 0100021c 1c5b6002 - 1882990 ns R r1 80000000 - 1882990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1883010 ns R psr 81000200 - 1883030 ns MR4_I 01000214 6841d006 - 1883050 ns MR4_I 01000218 d1fc07c9 - 1883050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1883130 ns MR4_D 40006004 00000001 - 1883130 ns R r1 00000001 - 1883130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1883150 ns MR4_I 0100021c 1c5b6002 - 1883150 ns R r1 80000000 - 1883150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1883170 ns R psr 81000200 - 1883190 ns MR4_I 01000214 6841d006 - 1883210 ns MR4_I 01000218 d1fc07c9 - 1883210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1883290 ns MR4_D 40006004 00000001 - 1883290 ns R r1 00000001 - 1883290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1883310 ns MR4_I 0100021c 1c5b6002 - 1883310 ns R r1 80000000 - 1883310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1883330 ns R psr 81000200 - 1883350 ns MR4_I 01000214 6841d006 - 1883370 ns MR4_I 01000218 d1fc07c9 - 1883370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1883450 ns MR4_D 40006004 00000001 - 1883450 ns R r1 00000001 - 1883450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1883470 ns MR4_I 0100021c 1c5b6002 - 1883470 ns R r1 80000000 - 1883470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1883490 ns R psr 81000200 - 1883510 ns MR4_I 01000214 6841d006 - 1883530 ns MR4_I 01000218 d1fc07c9 - 1883530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1883610 ns MR4_D 40006004 00000001 - 1883610 ns R r1 00000001 - 1883610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1883630 ns MR4_I 0100021c 1c5b6002 - 1883630 ns R r1 80000000 - 1883630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1883650 ns R psr 81000200 - 1883670 ns MR4_I 01000214 6841d006 - 1883690 ns MR4_I 01000218 d1fc07c9 - 1883690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1883770 ns MR4_D 40006004 00000001 - 1883770 ns R r1 00000001 - 1883770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1883790 ns MR4_I 0100021c 1c5b6002 - 1883790 ns R r1 80000000 - 1883790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1883810 ns R psr 81000200 - 1883830 ns MR4_I 01000214 6841d006 - 1883850 ns MR4_I 01000218 d1fc07c9 - 1883850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1883930 ns MR4_D 40006004 00000001 - 1883930 ns R r1 00000001 - 1883930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1883950 ns MR4_I 0100021c 1c5b6002 - 1883950 ns R r1 80000000 - 1883950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1883970 ns R psr 81000200 - 1883990 ns MR4_I 01000214 6841d006 - 1884010 ns MR4_I 01000218 d1fc07c9 - 1884010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1884090 ns MR4_D 40006004 00000001 - 1884090 ns R r1 00000001 - 1884090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1884110 ns MR4_I 0100021c 1c5b6002 - 1884110 ns R r1 80000000 - 1884110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1884130 ns R psr 81000200 - 1884150 ns MR4_I 01000214 6841d006 - 1884170 ns MR4_I 01000218 d1fc07c9 - 1884170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1884250 ns MR4_D 40006004 00000001 - 1884250 ns R r1 00000001 - 1884250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1884270 ns MR4_I 0100021c 1c5b6002 - 1884270 ns R r1 80000000 - 1884270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1884290 ns R psr 81000200 - 1884310 ns MR4_I 01000214 6841d006 - 1884330 ns MR4_I 01000218 d1fc07c9 - 1884330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1884410 ns MR4_D 40006004 00000001 - 1884410 ns R r1 00000001 - 1884410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1884430 ns MR4_I 0100021c 1c5b6002 - 1884430 ns R r1 80000000 - 1884430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1884450 ns R psr 81000200 - 1884470 ns MR4_I 01000214 6841d006 - 1884490 ns MR4_I 01000218 d1fc07c9 - 1884490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1884570 ns MR4_D 40006004 00000001 - 1884570 ns R r1 00000001 - 1884570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1884590 ns MR4_I 0100021c 1c5b6002 - 1884590 ns R r1 80000000 - 1884590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1884610 ns R psr 81000200 - 1884630 ns MR4_I 01000214 6841d006 - 1884650 ns MR4_I 01000218 d1fc07c9 - 1884650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1884730 ns MR4_D 40006004 00000001 - 1884730 ns R r1 00000001 - 1884730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1884750 ns MR4_I 0100021c 1c5b6002 - 1884750 ns R r1 80000000 - 1884750 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1884770 ns R psr 81000200 - 1884790 ns MR4_I 01000214 6841d006 - 1884810 ns MR4_I 01000218 d1fc07c9 - 1884810 ns IT 01000216 6841 LDR r1,[r0,#4] - 1884890 ns MR4_D 40006004 00000001 - 1884890 ns R r1 00000001 - 1884890 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1884910 ns MR4_I 0100021c 1c5b6002 - 1884910 ns R r1 80000000 - 1884910 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1884930 ns R psr 81000200 - 1884950 ns MR4_I 01000214 6841d006 - 1884970 ns MR4_I 01000218 d1fc07c9 - 1884970 ns IT 01000216 6841 LDR r1,[r0,#4] - 1885050 ns MR4_D 40006004 00000001 - 1885050 ns R r1 00000001 - 1885050 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1885070 ns MR4_I 0100021c 1c5b6002 - 1885070 ns R r1 80000000 - 1885070 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1885090 ns R psr 81000200 - 1885110 ns MR4_I 01000214 6841d006 - 1885130 ns MR4_I 01000218 d1fc07c9 - 1885130 ns IT 01000216 6841 LDR r1,[r0,#4] - 1885210 ns MR4_D 40006004 00000001 - 1885210 ns R r1 00000001 - 1885210 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1885230 ns MR4_I 0100021c 1c5b6002 - 1885230 ns R r1 80000000 - 1885230 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1885250 ns R psr 81000200 - 1885270 ns MR4_I 01000214 6841d006 - 1885290 ns MR4_I 01000218 d1fc07c9 - 1885290 ns IT 01000216 6841 LDR r1,[r0,#4] - 1885370 ns MR4_D 40006004 00000001 - 1885370 ns R r1 00000001 - 1885370 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1885390 ns MR4_I 0100021c 1c5b6002 - 1885390 ns R r1 80000000 - 1885390 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1885410 ns R psr 81000200 - 1885430 ns MR4_I 01000214 6841d006 - 1885450 ns MR4_I 01000218 d1fc07c9 - 1885450 ns IT 01000216 6841 LDR r1,[r0,#4] - 1885530 ns MR4_D 40006004 00000001 - 1885530 ns R r1 00000001 - 1885530 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1885550 ns MR4_I 0100021c 1c5b6002 - 1885550 ns R r1 80000000 - 1885550 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1885570 ns R psr 81000200 - 1885590 ns MR4_I 01000214 6841d006 - 1885610 ns MR4_I 01000218 d1fc07c9 - 1885610 ns IT 01000216 6841 LDR r1,[r0,#4] - 1885690 ns MR4_D 40006004 00000001 - 1885690 ns R r1 00000001 - 1885690 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1885710 ns MR4_I 0100021c 1c5b6002 - 1885710 ns R r1 80000000 - 1885710 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1885730 ns R psr 81000200 - 1885750 ns MR4_I 01000214 6841d006 - 1885770 ns MR4_I 01000218 d1fc07c9 - 1885770 ns IT 01000216 6841 LDR r1,[r0,#4] - 1885850 ns MR4_D 40006004 00000001 - 1885850 ns R r1 00000001 - 1885850 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1885870 ns MR4_I 0100021c 1c5b6002 - 1885870 ns R r1 80000000 - 1885870 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1885890 ns R psr 81000200 - 1885910 ns MR4_I 01000214 6841d006 - 1885930 ns MR4_I 01000218 d1fc07c9 - 1885930 ns IT 01000216 6841 LDR r1,[r0,#4] - 1886010 ns MR4_D 40006004 00000001 - 1886010 ns R r1 00000001 - 1886010 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1886030 ns MR4_I 0100021c 1c5b6002 - 1886030 ns R r1 80000000 - 1886030 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1886050 ns R psr 81000200 - 1886070 ns MR4_I 01000214 6841d006 - 1886090 ns MR4_I 01000218 d1fc07c9 - 1886090 ns IT 01000216 6841 LDR r1,[r0,#4] - 1886170 ns MR4_D 40006004 00000001 - 1886170 ns R r1 00000001 - 1886170 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1886190 ns MR4_I 0100021c 1c5b6002 - 1886190 ns R r1 80000000 - 1886190 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1886210 ns R psr 81000200 - 1886230 ns MR4_I 01000214 6841d006 - 1886250 ns MR4_I 01000218 d1fc07c9 - 1886250 ns IT 01000216 6841 LDR r1,[r0,#4] - 1886330 ns MR4_D 40006004 00000001 - 1886330 ns R r1 00000001 - 1886330 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1886350 ns MR4_I 0100021c 1c5b6002 - 1886350 ns R r1 80000000 - 1886350 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1886370 ns R psr 81000200 - 1886390 ns MR4_I 01000214 6841d006 - 1886410 ns MR4_I 01000218 d1fc07c9 - 1886410 ns IT 01000216 6841 LDR r1,[r0,#4] - 1886490 ns MR4_D 40006004 00000001 - 1886490 ns R r1 00000001 - 1886490 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1886510 ns MR4_I 0100021c 1c5b6002 - 1886510 ns R r1 80000000 - 1886510 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1886530 ns R psr 81000200 - 1886550 ns MR4_I 01000214 6841d006 - 1886570 ns MR4_I 01000218 d1fc07c9 - 1886570 ns IT 01000216 6841 LDR r1,[r0,#4] - 1886650 ns MR4_D 40006004 00000001 - 1886650 ns R r1 00000001 - 1886650 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1886670 ns MR4_I 0100021c 1c5b6002 - 1886670 ns R r1 80000000 - 1886670 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1886690 ns R psr 81000200 - 1886710 ns MR4_I 01000214 6841d006 - 1886730 ns MR4_I 01000218 d1fc07c9 - 1886730 ns IT 01000216 6841 LDR r1,[r0,#4] - 1886810 ns MR4_D 40006004 00000001 - 1886810 ns R r1 00000001 - 1886810 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1886830 ns MR4_I 0100021c 1c5b6002 - 1886830 ns R r1 80000000 - 1886830 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1886850 ns R psr 81000200 - 1886870 ns MR4_I 01000214 6841d006 - 1886890 ns MR4_I 01000218 d1fc07c9 - 1886890 ns IT 01000216 6841 LDR r1,[r0,#4] - 1886970 ns MR4_D 40006004 00000001 - 1886970 ns R r1 00000001 - 1886970 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1886990 ns MR4_I 0100021c 1c5b6002 - 1886990 ns R r1 80000000 - 1886990 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1887010 ns R psr 81000200 - 1887030 ns MR4_I 01000214 6841d006 - 1887050 ns MR4_I 01000218 d1fc07c9 - 1887050 ns IT 01000216 6841 LDR r1,[r0,#4] - 1887130 ns MR4_D 40006004 00000001 - 1887130 ns R r1 00000001 - 1887130 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1887150 ns MR4_I 0100021c 1c5b6002 - 1887150 ns R r1 80000000 - 1887150 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1887170 ns R psr 81000200 - 1887190 ns MR4_I 01000214 6841d006 - 1887210 ns MR4_I 01000218 d1fc07c9 - 1887210 ns IT 01000216 6841 LDR r1,[r0,#4] - 1887290 ns MR4_D 40006004 00000001 - 1887290 ns R r1 00000001 - 1887290 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1887310 ns MR4_I 0100021c 1c5b6002 - 1887310 ns R r1 80000000 - 1887310 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1887330 ns R psr 81000200 - 1887350 ns MR4_I 01000214 6841d006 - 1887370 ns MR4_I 01000218 d1fc07c9 - 1887370 ns IT 01000216 6841 LDR r1,[r0,#4] - 1887450 ns MR4_D 40006004 00000001 - 1887450 ns R r1 00000001 - 1887450 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1887470 ns MR4_I 0100021c 1c5b6002 - 1887470 ns R r1 80000000 - 1887470 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1887490 ns R psr 81000200 - 1887510 ns MR4_I 01000214 6841d006 - 1887530 ns MR4_I 01000218 d1fc07c9 - 1887530 ns IT 01000216 6841 LDR r1,[r0,#4] - 1887610 ns MR4_D 40006004 00000001 - 1887610 ns R r1 00000001 - 1887610 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1887630 ns MR4_I 0100021c 1c5b6002 - 1887630 ns R r1 80000000 - 1887630 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1887650 ns R psr 81000200 - 1887670 ns MR4_I 01000214 6841d006 - 1887690 ns MR4_I 01000218 d1fc07c9 - 1887690 ns IT 01000216 6841 LDR r1,[r0,#4] - 1887770 ns MR4_D 40006004 00000001 - 1887770 ns R r1 00000001 - 1887770 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1887790 ns MR4_I 0100021c 1c5b6002 - 1887790 ns R r1 80000000 - 1887790 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1887810 ns R psr 81000200 - 1887830 ns MR4_I 01000214 6841d006 - 1887850 ns MR4_I 01000218 d1fc07c9 - 1887850 ns IT 01000216 6841 LDR r1,[r0,#4] - 1887930 ns MR4_D 40006004 00000001 - 1887930 ns R r1 00000001 - 1887930 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1887950 ns MR4_I 0100021c 1c5b6002 - 1887950 ns R r1 80000000 - 1887950 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1887970 ns R psr 81000200 - 1887990 ns MR4_I 01000214 6841d006 - 1888010 ns MR4_I 01000218 d1fc07c9 - 1888010 ns IT 01000216 6841 LDR r1,[r0,#4] - 1888090 ns MR4_D 40006004 00000001 - 1888090 ns R r1 00000001 - 1888090 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1888110 ns MR4_I 0100021c 1c5b6002 - 1888110 ns R r1 80000000 - 1888110 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1888130 ns R psr 81000200 - 1888150 ns MR4_I 01000214 6841d006 - 1888170 ns MR4_I 01000218 d1fc07c9 - 1888170 ns IT 01000216 6841 LDR r1,[r0,#4] - 1888250 ns MR4_D 40006004 00000001 - 1888250 ns R r1 00000001 - 1888250 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1888270 ns MR4_I 0100021c 1c5b6002 - 1888270 ns R r1 80000000 - 1888270 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1888290 ns R psr 81000200 - 1888310 ns MR4_I 01000214 6841d006 - 1888330 ns MR4_I 01000218 d1fc07c9 - 1888330 ns IT 01000216 6841 LDR r1,[r0,#4] - 1888410 ns MR4_D 40006004 00000001 - 1888410 ns R r1 00000001 - 1888410 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1888430 ns MR4_I 0100021c 1c5b6002 - 1888430 ns R r1 80000000 - 1888430 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1888450 ns R psr 81000200 - 1888470 ns MR4_I 01000214 6841d006 - 1888490 ns MR4_I 01000218 d1fc07c9 - 1888490 ns IT 01000216 6841 LDR r1,[r0,#4] - 1888570 ns MR4_D 40006004 00000001 - 1888570 ns R r1 00000001 - 1888570 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1888590 ns MR4_I 0100021c 1c5b6002 - 1888590 ns R r1 80000000 - 1888590 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1888610 ns R psr 81000200 - 1888630 ns MR4_I 01000214 6841d006 - 1888650 ns MR4_I 01000218 d1fc07c9 - 1888650 ns IT 01000216 6841 LDR r1,[r0,#4] - 1888730 ns MR4_D 40006004 00000000 - 1888730 ns R r1 00000000 - 1888730 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1888750 ns MR4_I 0100021c 1c5b6002 - 1888750 ns R r1 00000000 - 1888750 ns IS 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1888770 ns R psr 41000200 - 1888770 ns IT 0100021c 6002 STR r2,[r0,#0] - 1888790 ns MR4_I 01000220 d1f52a00 - 1888850 ns MW4_D 40006000 0000000a - 1888850 ns IT 0100021e 1c5b ADDS r3,r3,#1 - 1888870 ns R r3 010002c6 - 1888870 ns IT 01000220 2a00 CMP r2,#0 - 1888890 ns R psr 01000200 - 1888890 ns MR4_I 01000224 680a4911 - 1888890 ns IT 01000222 d1f5 BNE {pc} - 0x12 ; 0x1000210 - 1888910 ns R psr 21000200 - 1888930 ns MR4_I 01000210 2a00781a - 1888950 ns IT 01000210 781a LDRB r2,[r3,#0] - 1888970 ns MR4_I 01000214 6841d006 - 1888990 ns MR1_D 010002c6 000a0a68 - 1888990 ns R r2 0000000a - 1888990 ns IT 01000212 2a00 CMP r2,#0 - 1889010 ns IS 01000214 d006 BEQ {pc} + 0x10 ; 0x1000224 - 1889030 ns R psr 21000200 - 1889030 ns MR4_I 01000218 d1fc07c9 - 1889030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1889110 ns MR4_D 40006004 00000001 - 1889110 ns R r1 00000001 - 1889110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1889130 ns MR4_I 0100021c 1c5b6002 - 1889130 ns R r1 80000000 - 1889130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1889150 ns R psr 81000200 - 1889170 ns MR4_I 01000214 6841d006 - 1889190 ns MR4_I 01000218 d1fc07c9 - 1889190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1889270 ns MR4_D 40006004 00000001 - 1889270 ns R r1 00000001 - 1889270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1889290 ns MR4_I 0100021c 1c5b6002 - 1889290 ns R r1 80000000 - 1889290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1889310 ns R psr 81000200 - 1889330 ns MR4_I 01000214 6841d006 - 1889350 ns MR4_I 01000218 d1fc07c9 - 1889350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1889430 ns MR4_D 40006004 00000001 - 1889430 ns R r1 00000001 - 1889430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1889450 ns MR4_I 0100021c 1c5b6002 - 1889450 ns R r1 80000000 - 1889450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1889470 ns R psr 81000200 - 1889490 ns MR4_I 01000214 6841d006 - 1889510 ns MR4_I 01000218 d1fc07c9 - 1889510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1889590 ns MR4_D 40006004 00000001 - 1889590 ns R r1 00000001 - 1889590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1889610 ns MR4_I 0100021c 1c5b6002 - 1889610 ns R r1 80000000 - 1889610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1889630 ns R psr 81000200 - 1889650 ns MR4_I 01000214 6841d006 - 1889670 ns MR4_I 01000218 d1fc07c9 - 1889670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1889750 ns MR4_D 40006004 00000001 - 1889750 ns R r1 00000001 - 1889750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1889770 ns MR4_I 0100021c 1c5b6002 - 1889770 ns R r1 80000000 - 1889770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1889790 ns R psr 81000200 - 1889810 ns MR4_I 01000214 6841d006 - 1889830 ns MR4_I 01000218 d1fc07c9 - 1889830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1889910 ns MR4_D 40006004 00000001 - 1889910 ns R r1 00000001 - 1889910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1889930 ns MR4_I 0100021c 1c5b6002 - 1889930 ns R r1 80000000 - 1889930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1889950 ns R psr 81000200 - 1889970 ns MR4_I 01000214 6841d006 - 1889990 ns MR4_I 01000218 d1fc07c9 - 1889990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1890070 ns MR4_D 40006004 00000001 - 1890070 ns R r1 00000001 - 1890070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1890090 ns MR4_I 0100021c 1c5b6002 - 1890090 ns R r1 80000000 - 1890090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1890110 ns R psr 81000200 - 1890130 ns MR4_I 01000214 6841d006 - 1890150 ns MR4_I 01000218 d1fc07c9 - 1890150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1890230 ns MR4_D 40006004 00000001 - 1890230 ns R r1 00000001 - 1890230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1890250 ns MR4_I 0100021c 1c5b6002 - 1890250 ns R r1 80000000 - 1890250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1890270 ns R psr 81000200 - 1890290 ns MR4_I 01000214 6841d006 - 1890310 ns MR4_I 01000218 d1fc07c9 - 1890310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1890390 ns MR4_D 40006004 00000001 - 1890390 ns R r1 00000001 - 1890390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1890410 ns MR4_I 0100021c 1c5b6002 - 1890410 ns R r1 80000000 - 1890410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1890430 ns R psr 81000200 - 1890450 ns MR4_I 01000214 6841d006 - 1890470 ns MR4_I 01000218 d1fc07c9 - 1890470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1890550 ns MR4_D 40006004 00000001 - 1890550 ns R r1 00000001 - 1890550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1890570 ns MR4_I 0100021c 1c5b6002 - 1890570 ns R r1 80000000 - 1890570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1890590 ns R psr 81000200 - 1890610 ns MR4_I 01000214 6841d006 - 1890630 ns MR4_I 01000218 d1fc07c9 - 1890630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1890710 ns MR4_D 40006004 00000001 - 1890710 ns R r1 00000001 - 1890710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1890730 ns MR4_I 0100021c 1c5b6002 - 1890730 ns R r1 80000000 - 1890730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1890750 ns R psr 81000200 - 1890770 ns MR4_I 01000214 6841d006 - 1890790 ns MR4_I 01000218 d1fc07c9 - 1890790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1890870 ns MR4_D 40006004 00000001 - 1890870 ns R r1 00000001 - 1890870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1890890 ns MR4_I 0100021c 1c5b6002 - 1890890 ns R r1 80000000 - 1890890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1890910 ns R psr 81000200 - 1890930 ns MR4_I 01000214 6841d006 - 1890950 ns MR4_I 01000218 d1fc07c9 - 1890950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1891030 ns MR4_D 40006004 00000001 - 1891030 ns R r1 00000001 - 1891030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1891050 ns MR4_I 0100021c 1c5b6002 - 1891050 ns R r1 80000000 - 1891050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1891070 ns R psr 81000200 - 1891090 ns MR4_I 01000214 6841d006 - 1891110 ns MR4_I 01000218 d1fc07c9 - 1891110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1891190 ns MR4_D 40006004 00000001 - 1891190 ns R r1 00000001 - 1891190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1891210 ns MR4_I 0100021c 1c5b6002 - 1891210 ns R r1 80000000 - 1891210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1891230 ns R psr 81000200 - 1891250 ns MR4_I 01000214 6841d006 - 1891270 ns MR4_I 01000218 d1fc07c9 - 1891270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1891350 ns MR4_D 40006004 00000001 - 1891350 ns R r1 00000001 - 1891350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1891370 ns MR4_I 0100021c 1c5b6002 - 1891370 ns R r1 80000000 - 1891370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1891390 ns R psr 81000200 - 1891410 ns MR4_I 01000214 6841d006 - 1891430 ns MR4_I 01000218 d1fc07c9 - 1891430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1891510 ns MR4_D 40006004 00000001 - 1891510 ns R r1 00000001 - 1891510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1891530 ns MR4_I 0100021c 1c5b6002 - 1891530 ns R r1 80000000 - 1891530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1891550 ns R psr 81000200 - 1891570 ns MR4_I 01000214 6841d006 - 1891590 ns MR4_I 01000218 d1fc07c9 - 1891590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1891670 ns MR4_D 40006004 00000001 - 1891670 ns R r1 00000001 - 1891670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1891690 ns MR4_I 0100021c 1c5b6002 - 1891690 ns R r1 80000000 - 1891690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1891710 ns R psr 81000200 - 1891730 ns MR4_I 01000214 6841d006 - 1891750 ns MR4_I 01000218 d1fc07c9 - 1891750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1891830 ns MR4_D 40006004 00000001 - 1891830 ns R r1 00000001 - 1891830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1891850 ns MR4_I 0100021c 1c5b6002 - 1891850 ns R r1 80000000 - 1891850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1891870 ns R psr 81000200 - 1891890 ns MR4_I 01000214 6841d006 - 1891910 ns MR4_I 01000218 d1fc07c9 - 1891910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1891990 ns MR4_D 40006004 00000001 - 1891990 ns R r1 00000001 - 1891990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1892010 ns MR4_I 0100021c 1c5b6002 - 1892010 ns R r1 80000000 - 1892010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1892030 ns R psr 81000200 - 1892050 ns MR4_I 01000214 6841d006 - 1892070 ns MR4_I 01000218 d1fc07c9 - 1892070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1892150 ns MR4_D 40006004 00000001 - 1892150 ns R r1 00000001 - 1892150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1892170 ns MR4_I 0100021c 1c5b6002 - 1892170 ns R r1 80000000 - 1892170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1892190 ns R psr 81000200 - 1892210 ns MR4_I 01000214 6841d006 - 1892230 ns MR4_I 01000218 d1fc07c9 - 1892230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1892310 ns MR4_D 40006004 00000001 - 1892310 ns R r1 00000001 - 1892310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1892330 ns MR4_I 0100021c 1c5b6002 - 1892330 ns R r1 80000000 - 1892330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1892350 ns R psr 81000200 - 1892370 ns MR4_I 01000214 6841d006 - 1892390 ns MR4_I 01000218 d1fc07c9 - 1892390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1892470 ns MR4_D 40006004 00000001 - 1892470 ns R r1 00000001 - 1892470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1892490 ns MR4_I 0100021c 1c5b6002 - 1892490 ns R r1 80000000 - 1892490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1892510 ns R psr 81000200 - 1892530 ns MR4_I 01000214 6841d006 - 1892550 ns MR4_I 01000218 d1fc07c9 - 1892550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1892630 ns MR4_D 40006004 00000001 - 1892630 ns R r1 00000001 - 1892630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1892650 ns MR4_I 0100021c 1c5b6002 - 1892650 ns R r1 80000000 - 1892650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1892670 ns R psr 81000200 - 1892690 ns MR4_I 01000214 6841d006 - 1892710 ns MR4_I 01000218 d1fc07c9 - 1892710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1892790 ns MR4_D 40006004 00000001 - 1892790 ns R r1 00000001 - 1892790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1892810 ns MR4_I 0100021c 1c5b6002 - 1892810 ns R r1 80000000 - 1892810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1892830 ns R psr 81000200 - 1892850 ns MR4_I 01000214 6841d006 - 1892870 ns MR4_I 01000218 d1fc07c9 - 1892870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1892950 ns MR4_D 40006004 00000001 - 1892950 ns R r1 00000001 - 1892950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1892970 ns MR4_I 0100021c 1c5b6002 - 1892970 ns R r1 80000000 - 1892970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1892990 ns R psr 81000200 - 1893010 ns MR4_I 01000214 6841d006 - 1893030 ns MR4_I 01000218 d1fc07c9 - 1893030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1893110 ns MR4_D 40006004 00000001 - 1893110 ns R r1 00000001 - 1893110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1893130 ns MR4_I 0100021c 1c5b6002 - 1893130 ns R r1 80000000 - 1893130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1893150 ns R psr 81000200 - 1893170 ns MR4_I 01000214 6841d006 - 1893190 ns MR4_I 01000218 d1fc07c9 - 1893190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1893270 ns MR4_D 40006004 00000001 - 1893270 ns R r1 00000001 - 1893270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1893290 ns MR4_I 0100021c 1c5b6002 - 1893290 ns R r1 80000000 - 1893290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1893310 ns R psr 81000200 - 1893330 ns MR4_I 01000214 6841d006 - 1893350 ns MR4_I 01000218 d1fc07c9 - 1893350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1893430 ns MR4_D 40006004 00000001 - 1893430 ns R r1 00000001 - 1893430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1893450 ns MR4_I 0100021c 1c5b6002 - 1893450 ns R r1 80000000 - 1893450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1893470 ns R psr 81000200 - 1893490 ns MR4_I 01000214 6841d006 - 1893510 ns MR4_I 01000218 d1fc07c9 - 1893510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1893590 ns MR4_D 40006004 00000001 - 1893590 ns R r1 00000001 - 1893590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1893610 ns MR4_I 0100021c 1c5b6002 - 1893610 ns R r1 80000000 - 1893610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1893630 ns R psr 81000200 - 1893650 ns MR4_I 01000214 6841d006 - 1893670 ns MR4_I 01000218 d1fc07c9 - 1893670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1893750 ns MR4_D 40006004 00000001 - 1893750 ns R r1 00000001 - 1893750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1893770 ns MR4_I 0100021c 1c5b6002 - 1893770 ns R r1 80000000 - 1893770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1893790 ns R psr 81000200 - 1893810 ns MR4_I 01000214 6841d006 - 1893830 ns MR4_I 01000218 d1fc07c9 - 1893830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1893910 ns MR4_D 40006004 00000001 - 1893910 ns R r1 00000001 - 1893910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1893930 ns MR4_I 0100021c 1c5b6002 - 1893930 ns R r1 80000000 - 1893930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1893950 ns R psr 81000200 - 1893970 ns MR4_I 01000214 6841d006 - 1893990 ns MR4_I 01000218 d1fc07c9 - 1893990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1894070 ns MR4_D 40006004 00000001 - 1894070 ns R r1 00000001 - 1894070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1894090 ns MR4_I 0100021c 1c5b6002 - 1894090 ns R r1 80000000 - 1894090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1894110 ns R psr 81000200 - 1894130 ns MR4_I 01000214 6841d006 - 1894150 ns MR4_I 01000218 d1fc07c9 - 1894150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1894230 ns MR4_D 40006004 00000001 - 1894230 ns R r1 00000001 - 1894230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1894250 ns MR4_I 0100021c 1c5b6002 - 1894250 ns R r1 80000000 - 1894250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1894270 ns R psr 81000200 - 1894290 ns MR4_I 01000214 6841d006 - 1894310 ns MR4_I 01000218 d1fc07c9 - 1894310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1894390 ns MR4_D 40006004 00000001 - 1894390 ns R r1 00000001 - 1894390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1894410 ns MR4_I 0100021c 1c5b6002 - 1894410 ns R r1 80000000 - 1894410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1894430 ns R psr 81000200 - 1894450 ns MR4_I 01000214 6841d006 - 1894470 ns MR4_I 01000218 d1fc07c9 - 1894470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1894550 ns MR4_D 40006004 00000001 - 1894550 ns R r1 00000001 - 1894550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1894570 ns MR4_I 0100021c 1c5b6002 - 1894570 ns R r1 80000000 - 1894570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1894590 ns R psr 81000200 - 1894610 ns MR4_I 01000214 6841d006 - 1894630 ns MR4_I 01000218 d1fc07c9 - 1894630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1894710 ns MR4_D 40006004 00000001 - 1894710 ns R r1 00000001 - 1894710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1894730 ns MR4_I 0100021c 1c5b6002 - 1894730 ns R r1 80000000 - 1894730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1894750 ns R psr 81000200 - 1894770 ns MR4_I 01000214 6841d006 - 1894790 ns MR4_I 01000218 d1fc07c9 - 1894790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1894870 ns MR4_D 40006004 00000001 - 1894870 ns R r1 00000001 - 1894870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1894890 ns MR4_I 0100021c 1c5b6002 - 1894890 ns R r1 80000000 - 1894890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1894910 ns R psr 81000200 - 1894930 ns MR4_I 01000214 6841d006 - 1894950 ns MR4_I 01000218 d1fc07c9 - 1894950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1895030 ns MR4_D 40006004 00000001 - 1895030 ns R r1 00000001 - 1895030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1895050 ns MR4_I 0100021c 1c5b6002 - 1895050 ns R r1 80000000 - 1895050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1895070 ns R psr 81000200 - 1895090 ns MR4_I 01000214 6841d006 - 1895110 ns MR4_I 01000218 d1fc07c9 - 1895110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1895190 ns MR4_D 40006004 00000001 - 1895190 ns R r1 00000001 - 1895190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1895210 ns MR4_I 0100021c 1c5b6002 - 1895210 ns R r1 80000000 - 1895210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1895230 ns R psr 81000200 - 1895250 ns MR4_I 01000214 6841d006 - 1895270 ns MR4_I 01000218 d1fc07c9 - 1895270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1895350 ns MR4_D 40006004 00000001 - 1895350 ns R r1 00000001 - 1895350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1895370 ns MR4_I 0100021c 1c5b6002 - 1895370 ns R r1 80000000 - 1895370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1895390 ns R psr 81000200 - 1895410 ns MR4_I 01000214 6841d006 - 1895430 ns MR4_I 01000218 d1fc07c9 - 1895430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1895510 ns MR4_D 40006004 00000001 - 1895510 ns R r1 00000001 - 1895510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1895530 ns MR4_I 0100021c 1c5b6002 - 1895530 ns R r1 80000000 - 1895530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1895550 ns R psr 81000200 - 1895570 ns MR4_I 01000214 6841d006 - 1895590 ns MR4_I 01000218 d1fc07c9 - 1895590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1895670 ns MR4_D 40006004 00000001 - 1895670 ns R r1 00000001 - 1895670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1895690 ns MR4_I 0100021c 1c5b6002 - 1895690 ns R r1 80000000 - 1895690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1895710 ns R psr 81000200 - 1895730 ns MR4_I 01000214 6841d006 - 1895750 ns MR4_I 01000218 d1fc07c9 - 1895750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1895830 ns MR4_D 40006004 00000001 - 1895830 ns R r1 00000001 - 1895830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1895850 ns MR4_I 0100021c 1c5b6002 - 1895850 ns R r1 80000000 - 1895850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1895870 ns R psr 81000200 - 1895890 ns MR4_I 01000214 6841d006 - 1895910 ns MR4_I 01000218 d1fc07c9 - 1895910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1895990 ns MR4_D 40006004 00000001 - 1895990 ns R r1 00000001 - 1895990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1896010 ns MR4_I 0100021c 1c5b6002 - 1896010 ns R r1 80000000 - 1896010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1896030 ns R psr 81000200 - 1896050 ns MR4_I 01000214 6841d006 - 1896070 ns MR4_I 01000218 d1fc07c9 - 1896070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1896150 ns MR4_D 40006004 00000001 - 1896150 ns R r1 00000001 - 1896150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1896170 ns MR4_I 0100021c 1c5b6002 - 1896170 ns R r1 80000000 - 1896170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1896190 ns R psr 81000200 - 1896210 ns MR4_I 01000214 6841d006 - 1896230 ns MR4_I 01000218 d1fc07c9 - 1896230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1896310 ns MR4_D 40006004 00000001 - 1896310 ns R r1 00000001 - 1896310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1896330 ns MR4_I 0100021c 1c5b6002 - 1896330 ns R r1 80000000 - 1896330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1896350 ns R psr 81000200 - 1896370 ns MR4_I 01000214 6841d006 - 1896390 ns MR4_I 01000218 d1fc07c9 - 1896390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1896470 ns MR4_D 40006004 00000001 - 1896470 ns R r1 00000001 - 1896470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1896490 ns MR4_I 0100021c 1c5b6002 - 1896490 ns R r1 80000000 - 1896490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1896510 ns R psr 81000200 - 1896530 ns MR4_I 01000214 6841d006 - 1896550 ns MR4_I 01000218 d1fc07c9 - 1896550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1896630 ns MR4_D 40006004 00000001 - 1896630 ns R r1 00000001 - 1896630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1896650 ns MR4_I 0100021c 1c5b6002 - 1896650 ns R r1 80000000 - 1896650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1896670 ns R psr 81000200 - 1896690 ns MR4_I 01000214 6841d006 - 1896710 ns MR4_I 01000218 d1fc07c9 - 1896710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1896790 ns MR4_D 40006004 00000001 - 1896790 ns R r1 00000001 - 1896790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1896810 ns MR4_I 0100021c 1c5b6002 - 1896810 ns R r1 80000000 - 1896810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1896830 ns R psr 81000200 - 1896850 ns MR4_I 01000214 6841d006 - 1896870 ns MR4_I 01000218 d1fc07c9 - 1896870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1896950 ns MR4_D 40006004 00000001 - 1896950 ns R r1 00000001 - 1896950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1896970 ns MR4_I 0100021c 1c5b6002 - 1896970 ns R r1 80000000 - 1896970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1896990 ns R psr 81000200 - 1897010 ns MR4_I 01000214 6841d006 - 1897030 ns MR4_I 01000218 d1fc07c9 - 1897030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1897110 ns MR4_D 40006004 00000001 - 1897110 ns R r1 00000001 - 1897110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1897130 ns MR4_I 0100021c 1c5b6002 - 1897130 ns R r1 80000000 - 1897130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1897150 ns R psr 81000200 - 1897170 ns MR4_I 01000214 6841d006 - 1897190 ns MR4_I 01000218 d1fc07c9 - 1897190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1897270 ns MR4_D 40006004 00000001 - 1897270 ns R r1 00000001 - 1897270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1897290 ns MR4_I 0100021c 1c5b6002 - 1897290 ns R r1 80000000 - 1897290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1897310 ns R psr 81000200 - 1897330 ns MR4_I 01000214 6841d006 - 1897350 ns MR4_I 01000218 d1fc07c9 - 1897350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1897430 ns MR4_D 40006004 00000001 - 1897430 ns R r1 00000001 - 1897430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1897450 ns MR4_I 0100021c 1c5b6002 - 1897450 ns R r1 80000000 - 1897450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1897470 ns R psr 81000200 - 1897490 ns MR4_I 01000214 6841d006 - 1897510 ns MR4_I 01000218 d1fc07c9 - 1897510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1897590 ns MR4_D 40006004 00000001 - 1897590 ns R r1 00000001 - 1897590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1897610 ns MR4_I 0100021c 1c5b6002 - 1897610 ns R r1 80000000 - 1897610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1897630 ns R psr 81000200 - 1897650 ns MR4_I 01000214 6841d006 - 1897670 ns MR4_I 01000218 d1fc07c9 - 1897670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1897750 ns MR4_D 40006004 00000001 - 1897750 ns R r1 00000001 - 1897750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1897770 ns MR4_I 0100021c 1c5b6002 - 1897770 ns R r1 80000000 - 1897770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1897790 ns R psr 81000200 - 1897810 ns MR4_I 01000214 6841d006 - 1897830 ns MR4_I 01000218 d1fc07c9 - 1897830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1897910 ns MR4_D 40006004 00000001 - 1897910 ns R r1 00000001 - 1897910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1897930 ns MR4_I 0100021c 1c5b6002 - 1897930 ns R r1 80000000 - 1897930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1897950 ns R psr 81000200 - 1897970 ns MR4_I 01000214 6841d006 - 1897990 ns MR4_I 01000218 d1fc07c9 - 1897990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1898070 ns MR4_D 40006004 00000001 - 1898070 ns R r1 00000001 - 1898070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1898090 ns MR4_I 0100021c 1c5b6002 - 1898090 ns R r1 80000000 - 1898090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1898110 ns R psr 81000200 - 1898130 ns MR4_I 01000214 6841d006 - 1898150 ns MR4_I 01000218 d1fc07c9 - 1898150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1898230 ns MR4_D 40006004 00000001 - 1898230 ns R r1 00000001 - 1898230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1898250 ns MR4_I 0100021c 1c5b6002 - 1898250 ns R r1 80000000 - 1898250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1898270 ns R psr 81000200 - 1898290 ns MR4_I 01000214 6841d006 - 1898310 ns MR4_I 01000218 d1fc07c9 - 1898310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1898390 ns MR4_D 40006004 00000001 - 1898390 ns R r1 00000001 - 1898390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1898410 ns MR4_I 0100021c 1c5b6002 - 1898410 ns R r1 80000000 - 1898410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1898430 ns R psr 81000200 - 1898450 ns MR4_I 01000214 6841d006 - 1898470 ns MR4_I 01000218 d1fc07c9 - 1898470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1898550 ns MR4_D 40006004 00000001 - 1898550 ns R r1 00000001 - 1898550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1898570 ns MR4_I 0100021c 1c5b6002 - 1898570 ns R r1 80000000 - 1898570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1898590 ns R psr 81000200 - 1898610 ns MR4_I 01000214 6841d006 - 1898630 ns MR4_I 01000218 d1fc07c9 - 1898630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1898710 ns MR4_D 40006004 00000001 - 1898710 ns R r1 00000001 - 1898710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1898730 ns MR4_I 0100021c 1c5b6002 - 1898730 ns R r1 80000000 - 1898730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1898750 ns R psr 81000200 - 1898770 ns MR4_I 01000214 6841d006 - 1898790 ns MR4_I 01000218 d1fc07c9 - 1898790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1898870 ns MR4_D 40006004 00000001 - 1898870 ns R r1 00000001 - 1898870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1898890 ns MR4_I 0100021c 1c5b6002 - 1898890 ns R r1 80000000 - 1898890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1898910 ns R psr 81000200 - 1898930 ns MR4_I 01000214 6841d006 - 1898950 ns MR4_I 01000218 d1fc07c9 - 1898950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1899030 ns MR4_D 40006004 00000001 - 1899030 ns R r1 00000001 - 1899030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1899050 ns MR4_I 0100021c 1c5b6002 - 1899050 ns R r1 80000000 - 1899050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1899070 ns R psr 81000200 - 1899090 ns MR4_I 01000214 6841d006 - 1899110 ns MR4_I 01000218 d1fc07c9 - 1899110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1899190 ns MR4_D 40006004 00000001 - 1899190 ns R r1 00000001 - 1899190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1899210 ns MR4_I 0100021c 1c5b6002 - 1899210 ns R r1 80000000 - 1899210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1899230 ns R psr 81000200 - 1899250 ns MR4_I 01000214 6841d006 - 1899270 ns MR4_I 01000218 d1fc07c9 - 1899270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1899350 ns MR4_D 40006004 00000001 - 1899350 ns R r1 00000001 - 1899350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1899370 ns MR4_I 0100021c 1c5b6002 - 1899370 ns R r1 80000000 - 1899370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1899390 ns R psr 81000200 - 1899410 ns MR4_I 01000214 6841d006 - 1899430 ns MR4_I 01000218 d1fc07c9 - 1899430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1899510 ns MR4_D 40006004 00000001 - 1899510 ns R r1 00000001 - 1899510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1899530 ns MR4_I 0100021c 1c5b6002 - 1899530 ns R r1 80000000 - 1899530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1899550 ns R psr 81000200 - 1899570 ns MR4_I 01000214 6841d006 - 1899590 ns MR4_I 01000218 d1fc07c9 - 1899590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1899670 ns MR4_D 40006004 00000001 - 1899670 ns R r1 00000001 - 1899670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1899690 ns MR4_I 0100021c 1c5b6002 - 1899690 ns R r1 80000000 - 1899690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1899710 ns R psr 81000200 - 1899730 ns MR4_I 01000214 6841d006 - 1899750 ns MR4_I 01000218 d1fc07c9 - 1899750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1899830 ns MR4_D 40006004 00000001 - 1899830 ns R r1 00000001 - 1899830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1899850 ns MR4_I 0100021c 1c5b6002 - 1899850 ns R r1 80000000 - 1899850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1899870 ns R psr 81000200 - 1899890 ns MR4_I 01000214 6841d006 - 1899910 ns MR4_I 01000218 d1fc07c9 - 1899910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1899990 ns MR4_D 40006004 00000001 - 1899990 ns R r1 00000001 - 1899990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1900010 ns MR4_I 0100021c 1c5b6002 - 1900010 ns R r1 80000000 - 1900010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1900030 ns R psr 81000200 - 1900050 ns MR4_I 01000214 6841d006 - 1900070 ns MR4_I 01000218 d1fc07c9 - 1900070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1900150 ns MR4_D 40006004 00000001 - 1900150 ns R r1 00000001 - 1900150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1900170 ns MR4_I 0100021c 1c5b6002 - 1900170 ns R r1 80000000 - 1900170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1900190 ns R psr 81000200 - 1900210 ns MR4_I 01000214 6841d006 - 1900230 ns MR4_I 01000218 d1fc07c9 - 1900230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1900310 ns MR4_D 40006004 00000001 - 1900310 ns R r1 00000001 - 1900310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1900330 ns MR4_I 0100021c 1c5b6002 - 1900330 ns R r1 80000000 - 1900330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1900350 ns R psr 81000200 - 1900370 ns MR4_I 01000214 6841d006 - 1900390 ns MR4_I 01000218 d1fc07c9 - 1900390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1900470 ns MR4_D 40006004 00000001 - 1900470 ns R r1 00000001 - 1900470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1900490 ns MR4_I 0100021c 1c5b6002 - 1900490 ns R r1 80000000 - 1900490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1900510 ns R psr 81000200 - 1900530 ns MR4_I 01000214 6841d006 - 1900550 ns MR4_I 01000218 d1fc07c9 - 1900550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1900630 ns MR4_D 40006004 00000001 - 1900630 ns R r1 00000001 - 1900630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1900650 ns MR4_I 0100021c 1c5b6002 - 1900650 ns R r1 80000000 - 1900650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1900670 ns R psr 81000200 - 1900690 ns MR4_I 01000214 6841d006 - 1900710 ns MR4_I 01000218 d1fc07c9 - 1900710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1900790 ns MR4_D 40006004 00000001 - 1900790 ns R r1 00000001 - 1900790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1900810 ns MR4_I 0100021c 1c5b6002 - 1900810 ns R r1 80000000 - 1900810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1900830 ns R psr 81000200 - 1900850 ns MR4_I 01000214 6841d006 - 1900870 ns MR4_I 01000218 d1fc07c9 - 1900870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1900950 ns MR4_D 40006004 00000001 - 1900950 ns R r1 00000001 - 1900950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1900970 ns MR4_I 0100021c 1c5b6002 - 1900970 ns R r1 80000000 - 1900970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1900990 ns R psr 81000200 - 1901010 ns MR4_I 01000214 6841d006 - 1901030 ns MR4_I 01000218 d1fc07c9 - 1901030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1901110 ns MR4_D 40006004 00000001 - 1901110 ns R r1 00000001 - 1901110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1901130 ns MR4_I 0100021c 1c5b6002 - 1901130 ns R r1 80000000 - 1901130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1901150 ns R psr 81000200 - 1901170 ns MR4_I 01000214 6841d006 - 1901190 ns MR4_I 01000218 d1fc07c9 - 1901190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1901270 ns MR4_D 40006004 00000001 - 1901270 ns R r1 00000001 - 1901270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1901290 ns MR4_I 0100021c 1c5b6002 - 1901290 ns R r1 80000000 - 1901290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1901310 ns R psr 81000200 - 1901330 ns MR4_I 01000214 6841d006 - 1901350 ns MR4_I 01000218 d1fc07c9 - 1901350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1901430 ns MR4_D 40006004 00000001 - 1901430 ns R r1 00000001 - 1901430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1901450 ns MR4_I 0100021c 1c5b6002 - 1901450 ns R r1 80000000 - 1901450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1901470 ns R psr 81000200 - 1901490 ns MR4_I 01000214 6841d006 - 1901510 ns MR4_I 01000218 d1fc07c9 - 1901510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1901590 ns MR4_D 40006004 00000001 - 1901590 ns R r1 00000001 - 1901590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1901610 ns MR4_I 0100021c 1c5b6002 - 1901610 ns R r1 80000000 - 1901610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1901630 ns R psr 81000200 - 1901650 ns MR4_I 01000214 6841d006 - 1901670 ns MR4_I 01000218 d1fc07c9 - 1901670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1901750 ns MR4_D 40006004 00000001 - 1901750 ns R r1 00000001 - 1901750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1901770 ns MR4_I 0100021c 1c5b6002 - 1901770 ns R r1 80000000 - 1901770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1901790 ns R psr 81000200 - 1901810 ns MR4_I 01000214 6841d006 - 1901830 ns MR4_I 01000218 d1fc07c9 - 1901830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1901910 ns MR4_D 40006004 00000001 - 1901910 ns R r1 00000001 - 1901910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1901930 ns MR4_I 0100021c 1c5b6002 - 1901930 ns R r1 80000000 - 1901930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1901950 ns R psr 81000200 - 1901970 ns MR4_I 01000214 6841d006 - 1901990 ns MR4_I 01000218 d1fc07c9 - 1901990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1902070 ns MR4_D 40006004 00000001 - 1902070 ns R r1 00000001 - 1902070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1902090 ns MR4_I 0100021c 1c5b6002 - 1902090 ns R r1 80000000 - 1902090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1902110 ns R psr 81000200 - 1902130 ns MR4_I 01000214 6841d006 - 1902150 ns MR4_I 01000218 d1fc07c9 - 1902150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1902230 ns MR4_D 40006004 00000001 - 1902230 ns R r1 00000001 - 1902230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1902250 ns MR4_I 0100021c 1c5b6002 - 1902250 ns R r1 80000000 - 1902250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1902270 ns R psr 81000200 - 1902290 ns MR4_I 01000214 6841d006 - 1902310 ns MR4_I 01000218 d1fc07c9 - 1902310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1902390 ns MR4_D 40006004 00000001 - 1902390 ns R r1 00000001 - 1902390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1902410 ns MR4_I 0100021c 1c5b6002 - 1902410 ns R r1 80000000 - 1902410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1902430 ns R psr 81000200 - 1902450 ns MR4_I 01000214 6841d006 - 1902470 ns MR4_I 01000218 d1fc07c9 - 1902470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1902550 ns MR4_D 40006004 00000001 - 1902550 ns R r1 00000001 - 1902550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1902570 ns MR4_I 0100021c 1c5b6002 - 1902570 ns R r1 80000000 - 1902570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1902590 ns R psr 81000200 - 1902610 ns MR4_I 01000214 6841d006 - 1902630 ns MR4_I 01000218 d1fc07c9 - 1902630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1902710 ns MR4_D 40006004 00000001 - 1902710 ns R r1 00000001 - 1902710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1902730 ns MR4_I 0100021c 1c5b6002 - 1902730 ns R r1 80000000 - 1902730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1902750 ns R psr 81000200 - 1902770 ns MR4_I 01000214 6841d006 - 1902790 ns MR4_I 01000218 d1fc07c9 - 1902790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1902870 ns MR4_D 40006004 00000001 - 1902870 ns R r1 00000001 - 1902870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1902890 ns MR4_I 0100021c 1c5b6002 - 1902890 ns R r1 80000000 - 1902890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1902910 ns R psr 81000200 - 1902930 ns MR4_I 01000214 6841d006 - 1902950 ns MR4_I 01000218 d1fc07c9 - 1902950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1903030 ns MR4_D 40006004 00000001 - 1903030 ns R r1 00000001 - 1903030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1903050 ns MR4_I 0100021c 1c5b6002 - 1903050 ns R r1 80000000 - 1903050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1903070 ns R psr 81000200 - 1903090 ns MR4_I 01000214 6841d006 - 1903110 ns MR4_I 01000218 d1fc07c9 - 1903110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1903190 ns MR4_D 40006004 00000001 - 1903190 ns R r1 00000001 - 1903190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1903210 ns MR4_I 0100021c 1c5b6002 - 1903210 ns R r1 80000000 - 1903210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1903230 ns R psr 81000200 - 1903250 ns MR4_I 01000214 6841d006 - 1903270 ns MR4_I 01000218 d1fc07c9 - 1903270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1903350 ns MR4_D 40006004 00000001 - 1903350 ns R r1 00000001 - 1903350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1903370 ns MR4_I 0100021c 1c5b6002 - 1903370 ns R r1 80000000 - 1903370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1903390 ns R psr 81000200 - 1903410 ns MR4_I 01000214 6841d006 - 1903430 ns MR4_I 01000218 d1fc07c9 - 1903430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1903510 ns MR4_D 40006004 00000001 - 1903510 ns R r1 00000001 - 1903510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1903530 ns MR4_I 0100021c 1c5b6002 - 1903530 ns R r1 80000000 - 1903530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1903550 ns R psr 81000200 - 1903570 ns MR4_I 01000214 6841d006 - 1903590 ns MR4_I 01000218 d1fc07c9 - 1903590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1903670 ns MR4_D 40006004 00000001 - 1903670 ns R r1 00000001 - 1903670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1903690 ns MR4_I 0100021c 1c5b6002 - 1903690 ns R r1 80000000 - 1903690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1903710 ns R psr 81000200 - 1903730 ns MR4_I 01000214 6841d006 - 1903750 ns MR4_I 01000218 d1fc07c9 - 1903750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1903830 ns MR4_D 40006004 00000001 - 1903830 ns R r1 00000001 - 1903830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1903850 ns MR4_I 0100021c 1c5b6002 - 1903850 ns R r1 80000000 - 1903850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1903870 ns R psr 81000200 - 1903890 ns MR4_I 01000214 6841d006 - 1903910 ns MR4_I 01000218 d1fc07c9 - 1903910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1903990 ns MR4_D 40006004 00000001 - 1903990 ns R r1 00000001 - 1903990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1904010 ns MR4_I 0100021c 1c5b6002 - 1904010 ns R r1 80000000 - 1904010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1904030 ns R psr 81000200 - 1904050 ns MR4_I 01000214 6841d006 - 1904070 ns MR4_I 01000218 d1fc07c9 - 1904070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1904150 ns MR4_D 40006004 00000001 - 1904150 ns R r1 00000001 - 1904150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1904170 ns MR4_I 0100021c 1c5b6002 - 1904170 ns R r1 80000000 - 1904170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1904190 ns R psr 81000200 - 1904210 ns MR4_I 01000214 6841d006 - 1904230 ns MR4_I 01000218 d1fc07c9 - 1904230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1904310 ns MR4_D 40006004 00000001 - 1904310 ns R r1 00000001 - 1904310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1904330 ns MR4_I 0100021c 1c5b6002 - 1904330 ns R r1 80000000 - 1904330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1904350 ns R psr 81000200 - 1904370 ns MR4_I 01000214 6841d006 - 1904390 ns MR4_I 01000218 d1fc07c9 - 1904390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1904470 ns MR4_D 40006004 00000001 - 1904470 ns R r1 00000001 - 1904470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1904490 ns MR4_I 0100021c 1c5b6002 - 1904490 ns R r1 80000000 - 1904490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1904510 ns R psr 81000200 - 1904530 ns MR4_I 01000214 6841d006 - 1904550 ns MR4_I 01000218 d1fc07c9 - 1904550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1904630 ns MR4_D 40006004 00000001 - 1904630 ns R r1 00000001 - 1904630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1904650 ns MR4_I 0100021c 1c5b6002 - 1904650 ns R r1 80000000 - 1904650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1904670 ns R psr 81000200 - 1904690 ns MR4_I 01000214 6841d006 - 1904710 ns MR4_I 01000218 d1fc07c9 - 1904710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1904790 ns MR4_D 40006004 00000001 - 1904790 ns R r1 00000001 - 1904790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1904810 ns MR4_I 0100021c 1c5b6002 - 1904810 ns R r1 80000000 - 1904810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1904830 ns R psr 81000200 - 1904850 ns MR4_I 01000214 6841d006 - 1904870 ns MR4_I 01000218 d1fc07c9 - 1904870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1904950 ns MR4_D 40006004 00000001 - 1904950 ns R r1 00000001 - 1904950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1904970 ns MR4_I 0100021c 1c5b6002 - 1904970 ns R r1 80000000 - 1904970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1904990 ns R psr 81000200 - 1905010 ns MR4_I 01000214 6841d006 - 1905030 ns MR4_I 01000218 d1fc07c9 - 1905030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1905110 ns MR4_D 40006004 00000001 - 1905110 ns R r1 00000001 - 1905110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1905130 ns MR4_I 0100021c 1c5b6002 - 1905130 ns R r1 80000000 - 1905130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1905150 ns R psr 81000200 - 1905170 ns MR4_I 01000214 6841d006 - 1905190 ns MR4_I 01000218 d1fc07c9 - 1905190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1905270 ns MR4_D 40006004 00000001 - 1905270 ns R r1 00000001 - 1905270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1905290 ns MR4_I 0100021c 1c5b6002 - 1905290 ns R r1 80000000 - 1905290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1905310 ns R psr 81000200 - 1905330 ns MR4_I 01000214 6841d006 - 1905350 ns MR4_I 01000218 d1fc07c9 - 1905350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1905430 ns MR4_D 40006004 00000001 - 1905430 ns R r1 00000001 - 1905430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1905450 ns MR4_I 0100021c 1c5b6002 - 1905450 ns R r1 80000000 - 1905450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1905470 ns R psr 81000200 - 1905490 ns MR4_I 01000214 6841d006 - 1905510 ns MR4_I 01000218 d1fc07c9 - 1905510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1905590 ns MR4_D 40006004 00000001 - 1905590 ns R r1 00000001 - 1905590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1905610 ns MR4_I 0100021c 1c5b6002 - 1905610 ns R r1 80000000 - 1905610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1905630 ns R psr 81000200 - 1905650 ns MR4_I 01000214 6841d006 - 1905670 ns MR4_I 01000218 d1fc07c9 - 1905670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1905750 ns MR4_D 40006004 00000001 - 1905750 ns R r1 00000001 - 1905750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1905770 ns MR4_I 0100021c 1c5b6002 - 1905770 ns R r1 80000000 - 1905770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1905790 ns R psr 81000200 - 1905810 ns MR4_I 01000214 6841d006 - 1905830 ns MR4_I 01000218 d1fc07c9 - 1905830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1905910 ns MR4_D 40006004 00000001 - 1905910 ns R r1 00000001 - 1905910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1905930 ns MR4_I 0100021c 1c5b6002 - 1905930 ns R r1 80000000 - 1905930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1905950 ns R psr 81000200 - 1905970 ns MR4_I 01000214 6841d006 - 1905990 ns MR4_I 01000218 d1fc07c9 - 1905990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1906070 ns MR4_D 40006004 00000001 - 1906070 ns R r1 00000001 - 1906070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1906090 ns MR4_I 0100021c 1c5b6002 - 1906090 ns R r1 80000000 - 1906090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1906110 ns R psr 81000200 - 1906130 ns MR4_I 01000214 6841d006 - 1906150 ns MR4_I 01000218 d1fc07c9 - 1906150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1906230 ns MR4_D 40006004 00000001 - 1906230 ns R r1 00000001 - 1906230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1906250 ns MR4_I 0100021c 1c5b6002 - 1906250 ns R r1 80000000 - 1906250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1906270 ns R psr 81000200 - 1906290 ns MR4_I 01000214 6841d006 - 1906310 ns MR4_I 01000218 d1fc07c9 - 1906310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1906390 ns MR4_D 40006004 00000001 - 1906390 ns R r1 00000001 - 1906390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1906410 ns MR4_I 0100021c 1c5b6002 - 1906410 ns R r1 80000000 - 1906410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1906430 ns R psr 81000200 - 1906450 ns MR4_I 01000214 6841d006 - 1906470 ns MR4_I 01000218 d1fc07c9 - 1906470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1906550 ns MR4_D 40006004 00000001 - 1906550 ns R r1 00000001 - 1906550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1906570 ns MR4_I 0100021c 1c5b6002 - 1906570 ns R r1 80000000 - 1906570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1906590 ns R psr 81000200 - 1906610 ns MR4_I 01000214 6841d006 - 1906630 ns MR4_I 01000218 d1fc07c9 - 1906630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1906710 ns MR4_D 40006004 00000001 - 1906710 ns R r1 00000001 - 1906710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1906730 ns MR4_I 0100021c 1c5b6002 - 1906730 ns R r1 80000000 - 1906730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1906750 ns R psr 81000200 - 1906770 ns MR4_I 01000214 6841d006 - 1906790 ns MR4_I 01000218 d1fc07c9 - 1906790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1906870 ns MR4_D 40006004 00000001 - 1906870 ns R r1 00000001 - 1906870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1906890 ns MR4_I 0100021c 1c5b6002 - 1906890 ns R r1 80000000 - 1906890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1906910 ns R psr 81000200 - 1906930 ns MR4_I 01000214 6841d006 - 1906950 ns MR4_I 01000218 d1fc07c9 - 1906950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1907030 ns MR4_D 40006004 00000001 - 1907030 ns R r1 00000001 - 1907030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1907050 ns MR4_I 0100021c 1c5b6002 - 1907050 ns R r1 80000000 - 1907050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1907070 ns R psr 81000200 - 1907090 ns MR4_I 01000214 6841d006 - 1907110 ns MR4_I 01000218 d1fc07c9 - 1907110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1907190 ns MR4_D 40006004 00000001 - 1907190 ns R r1 00000001 - 1907190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1907210 ns MR4_I 0100021c 1c5b6002 - 1907210 ns R r1 80000000 - 1907210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1907230 ns R psr 81000200 - 1907250 ns MR4_I 01000214 6841d006 - 1907270 ns MR4_I 01000218 d1fc07c9 - 1907270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1907350 ns MR4_D 40006004 00000001 - 1907350 ns R r1 00000001 - 1907350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1907370 ns MR4_I 0100021c 1c5b6002 - 1907370 ns R r1 80000000 - 1907370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1907390 ns R psr 81000200 - 1907410 ns MR4_I 01000214 6841d006 - 1907430 ns MR4_I 01000218 d1fc07c9 - 1907430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1907510 ns MR4_D 40006004 00000001 - 1907510 ns R r1 00000001 - 1907510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1907530 ns MR4_I 0100021c 1c5b6002 - 1907530 ns R r1 80000000 - 1907530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1907550 ns R psr 81000200 - 1907570 ns MR4_I 01000214 6841d006 - 1907590 ns MR4_I 01000218 d1fc07c9 - 1907590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1907670 ns MR4_D 40006004 00000001 - 1907670 ns R r1 00000001 - 1907670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1907690 ns MR4_I 0100021c 1c5b6002 - 1907690 ns R r1 80000000 - 1907690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1907710 ns R psr 81000200 - 1907730 ns MR4_I 01000214 6841d006 - 1907750 ns MR4_I 01000218 d1fc07c9 - 1907750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1907830 ns MR4_D 40006004 00000001 - 1907830 ns R r1 00000001 - 1907830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1907850 ns MR4_I 0100021c 1c5b6002 - 1907850 ns R r1 80000000 - 1907850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1907870 ns R psr 81000200 - 1907890 ns MR4_I 01000214 6841d006 - 1907910 ns MR4_I 01000218 d1fc07c9 - 1907910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1907990 ns MR4_D 40006004 00000001 - 1907990 ns R r1 00000001 - 1907990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1908010 ns MR4_I 0100021c 1c5b6002 - 1908010 ns R r1 80000000 - 1908010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1908030 ns R psr 81000200 - 1908050 ns MR4_I 01000214 6841d006 - 1908070 ns MR4_I 01000218 d1fc07c9 - 1908070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1908150 ns MR4_D 40006004 00000001 - 1908150 ns R r1 00000001 - 1908150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1908170 ns MR4_I 0100021c 1c5b6002 - 1908170 ns R r1 80000000 - 1908170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1908190 ns R psr 81000200 - 1908210 ns MR4_I 01000214 6841d006 - 1908230 ns MR4_I 01000218 d1fc07c9 - 1908230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1908310 ns MR4_D 40006004 00000001 - 1908310 ns R r1 00000001 - 1908310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1908330 ns MR4_I 0100021c 1c5b6002 - 1908330 ns R r1 80000000 - 1908330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1908350 ns R psr 81000200 - 1908370 ns MR4_I 01000214 6841d006 - 1908390 ns MR4_I 01000218 d1fc07c9 - 1908390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1908470 ns MR4_D 40006004 00000001 - 1908470 ns R r1 00000001 - 1908470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1908490 ns MR4_I 0100021c 1c5b6002 - 1908490 ns R r1 80000000 - 1908490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1908510 ns R psr 81000200 - 1908530 ns MR4_I 01000214 6841d006 - 1908550 ns MR4_I 01000218 d1fc07c9 - 1908550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1908630 ns MR4_D 40006004 00000001 - 1908630 ns R r1 00000001 - 1908630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1908650 ns MR4_I 0100021c 1c5b6002 - 1908650 ns R r1 80000000 - 1908650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1908670 ns R psr 81000200 - 1908690 ns MR4_I 01000214 6841d006 - 1908710 ns MR4_I 01000218 d1fc07c9 - 1908710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1908790 ns MR4_D 40006004 00000001 - 1908790 ns R r1 00000001 - 1908790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1908810 ns MR4_I 0100021c 1c5b6002 - 1908810 ns R r1 80000000 - 1908810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1908830 ns R psr 81000200 - 1908850 ns MR4_I 01000214 6841d006 - 1908870 ns MR4_I 01000218 d1fc07c9 - 1908870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1908950 ns MR4_D 40006004 00000001 - 1908950 ns R r1 00000001 - 1908950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1908970 ns MR4_I 0100021c 1c5b6002 - 1908970 ns R r1 80000000 - 1908970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1908990 ns R psr 81000200 - 1909010 ns MR4_I 01000214 6841d006 - 1909030 ns MR4_I 01000218 d1fc07c9 - 1909030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1909110 ns MR4_D 40006004 00000001 - 1909110 ns R r1 00000001 - 1909110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1909130 ns MR4_I 0100021c 1c5b6002 - 1909130 ns R r1 80000000 - 1909130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1909150 ns R psr 81000200 - 1909170 ns MR4_I 01000214 6841d006 - 1909190 ns MR4_I 01000218 d1fc07c9 - 1909190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1909270 ns MR4_D 40006004 00000001 - 1909270 ns R r1 00000001 - 1909270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1909290 ns MR4_I 0100021c 1c5b6002 - 1909290 ns R r1 80000000 - 1909290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1909310 ns R psr 81000200 - 1909330 ns MR4_I 01000214 6841d006 - 1909350 ns MR4_I 01000218 d1fc07c9 - 1909350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1909430 ns MR4_D 40006004 00000001 - 1909430 ns R r1 00000001 - 1909430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1909450 ns MR4_I 0100021c 1c5b6002 - 1909450 ns R r1 80000000 - 1909450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1909470 ns R psr 81000200 - 1909490 ns MR4_I 01000214 6841d006 - 1909510 ns MR4_I 01000218 d1fc07c9 - 1909510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1909590 ns MR4_D 40006004 00000001 - 1909590 ns R r1 00000001 - 1909590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1909610 ns MR4_I 0100021c 1c5b6002 - 1909610 ns R r1 80000000 - 1909610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1909630 ns R psr 81000200 - 1909650 ns MR4_I 01000214 6841d006 - 1909670 ns MR4_I 01000218 d1fc07c9 - 1909670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1909750 ns MR4_D 40006004 00000001 - 1909750 ns R r1 00000001 - 1909750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1909770 ns MR4_I 0100021c 1c5b6002 - 1909770 ns R r1 80000000 - 1909770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1909790 ns R psr 81000200 - 1909810 ns MR4_I 01000214 6841d006 - 1909830 ns MR4_I 01000218 d1fc07c9 - 1909830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1909910 ns MR4_D 40006004 00000001 - 1909910 ns R r1 00000001 - 1909910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1909930 ns MR4_I 0100021c 1c5b6002 - 1909930 ns R r1 80000000 - 1909930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1909950 ns R psr 81000200 - 1909970 ns MR4_I 01000214 6841d006 - 1909990 ns MR4_I 01000218 d1fc07c9 - 1909990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1910070 ns MR4_D 40006004 00000001 - 1910070 ns R r1 00000001 - 1910070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1910090 ns MR4_I 0100021c 1c5b6002 - 1910090 ns R r1 80000000 - 1910090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1910110 ns R psr 81000200 - 1910130 ns MR4_I 01000214 6841d006 - 1910150 ns MR4_I 01000218 d1fc07c9 - 1910150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1910230 ns MR4_D 40006004 00000001 - 1910230 ns R r1 00000001 - 1910230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1910250 ns MR4_I 0100021c 1c5b6002 - 1910250 ns R r1 80000000 - 1910250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1910270 ns R psr 81000200 - 1910290 ns MR4_I 01000214 6841d006 - 1910310 ns MR4_I 01000218 d1fc07c9 - 1910310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1910390 ns MR4_D 40006004 00000001 - 1910390 ns R r1 00000001 - 1910390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1910410 ns MR4_I 0100021c 1c5b6002 - 1910410 ns R r1 80000000 - 1910410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1910430 ns R psr 81000200 - 1910450 ns MR4_I 01000214 6841d006 - 1910470 ns MR4_I 01000218 d1fc07c9 - 1910470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1910550 ns MR4_D 40006004 00000001 - 1910550 ns R r1 00000001 - 1910550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1910570 ns MR4_I 0100021c 1c5b6002 - 1910570 ns R r1 80000000 - 1910570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1910590 ns R psr 81000200 - 1910610 ns MR4_I 01000214 6841d006 - 1910630 ns MR4_I 01000218 d1fc07c9 - 1910630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1910710 ns MR4_D 40006004 00000001 - 1910710 ns R r1 00000001 - 1910710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1910730 ns MR4_I 0100021c 1c5b6002 - 1910730 ns R r1 80000000 - 1910730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1910750 ns R psr 81000200 - 1910770 ns MR4_I 01000214 6841d006 - 1910790 ns MR4_I 01000218 d1fc07c9 - 1910790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1910870 ns MR4_D 40006004 00000001 - 1910870 ns R r1 00000001 - 1910870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1910890 ns MR4_I 0100021c 1c5b6002 - 1910890 ns R r1 80000000 - 1910890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1910910 ns R psr 81000200 - 1910930 ns MR4_I 01000214 6841d006 - 1910950 ns MR4_I 01000218 d1fc07c9 - 1910950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1911030 ns MR4_D 40006004 00000001 - 1911030 ns R r1 00000001 - 1911030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1911050 ns MR4_I 0100021c 1c5b6002 - 1911050 ns R r1 80000000 - 1911050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1911070 ns R psr 81000200 - 1911090 ns MR4_I 01000214 6841d006 - 1911110 ns MR4_I 01000218 d1fc07c9 - 1911110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1911190 ns MR4_D 40006004 00000001 - 1911190 ns R r1 00000001 - 1911190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1911210 ns MR4_I 0100021c 1c5b6002 - 1911210 ns R r1 80000000 - 1911210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1911230 ns R psr 81000200 - 1911250 ns MR4_I 01000214 6841d006 - 1911270 ns MR4_I 01000218 d1fc07c9 - 1911270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1911350 ns MR4_D 40006004 00000001 - 1911350 ns R r1 00000001 - 1911350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1911370 ns MR4_I 0100021c 1c5b6002 - 1911370 ns R r1 80000000 - 1911370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1911390 ns R psr 81000200 - 1911410 ns MR4_I 01000214 6841d006 - 1911430 ns MR4_I 01000218 d1fc07c9 - 1911430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1911510 ns MR4_D 40006004 00000001 - 1911510 ns R r1 00000001 - 1911510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1911530 ns MR4_I 0100021c 1c5b6002 - 1911530 ns R r1 80000000 - 1911530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1911550 ns R psr 81000200 - 1911570 ns MR4_I 01000214 6841d006 - 1911590 ns MR4_I 01000218 d1fc07c9 - 1911590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1911670 ns MR4_D 40006004 00000001 - 1911670 ns R r1 00000001 - 1911670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1911690 ns MR4_I 0100021c 1c5b6002 - 1911690 ns R r1 80000000 - 1911690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1911710 ns R psr 81000200 - 1911730 ns MR4_I 01000214 6841d006 - 1911750 ns MR4_I 01000218 d1fc07c9 - 1911750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1911830 ns MR4_D 40006004 00000001 - 1911830 ns R r1 00000001 - 1911830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1911850 ns MR4_I 0100021c 1c5b6002 - 1911850 ns R r1 80000000 - 1911850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1911870 ns R psr 81000200 - 1911890 ns MR4_I 01000214 6841d006 - 1911910 ns MR4_I 01000218 d1fc07c9 - 1911910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1911990 ns MR4_D 40006004 00000001 - 1911990 ns R r1 00000001 - 1911990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1912010 ns MR4_I 0100021c 1c5b6002 - 1912010 ns R r1 80000000 - 1912010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1912030 ns R psr 81000200 - 1912050 ns MR4_I 01000214 6841d006 - 1912070 ns MR4_I 01000218 d1fc07c9 - 1912070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1912150 ns MR4_D 40006004 00000001 - 1912150 ns R r1 00000001 - 1912150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1912170 ns MR4_I 0100021c 1c5b6002 - 1912170 ns R r1 80000000 - 1912170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1912190 ns R psr 81000200 - 1912210 ns MR4_I 01000214 6841d006 - 1912230 ns MR4_I 01000218 d1fc07c9 - 1912230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1912310 ns MR4_D 40006004 00000001 - 1912310 ns R r1 00000001 - 1912310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1912330 ns MR4_I 0100021c 1c5b6002 - 1912330 ns R r1 80000000 - 1912330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1912350 ns R psr 81000200 - 1912370 ns MR4_I 01000214 6841d006 - 1912390 ns MR4_I 01000218 d1fc07c9 - 1912390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1912470 ns MR4_D 40006004 00000001 - 1912470 ns R r1 00000001 - 1912470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1912490 ns MR4_I 0100021c 1c5b6002 - 1912490 ns R r1 80000000 - 1912490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1912510 ns R psr 81000200 - 1912530 ns MR4_I 01000214 6841d006 - 1912550 ns MR4_I 01000218 d1fc07c9 - 1912550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1912630 ns MR4_D 40006004 00000001 - 1912630 ns R r1 00000001 - 1912630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1912650 ns MR4_I 0100021c 1c5b6002 - 1912650 ns R r1 80000000 - 1912650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1912670 ns R psr 81000200 - 1912690 ns MR4_I 01000214 6841d006 - 1912710 ns MR4_I 01000218 d1fc07c9 - 1912710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1912790 ns MR4_D 40006004 00000001 - 1912790 ns R r1 00000001 - 1912790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1912810 ns MR4_I 0100021c 1c5b6002 - 1912810 ns R r1 80000000 - 1912810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1912830 ns R psr 81000200 - 1912850 ns MR4_I 01000214 6841d006 - 1912870 ns MR4_I 01000218 d1fc07c9 - 1912870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1912950 ns MR4_D 40006004 00000001 - 1912950 ns R r1 00000001 - 1912950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1912970 ns MR4_I 0100021c 1c5b6002 - 1912970 ns R r1 80000000 - 1912970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1912990 ns R psr 81000200 - 1913010 ns MR4_I 01000214 6841d006 - 1913030 ns MR4_I 01000218 d1fc07c9 - 1913030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1913110 ns MR4_D 40006004 00000001 - 1913110 ns R r1 00000001 - 1913110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1913130 ns MR4_I 0100021c 1c5b6002 - 1913130 ns R r1 80000000 - 1913130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1913150 ns R psr 81000200 - 1913170 ns MR4_I 01000214 6841d006 - 1913190 ns MR4_I 01000218 d1fc07c9 - 1913190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1913270 ns MR4_D 40006004 00000001 - 1913270 ns R r1 00000001 - 1913270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1913290 ns MR4_I 0100021c 1c5b6002 - 1913290 ns R r1 80000000 - 1913290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1913310 ns R psr 81000200 - 1913330 ns MR4_I 01000214 6841d006 - 1913350 ns MR4_I 01000218 d1fc07c9 - 1913350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1913430 ns MR4_D 40006004 00000001 - 1913430 ns R r1 00000001 - 1913430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1913450 ns MR4_I 0100021c 1c5b6002 - 1913450 ns R r1 80000000 - 1913450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1913470 ns R psr 81000200 - 1913490 ns MR4_I 01000214 6841d006 - 1913510 ns MR4_I 01000218 d1fc07c9 - 1913510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1913590 ns MR4_D 40006004 00000001 - 1913590 ns R r1 00000001 - 1913590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1913610 ns MR4_I 0100021c 1c5b6002 - 1913610 ns R r1 80000000 - 1913610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1913630 ns R psr 81000200 - 1913650 ns MR4_I 01000214 6841d006 - 1913670 ns MR4_I 01000218 d1fc07c9 - 1913670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1913750 ns MR4_D 40006004 00000001 - 1913750 ns R r1 00000001 - 1913750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1913770 ns MR4_I 0100021c 1c5b6002 - 1913770 ns R r1 80000000 - 1913770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1913790 ns R psr 81000200 - 1913810 ns MR4_I 01000214 6841d006 - 1913830 ns MR4_I 01000218 d1fc07c9 - 1913830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1913910 ns MR4_D 40006004 00000001 - 1913910 ns R r1 00000001 - 1913910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1913930 ns MR4_I 0100021c 1c5b6002 - 1913930 ns R r1 80000000 - 1913930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1913950 ns R psr 81000200 - 1913970 ns MR4_I 01000214 6841d006 - 1913990 ns MR4_I 01000218 d1fc07c9 - 1913990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1914070 ns MR4_D 40006004 00000001 - 1914070 ns R r1 00000001 - 1914070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1914090 ns MR4_I 0100021c 1c5b6002 - 1914090 ns R r1 80000000 - 1914090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1914110 ns R psr 81000200 - 1914130 ns MR4_I 01000214 6841d006 - 1914150 ns MR4_I 01000218 d1fc07c9 - 1914150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1914230 ns MR4_D 40006004 00000001 - 1914230 ns R r1 00000001 - 1914230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1914250 ns MR4_I 0100021c 1c5b6002 - 1914250 ns R r1 80000000 - 1914250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1914270 ns R psr 81000200 - 1914290 ns MR4_I 01000214 6841d006 - 1914310 ns MR4_I 01000218 d1fc07c9 - 1914310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1914390 ns MR4_D 40006004 00000001 - 1914390 ns R r1 00000001 - 1914390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1914410 ns MR4_I 0100021c 1c5b6002 - 1914410 ns R r1 80000000 - 1914410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1914430 ns R psr 81000200 - 1914450 ns MR4_I 01000214 6841d006 - 1914470 ns MR4_I 01000218 d1fc07c9 - 1914470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1914550 ns MR4_D 40006004 00000001 - 1914550 ns R r1 00000001 - 1914550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1914570 ns MR4_I 0100021c 1c5b6002 - 1914570 ns R r1 80000000 - 1914570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1914590 ns R psr 81000200 - 1914610 ns MR4_I 01000214 6841d006 - 1914630 ns MR4_I 01000218 d1fc07c9 - 1914630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1914710 ns MR4_D 40006004 00000001 - 1914710 ns R r1 00000001 - 1914710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1914730 ns MR4_I 0100021c 1c5b6002 - 1914730 ns R r1 80000000 - 1914730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1914750 ns R psr 81000200 - 1914770 ns MR4_I 01000214 6841d006 - 1914790 ns MR4_I 01000218 d1fc07c9 - 1914790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1914870 ns MR4_D 40006004 00000001 - 1914870 ns R r1 00000001 - 1914870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1914890 ns MR4_I 0100021c 1c5b6002 - 1914890 ns R r1 80000000 - 1914890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1914910 ns R psr 81000200 - 1914930 ns MR4_I 01000214 6841d006 - 1914950 ns MR4_I 01000218 d1fc07c9 - 1914950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1915030 ns MR4_D 40006004 00000001 - 1915030 ns R r1 00000001 - 1915030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1915050 ns MR4_I 0100021c 1c5b6002 - 1915050 ns R r1 80000000 - 1915050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1915070 ns R psr 81000200 - 1915090 ns MR4_I 01000214 6841d006 - 1915110 ns MR4_I 01000218 d1fc07c9 - 1915110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1915190 ns MR4_D 40006004 00000001 - 1915190 ns R r1 00000001 - 1915190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1915210 ns MR4_I 0100021c 1c5b6002 - 1915210 ns R r1 80000000 - 1915210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1915230 ns R psr 81000200 - 1915250 ns MR4_I 01000214 6841d006 - 1915270 ns MR4_I 01000218 d1fc07c9 - 1915270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1915350 ns MR4_D 40006004 00000001 - 1915350 ns R r1 00000001 - 1915350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1915370 ns MR4_I 0100021c 1c5b6002 - 1915370 ns R r1 80000000 - 1915370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1915390 ns R psr 81000200 - 1915410 ns MR4_I 01000214 6841d006 - 1915430 ns MR4_I 01000218 d1fc07c9 - 1915430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1915510 ns MR4_D 40006004 00000001 - 1915510 ns R r1 00000001 - 1915510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1915530 ns MR4_I 0100021c 1c5b6002 - 1915530 ns R r1 80000000 - 1915530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1915550 ns R psr 81000200 - 1915570 ns MR4_I 01000214 6841d006 - 1915590 ns MR4_I 01000218 d1fc07c9 - 1915590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1915670 ns MR4_D 40006004 00000001 - 1915670 ns R r1 00000001 - 1915670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1915690 ns MR4_I 0100021c 1c5b6002 - 1915690 ns R r1 80000000 - 1915690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1915710 ns R psr 81000200 - 1915730 ns MR4_I 01000214 6841d006 - 1915750 ns MR4_I 01000218 d1fc07c9 - 1915750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1915830 ns MR4_D 40006004 00000001 - 1915830 ns R r1 00000001 - 1915830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1915850 ns MR4_I 0100021c 1c5b6002 - 1915850 ns R r1 80000000 - 1915850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1915870 ns R psr 81000200 - 1915890 ns MR4_I 01000214 6841d006 - 1915910 ns MR4_I 01000218 d1fc07c9 - 1915910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1915990 ns MR4_D 40006004 00000001 - 1915990 ns R r1 00000001 - 1915990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1916010 ns MR4_I 0100021c 1c5b6002 - 1916010 ns R r1 80000000 - 1916010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1916030 ns R psr 81000200 - 1916050 ns MR4_I 01000214 6841d006 - 1916070 ns MR4_I 01000218 d1fc07c9 - 1916070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1916150 ns MR4_D 40006004 00000001 - 1916150 ns R r1 00000001 - 1916150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1916170 ns MR4_I 0100021c 1c5b6002 - 1916170 ns R r1 80000000 - 1916170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1916190 ns R psr 81000200 - 1916210 ns MR4_I 01000214 6841d006 - 1916230 ns MR4_I 01000218 d1fc07c9 - 1916230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1916310 ns MR4_D 40006004 00000001 - 1916310 ns R r1 00000001 - 1916310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1916330 ns MR4_I 0100021c 1c5b6002 - 1916330 ns R r1 80000000 - 1916330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1916350 ns R psr 81000200 - 1916370 ns MR4_I 01000214 6841d006 - 1916390 ns MR4_I 01000218 d1fc07c9 - 1916390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1916470 ns MR4_D 40006004 00000001 - 1916470 ns R r1 00000001 - 1916470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1916490 ns MR4_I 0100021c 1c5b6002 - 1916490 ns R r1 80000000 - 1916490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1916510 ns R psr 81000200 - 1916530 ns MR4_I 01000214 6841d006 - 1916550 ns MR4_I 01000218 d1fc07c9 - 1916550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1916630 ns MR4_D 40006004 00000001 - 1916630 ns R r1 00000001 - 1916630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1916650 ns MR4_I 0100021c 1c5b6002 - 1916650 ns R r1 80000000 - 1916650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1916670 ns R psr 81000200 - 1916690 ns MR4_I 01000214 6841d006 - 1916710 ns MR4_I 01000218 d1fc07c9 - 1916710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1916790 ns MR4_D 40006004 00000001 - 1916790 ns R r1 00000001 - 1916790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1916810 ns MR4_I 0100021c 1c5b6002 - 1916810 ns R r1 80000000 - 1916810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1916830 ns R psr 81000200 - 1916850 ns MR4_I 01000214 6841d006 - 1916870 ns MR4_I 01000218 d1fc07c9 - 1916870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1916950 ns MR4_D 40006004 00000001 - 1916950 ns R r1 00000001 - 1916950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1916970 ns MR4_I 0100021c 1c5b6002 - 1916970 ns R r1 80000000 - 1916970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1916990 ns R psr 81000200 - 1917010 ns MR4_I 01000214 6841d006 - 1917030 ns MR4_I 01000218 d1fc07c9 - 1917030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1917110 ns MR4_D 40006004 00000001 - 1917110 ns R r1 00000001 - 1917110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1917130 ns MR4_I 0100021c 1c5b6002 - 1917130 ns R r1 80000000 - 1917130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1917150 ns R psr 81000200 - 1917170 ns MR4_I 01000214 6841d006 - 1917190 ns MR4_I 01000218 d1fc07c9 - 1917190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1917270 ns MR4_D 40006004 00000001 - 1917270 ns R r1 00000001 - 1917270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1917290 ns MR4_I 0100021c 1c5b6002 - 1917290 ns R r1 80000000 - 1917290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1917310 ns R psr 81000200 - 1917330 ns MR4_I 01000214 6841d006 - 1917350 ns MR4_I 01000218 d1fc07c9 - 1917350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1917430 ns MR4_D 40006004 00000001 - 1917430 ns R r1 00000001 - 1917430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1917450 ns MR4_I 0100021c 1c5b6002 - 1917450 ns R r1 80000000 - 1917450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1917470 ns R psr 81000200 - 1917490 ns MR4_I 01000214 6841d006 - 1917510 ns MR4_I 01000218 d1fc07c9 - 1917510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1917590 ns MR4_D 40006004 00000001 - 1917590 ns R r1 00000001 - 1917590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1917610 ns MR4_I 0100021c 1c5b6002 - 1917610 ns R r1 80000000 - 1917610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1917630 ns R psr 81000200 - 1917650 ns MR4_I 01000214 6841d006 - 1917670 ns MR4_I 01000218 d1fc07c9 - 1917670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1917750 ns MR4_D 40006004 00000001 - 1917750 ns R r1 00000001 - 1917750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1917770 ns MR4_I 0100021c 1c5b6002 - 1917770 ns R r1 80000000 - 1917770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1917790 ns R psr 81000200 - 1917810 ns MR4_I 01000214 6841d006 - 1917830 ns MR4_I 01000218 d1fc07c9 - 1917830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1917910 ns MR4_D 40006004 00000001 - 1917910 ns R r1 00000001 - 1917910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1917930 ns MR4_I 0100021c 1c5b6002 - 1917930 ns R r1 80000000 - 1917930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1917950 ns R psr 81000200 - 1917970 ns MR4_I 01000214 6841d006 - 1917990 ns MR4_I 01000218 d1fc07c9 - 1917990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1918070 ns MR4_D 40006004 00000001 - 1918070 ns R r1 00000001 - 1918070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1918090 ns MR4_I 0100021c 1c5b6002 - 1918090 ns R r1 80000000 - 1918090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1918110 ns R psr 81000200 - 1918130 ns MR4_I 01000214 6841d006 - 1918150 ns MR4_I 01000218 d1fc07c9 - 1918150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1918230 ns MR4_D 40006004 00000001 - 1918230 ns R r1 00000001 - 1918230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1918250 ns MR4_I 0100021c 1c5b6002 - 1918250 ns R r1 80000000 - 1918250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1918270 ns R psr 81000200 - 1918290 ns MR4_I 01000214 6841d006 - 1918310 ns MR4_I 01000218 d1fc07c9 - 1918310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1918390 ns MR4_D 40006004 00000001 - 1918390 ns R r1 00000001 - 1918390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1918410 ns MR4_I 0100021c 1c5b6002 - 1918410 ns R r1 80000000 - 1918410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1918430 ns R psr 81000200 - 1918450 ns MR4_I 01000214 6841d006 - 1918470 ns MR4_I 01000218 d1fc07c9 - 1918470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1918550 ns MR4_D 40006004 00000001 - 1918550 ns R r1 00000001 - 1918550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1918570 ns MR4_I 0100021c 1c5b6002 - 1918570 ns R r1 80000000 - 1918570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1918590 ns R psr 81000200 - 1918610 ns MR4_I 01000214 6841d006 - 1918630 ns MR4_I 01000218 d1fc07c9 - 1918630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1918710 ns MR4_D 40006004 00000001 - 1918710 ns R r1 00000001 - 1918710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1918730 ns MR4_I 0100021c 1c5b6002 - 1918730 ns R r1 80000000 - 1918730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1918750 ns R psr 81000200 - 1918770 ns MR4_I 01000214 6841d006 - 1918790 ns MR4_I 01000218 d1fc07c9 - 1918790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1918870 ns MR4_D 40006004 00000001 - 1918870 ns R r1 00000001 - 1918870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1918890 ns MR4_I 0100021c 1c5b6002 - 1918890 ns R r1 80000000 - 1918890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1918910 ns R psr 81000200 - 1918930 ns MR4_I 01000214 6841d006 - 1918950 ns MR4_I 01000218 d1fc07c9 - 1918950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1919030 ns MR4_D 40006004 00000001 - 1919030 ns R r1 00000001 - 1919030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1919050 ns MR4_I 0100021c 1c5b6002 - 1919050 ns R r1 80000000 - 1919050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1919070 ns R psr 81000200 - 1919090 ns MR4_I 01000214 6841d006 - 1919110 ns MR4_I 01000218 d1fc07c9 - 1919110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1919190 ns MR4_D 40006004 00000001 - 1919190 ns R r1 00000001 - 1919190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1919210 ns MR4_I 0100021c 1c5b6002 - 1919210 ns R r1 80000000 - 1919210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1919230 ns R psr 81000200 - 1919250 ns MR4_I 01000214 6841d006 - 1919270 ns MR4_I 01000218 d1fc07c9 - 1919270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1919350 ns MR4_D 40006004 00000001 - 1919350 ns R r1 00000001 - 1919350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1919370 ns MR4_I 0100021c 1c5b6002 - 1919370 ns R r1 80000000 - 1919370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1919390 ns R psr 81000200 - 1919410 ns MR4_I 01000214 6841d006 - 1919430 ns MR4_I 01000218 d1fc07c9 - 1919430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1919510 ns MR4_D 40006004 00000001 - 1919510 ns R r1 00000001 - 1919510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1919530 ns MR4_I 0100021c 1c5b6002 - 1919530 ns R r1 80000000 - 1919530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1919550 ns R psr 81000200 - 1919570 ns MR4_I 01000214 6841d006 - 1919590 ns MR4_I 01000218 d1fc07c9 - 1919590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1919670 ns MR4_D 40006004 00000001 - 1919670 ns R r1 00000001 - 1919670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1919690 ns MR4_I 0100021c 1c5b6002 - 1919690 ns R r1 80000000 - 1919690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1919710 ns R psr 81000200 - 1919730 ns MR4_I 01000214 6841d006 - 1919750 ns MR4_I 01000218 d1fc07c9 - 1919750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1919830 ns MR4_D 40006004 00000001 - 1919830 ns R r1 00000001 - 1919830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1919850 ns MR4_I 0100021c 1c5b6002 - 1919850 ns R r1 80000000 - 1919850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1919870 ns R psr 81000200 - 1919890 ns MR4_I 01000214 6841d006 - 1919910 ns MR4_I 01000218 d1fc07c9 - 1919910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1919990 ns MR4_D 40006004 00000001 - 1919990 ns R r1 00000001 - 1919990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1920010 ns MR4_I 0100021c 1c5b6002 - 1920010 ns R r1 80000000 - 1920010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1920030 ns R psr 81000200 - 1920050 ns MR4_I 01000214 6841d006 - 1920070 ns MR4_I 01000218 d1fc07c9 - 1920070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1920150 ns MR4_D 40006004 00000001 - 1920150 ns R r1 00000001 - 1920150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1920170 ns MR4_I 0100021c 1c5b6002 - 1920170 ns R r1 80000000 - 1920170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1920190 ns R psr 81000200 - 1920210 ns MR4_I 01000214 6841d006 - 1920230 ns MR4_I 01000218 d1fc07c9 - 1920230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1920310 ns MR4_D 40006004 00000001 - 1920310 ns R r1 00000001 - 1920310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1920330 ns MR4_I 0100021c 1c5b6002 - 1920330 ns R r1 80000000 - 1920330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1920350 ns R psr 81000200 - 1920370 ns MR4_I 01000214 6841d006 - 1920390 ns MR4_I 01000218 d1fc07c9 - 1920390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1920470 ns MR4_D 40006004 00000001 - 1920470 ns R r1 00000001 - 1920470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1920490 ns MR4_I 0100021c 1c5b6002 - 1920490 ns R r1 80000000 - 1920490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1920510 ns R psr 81000200 - 1920530 ns MR4_I 01000214 6841d006 - 1920550 ns MR4_I 01000218 d1fc07c9 - 1920550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1920630 ns MR4_D 40006004 00000001 - 1920630 ns R r1 00000001 - 1920630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1920650 ns MR4_I 0100021c 1c5b6002 - 1920650 ns R r1 80000000 - 1920650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1920670 ns R psr 81000200 - 1920690 ns MR4_I 01000214 6841d006 - 1920710 ns MR4_I 01000218 d1fc07c9 - 1920710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1920790 ns MR4_D 40006004 00000001 - 1920790 ns R r1 00000001 - 1920790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1920810 ns MR4_I 0100021c 1c5b6002 - 1920810 ns R r1 80000000 - 1920810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1920830 ns R psr 81000200 - 1920850 ns MR4_I 01000214 6841d006 - 1920870 ns MR4_I 01000218 d1fc07c9 - 1920870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1920950 ns MR4_D 40006004 00000001 - 1920950 ns R r1 00000001 - 1920950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1920970 ns MR4_I 0100021c 1c5b6002 - 1920970 ns R r1 80000000 - 1920970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1920990 ns R psr 81000200 - 1921010 ns MR4_I 01000214 6841d006 - 1921030 ns MR4_I 01000218 d1fc07c9 - 1921030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1921110 ns MR4_D 40006004 00000001 - 1921110 ns R r1 00000001 - 1921110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1921130 ns MR4_I 0100021c 1c5b6002 - 1921130 ns R r1 80000000 - 1921130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1921150 ns R psr 81000200 - 1921170 ns MR4_I 01000214 6841d006 - 1921190 ns MR4_I 01000218 d1fc07c9 - 1921190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1921270 ns MR4_D 40006004 00000001 - 1921270 ns R r1 00000001 - 1921270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1921290 ns MR4_I 0100021c 1c5b6002 - 1921290 ns R r1 80000000 - 1921290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1921310 ns R psr 81000200 - 1921330 ns MR4_I 01000214 6841d006 - 1921350 ns MR4_I 01000218 d1fc07c9 - 1921350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1921430 ns MR4_D 40006004 00000001 - 1921430 ns R r1 00000001 - 1921430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1921450 ns MR4_I 0100021c 1c5b6002 - 1921450 ns R r1 80000000 - 1921450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1921470 ns R psr 81000200 - 1921490 ns MR4_I 01000214 6841d006 - 1921510 ns MR4_I 01000218 d1fc07c9 - 1921510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1921590 ns MR4_D 40006004 00000001 - 1921590 ns R r1 00000001 - 1921590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1921610 ns MR4_I 0100021c 1c5b6002 - 1921610 ns R r1 80000000 - 1921610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1921630 ns R psr 81000200 - 1921650 ns MR4_I 01000214 6841d006 - 1921670 ns MR4_I 01000218 d1fc07c9 - 1921670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1921750 ns MR4_D 40006004 00000001 - 1921750 ns R r1 00000001 - 1921750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1921770 ns MR4_I 0100021c 1c5b6002 - 1921770 ns R r1 80000000 - 1921770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1921790 ns R psr 81000200 - 1921810 ns MR4_I 01000214 6841d006 - 1921830 ns MR4_I 01000218 d1fc07c9 - 1921830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1921910 ns MR4_D 40006004 00000001 - 1921910 ns R r1 00000001 - 1921910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1921930 ns MR4_I 0100021c 1c5b6002 - 1921930 ns R r1 80000000 - 1921930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1921950 ns R psr 81000200 - 1921970 ns MR4_I 01000214 6841d006 - 1921990 ns MR4_I 01000218 d1fc07c9 - 1921990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1922070 ns MR4_D 40006004 00000001 - 1922070 ns R r1 00000001 - 1922070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1922090 ns MR4_I 0100021c 1c5b6002 - 1922090 ns R r1 80000000 - 1922090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1922110 ns R psr 81000200 - 1922130 ns MR4_I 01000214 6841d006 - 1922150 ns MR4_I 01000218 d1fc07c9 - 1922150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1922230 ns MR4_D 40006004 00000001 - 1922230 ns R r1 00000001 - 1922230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1922250 ns MR4_I 0100021c 1c5b6002 - 1922250 ns R r1 80000000 - 1922250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1922270 ns R psr 81000200 - 1922290 ns MR4_I 01000214 6841d006 - 1922310 ns MR4_I 01000218 d1fc07c9 - 1922310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1922390 ns MR4_D 40006004 00000001 - 1922390 ns R r1 00000001 - 1922390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1922410 ns MR4_I 0100021c 1c5b6002 - 1922410 ns R r1 80000000 - 1922410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1922430 ns R psr 81000200 - 1922450 ns MR4_I 01000214 6841d006 - 1922470 ns MR4_I 01000218 d1fc07c9 - 1922470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1922550 ns MR4_D 40006004 00000001 - 1922550 ns R r1 00000001 - 1922550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1922570 ns MR4_I 0100021c 1c5b6002 - 1922570 ns R r1 80000000 - 1922570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1922590 ns R psr 81000200 - 1922610 ns MR4_I 01000214 6841d006 - 1922630 ns MR4_I 01000218 d1fc07c9 - 1922630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1922710 ns MR4_D 40006004 00000001 - 1922710 ns R r1 00000001 - 1922710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1922730 ns MR4_I 0100021c 1c5b6002 - 1922730 ns R r1 80000000 - 1922730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1922750 ns R psr 81000200 - 1922770 ns MR4_I 01000214 6841d006 - 1922790 ns MR4_I 01000218 d1fc07c9 - 1922790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1922870 ns MR4_D 40006004 00000001 - 1922870 ns R r1 00000001 - 1922870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1922890 ns MR4_I 0100021c 1c5b6002 - 1922890 ns R r1 80000000 - 1922890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1922910 ns R psr 81000200 - 1922930 ns MR4_I 01000214 6841d006 - 1922950 ns MR4_I 01000218 d1fc07c9 - 1922950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1923030 ns MR4_D 40006004 00000001 - 1923030 ns R r1 00000001 - 1923030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1923050 ns MR4_I 0100021c 1c5b6002 - 1923050 ns R r1 80000000 - 1923050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1923070 ns R psr 81000200 - 1923090 ns MR4_I 01000214 6841d006 - 1923110 ns MR4_I 01000218 d1fc07c9 - 1923110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1923190 ns MR4_D 40006004 00000001 - 1923190 ns R r1 00000001 - 1923190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1923210 ns MR4_I 0100021c 1c5b6002 - 1923210 ns R r1 80000000 - 1923210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1923230 ns R psr 81000200 - 1923250 ns MR4_I 01000214 6841d006 - 1923270 ns MR4_I 01000218 d1fc07c9 - 1923270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1923350 ns MR4_D 40006004 00000001 - 1923350 ns R r1 00000001 - 1923350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1923370 ns MR4_I 0100021c 1c5b6002 - 1923370 ns R r1 80000000 - 1923370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1923390 ns R psr 81000200 - 1923410 ns MR4_I 01000214 6841d006 - 1923430 ns MR4_I 01000218 d1fc07c9 - 1923430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1923510 ns MR4_D 40006004 00000001 - 1923510 ns R r1 00000001 - 1923510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1923530 ns MR4_I 0100021c 1c5b6002 - 1923530 ns R r1 80000000 - 1923530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1923550 ns R psr 81000200 - 1923570 ns MR4_I 01000214 6841d006 - 1923590 ns MR4_I 01000218 d1fc07c9 - 1923590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1923670 ns MR4_D 40006004 00000001 - 1923670 ns R r1 00000001 - 1923670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1923690 ns MR4_I 0100021c 1c5b6002 - 1923690 ns R r1 80000000 - 1923690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1923710 ns R psr 81000200 - 1923730 ns MR4_I 01000214 6841d006 - 1923750 ns MR4_I 01000218 d1fc07c9 - 1923750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1923830 ns MR4_D 40006004 00000001 - 1923830 ns R r1 00000001 - 1923830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1923850 ns MR4_I 0100021c 1c5b6002 - 1923850 ns R r1 80000000 - 1923850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1923870 ns R psr 81000200 - 1923890 ns MR4_I 01000214 6841d006 - 1923910 ns MR4_I 01000218 d1fc07c9 - 1923910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1923990 ns MR4_D 40006004 00000001 - 1923990 ns R r1 00000001 - 1923990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1924010 ns MR4_I 0100021c 1c5b6002 - 1924010 ns R r1 80000000 - 1924010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1924030 ns R psr 81000200 - 1924050 ns MR4_I 01000214 6841d006 - 1924070 ns MR4_I 01000218 d1fc07c9 - 1924070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1924150 ns MR4_D 40006004 00000001 - 1924150 ns R r1 00000001 - 1924150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1924170 ns MR4_I 0100021c 1c5b6002 - 1924170 ns R r1 80000000 - 1924170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1924190 ns R psr 81000200 - 1924210 ns MR4_I 01000214 6841d006 - 1924230 ns MR4_I 01000218 d1fc07c9 - 1924230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1924310 ns MR4_D 40006004 00000001 - 1924310 ns R r1 00000001 - 1924310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1924330 ns MR4_I 0100021c 1c5b6002 - 1924330 ns R r1 80000000 - 1924330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1924350 ns R psr 81000200 - 1924370 ns MR4_I 01000214 6841d006 - 1924390 ns MR4_I 01000218 d1fc07c9 - 1924390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1924470 ns MR4_D 40006004 00000001 - 1924470 ns R r1 00000001 - 1924470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1924490 ns MR4_I 0100021c 1c5b6002 - 1924490 ns R r1 80000000 - 1924490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1924510 ns R psr 81000200 - 1924530 ns MR4_I 01000214 6841d006 - 1924550 ns MR4_I 01000218 d1fc07c9 - 1924550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1924630 ns MR4_D 40006004 00000001 - 1924630 ns R r1 00000001 - 1924630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1924650 ns MR4_I 0100021c 1c5b6002 - 1924650 ns R r1 80000000 - 1924650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1924670 ns R psr 81000200 - 1924690 ns MR4_I 01000214 6841d006 - 1924710 ns MR4_I 01000218 d1fc07c9 - 1924710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1924790 ns MR4_D 40006004 00000001 - 1924790 ns R r1 00000001 - 1924790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1924810 ns MR4_I 0100021c 1c5b6002 - 1924810 ns R r1 80000000 - 1924810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1924830 ns R psr 81000200 - 1924850 ns MR4_I 01000214 6841d006 - 1924870 ns MR4_I 01000218 d1fc07c9 - 1924870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1924950 ns MR4_D 40006004 00000001 - 1924950 ns R r1 00000001 - 1924950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1924970 ns MR4_I 0100021c 1c5b6002 - 1924970 ns R r1 80000000 - 1924970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1924990 ns R psr 81000200 - 1925010 ns MR4_I 01000214 6841d006 - 1925030 ns MR4_I 01000218 d1fc07c9 - 1925030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1925110 ns MR4_D 40006004 00000001 - 1925110 ns R r1 00000001 - 1925110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1925130 ns MR4_I 0100021c 1c5b6002 - 1925130 ns R r1 80000000 - 1925130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1925150 ns R psr 81000200 - 1925170 ns MR4_I 01000214 6841d006 - 1925190 ns MR4_I 01000218 d1fc07c9 - 1925190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1925270 ns MR4_D 40006004 00000001 - 1925270 ns R r1 00000001 - 1925270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1925290 ns MR4_I 0100021c 1c5b6002 - 1925290 ns R r1 80000000 - 1925290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1925310 ns R psr 81000200 - 1925330 ns MR4_I 01000214 6841d006 - 1925350 ns MR4_I 01000218 d1fc07c9 - 1925350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1925430 ns MR4_D 40006004 00000001 - 1925430 ns R r1 00000001 - 1925430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1925450 ns MR4_I 0100021c 1c5b6002 - 1925450 ns R r1 80000000 - 1925450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1925470 ns R psr 81000200 - 1925490 ns MR4_I 01000214 6841d006 - 1925510 ns MR4_I 01000218 d1fc07c9 - 1925510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1925590 ns MR4_D 40006004 00000001 - 1925590 ns R r1 00000001 - 1925590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1925610 ns MR4_I 0100021c 1c5b6002 - 1925610 ns R r1 80000000 - 1925610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1925630 ns R psr 81000200 - 1925650 ns MR4_I 01000214 6841d006 - 1925670 ns MR4_I 01000218 d1fc07c9 - 1925670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1925750 ns MR4_D 40006004 00000001 - 1925750 ns R r1 00000001 - 1925750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1925770 ns MR4_I 0100021c 1c5b6002 - 1925770 ns R r1 80000000 - 1925770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1925790 ns R psr 81000200 - 1925810 ns MR4_I 01000214 6841d006 - 1925830 ns MR4_I 01000218 d1fc07c9 - 1925830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1925910 ns MR4_D 40006004 00000001 - 1925910 ns R r1 00000001 - 1925910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1925930 ns MR4_I 0100021c 1c5b6002 - 1925930 ns R r1 80000000 - 1925930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1925950 ns R psr 81000200 - 1925970 ns MR4_I 01000214 6841d006 - 1925990 ns MR4_I 01000218 d1fc07c9 - 1925990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1926070 ns MR4_D 40006004 00000001 - 1926070 ns R r1 00000001 - 1926070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1926090 ns MR4_I 0100021c 1c5b6002 - 1926090 ns R r1 80000000 - 1926090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1926110 ns R psr 81000200 - 1926130 ns MR4_I 01000214 6841d006 - 1926150 ns MR4_I 01000218 d1fc07c9 - 1926150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1926230 ns MR4_D 40006004 00000001 - 1926230 ns R r1 00000001 - 1926230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1926250 ns MR4_I 0100021c 1c5b6002 - 1926250 ns R r1 80000000 - 1926250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1926270 ns R psr 81000200 - 1926290 ns MR4_I 01000214 6841d006 - 1926310 ns MR4_I 01000218 d1fc07c9 - 1926310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1926390 ns MR4_D 40006004 00000001 - 1926390 ns R r1 00000001 - 1926390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1926410 ns MR4_I 0100021c 1c5b6002 - 1926410 ns R r1 80000000 - 1926410 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1926430 ns R psr 81000200 - 1926450 ns MR4_I 01000214 6841d006 - 1926470 ns MR4_I 01000218 d1fc07c9 - 1926470 ns IT 01000216 6841 LDR r1,[r0,#4] - 1926550 ns MR4_D 40006004 00000001 - 1926550 ns R r1 00000001 - 1926550 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1926570 ns MR4_I 0100021c 1c5b6002 - 1926570 ns R r1 80000000 - 1926570 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1926590 ns R psr 81000200 - 1926610 ns MR4_I 01000214 6841d006 - 1926630 ns MR4_I 01000218 d1fc07c9 - 1926630 ns IT 01000216 6841 LDR r1,[r0,#4] - 1926710 ns MR4_D 40006004 00000001 - 1926710 ns R r1 00000001 - 1926710 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1926730 ns MR4_I 0100021c 1c5b6002 - 1926730 ns R r1 80000000 - 1926730 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1926750 ns R psr 81000200 - 1926770 ns MR4_I 01000214 6841d006 - 1926790 ns MR4_I 01000218 d1fc07c9 - 1926790 ns IT 01000216 6841 LDR r1,[r0,#4] - 1926870 ns MR4_D 40006004 00000001 - 1926870 ns R r1 00000001 - 1926870 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1926890 ns MR4_I 0100021c 1c5b6002 - 1926890 ns R r1 80000000 - 1926890 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1926910 ns R psr 81000200 - 1926930 ns MR4_I 01000214 6841d006 - 1926950 ns MR4_I 01000218 d1fc07c9 - 1926950 ns IT 01000216 6841 LDR r1,[r0,#4] - 1927030 ns MR4_D 40006004 00000001 - 1927030 ns R r1 00000001 - 1927030 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1927050 ns MR4_I 0100021c 1c5b6002 - 1927050 ns R r1 80000000 - 1927050 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1927070 ns R psr 81000200 - 1927090 ns MR4_I 01000214 6841d006 - 1927110 ns MR4_I 01000218 d1fc07c9 - 1927110 ns IT 01000216 6841 LDR r1,[r0,#4] - 1927190 ns MR4_D 40006004 00000001 - 1927190 ns R r1 00000001 - 1927190 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1927210 ns MR4_I 0100021c 1c5b6002 - 1927210 ns R r1 80000000 - 1927210 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1927230 ns R psr 81000200 - 1927250 ns MR4_I 01000214 6841d006 - 1927270 ns MR4_I 01000218 d1fc07c9 - 1927270 ns IT 01000216 6841 LDR r1,[r0,#4] - 1927350 ns MR4_D 40006004 00000001 - 1927350 ns R r1 00000001 - 1927350 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1927370 ns MR4_I 0100021c 1c5b6002 - 1927370 ns R r1 80000000 - 1927370 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1927390 ns R psr 81000200 - 1927410 ns MR4_I 01000214 6841d006 - 1927430 ns MR4_I 01000218 d1fc07c9 - 1927430 ns IT 01000216 6841 LDR r1,[r0,#4] - 1927510 ns MR4_D 40006004 00000001 - 1927510 ns R r1 00000001 - 1927510 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1927530 ns MR4_I 0100021c 1c5b6002 - 1927530 ns R r1 80000000 - 1927530 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1927550 ns R psr 81000200 - 1927570 ns MR4_I 01000214 6841d006 - 1927590 ns MR4_I 01000218 d1fc07c9 - 1927590 ns IT 01000216 6841 LDR r1,[r0,#4] - 1927670 ns MR4_D 40006004 00000001 - 1927670 ns R r1 00000001 - 1927670 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1927690 ns MR4_I 0100021c 1c5b6002 - 1927690 ns R r1 80000000 - 1927690 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1927710 ns R psr 81000200 - 1927730 ns MR4_I 01000214 6841d006 - 1927750 ns MR4_I 01000218 d1fc07c9 - 1927750 ns IT 01000216 6841 LDR r1,[r0,#4] - 1927830 ns MR4_D 40006004 00000001 - 1927830 ns R r1 00000001 - 1927830 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1927850 ns MR4_I 0100021c 1c5b6002 - 1927850 ns R r1 80000000 - 1927850 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1927870 ns R psr 81000200 - 1927890 ns MR4_I 01000214 6841d006 - 1927910 ns MR4_I 01000218 d1fc07c9 - 1927910 ns IT 01000216 6841 LDR r1,[r0,#4] - 1927990 ns MR4_D 40006004 00000001 - 1927990 ns R r1 00000001 - 1927990 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1928010 ns MR4_I 0100021c 1c5b6002 - 1928010 ns R r1 80000000 - 1928010 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1928030 ns R psr 81000200 - 1928050 ns MR4_I 01000214 6841d006 - 1928070 ns MR4_I 01000218 d1fc07c9 - 1928070 ns IT 01000216 6841 LDR r1,[r0,#4] - 1928150 ns MR4_D 40006004 00000001 - 1928150 ns R r1 00000001 - 1928150 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1928170 ns MR4_I 0100021c 1c5b6002 - 1928170 ns R r1 80000000 - 1928170 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1928190 ns R psr 81000200 - 1928210 ns MR4_I 01000214 6841d006 - 1928230 ns MR4_I 01000218 d1fc07c9 - 1928230 ns IT 01000216 6841 LDR r1,[r0,#4] - 1928310 ns MR4_D 40006004 00000001 - 1928310 ns R r1 00000001 - 1928310 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1928330 ns MR4_I 0100021c 1c5b6002 - 1928330 ns R r1 80000000 - 1928330 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1928350 ns R psr 81000200 - 1928370 ns MR4_I 01000214 6841d006 - 1928390 ns MR4_I 01000218 d1fc07c9 - 1928390 ns IT 01000216 6841 LDR r1,[r0,#4] - 1928470 ns MR4_D 40006004 00000001 - 1928470 ns R r1 00000001 - 1928470 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1928490 ns MR4_I 0100021c 1c5b6002 - 1928490 ns R r1 80000000 - 1928490 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1928510 ns R psr 81000200 - 1928530 ns MR4_I 01000214 6841d006 - 1928550 ns MR4_I 01000218 d1fc07c9 - 1928550 ns IT 01000216 6841 LDR r1,[r0,#4] - 1928630 ns MR4_D 40006004 00000001 - 1928630 ns R r1 00000001 - 1928630 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1928650 ns MR4_I 0100021c 1c5b6002 - 1928650 ns R r1 80000000 - 1928650 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1928670 ns R psr 81000200 - 1928690 ns MR4_I 01000214 6841d006 - 1928710 ns MR4_I 01000218 d1fc07c9 - 1928710 ns IT 01000216 6841 LDR r1,[r0,#4] - 1928790 ns MR4_D 40006004 00000001 - 1928790 ns R r1 00000001 - 1928790 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1928810 ns MR4_I 0100021c 1c5b6002 - 1928810 ns R r1 80000000 - 1928810 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1928830 ns R psr 81000200 - 1928850 ns MR4_I 01000214 6841d006 - 1928870 ns MR4_I 01000218 d1fc07c9 - 1928870 ns IT 01000216 6841 LDR r1,[r0,#4] - 1928950 ns MR4_D 40006004 00000001 - 1928950 ns R r1 00000001 - 1928950 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1928970 ns MR4_I 0100021c 1c5b6002 - 1928970 ns R r1 80000000 - 1928970 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1928990 ns R psr 81000200 - 1929010 ns MR4_I 01000214 6841d006 - 1929030 ns MR4_I 01000218 d1fc07c9 - 1929030 ns IT 01000216 6841 LDR r1,[r0,#4] - 1929110 ns MR4_D 40006004 00000001 - 1929110 ns R r1 00000001 - 1929110 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1929130 ns MR4_I 0100021c 1c5b6002 - 1929130 ns R r1 80000000 - 1929130 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1929150 ns R psr 81000200 - 1929170 ns MR4_I 01000214 6841d006 - 1929190 ns MR4_I 01000218 d1fc07c9 - 1929190 ns IT 01000216 6841 LDR r1,[r0,#4] - 1929270 ns MR4_D 40006004 00000001 - 1929270 ns R r1 00000001 - 1929270 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1929290 ns MR4_I 0100021c 1c5b6002 - 1929290 ns R r1 80000000 - 1929290 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1929310 ns R psr 81000200 - 1929330 ns MR4_I 01000214 6841d006 - 1929350 ns MR4_I 01000218 d1fc07c9 - 1929350 ns IT 01000216 6841 LDR r1,[r0,#4] - 1929430 ns MR4_D 40006004 00000001 - 1929430 ns R r1 00000001 - 1929430 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1929450 ns MR4_I 0100021c 1c5b6002 - 1929450 ns R r1 80000000 - 1929450 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1929470 ns R psr 81000200 - 1929490 ns MR4_I 01000214 6841d006 - 1929510 ns MR4_I 01000218 d1fc07c9 - 1929510 ns IT 01000216 6841 LDR r1,[r0,#4] - 1929590 ns MR4_D 40006004 00000001 - 1929590 ns R r1 00000001 - 1929590 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1929610 ns MR4_I 0100021c 1c5b6002 - 1929610 ns R r1 80000000 - 1929610 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1929630 ns R psr 81000200 - 1929650 ns MR4_I 01000214 6841d006 - 1929670 ns MR4_I 01000218 d1fc07c9 - 1929670 ns IT 01000216 6841 LDR r1,[r0,#4] - 1929750 ns MR4_D 40006004 00000001 - 1929750 ns R r1 00000001 - 1929750 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1929770 ns MR4_I 0100021c 1c5b6002 - 1929770 ns R r1 80000000 - 1929770 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1929790 ns R psr 81000200 - 1929810 ns MR4_I 01000214 6841d006 - 1929830 ns MR4_I 01000218 d1fc07c9 - 1929830 ns IT 01000216 6841 LDR r1,[r0,#4] - 1929910 ns MR4_D 40006004 00000001 - 1929910 ns R r1 00000001 - 1929910 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1929930 ns MR4_I 0100021c 1c5b6002 - 1929930 ns R r1 80000000 - 1929930 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1929950 ns R psr 81000200 - 1929970 ns MR4_I 01000214 6841d006 - 1929990 ns MR4_I 01000218 d1fc07c9 - 1929990 ns IT 01000216 6841 LDR r1,[r0,#4] - 1930070 ns MR4_D 40006004 00000001 - 1930070 ns R r1 00000001 - 1930070 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1930090 ns MR4_I 0100021c 1c5b6002 - 1930090 ns R r1 80000000 - 1930090 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1930110 ns R psr 81000200 - 1930130 ns MR4_I 01000214 6841d006 - 1930150 ns MR4_I 01000218 d1fc07c9 - 1930150 ns IT 01000216 6841 LDR r1,[r0,#4] - 1930230 ns MR4_D 40006004 00000001 - 1930230 ns R r1 00000001 - 1930230 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1930250 ns MR4_I 0100021c 1c5b6002 - 1930250 ns R r1 80000000 - 1930250 ns IT 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1930270 ns R psr 81000200 - 1930290 ns MR4_I 01000214 6841d006 - 1930310 ns MR4_I 01000218 d1fc07c9 - 1930310 ns IT 01000216 6841 LDR r1,[r0,#4] - 1930390 ns MR4_D 40006004 00000000 - 1930390 ns R r1 00000000 - 1930390 ns IT 01000218 07c9 LSLS r1,r1,#31 - 1930410 ns MR4_I 0100021c 1c5b6002 - 1930410 ns R r1 00000000 - 1930410 ns IS 0100021a d1fc BNE {pc} - 0x4 ; 0x1000216 - 1930430 ns R psr 41000200 - 1930430 ns IT 0100021c 6002 STR r2,[r0,#0] - 1930450 ns MR4_I 01000220 d1f52a00 - 1930510 ns MW4_D 40006000 0000000a - 1930510 ns IT 0100021e 1c5b ADDS r3,r3,#1 - 1930530 ns R r3 010002c7 - 1930530 ns IT 01000220 2a00 CMP r2,#0 - 1930550 ns R psr 01000200 - 1930550 ns MR4_I 01000224 680a4911 - 1930550 ns IT 01000222 d1f5 BNE {pc} - 0x12 ; 0x1000210 - 1930570 ns R psr 21000200 - 1930590 ns MR4_I 01000210 2a00781a - 1930610 ns IT 01000210 781a LDRB r2,[r3,#0] - 1930630 ns MR4_I 01000214 6841d006 - 1930650 ns MR1_D 010002c7 000a0a68 - 1930650 ns R r2 00000000 - 1930650 ns IT 01000212 2a00 CMP r2,#0 - 1930670 ns IT 01000214 d006 BEQ {pc} + 0x10 ; 0x1000224 - 1930690 ns R psr 61000200 - 1930690 ns MR4_I 01000218 d1fc07c9 - 1930710 ns MR4_I 01000224 680a4911 - 1930730 ns IT 01000224 4911 LDR r1,[pc,#68] ; [0x100026c] - 1930750 ns MR4_I 01000228 d0092a00 - 1930770 ns MR4_D 0100026c 4001f000 - 1930770 ns R r1 4001f000 - 1930770 ns IT 01000226 680a LDR r2,[r1,#0] - 1930810 ns MR4_D 4001f000 00000001 - 1930810 ns R r2 00000001 - 1930810 ns IT 01000228 2a00 CMP r2,#0 - 1930830 ns MR4_I 0100022c 60082000 - 1930830 ns IS 0100022a d009 BEQ {pc} + 0x16 ; 0x1000240 - 1930850 ns R psr 21000200 - 1930850 ns IT 0100022c 2000 MOVS r0,#0 - 1930870 ns MR4_I 01000230 8f4ff3bf - 1930870 ns R r0 00000000 - 1930870 ns IT 0100022e 6008 STR r0,[r1,#0] - 1930890 ns R psr 61000200 - 1930910 ns MW4_D 4001f000 00000000 - 1930910 ns IT 01000230 f3bf8f4f DSB 0xf - 1930930 ns MR4_I 01000234 8f6ff3bf - 1930970 ns MR4_I 01000234 8f6ff3bf - 1930990 ns IT 01000234 f3bf8f6f ISB 0xf - 1931010 ns MR4_I 01000238 ff94f7ff - 1931050 ns MR4_I 01000238 ff94f7ff - 1931070 ns IT 01000238 f7ffff94 BL {pc} - 0xd4 ; 0x1000164 - 1931090 ns MR4_I 0100023c bd102000 - 1931110 ns R r14 0100023d - 1931130 ns MR4_I 01000164 68012000 - 1931150 ns IT 01000164 2000 MOVS r0,#0 - 1931170 ns MR4_I 01000168 6841468d - 1931170 ns R r0 00000000 - 1931170 ns IT 01000166 6801 LDR r1,[r0,#0] - 1931190 ns R psr 61000200 - 1931210 ns MR4_D 00000000 20000368 - 1931210 ns R r1 20000368 - 1931210 ns IT 01000168 468d MOV sp,r1 - 1931230 ns MR4_I 0100016c 00004708 - 1931230 ns R r13 20000368 (MSP) - 1931230 ns IT 0100016a 6841 LDR r1,[r0,#4] - 1931270 ns MR4_D 00000004 000001bd - 1931270 ns R r1 000001bd - 1931270 ns IT 0100016c 4708 BX r1 - 1931290 ns MR4_I 01000170 21d0483c - 1931310 ns R psr 61000200 - 1931310 ns MR4_I 000001bc 47804807 - 1931330 ns IT 000001bc 4807 LDR r0,[pc,#28] ; [0x1dc] - 1931350 ns MR4_I 000001c0 47004807 - 1931370 ns MR4_D 000001dc 000001ad - 1931370 ns R r0 000001ad - 1931370 ns IT 000001be 4780 BLX r0 - 1931410 ns R psr 61000200 - 1931410 ns MR4_I 000001ac 48014902 - 1931410 ns R r14 000001c1 - 1931430 ns IT 000001ac 4902 LDR r1,[pc,#8] ; [0x1b8] - 1931450 ns MR4_I 000001b0 47706008 - 1931470 ns MR4_D 000001b8 20000000 - 1931470 ns R r1 20000000 - 1931470 ns IT 000001ae 4801 LDR r0,[pc,#4] ; [0x1b4] - 1931510 ns MR4_D 000001b4 05f5e100 - 1931510 ns R r0 05f5e100 - 1931510 ns IT 000001b0 6008 STR r0,[r1,#0] - 1931530 ns MR4_I 000001b4 05f5e100 - 1931550 ns MW4_D 20000000 05f5e100 - 1931550 ns IT 000001b2 4770 BX lr - 1931590 ns R psr 61000200 - 1931590 ns MR4_I 000001c0 47004807 - 1931610 ns IT 000001c0 4807 LDR r0,[pc,#28] ; [0x1e0] - 1931630 ns MR4_I 000001c4 e7fee7fe - 1931650 ns MR4_D 000001e0 000000c1 - 1931650 ns R r0 000000c1 - 1931650 ns IT 000001c2 4700 BX r0 - 1931690 ns R psr 61000200 - 1931690 ns MR4_I 000000c0 f802f000 - 1931710 ns IT 000000c0 f000f802 BL {pc} + 0x8 ; 0xc8 - 1931730 ns MR4_I 000000c4 f83ef000 - 1931750 ns R r14 000000c5 - 1931770 ns MR4_I 000000c8 c830a00c - 1931790 ns IT 000000c8 a00c ADR r0,{pc}+0x34 ; 0xfc - 1931810 ns MR4_I 000000cc 18243808 - 1931810 ns R r0 000000fc - 1931810 ns IT 000000ca c830 LDM r0!,{r4,r5} - 1931830 ns R r0 00000104 - 1931850 ns MR4_D 000000fc 00000258 - 1931850 ns R r4 00000258 - 1931870 ns MR4_D 00000100 00000278 - 1931870 ns R r5 00000278 - 1931870 ns IT 000000cc 3808 SUBS r0,r0,#8 - 1931890 ns MR4_I 000000d0 46a2182d - 1931890 ns R r0 000000fc - 1931890 ns IT 000000ce 1824 ADDS r4,r4,r0 - 1931910 ns R psr 21000200 - 1931910 ns R r4 00000354 - 1931910 ns IT 000000d0 182d ADDS r5,r5,r0 - 1931930 ns R psr 01000200 - 1931930 ns MR4_I 000000d4 46ab1e67 - 1931930 ns R r5 00000374 - 1931930 ns IT 000000d2 46a2 MOV r10,r4 - 1931950 ns R psr 01000200 - 1931950 ns R r10 00000354 - 1931950 ns IT 000000d4 1e67 SUBS r7,r4,#1 - 1931970 ns MR4_I 000000d8 465d4654 - 1931970 ns R r7 00000353 - 1931970 ns IT 000000d6 46ab MOV r11,r5 - 1931990 ns R psr 21000200 - 1931990 ns R r11 00000374 - 1931990 ns IT 000000d8 4654 MOV r4,r10 - 1932010 ns MR4_I 000000dc d10142ac - 1932010 ns R r4 00000354 - 1932010 ns IT 000000da 465d MOV r5,r11 - 1932030 ns R r5 00000374 - 1932030 ns IT 000000dc 42ac CMP r4,r5 - 1932050 ns MR4_I 000000e0 f830f000 - 1932050 ns IT 000000de d101 BNE {pc} + 0x6 ; 0xe4 - 1932070 ns R psr 81000200 - 1932090 ns MR4_I 000000e4 3e0f467e - 1932110 ns IT 000000e4 467e MOV r6,pc - 1932130 ns MR4_I 000000e8 46b6cc0f - 1932130 ns R r6 000000e8 - 1932130 ns IT 000000e6 3e0f SUBS r6,r6,#0xf - 1932150 ns R r6 000000d9 - 1932150 ns IT 000000e8 cc0f LDM r4!,{r0-r3} - 1932170 ns R psr 21000200 - 1932170 ns MR4_I 000000ec 42332601 - 1932170 ns R r4 00000364 - 1932190 ns MR4_D 00000354 00000374 - 1932190 ns R r0 00000374 - 1932210 ns MR4_D 00000358 20000000 - 1932210 ns R r1 20000000 - 1932230 ns MR4_D 0000035c 00000008 - 1932230 ns R r2 00000008 - 1932250 ns MR4_D 00000360 00000104 - 1932250 ns R r3 00000104 - 1932250 ns IT 000000ea 46b6 MOV lr,r6 - 1932270 ns R r14 000000d9 - 1932270 ns IT 000000ec 2601 MOVS r6,#1 - 1932290 ns MR4_I 000000f0 1afbd000 - 1932290 ns R r6 00000001 - 1932290 ns IT 000000ee 4233 TST r3,r6 - 1932310 ns R psr 21000200 - 1932310 ns IT 000000f0 d000 BEQ {pc} + 0x4 ; 0xf4 - 1932330 ns R psr 61000200 - 1932330 ns MR4_I 000000f4 46ab46a2 - 1932350 ns MR4_I 000000f4 46ab46a2 - 1932370 ns IT 000000f4 46a2 MOV r10,r4 - 1932390 ns MR4_I 000000f8 47184333 - 1932390 ns R r10 00000364 - 1932390 ns IT 000000f6 46ab MOV r11,r5 - 1932410 ns R r11 00000374 - 1932410 ns IT 000000f8 4333 ORRS r3,r3,r6 - 1932430 ns MR4_I 000000fc 00000258 - 1932430 ns R r3 00000105 - 1932430 ns IT 000000fa 4718 BX r3 - 1932450 ns R psr 21000200 - 1932470 ns R psr 21000200 - 1932470 ns MR4_I 00000104 d3023a10 - 1932490 ns IT 00000104 3a10 SUBS r2,r2,#0x10 - 1932510 ns MR4_I 00000108 c178c878 - 1932510 ns R r2 fffffff8 - 1932510 ns IT 00000106 d302 BCC {pc} + 0x8 ; 0x10e - 1932530 ns R psr 81000200 - 1932550 ns MR4_I 0000010c 0752d8fa - 1932570 ns MR4_I 00000110 c830d301 - 1932570 ns IT 0000010e 0752 LSLS r2,r2,#29 - 1932590 ns R r2 00000000 - 1932590 ns IS 00000110 d301 BCC {pc} + 0x6 ; 0x116 - 1932610 ns R psr 61000200 - 1932610 ns MR4_I 00000114 d501c130 - 1932610 ns IT 00000112 c830 LDM r0!,{r4,r5} - 1932630 ns R r0 0000037c - 1932650 ns MR4_D 00000374 05f5e100 - 1932650 ns R r4 05f5e100 - 1932670 ns MR4_D 00000378 00000000 - 1932670 ns R r5 00000000 - 1932670 ns IT 00000114 c130 STM r1!,{r4,r5} - 1932690 ns MR4_I 00000118 600c6804 - 1932710 ns MW4_D 20000000 05f5e100 - 1932730 ns MW4_D 20000004 00000000 - 1932730 ns R r1 20000008 - 1932730 ns IT 00000116 d501 BPL {pc} + 0x6 ; 0x11c - 1932770 ns MR4_I 0000011c 00004770 - 1932790 ns IT 0000011c 4770 BX lr - 1932810 ns MR4_I 00000120 24002300 - 1932830 ns R psr 61000200 - 1932830 ns MR4_I 000000d8 465d4654 - 1932850 ns IT 000000d8 4654 MOV r4,r10 - 1932870 ns MR4_I 000000dc d10142ac - 1932870 ns R r4 00000364 - 1932870 ns IT 000000da 465d MOV r5,r11 - 1932890 ns R r5 00000374 - 1932890 ns IT 000000dc 42ac CMP r4,r5 - 1932910 ns MR4_I 000000e0 f830f000 - 1932910 ns IT 000000de d101 BNE {pc} + 0x6 ; 0xe4 - 1932930 ns R psr 81000200 - 1932950 ns MR4_I 000000e4 3e0f467e - 1932970 ns IT 000000e4 467e MOV r6,pc - 1932990 ns MR4_I 000000e8 46b6cc0f - 1932990 ns R r6 000000e8 - 1932990 ns IT 000000e6 3e0f SUBS r6,r6,#0xf - 1933010 ns R r6 000000d9 - 1933010 ns IT 000000e8 cc0f LDM r4!,{r0-r3} - 1933030 ns R psr 21000200 - 1933030 ns MR4_I 000000ec 42332601 - 1933030 ns R r4 00000374 - 1933050 ns MR4_D 00000364 0000037c - 1933050 ns R r0 0000037c - 1933070 ns MR4_D 00000368 20000008 - 1933070 ns R r1 20000008 - 1933090 ns MR4_D 0000036c 00000360 - 1933090 ns R r2 00000360 - 1933110 ns MR4_D 00000370 00000120 - 1933110 ns R r3 00000120 - 1933110 ns IT 000000ea 46b6 MOV lr,r6 - 1933130 ns R r14 000000d9 - 1933130 ns IT 000000ec 2601 MOVS r6,#1 - 1933150 ns MR4_I 000000f0 1afbd000 - 1933150 ns R r6 00000001 - 1933150 ns IT 000000ee 4233 TST r3,r6 - 1933170 ns R psr 21000200 - 1933170 ns IT 000000f0 d000 BEQ {pc} + 0x4 ; 0xf4 - 1933190 ns R psr 61000200 - 1933190 ns MR4_I 000000f4 46ab46a2 - 1933210 ns MR4_I 000000f4 46ab46a2 - 1933230 ns IT 000000f4 46a2 MOV r10,r4 - 1933250 ns MR4_I 000000f8 47184333 - 1933250 ns R r10 00000374 - 1933250 ns IT 000000f6 46ab MOV r11,r5 - 1933270 ns R r11 00000374 - 1933270 ns IT 000000f8 4333 ORRS r3,r3,r6 - 1933290 ns MR4_I 000000fc 00000258 - 1933290 ns R r3 00000121 - 1933290 ns IT 000000fa 4718 BX r3 - 1933310 ns R psr 21000200 - 1933330 ns R psr 21000200 - 1933330 ns MR4_I 00000120 24002300 - 1933350 ns IT 00000120 2300 MOVS r3,#0 - 1933370 ns MR4_I 00000124 26002500 - 1933370 ns R r3 00000000 - 1933370 ns IT 00000122 2400 MOVS r4,#0 - 1933390 ns R psr 61000200 - 1933390 ns R r4 00000000 - 1933390 ns IT 00000124 2500 MOVS r5,#0 - 1933410 ns R psr 61000200 - 1933410 ns MR4_I 00000128 d3013a10 - 1933410 ns R r5 00000000 - 1933410 ns IT 00000126 2600 MOVS r6,#0 - 1933430 ns R psr 61000200 - 1933430 ns R r6 00000000 - 1933430 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1933450 ns R psr 61000200 - 1933450 ns MR4_I 0000012c d8fbc178 - 1933450 ns R r2 00000350 - 1933450 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1933470 ns R psr 21000200 - 1933470 ns IT 0000012c c178 STM r1!,{r3-r6} - 1933490 ns MR4_I 00000130 d3000752 - 1933510 ns MW4_D 20000008 00000000 - 1933530 ns MW4_D 2000000c 00000000 - 1933550 ns MW4_D 20000010 00000000 - 1933570 ns MW4_D 20000014 00000000 - 1933570 ns R r1 20000018 - 1933570 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1933610 ns MR4_I 00000128 d3013a10 - 1933630 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1933650 ns MR4_I 0000012c d8fbc178 - 1933650 ns R r2 00000340 - 1933650 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1933670 ns R psr 21000200 - 1933670 ns IT 0000012c c178 STM r1!,{r3-r6} - 1933690 ns MR4_I 00000130 d3000752 - 1933710 ns MW4_D 20000018 00000000 - 1933730 ns MW4_D 2000001c 00000000 - 1933750 ns MW4_D 20000020 00000000 - 1933770 ns MW4_D 20000024 00000000 - 1933770 ns R r1 20000028 - 1933770 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1933810 ns MR4_I 00000128 d3013a10 - 1933830 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1933850 ns MR4_I 0000012c d8fbc178 - 1933850 ns R r2 00000330 - 1933850 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1933870 ns R psr 21000200 - 1933870 ns IT 0000012c c178 STM r1!,{r3-r6} - 1933890 ns MR4_I 00000130 d3000752 - 1933910 ns MW4_D 20000028 00000000 - 1933930 ns MW4_D 2000002c 00000000 - 1933950 ns MW4_D 20000030 00000000 - 1933970 ns MW4_D 20000034 00000000 - 1933970 ns R r1 20000038 - 1933970 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1934010 ns MR4_I 00000128 d3013a10 - 1934030 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1934050 ns MR4_I 0000012c d8fbc178 - 1934050 ns R r2 00000320 - 1934050 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1934070 ns R psr 21000200 - 1934070 ns IT 0000012c c178 STM r1!,{r3-r6} - 1934090 ns MR4_I 00000130 d3000752 - 1934110 ns MW4_D 20000038 00000000 - 1934130 ns MW4_D 2000003c 00000000 - 1934150 ns MW4_D 20000040 00000000 - 1934170 ns MW4_D 20000044 00000000 - 1934170 ns R r1 20000048 - 1934170 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1934210 ns MR4_I 00000128 d3013a10 - 1934230 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1934250 ns MR4_I 0000012c d8fbc178 - 1934250 ns R r2 00000310 - 1934250 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1934270 ns R psr 21000200 - 1934270 ns IT 0000012c c178 STM r1!,{r3-r6} - 1934290 ns MR4_I 00000130 d3000752 - 1934310 ns MW4_D 20000048 00000000 - 1934330 ns MW4_D 2000004c 00000000 - 1934350 ns MW4_D 20000050 00000000 - 1934370 ns MW4_D 20000054 00000000 - 1934370 ns R r1 20000058 - 1934370 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1934410 ns MR4_I 00000128 d3013a10 - 1934430 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1934450 ns MR4_I 0000012c d8fbc178 - 1934450 ns R r2 00000300 - 1934450 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1934470 ns R psr 21000200 - 1934470 ns IT 0000012c c178 STM r1!,{r3-r6} - 1934490 ns MR4_I 00000130 d3000752 - 1934510 ns MW4_D 20000058 00000000 - 1934530 ns MW4_D 2000005c 00000000 - 1934550 ns MW4_D 20000060 00000000 - 1934570 ns MW4_D 20000064 00000000 - 1934570 ns R r1 20000068 - 1934570 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1934610 ns MR4_I 00000128 d3013a10 - 1934630 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1934650 ns MR4_I 0000012c d8fbc178 - 1934650 ns R r2 000002f0 - 1934650 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1934670 ns R psr 21000200 - 1934670 ns IT 0000012c c178 STM r1!,{r3-r6} - 1934690 ns MR4_I 00000130 d3000752 - 1934710 ns MW4_D 20000068 00000000 - 1934730 ns MW4_D 2000006c 00000000 - 1934750 ns MW4_D 20000070 00000000 - 1934770 ns MW4_D 20000074 00000000 - 1934770 ns R r1 20000078 - 1934770 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1934810 ns MR4_I 00000128 d3013a10 - 1934830 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1934850 ns MR4_I 0000012c d8fbc178 - 1934850 ns R r2 000002e0 - 1934850 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1934870 ns R psr 21000200 - 1934870 ns IT 0000012c c178 STM r1!,{r3-r6} - 1934890 ns MR4_I 00000130 d3000752 - 1934910 ns MW4_D 20000078 00000000 - 1934930 ns MW4_D 2000007c 00000000 - 1934950 ns MW4_D 20000080 00000000 - 1934970 ns MW4_D 20000084 00000000 - 1934970 ns R r1 20000088 - 1934970 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1935010 ns MR4_I 00000128 d3013a10 - 1935030 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1935050 ns MR4_I 0000012c d8fbc178 - 1935050 ns R r2 000002d0 - 1935050 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1935070 ns R psr 21000200 - 1935070 ns IT 0000012c c178 STM r1!,{r3-r6} - 1935090 ns MR4_I 00000130 d3000752 - 1935110 ns MW4_D 20000088 00000000 - 1935130 ns MW4_D 2000008c 00000000 - 1935150 ns MW4_D 20000090 00000000 - 1935170 ns MW4_D 20000094 00000000 - 1935170 ns R r1 20000098 - 1935170 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1935210 ns MR4_I 00000128 d3013a10 - 1935230 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1935250 ns MR4_I 0000012c d8fbc178 - 1935250 ns R r2 000002c0 - 1935250 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1935270 ns R psr 21000200 - 1935270 ns IT 0000012c c178 STM r1!,{r3-r6} - 1935290 ns MR4_I 00000130 d3000752 - 1935310 ns MW4_D 20000098 00000000 - 1935330 ns MW4_D 2000009c 00000000 - 1935350 ns MW4_D 200000a0 00000000 - 1935370 ns MW4_D 200000a4 00000000 - 1935370 ns R r1 200000a8 - 1935370 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1935410 ns MR4_I 00000128 d3013a10 - 1935430 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1935450 ns MR4_I 0000012c d8fbc178 - 1935450 ns R r2 000002b0 - 1935450 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1935470 ns R psr 21000200 - 1935470 ns IT 0000012c c178 STM r1!,{r3-r6} - 1935490 ns MR4_I 00000130 d3000752 - 1935510 ns MW4_D 200000a8 00000000 - 1935530 ns MW4_D 200000ac 00000000 - 1935550 ns MW4_D 200000b0 00000000 - 1935570 ns MW4_D 200000b4 00000000 - 1935570 ns R r1 200000b8 - 1935570 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1935610 ns MR4_I 00000128 d3013a10 - 1935630 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1935650 ns MR4_I 0000012c d8fbc178 - 1935650 ns R r2 000002a0 - 1935650 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1935670 ns R psr 21000200 - 1935670 ns IT 0000012c c178 STM r1!,{r3-r6} - 1935690 ns MR4_I 00000130 d3000752 - 1935710 ns MW4_D 200000b8 00000000 - 1935730 ns MW4_D 200000bc 00000000 - 1935750 ns MW4_D 200000c0 00000000 - 1935770 ns MW4_D 200000c4 00000000 - 1935770 ns R r1 200000c8 - 1935770 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1935810 ns MR4_I 00000128 d3013a10 - 1935830 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1935850 ns MR4_I 0000012c d8fbc178 - 1935850 ns R r2 00000290 - 1935850 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1935870 ns R psr 21000200 - 1935870 ns IT 0000012c c178 STM r1!,{r3-r6} - 1935890 ns MR4_I 00000130 d3000752 - 1935910 ns MW4_D 200000c8 00000000 - 1935930 ns MW4_D 200000cc 00000000 - 1935950 ns MW4_D 200000d0 00000000 - 1935970 ns MW4_D 200000d4 00000000 - 1935970 ns R r1 200000d8 - 1935970 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1936010 ns MR4_I 00000128 d3013a10 - 1936030 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1936050 ns MR4_I 0000012c d8fbc178 - 1936050 ns R r2 00000280 - 1936050 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1936070 ns R psr 21000200 - 1936070 ns IT 0000012c c178 STM r1!,{r3-r6} - 1936090 ns MR4_I 00000130 d3000752 - 1936110 ns MW4_D 200000d8 00000000 - 1936130 ns MW4_D 200000dc 00000000 - 1936150 ns MW4_D 200000e0 00000000 - 1936170 ns MW4_D 200000e4 00000000 - 1936170 ns R r1 200000e8 - 1936170 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1936210 ns MR4_I 00000128 d3013a10 - 1936230 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1936250 ns MR4_I 0000012c d8fbc178 - 1936250 ns R r2 00000270 - 1936250 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1936270 ns R psr 21000200 - 1936270 ns IT 0000012c c178 STM r1!,{r3-r6} - 1936290 ns MR4_I 00000130 d3000752 - 1936310 ns MW4_D 200000e8 00000000 - 1936330 ns MW4_D 200000ec 00000000 - 1936350 ns MW4_D 200000f0 00000000 - 1936370 ns MW4_D 200000f4 00000000 - 1936370 ns R r1 200000f8 - 1936370 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1936410 ns MR4_I 00000128 d3013a10 - 1936430 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1936450 ns MR4_I 0000012c d8fbc178 - 1936450 ns R r2 00000260 - 1936450 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1936470 ns R psr 21000200 - 1936470 ns IT 0000012c c178 STM r1!,{r3-r6} - 1936490 ns MR4_I 00000130 d3000752 - 1936510 ns MW4_D 200000f8 00000000 - 1936530 ns MW4_D 200000fc 00000000 - 1936550 ns MW4_D 20000100 00000000 - 1936570 ns MW4_D 20000104 00000000 - 1936570 ns R r1 20000108 - 1936570 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1936610 ns MR4_I 00000128 d3013a10 - 1936630 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1936650 ns MR4_I 0000012c d8fbc178 - 1936650 ns R r2 00000250 - 1936650 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1936670 ns R psr 21000200 - 1936670 ns IT 0000012c c178 STM r1!,{r3-r6} - 1936690 ns MR4_I 00000130 d3000752 - 1936710 ns MW4_D 20000108 00000000 - 1936730 ns MW4_D 2000010c 00000000 - 1936750 ns MW4_D 20000110 00000000 - 1936770 ns MW4_D 20000114 00000000 - 1936770 ns R r1 20000118 - 1936770 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1936810 ns MR4_I 00000128 d3013a10 - 1936830 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1936850 ns MR4_I 0000012c d8fbc178 - 1936850 ns R r2 00000240 - 1936850 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1936870 ns R psr 21000200 - 1936870 ns IT 0000012c c178 STM r1!,{r3-r6} - 1936890 ns MR4_I 00000130 d3000752 - 1936910 ns MW4_D 20000118 00000000 - 1936930 ns MW4_D 2000011c 00000000 - 1936950 ns MW4_D 20000120 00000000 - 1936970 ns MW4_D 20000124 00000000 - 1936970 ns R r1 20000128 - 1936970 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1937010 ns MR4_I 00000128 d3013a10 - 1937030 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1937050 ns MR4_I 0000012c d8fbc178 - 1937050 ns R r2 00000230 - 1937050 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1937070 ns R psr 21000200 - 1937070 ns IT 0000012c c178 STM r1!,{r3-r6} - 1937090 ns MR4_I 00000130 d3000752 - 1937110 ns MW4_D 20000128 00000000 - 1937130 ns MW4_D 2000012c 00000000 - 1937150 ns MW4_D 20000130 00000000 - 1937170 ns MW4_D 20000134 00000000 - 1937170 ns R r1 20000138 - 1937170 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1937210 ns MR4_I 00000128 d3013a10 - 1937230 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1937250 ns MR4_I 0000012c d8fbc178 - 1937250 ns R r2 00000220 - 1937250 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1937270 ns R psr 21000200 - 1937270 ns IT 0000012c c178 STM r1!,{r3-r6} - 1937290 ns MR4_I 00000130 d3000752 - 1937310 ns MW4_D 20000138 00000000 - 1937330 ns MW4_D 2000013c 00000000 - 1937350 ns MW4_D 20000140 00000000 - 1937370 ns MW4_D 20000144 00000000 - 1937370 ns R r1 20000148 - 1937370 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1937410 ns MR4_I 00000128 d3013a10 - 1937430 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1937450 ns MR4_I 0000012c d8fbc178 - 1937450 ns R r2 00000210 - 1937450 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1937470 ns R psr 21000200 - 1937470 ns IT 0000012c c178 STM r1!,{r3-r6} - 1937490 ns MR4_I 00000130 d3000752 - 1937510 ns MW4_D 20000148 00000000 - 1937530 ns MW4_D 2000014c 00000000 - 1937550 ns MW4_D 20000150 00000000 - 1937570 ns MW4_D 20000154 00000000 - 1937570 ns R r1 20000158 - 1937570 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1937610 ns MR4_I 00000128 d3013a10 - 1937630 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1937650 ns MR4_I 0000012c d8fbc178 - 1937650 ns R r2 00000200 - 1937650 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1937670 ns R psr 21000200 - 1937670 ns IT 0000012c c178 STM r1!,{r3-r6} - 1937690 ns MR4_I 00000130 d3000752 - 1937710 ns MW4_D 20000158 00000000 - 1937730 ns MW4_D 2000015c 00000000 - 1937750 ns MW4_D 20000160 00000000 - 1937770 ns MW4_D 20000164 00000000 - 1937770 ns R r1 20000168 - 1937770 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1937810 ns MR4_I 00000128 d3013a10 - 1937830 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1937850 ns MR4_I 0000012c d8fbc178 - 1937850 ns R r2 000001f0 - 1937850 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1937870 ns R psr 21000200 - 1937870 ns IT 0000012c c178 STM r1!,{r3-r6} - 1937890 ns MR4_I 00000130 d3000752 - 1937910 ns MW4_D 20000168 00000000 - 1937930 ns MW4_D 2000016c 00000000 - 1937950 ns MW4_D 20000170 00000000 - 1937970 ns MW4_D 20000174 00000000 - 1937970 ns R r1 20000178 - 1937970 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1938010 ns MR4_I 00000128 d3013a10 - 1938030 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1938050 ns MR4_I 0000012c d8fbc178 - 1938050 ns R r2 000001e0 - 1938050 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1938070 ns R psr 21000200 - 1938070 ns IT 0000012c c178 STM r1!,{r3-r6} - 1938090 ns MR4_I 00000130 d3000752 - 1938110 ns MW4_D 20000178 00000000 - 1938130 ns MW4_D 2000017c 00000000 - 1938150 ns MW4_D 20000180 00000000 - 1938170 ns MW4_D 20000184 00000000 - 1938170 ns R r1 20000188 - 1938170 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1938210 ns MR4_I 00000128 d3013a10 - 1938230 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1938250 ns MR4_I 0000012c d8fbc178 - 1938250 ns R r2 000001d0 - 1938250 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1938270 ns R psr 21000200 - 1938270 ns IT 0000012c c178 STM r1!,{r3-r6} - 1938290 ns MR4_I 00000130 d3000752 - 1938310 ns MW4_D 20000188 00000000 - 1938330 ns MW4_D 2000018c 00000000 - 1938350 ns MW4_D 20000190 00000000 - 1938370 ns MW4_D 20000194 00000000 - 1938370 ns R r1 20000198 - 1938370 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1938410 ns MR4_I 00000128 d3013a10 - 1938430 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1938450 ns MR4_I 0000012c d8fbc178 - 1938450 ns R r2 000001c0 - 1938450 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1938470 ns R psr 21000200 - 1938470 ns IT 0000012c c178 STM r1!,{r3-r6} - 1938490 ns MR4_I 00000130 d3000752 - 1938510 ns MW4_D 20000198 00000000 - 1938530 ns MW4_D 2000019c 00000000 - 1938550 ns MW4_D 200001a0 00000000 - 1938570 ns MW4_D 200001a4 00000000 - 1938570 ns R r1 200001a8 - 1938570 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1938610 ns MR4_I 00000128 d3013a10 - 1938630 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1938650 ns MR4_I 0000012c d8fbc178 - 1938650 ns R r2 000001b0 - 1938650 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1938670 ns R psr 21000200 - 1938670 ns IT 0000012c c178 STM r1!,{r3-r6} - 1938690 ns MR4_I 00000130 d3000752 - 1938710 ns MW4_D 200001a8 00000000 - 1938730 ns MW4_D 200001ac 00000000 - 1938750 ns MW4_D 200001b0 00000000 - 1938770 ns MW4_D 200001b4 00000000 - 1938770 ns R r1 200001b8 - 1938770 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1938810 ns MR4_I 00000128 d3013a10 - 1938830 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1938850 ns MR4_I 0000012c d8fbc178 - 1938850 ns R r2 000001a0 - 1938850 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1938870 ns R psr 21000200 - 1938870 ns IT 0000012c c178 STM r1!,{r3-r6} - 1938890 ns MR4_I 00000130 d3000752 - 1938910 ns MW4_D 200001b8 00000000 - 1938930 ns MW4_D 200001bc 00000000 - 1938950 ns MW4_D 200001c0 00000000 - 1938970 ns MW4_D 200001c4 00000000 - 1938970 ns R r1 200001c8 - 1938970 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1939010 ns MR4_I 00000128 d3013a10 - 1939030 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1939050 ns MR4_I 0000012c d8fbc178 - 1939050 ns R r2 00000190 - 1939050 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1939070 ns R psr 21000200 - 1939070 ns IT 0000012c c178 STM r1!,{r3-r6} - 1939090 ns MR4_I 00000130 d3000752 - 1939110 ns MW4_D 200001c8 00000000 - 1939130 ns MW4_D 200001cc 00000000 - 1939150 ns MW4_D 200001d0 00000000 - 1939170 ns MW4_D 200001d4 00000000 - 1939170 ns R r1 200001d8 - 1939170 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1939210 ns MR4_I 00000128 d3013a10 - 1939230 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1939250 ns MR4_I 0000012c d8fbc178 - 1939250 ns R r2 00000180 - 1939250 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1939270 ns R psr 21000200 - 1939270 ns IT 0000012c c178 STM r1!,{r3-r6} - 1939290 ns MR4_I 00000130 d3000752 - 1939310 ns MW4_D 200001d8 00000000 - 1939330 ns MW4_D 200001dc 00000000 - 1939350 ns MW4_D 200001e0 00000000 - 1939370 ns MW4_D 200001e4 00000000 - 1939370 ns R r1 200001e8 - 1939370 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1939410 ns MR4_I 00000128 d3013a10 - 1939430 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1939450 ns MR4_I 0000012c d8fbc178 - 1939450 ns R r2 00000170 - 1939450 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1939470 ns R psr 21000200 - 1939470 ns IT 0000012c c178 STM r1!,{r3-r6} - 1939490 ns MR4_I 00000130 d3000752 - 1939510 ns MW4_D 200001e8 00000000 - 1939530 ns MW4_D 200001ec 00000000 - 1939550 ns MW4_D 200001f0 00000000 - 1939570 ns MW4_D 200001f4 00000000 - 1939570 ns R r1 200001f8 - 1939570 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1939610 ns MR4_I 00000128 d3013a10 - 1939630 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1939650 ns MR4_I 0000012c d8fbc178 - 1939650 ns R r2 00000160 - 1939650 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1939670 ns R psr 21000200 - 1939670 ns IT 0000012c c178 STM r1!,{r3-r6} - 1939690 ns MR4_I 00000130 d3000752 - 1939710 ns MW4_D 200001f8 00000000 - 1939730 ns MW4_D 200001fc 00000000 - 1939750 ns MW4_D 20000200 00000000 - 1939770 ns MW4_D 20000204 00000000 - 1939770 ns R r1 20000208 - 1939770 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1939810 ns MR4_I 00000128 d3013a10 - 1939830 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1939850 ns MR4_I 0000012c d8fbc178 - 1939850 ns R r2 00000150 - 1939850 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1939870 ns R psr 21000200 - 1939870 ns IT 0000012c c178 STM r1!,{r3-r6} - 1939890 ns MR4_I 00000130 d3000752 - 1939910 ns MW4_D 20000208 00000000 - 1939930 ns MW4_D 2000020c 00000000 - 1939950 ns MW4_D 20000210 00000000 - 1939970 ns MW4_D 20000214 00000000 - 1939970 ns R r1 20000218 - 1939970 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1940010 ns MR4_I 00000128 d3013a10 - 1940030 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1940050 ns MR4_I 0000012c d8fbc178 - 1940050 ns R r2 00000140 - 1940050 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1940070 ns R psr 21000200 - 1940070 ns IT 0000012c c178 STM r1!,{r3-r6} - 1940090 ns MR4_I 00000130 d3000752 - 1940110 ns MW4_D 20000218 00000000 - 1940130 ns MW4_D 2000021c 00000000 - 1940150 ns MW4_D 20000220 00000000 - 1940170 ns MW4_D 20000224 00000000 - 1940170 ns R r1 20000228 - 1940170 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1940210 ns MR4_I 00000128 d3013a10 - 1940230 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1940250 ns MR4_I 0000012c d8fbc178 - 1940250 ns R r2 00000130 - 1940250 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1940270 ns R psr 21000200 - 1940270 ns IT 0000012c c178 STM r1!,{r3-r6} - 1940290 ns MR4_I 00000130 d3000752 - 1940310 ns MW4_D 20000228 00000000 - 1940330 ns MW4_D 2000022c 00000000 - 1940350 ns MW4_D 20000230 00000000 - 1940370 ns MW4_D 20000234 00000000 - 1940370 ns R r1 20000238 - 1940370 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1940410 ns MR4_I 00000128 d3013a10 - 1940430 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1940450 ns MR4_I 0000012c d8fbc178 - 1940450 ns R r2 00000120 - 1940450 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1940470 ns R psr 21000200 - 1940470 ns IT 0000012c c178 STM r1!,{r3-r6} - 1940490 ns MR4_I 00000130 d3000752 - 1940510 ns MW4_D 20000238 00000000 - 1940530 ns MW4_D 2000023c 00000000 - 1940550 ns MW4_D 20000240 00000000 - 1940570 ns MW4_D 20000244 00000000 - 1940570 ns R r1 20000248 - 1940570 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1940610 ns MR4_I 00000128 d3013a10 - 1940630 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1940650 ns MR4_I 0000012c d8fbc178 - 1940650 ns R r2 00000110 - 1940650 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1940670 ns R psr 21000200 - 1940670 ns IT 0000012c c178 STM r1!,{r3-r6} - 1940690 ns MR4_I 00000130 d3000752 - 1940710 ns MW4_D 20000248 00000000 - 1940730 ns MW4_D 2000024c 00000000 - 1940750 ns MW4_D 20000250 00000000 - 1940770 ns MW4_D 20000254 00000000 - 1940770 ns R r1 20000258 - 1940770 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1940810 ns MR4_I 00000128 d3013a10 - 1940830 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1940850 ns MR4_I 0000012c d8fbc178 - 1940850 ns R r2 00000100 - 1940850 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1940870 ns R psr 21000200 - 1940870 ns IT 0000012c c178 STM r1!,{r3-r6} - 1940890 ns MR4_I 00000130 d3000752 - 1940910 ns MW4_D 20000258 00000000 - 1940930 ns MW4_D 2000025c 00000000 - 1940950 ns MW4_D 20000260 00000000 - 1940970 ns MW4_D 20000264 00000000 - 1940970 ns R r1 20000268 - 1940970 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1941010 ns MR4_I 00000128 d3013a10 - 1941030 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1941050 ns MR4_I 0000012c d8fbc178 - 1941050 ns R r2 000000f0 - 1941050 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1941070 ns R psr 21000200 - 1941070 ns IT 0000012c c178 STM r1!,{r3-r6} - 1941090 ns MR4_I 00000130 d3000752 - 1941110 ns MW4_D 20000268 00000000 - 1941130 ns MW4_D 2000026c 00000000 - 1941150 ns MW4_D 20000270 00000000 - 1941170 ns MW4_D 20000274 00000000 - 1941170 ns R r1 20000278 - 1941170 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1941210 ns MR4_I 00000128 d3013a10 - 1941230 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1941250 ns MR4_I 0000012c d8fbc178 - 1941250 ns R r2 000000e0 - 1941250 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1941270 ns R psr 21000200 - 1941270 ns IT 0000012c c178 STM r1!,{r3-r6} - 1941290 ns MR4_I 00000130 d3000752 - 1941310 ns MW4_D 20000278 00000000 - 1941330 ns MW4_D 2000027c 00000000 - 1941350 ns MW4_D 20000280 00000000 - 1941370 ns MW4_D 20000284 00000000 - 1941370 ns R r1 20000288 - 1941370 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1941410 ns MR4_I 00000128 d3013a10 - 1941430 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1941450 ns MR4_I 0000012c d8fbc178 - 1941450 ns R r2 000000d0 - 1941450 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1941470 ns R psr 21000200 - 1941470 ns IT 0000012c c178 STM r1!,{r3-r6} - 1941490 ns MR4_I 00000130 d3000752 - 1941510 ns MW4_D 20000288 00000000 - 1941530 ns MW4_D 2000028c 00000000 - 1941550 ns MW4_D 20000290 00000000 - 1941570 ns MW4_D 20000294 00000000 - 1941570 ns R r1 20000298 - 1941570 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1941610 ns MR4_I 00000128 d3013a10 - 1941630 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1941650 ns MR4_I 0000012c d8fbc178 - 1941650 ns R r2 000000c0 - 1941650 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1941670 ns R psr 21000200 - 1941670 ns IT 0000012c c178 STM r1!,{r3-r6} - 1941690 ns MR4_I 00000130 d3000752 - 1941710 ns MW4_D 20000298 00000000 - 1941730 ns MW4_D 2000029c 00000000 - 1941750 ns MW4_D 200002a0 00000000 - 1941770 ns MW4_D 200002a4 00000000 - 1941770 ns R r1 200002a8 - 1941770 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1941810 ns MR4_I 00000128 d3013a10 - 1941830 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1941850 ns MR4_I 0000012c d8fbc178 - 1941850 ns R r2 000000b0 - 1941850 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1941870 ns R psr 21000200 - 1941870 ns IT 0000012c c178 STM r1!,{r3-r6} - 1941890 ns MR4_I 00000130 d3000752 - 1941910 ns MW4_D 200002a8 00000000 - 1941930 ns MW4_D 200002ac 00000000 - 1941950 ns MW4_D 200002b0 00000000 - 1941970 ns MW4_D 200002b4 00000000 - 1941970 ns R r1 200002b8 - 1941970 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1942010 ns MR4_I 00000128 d3013a10 - 1942030 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1942050 ns MR4_I 0000012c d8fbc178 - 1942050 ns R r2 000000a0 - 1942050 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1942070 ns R psr 21000200 - 1942070 ns IT 0000012c c178 STM r1!,{r3-r6} - 1942090 ns MR4_I 00000130 d3000752 - 1942110 ns MW4_D 200002b8 00000000 - 1942130 ns MW4_D 200002bc 00000000 - 1942150 ns MW4_D 200002c0 00000000 - 1942170 ns MW4_D 200002c4 00000000 - 1942170 ns R r1 200002c8 - 1942170 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1942210 ns MR4_I 00000128 d3013a10 - 1942230 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1942250 ns MR4_I 0000012c d8fbc178 - 1942250 ns R r2 00000090 - 1942250 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1942270 ns R psr 21000200 - 1942270 ns IT 0000012c c178 STM r1!,{r3-r6} - 1942290 ns MR4_I 00000130 d3000752 - 1942310 ns MW4_D 200002c8 00000000 - 1942330 ns MW4_D 200002cc 00000000 - 1942350 ns MW4_D 200002d0 00000000 - 1942370 ns MW4_D 200002d4 00000000 - 1942370 ns R r1 200002d8 - 1942370 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1942410 ns MR4_I 00000128 d3013a10 - 1942430 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1942450 ns MR4_I 0000012c d8fbc178 - 1942450 ns R r2 00000080 - 1942450 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1942470 ns R psr 21000200 - 1942470 ns IT 0000012c c178 STM r1!,{r3-r6} - 1942490 ns MR4_I 00000130 d3000752 - 1942510 ns MW4_D 200002d8 00000000 - 1942530 ns MW4_D 200002dc 00000000 - 1942550 ns MW4_D 200002e0 00000000 - 1942570 ns MW4_D 200002e4 00000000 - 1942570 ns R r1 200002e8 - 1942570 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1942610 ns MR4_I 00000128 d3013a10 - 1942630 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1942650 ns MR4_I 0000012c d8fbc178 - 1942650 ns R r2 00000070 - 1942650 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1942670 ns R psr 21000200 - 1942670 ns IT 0000012c c178 STM r1!,{r3-r6} - 1942690 ns MR4_I 00000130 d3000752 - 1942710 ns MW4_D 200002e8 00000000 - 1942730 ns MW4_D 200002ec 00000000 - 1942750 ns MW4_D 200002f0 00000000 - 1942770 ns MW4_D 200002f4 00000000 - 1942770 ns R r1 200002f8 - 1942770 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1942810 ns MR4_I 00000128 d3013a10 - 1942830 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1942850 ns MR4_I 0000012c d8fbc178 - 1942850 ns R r2 00000060 - 1942850 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1942870 ns R psr 21000200 - 1942870 ns IT 0000012c c178 STM r1!,{r3-r6} - 1942890 ns MR4_I 00000130 d3000752 - 1942910 ns MW4_D 200002f8 00000000 - 1942930 ns MW4_D 200002fc 00000000 - 1942950 ns MW4_D 20000300 00000000 - 1942970 ns MW4_D 20000304 00000000 - 1942970 ns R r1 20000308 - 1942970 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1943010 ns MR4_I 00000128 d3013a10 - 1943030 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1943050 ns MR4_I 0000012c d8fbc178 - 1943050 ns R r2 00000050 - 1943050 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1943070 ns R psr 21000200 - 1943070 ns IT 0000012c c178 STM r1!,{r3-r6} - 1943090 ns MR4_I 00000130 d3000752 - 1943110 ns MW4_D 20000308 00000000 - 1943130 ns MW4_D 2000030c 00000000 - 1943150 ns MW4_D 20000310 00000000 - 1943170 ns MW4_D 20000314 00000000 - 1943170 ns R r1 20000318 - 1943170 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1943210 ns MR4_I 00000128 d3013a10 - 1943230 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1943250 ns MR4_I 0000012c d8fbc178 - 1943250 ns R r2 00000040 - 1943250 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1943270 ns R psr 21000200 - 1943270 ns IT 0000012c c178 STM r1!,{r3-r6} - 1943290 ns MR4_I 00000130 d3000752 - 1943310 ns MW4_D 20000318 00000000 - 1943330 ns MW4_D 2000031c 00000000 - 1943350 ns MW4_D 20000320 00000000 - 1943370 ns MW4_D 20000324 00000000 - 1943370 ns R r1 20000328 - 1943370 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1943410 ns MR4_I 00000128 d3013a10 - 1943430 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1943450 ns MR4_I 0000012c d8fbc178 - 1943450 ns R r2 00000030 - 1943450 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1943470 ns R psr 21000200 - 1943470 ns IT 0000012c c178 STM r1!,{r3-r6} - 1943490 ns MR4_I 00000130 d3000752 - 1943510 ns MW4_D 20000328 00000000 - 1943530 ns MW4_D 2000032c 00000000 - 1943550 ns MW4_D 20000330 00000000 - 1943570 ns MW4_D 20000334 00000000 - 1943570 ns R r1 20000338 - 1943570 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1943610 ns MR4_I 00000128 d3013a10 - 1943630 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1943650 ns MR4_I 0000012c d8fbc178 - 1943650 ns R r2 00000020 - 1943650 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1943670 ns R psr 21000200 - 1943670 ns IT 0000012c c178 STM r1!,{r3-r6} - 1943690 ns MR4_I 00000130 d3000752 - 1943710 ns MW4_D 20000338 00000000 - 1943730 ns MW4_D 2000033c 00000000 - 1943750 ns MW4_D 20000340 00000000 - 1943770 ns MW4_D 20000344 00000000 - 1943770 ns R r1 20000348 - 1943770 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1943810 ns MR4_I 00000128 d3013a10 - 1943830 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1943850 ns MR4_I 0000012c d8fbc178 - 1943850 ns R r2 00000010 - 1943850 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1943870 ns R psr 21000200 - 1943870 ns IT 0000012c c178 STM r1!,{r3-r6} - 1943890 ns MR4_I 00000130 d3000752 - 1943910 ns MW4_D 20000348 00000000 - 1943930 ns MW4_D 2000034c 00000000 - 1943950 ns MW4_D 20000350 00000000 - 1943970 ns MW4_D 20000354 00000000 - 1943970 ns R r1 20000358 - 1943970 ns IT 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1944010 ns MR4_I 00000128 d3013a10 - 1944030 ns IT 00000128 3a10 SUBS r2,r2,#0x10 - 1944050 ns MR4_I 0000012c d8fbc178 - 1944050 ns R r2 00000000 - 1944050 ns IS 0000012a d301 BCC {pc} + 0x6 ; 0x130 - 1944070 ns R psr 61000200 - 1944070 ns IT 0000012c c178 STM r1!,{r3-r6} - 1944090 ns MR4_I 00000130 d3000752 - 1944110 ns MW4_D 20000358 00000000 - 1944130 ns MW4_D 2000035c 00000000 - 1944150 ns MW4_D 20000360 00000000 - 1944170 ns MW4_D 20000364 00000000 - 1944170 ns R r1 20000368 - 1944170 ns IS 0000012e d8fb BHI {pc} - 0x6 ; 0x128 - 1944190 ns IT 00000130 0752 LSLS r2,r2,#29 - 1944210 ns MR4_I 00000134 d500c130 - 1944210 ns R r2 00000000 - 1944210 ns IT 00000132 d300 BCC {pc} + 0x4 ; 0x136 - 1944230 ns R psr 41000200 - 1944250 ns MR4_I 00000134 d500c130 - 1944270 ns MR4_I 00000138 4770600b - 1944270 ns IT 00000136 d500 BPL {pc} + 0x4 ; 0x13a - 1944310 ns MR4_I 00000138 4770600b - 1944330 ns MR4_I 0000013c bd1fb51f - 1944330 ns IT 0000013a 4770 BX lr - 1944370 ns R psr 41000200 - 1944370 ns MR4_I 000000d8 465d4654 - 1944390 ns IT 000000d8 4654 MOV r4,r10 - 1944410 ns MR4_I 000000dc d10142ac - 1944410 ns R r4 00000374 - 1944410 ns IT 000000da 465d MOV r5,r11 - 1944430 ns R r5 00000374 - 1944430 ns IT 000000dc 42ac CMP r4,r5 - 1944450 ns MR4_I 000000e0 f830f000 - 1944450 ns IS 000000de d101 BNE {pc} + 0x6 ; 0xe4 - 1944470 ns R psr 61000200 - 1944470 ns IT 000000e0 f000f830 BL {pc} + 0x64 ; 0x144 - 1944490 ns MR4_I 000000e4 3e0f467e - 1944510 ns R r14 000000e5 - 1944530 ns MR4_I 00000144 f8c8f000 - 1944550 ns IT 00000144 f000f8c8 BL {pc} + 0x194 ; 0x2d8 - 1944570 ns MR4_I 00000148 f7ff4611 - 1944590 ns R r14 00000149 - 1944610 ns MR4_I 000002d8 f0004675 - 1944630 ns IT 000002d8 4675 MOV r5,lr - 1944650 ns MR4_I 000002dc 46aef837 - 1944650 ns R r5 00000149 - 1944650 ns IT 000002da f000f837 BL {pc} + 0x72 ; 0x34c - 1944690 ns R r14 000002df - 1944710 ns MR4_I 0000034c 47704800 - 1944730 ns IT 0000034c 4800 LDR r0,[pc,#0] ; [0x350] - 1944750 ns MR4_I 00000350 20000008 - 1944770 ns MR4_D 00000350 20000008 - 1944770 ns R r0 20000008 - 1944770 ns IT 0000034e 4770 BX lr - 1944810 ns R psr 61000200 - 1944810 ns MR4_I 000002dc 46aef837 - 1944830 ns MR4_I 000002e0 46690005 - 1944830 ns IT 000002de 46ae MOV lr,r5 - 1944850 ns R r14 00000149 - 1944850 ns IT 000002e0 0005 MOVS r5,r0 - 1944870 ns MR4_I 000002e4 08c04653 - 1944870 ns R r5 20000008 - 1944870 ns IT 000002e2 4669 MOV r1,sp - 1944890 ns R psr 21000200 - 1944890 ns R r1 20000368 - 1944890 ns IT 000002e4 4653 MOV r3,r10 - 1944910 ns MR4_I 000002e8 468500c0 - 1944910 ns R r3 00000374 - 1944910 ns IT 000002e6 08c0 LSRS r0,r0,#3 - 1944930 ns R r0 04000001 - 1944930 ns IT 000002e8 00c0 LSLS r0,r0,#3 - 1944950 ns R psr 01000200 - 1944950 ns MR4_I 000002ec b520b018 - 1944950 ns R r0 20000008 - 1944950 ns IT 000002ea 4685 MOV sp,r0 - 1944970 ns R psr 01000200 - 1944970 ns R r13 20000008 (MSP) - 1944970 ns IT 000002ec b018 ADD sp,sp,#0x60 - 1944990 ns MR4_I 000002f0 ff6ef7ff - 1944990 ns R r13 20000068 (MSP) - 1944990 ns IT 000002ee b520 PUSH {r5,lr} - 1945030 ns MW4_D 20000060 20000008 - 1945050 ns MW4_D 20000064 00000149 - 1945050 ns R r13 20000060 (MSP) - 1945050 ns IT 000002f0 f7ffff6e BL {pc} - 0x120 ; 0x1d0 - 1945070 ns MR4_I 000002f4 2700bc60 - 1945090 ns R r14 000002f5 - 1945110 ns MR4_I 000001d0 49054804 - 1945130 ns IT 000001d0 4804 LDR r0,[pc,#16] ; [0x1e4] - 1945150 ns MR4_I 000001d4 4b064a05 - 1945170 ns MR4_D 000001e4 20000068 - 1945170 ns R r0 20000068 - 1945170 ns IT 000001d2 4905 LDR r1,[pc,#20] ; [0x1e8] - 1945210 ns MR4_D 000001e8 20000368 - 1945210 ns R r1 20000368 - 1945210 ns IT 000001d4 4a05 LDR r2,[pc,#20] ; [0x1ec] - 1945230 ns MR4_I 000001d8 00004770 - 1945250 ns MR4_D 000001ec 20000168 - 1945250 ns R r2 20000168 - 1945250 ns IT 000001d6 4b06 LDR r3,[pc,#24] ; [0x1f0] - 1945290 ns MR4_D 000001f0 20000168 - 1945290 ns R r3 20000168 - 1945290 ns IT 000001d8 4770 BX lr - 1945310 ns MR4_I 000001dc 000001ad - 1945330 ns R psr 01000200 - 1945330 ns MR4_I 000002f4 2700bc60 - 1945350 ns IT 000002f4 bc60 POP {r5,r6} - 1945370 ns MR4_I 000002f8 46b60849 - 1945370 ns R r13 20000068 (MSP) - 1945390 ns MR4_D 20000060 20000008 - 1945390 ns R r5 20000008 - 1945410 ns MR4_D 20000064 00000149 - 1945410 ns R r6 00000149 - 1945410 ns IT 000002f6 2700 MOVS r7,#0 - 1945430 ns R r7 00000000 - 1945430 ns IT 000002f8 0849 LSRS r1,r1,#1 - 1945450 ns R psr 41000200 - 1945450 ns MR4_I 000002fc c5c02600 - 1945450 ns R r1 100001b4 - 1945450 ns IT 000002fa 46b6 MOV lr,r6 - 1945470 ns R psr 01000200 - 1945470 ns R r14 00000149 - 1945470 ns IT 000002fc 2600 MOVS r6,#0 - 1945490 ns MR4_I 00000300 c5c0c5c0 - 1945490 ns R r6 00000000 - 1945490 ns IT 000002fe c5c0 STM r5!,{r6,r7} - 1945510 ns R psr 41000200 - 1945530 ns MW4_D 20000008 00000000 - 1945550 ns MW4_D 2000000c 00000000 - 1945550 ns R r5 20000010 - 1945550 ns IT 00000300 c5c0 STM r5!,{r6,r7} - 1945570 ns MR4_I 00000304 c5c0c5c0 - 1945590 ns MW4_D 20000010 00000000 - 1945610 ns MW4_D 20000014 00000000 - 1945610 ns R r5 20000018 - 1945610 ns IT 00000302 c5c0 STM r5!,{r6,r7} - 1945650 ns MW4_D 20000018 00000000 - 1945670 ns MW4_D 2000001c 00000000 - 1945670 ns R r5 20000020 - 1945670 ns IT 00000304 c5c0 STM r5!,{r6,r7} - 1945690 ns MR4_I 00000308 c5c0c5c0 - 1945710 ns MW4_D 20000020 00000000 - 1945730 ns MW4_D 20000024 00000000 - 1945730 ns R r5 20000028 - 1945730 ns IT 00000306 c5c0 STM r5!,{r6,r7} - 1945770 ns MW4_D 20000028 00000000 - 1945790 ns MW4_D 2000002c 00000000 - 1945790 ns R r5 20000030 - 1945790 ns IT 00000308 c5c0 STM r5!,{r6,r7} - 1945810 ns MR4_I 0000030c 3d40c5c0 - 1945830 ns MW4_D 20000030 00000000 - 1945850 ns MW4_D 20000034 00000000 - 1945850 ns R r5 20000038 - 1945850 ns IT 0000030a c5c0 STM r5!,{r6,r7} - 1945890 ns MW4_D 20000038 00000000 - 1945910 ns MW4_D 2000003c 00000000 - 1945910 ns R r5 20000040 - 1945910 ns IT 0000030c c5c0 STM r5!,{r6,r7} - 1945930 ns MR4_I 00000310 468d0049 - 1945950 ns MW4_D 20000040 00000000 - 1945970 ns MW4_D 20000044 00000000 - 1945970 ns R r5 20000048 - 1945970 ns IT 0000030e 3d40 SUBS r5,r5,#0x40 - 1945990 ns R r5 20000008 - 1945990 ns IT 00000310 0049 LSLS r1,r1,#1 - 1946010 ns R psr 21000200 - 1946010 ns MR4_I 00000314 b5f84770 - 1946010 ns R r1 20000368 - 1946010 ns IT 00000312 468d MOV sp,r1 - 1946030 ns R psr 01000200 - 1946030 ns R r13 20000368 (MSP) - 1946030 ns IT 00000314 4770 BX lr - 1946050 ns MR4_I 00000318 24004606 - 1946070 ns R psr 01000200 - 1946070 ns MR4_I 00000148 f7ff4611 - 1946090 ns IT 00000148 4611 MOV r1,r2 - 1946110 ns MR4_I 0000014c f000fff7 - 1946110 ns R r1 20000168 - 1946110 ns IT 0000014a f7fffff7 BL {pc} - 0xe ; 0x13c - 1946150 ns R r14 0000014f - 1946170 ns MR4_I 0000013c bd1fb51f - 1946190 ns IT 0000013c b51f PUSH {r0-r4,lr} - 1946210 ns MR4_I 00000140 bd10b510 - 1946230 ns MW4_D 20000350 20000068 - 1946250 ns MW4_D 20000354 20000168 - 1946270 ns MW4_D 20000358 20000168 - 1946290 ns MW4_D 2000035c 20000168 - 1946310 ns MW4_D 20000360 00000374 - 1946330 ns MW4_D 20000364 0000014f - 1946330 ns R r13 20000350 (MSP) - 1946330 ns IT 0000013e bd1f POP {r0-r4,pc} - 1946350 ns R r13 20000368 (MSP) - 1946370 ns MR4_D 20000350 20000068 - 1946370 ns R r0 20000068 - 1946390 ns MR4_D 20000354 20000168 - 1946390 ns R r1 20000168 - 1946410 ns MR4_D 20000358 20000168 - 1946410 ns R r2 20000168 - 1946430 ns MR4_D 2000035c 20000168 - 1946430 ns R r3 20000168 - 1946450 ns MR4_D 20000360 00000374 - 1946450 ns R r4 00000374 - 1946470 ns MR4_D 20000364 0000014f - 1946490 ns R psr 01000200 - 1946510 ns MR4_I 0000014c f000fff7 - 1946530 ns MR4_I 00000150 f000f809 - 1946530 ns IT 0000014e f000f809 BL {pc} + 0x16 ; 0x164 - 1946570 ns R r14 00000153 - 1946590 ns MR4_I 00000164 f000b510 - 1946610 ns IT 00000164 b510 PUSH {r4,lr} - 1946630 ns MR4_I 00000168 a005f859 - 1946650 ns MW4_D 20000360 00000374 - 1946670 ns MW4_D 20000364 00000153 - 1946670 ns R r13 20000360 (MSP) - 1946670 ns IT 00000166 f000f859 BL {pc} + 0xb6 ; 0x21c - 1946710 ns R r14 0000016b - 1946730 ns MR4_I 0000021c 21d0480c - 1946750 ns IT 0000021c 480c LDR r0,[pc,#48] ; [0x250] - 1946770 ns MR4_I 00000220 21016101 - 1946790 ns MR4_D 00000250 40006000 - 1946790 ns R r0 40006000 - 1946790 ns IT 0000021e 21d0 MOVS r1,#0xd0 - 1946810 ns R r1 000000d0 - 1946810 ns IT 00000220 6101 STR r1,[r0,#0x10] - 1946830 ns R psr 01000200 - 1946830 ns MR4_I 00000224 490b6081 - 1946890 ns MW4_D 40006010 000000d0 - 1946890 ns IT 00000222 2101 MOVS r1,#1 - 1946910 ns R r1 00000001 - 1946910 ns IT 00000224 6081 STR r1,[r0,#8] - 1946930 ns R psr 01000200 - 1946930 ns MR4_I 00000228 61882020 - 1946990 ns MW4_D 40006008 00000001 - 1946990 ns IT 00000226 490b LDR r1,[pc,#44] ; [0x254] - 1947030 ns MR4_D 00000254 40011000 - 1947030 ns R r1 40011000 - 1947030 ns IT 00000228 2020 MOVS r0,#0x20 - 1947050 ns MR4_I 0000022c 49084770 - 1947050 ns R r0 00000020 - 1947050 ns IT 0000022a 6188 STR r0,[r1,#0x18] - 1947070 ns R psr 01000200 - 1947090 ns MW4_D 40011018 00000020 - 1947090 ns IT 0000022c 4770 BX lr - 1947110 ns MR4_I 00000230 07d2684a - 1947130 ns R psr 01000200 - 1947130 ns MR4_I 00000168 a005f859 - 1947150 ns MR4_I 0000016c f876f000 - 1947150 ns IT 0000016a a005 ADR r0,{pc}+0x16 ; 0x180 - 1947170 ns R r0 00000180 - 1947170 ns IT 0000016c f000f876 BL {pc} + 0xf0 ; 0x25c - 1947190 ns MR4_I 00000170 f000a007 - 1947210 ns R r14 00000171 - 1947230 ns MR4_I 0000025c 4905b40f - 1947250 ns IT 0000025c b40f PUSH {r0-r3} - 1947270 ns MR4_I 00000260 aa03b510 - 1947290 ns MW4_D 20000350 00000180 - 1947310 ns MW4_D 20000354 40011000 - 1947330 ns MW4_D 20000358 20000168 - 1947350 ns MW4_D 2000035c 20000168 - 1947350 ns R r13 20000350 (MSP) - 1947350 ns IT 0000025e 4905 LDR r1,[pc,#20] ; [0x274] - 1947390 ns MR4_D 00000274 20000004 - 1947390 ns R r1 20000004 - 1947390 ns IT 00000260 b510 PUSH {r4,lr} - 1947410 ns MR4_I 00000264 f0009802 - 1947430 ns MW4_D 20000348 00000374 - 1947450 ns MW4_D 2000034c 00000171 - 1947450 ns R r13 20000348 (MSP) - 1947450 ns IT 00000262 aa03 ADD r2,sp,#0xc - 1947470 ns R r2 20000354 - 1947470 ns IT 00000264 9802 LDR r0,[sp,#8] - 1947490 ns MR4_I 00000268 bc10f80b - 1947510 ns MR4_D 20000350 00000180 - 1947510 ns R r0 00000180 - 1947510 ns IT 00000266 f000f80b BL {pc} + 0x1a ; 0x280 - 1947550 ns R r14 0000026b - 1947570 ns MR4_I 00000280 b5704b08 - 1947590 ns IT 00000280 4b08 LDR r3,[pc,#32] ; [0x2a4] - 1947610 ns MR4_I 00000284 447b460d - 1947630 ns MR4_D 000002a4 ffffff6b - 1947630 ns R r3 ffffff6b - 1947630 ns IT 00000282 b570 PUSH {r4-r6,lr} - 1947670 ns MW4_D 20000338 00000374 - 1947690 ns MW4_D 2000033c 20000008 - 1947710 ns MW4_D 20000340 00000000 - 1947730 ns MW4_D 20000344 0000026b - 1947730 ns R r13 20000338 (MSP) - 1947730 ns IT 00000284 460d MOV r5,r1 - 1947750 ns MR4_I 00000288 f813f000 - 1947750 ns R r5 20000004 - 1947750 ns IT 00000286 447b ADD r3,r3,pc - 1947770 ns R r3 000001f5 - 1947770 ns IT 00000288 f000f813 BL {pc} + 0x2a ; 0x2b2 - 1947790 ns MR4_I 0000028c 46284604 - 1947810 ns R r14 0000028d - 1947830 ns MR4_I 000002b0 b5004770 - 1947850 ns MR4_I 000002b4 9102b08f - 1947850 ns IT 000002b2 b500 PUSH {lr} - 1947890 ns MW4_D 20000334 0000028d - 1947890 ns R r13 20000334 (MSP) - 1947890 ns IT 000002b4 b08f SUB sp,sp,#0x3c - 1947910 ns MR4_I 000002b8 91052100 - 1947910 ns R r13 200002f8 (MSP) - 1947910 ns IT 000002b6 9102 STR r1,[sp,#8] - 1947950 ns MW4_D 20000300 20000004 - 1947950 ns IT 000002b8 2100 MOVS r1,#0 - 1947970 ns MR4_I 000002bc 93014905 - 1947970 ns R r1 00000000 - 1947970 ns IT 000002ba 9105 STR r1,[sp,#0x14] - 1947990 ns R psr 41000200 - 1948010 ns MW4_D 2000030c 00000000 - 1948010 ns IT 000002bc 4905 LDR r1,[pc,#20] ; [0x2d4] - 1948030 ns MR4_I 000002c0 91034479 - 1948050 ns MR4_D 000002d4 ffffffe5 - 1948050 ns R r1 ffffffe5 - 1948050 ns IT 000002be 9301 STR r3,[sp,#4] - 1948090 ns MW4_D 200002fc 000001f5 - 1948090 ns IT 000002c0 4479 ADD r1,r1,pc - 1948110 ns MR4_I 000002c4 90044611 - 1948110 ns R r1 000002a9 - 1948110 ns IT 000002c2 9103 STR r1,[sp,#0xc] - 1948150 ns MW4_D 20000304 000002a9 - 1948150 ns IT 000002c4 4611 MOV r1,r2 - 1948170 ns MR4_I 000002c8 f0004668 - 1948170 ns R r1 20000354 - 1948170 ns IT 000002c6 9004 STR r0,[sp,#0x10] - 1948210 ns MW4_D 20000308 00000180 - 1948210 ns IT 000002c8 4668 MOV r0,sp - 1948230 ns MR4_I 000002cc b00ff824 - 1948230 ns R r0 200002f8 - 1948230 ns IT 000002ca f000f824 BL {pc} + 0x4c ; 0x316 - 1948270 ns R r14 000002cf - 1948290 ns MR4_I 00000314 b5f84770 - 1948310 ns MR4_I 00000318 24004606 - 1948310 ns IT 00000316 b5f8 PUSH {r3-r7,lr} - 1948350 ns MW4_D 200002e0 000001f5 - 1948370 ns MW4_D 200002e4 00000374 - 1948390 ns MW4_D 200002e8 20000004 - 1948410 ns MW4_D 200002ec 00000000 - 1948430 ns MW4_D 200002f0 00000000 - 1948450 ns MW4_D 200002f4 000002cf - 1948450 ns R r13 200002e0 (MSP) - 1948450 ns IT 00000318 4606 MOV r6,r0 - 1948470 ns MR4_I 0000031c 68806847 - 1948470 ns R r6 200002f8 - 1948470 ns IT 0000031a 2400 MOVS r4,#0 - 1948490 ns R r4 00000000 - 1948490 ns IT 0000031c 6847 LDR r7,[r0,#4] - 1948510 ns R psr 41000200 - 1948510 ns MR4_I 00000320 46309000 - 1948530 ns MR4_D 200002fc 000001f5 - 1948530 ns R r7 000001f5 - 1948530 ns IT 0000031e 6880 LDR r0,[r0,#8] - 1948570 ns MR4_D 20000300 20000004 - 1948570 ns R r0 20000004 - 1948570 ns IT 00000320 9000 STR r0,[sp,#0] - 1948590 ns MR4_I 00000324 e00368f5 - 1948610 ns MW4_D 200002e0 20000004 - 1948610 ns IT 00000322 4630 MOV r0,r6 - 1948630 ns R r0 200002f8 - 1948630 ns IT 00000324 68f5 LDR r5,[r6,#0xc] - 1948650 ns MR4_I 00000328 47b89900 - 1948670 ns MR4_D 20000304 000002a9 - 1948670 ns R r5 000002a9 - 1948670 ns IT 00000326 e003 B {pc} + 0xa ; 0x330 - 1948710 ns MR4_I 00000330 280047a8 - 1948730 ns IT 00000330 47a8 BLX r5 - 1948750 ns MR4_I 00000334 4620d1f8 - 1948770 ns R psr 41000200 - 1948770 ns MR4_I 000002a8 1c4a6901 - 1948770 ns R r14 00000333 - 1948790 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 1948810 ns MR4_I 000002ac 78086102 - 1948830 ns MR4_D 20000308 00000180 - 1948830 ns R r1 00000180 - 1948830 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 1948850 ns R r2 00000181 - 1948850 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 1948870 ns R psr 01000200 - 1948870 ns MR4_I 000002b0 b5004770 - 1948890 ns MW4_D 20000308 00000181 - 1948890 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 1948930 ns MR1_D 00000180 6c6c6548 - 1948930 ns R r0 00000048 - 1948930 ns IT 000002b0 4770 BX lr - 1948950 ns MR4_I 000002b4 9102b08f - 1948970 ns R psr 01000200 - 1948970 ns MR4_I 00000330 280047a8 - 1948990 ns MR4_I 00000334 4620d1f8 - 1948990 ns IT 00000332 2800 CMP r0,#0 - 1949010 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 1949030 ns R psr 21000200 - 1949030 ns MR4_I 00000338 b510bdf8 - 1949050 ns MR4_I 00000328 47b89900 - 1949070 ns IT 00000328 9900 LDR r1,[sp,#0] - 1949090 ns MR4_I 0000032c 46301c64 - 1949110 ns MR4_D 200002e0 20000004 - 1949110 ns R r1 20000004 - 1949110 ns IT 0000032a 47b8 BLX r7 - 1949150 ns R psr 21000200 - 1949150 ns MR4_I 000001f4 b2c0b510 - 1949150 ns R r14 0000032d - 1949170 ns IT 000001f4 b510 PUSH {r4,lr} - 1949190 ns MR4_I 000001f8 f819f000 - 1949210 ns MW4_D 200002d8 00000000 - 1949230 ns MW4_D 200002dc 0000032d - 1949230 ns R r13 200002d8 (MSP) - 1949230 ns IT 000001f6 b2c0 UXTB r0,r0 - 1949250 ns R r0 00000048 - 1949250 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 1949270 ns MR4_I 000001fc b510bd10 - 1949290 ns R r14 000001fd - 1949310 ns MR4_I 0000022c 49084770 - 1949330 ns MR4_I 00000230 07d2684a - 1949330 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 1949370 ns MR4_D 00000250 40006000 - 1949370 ns R r1 40006000 - 1949370 ns IT 00000230 684a LDR r2,[r1,#4] - 1949390 ns MR4_I 00000234 6008d1fc - 1949450 ns MR4_D 40006004 00000001 - 1949450 ns R r2 00000001 - 1949450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1949470 ns R r2 80000000 - 1949470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1949490 ns R psr 81000200 - 1949490 ns MR4_I 00000238 48054770 - 1949510 ns MR4_I 00000230 07d2684a - 1949530 ns IT 00000230 684a LDR r2,[r1,#4] - 1949550 ns MR4_I 00000234 6008d1fc - 1949610 ns MR4_D 40006004 00000001 - 1949610 ns R r2 00000001 - 1949610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1949630 ns R r2 80000000 - 1949630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1949650 ns R psr 81000200 - 1949650 ns MR4_I 00000238 48054770 - 1949670 ns MR4_I 00000230 07d2684a - 1949690 ns IT 00000230 684a LDR r2,[r1,#4] - 1949710 ns MR4_I 00000234 6008d1fc - 1949770 ns MR4_D 40006004 00000001 - 1949770 ns R r2 00000001 - 1949770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1949790 ns R r2 80000000 - 1949790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1949810 ns R psr 81000200 - 1949810 ns MR4_I 00000238 48054770 - 1949830 ns MR4_I 00000230 07d2684a - 1949850 ns IT 00000230 684a LDR r2,[r1,#4] - 1949870 ns MR4_I 00000234 6008d1fc - 1949930 ns MR4_D 40006004 00000001 - 1949930 ns R r2 00000001 - 1949930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1949950 ns R r2 80000000 - 1949950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1949970 ns R psr 81000200 - 1949970 ns MR4_I 00000238 48054770 - 1949990 ns MR4_I 00000230 07d2684a - 1950010 ns IT 00000230 684a LDR r2,[r1,#4] - 1950030 ns MR4_I 00000234 6008d1fc - 1950090 ns MR4_D 40006004 00000001 - 1950090 ns R r2 00000001 - 1950090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1950110 ns R r2 80000000 - 1950110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1950130 ns R psr 81000200 - 1950130 ns MR4_I 00000238 48054770 - 1950150 ns MR4_I 00000230 07d2684a - 1950170 ns IT 00000230 684a LDR r2,[r1,#4] - 1950190 ns MR4_I 00000234 6008d1fc - 1950250 ns MR4_D 40006004 00000001 - 1950250 ns R r2 00000001 - 1950250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1950270 ns R r2 80000000 - 1950270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1950290 ns R psr 81000200 - 1950290 ns MR4_I 00000238 48054770 - 1950310 ns MR4_I 00000230 07d2684a - 1950330 ns IT 00000230 684a LDR r2,[r1,#4] - 1950350 ns MR4_I 00000234 6008d1fc - 1950410 ns MR4_D 40006004 00000001 - 1950410 ns R r2 00000001 - 1950410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1950430 ns R r2 80000000 - 1950430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1950450 ns R psr 81000200 - 1950450 ns MR4_I 00000238 48054770 - 1950470 ns MR4_I 00000230 07d2684a - 1950490 ns IT 00000230 684a LDR r2,[r1,#4] - 1950510 ns MR4_I 00000234 6008d1fc - 1950570 ns MR4_D 40006004 00000001 - 1950570 ns R r2 00000001 - 1950570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1950590 ns R r2 80000000 - 1950590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1950610 ns R psr 81000200 - 1950610 ns MR4_I 00000238 48054770 - 1950630 ns MR4_I 00000230 07d2684a - 1950650 ns IT 00000230 684a LDR r2,[r1,#4] - 1950670 ns MR4_I 00000234 6008d1fc - 1950730 ns MR4_D 40006004 00000001 - 1950730 ns R r2 00000001 - 1950730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1950750 ns R r2 80000000 - 1950750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1950770 ns R psr 81000200 - 1950770 ns MR4_I 00000238 48054770 - 1950790 ns MR4_I 00000230 07d2684a - 1950810 ns IT 00000230 684a LDR r2,[r1,#4] - 1950830 ns MR4_I 00000234 6008d1fc - 1950890 ns MR4_D 40006004 00000001 - 1950890 ns R r2 00000001 - 1950890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1950910 ns R r2 80000000 - 1950910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1950930 ns R psr 81000200 - 1950930 ns MR4_I 00000238 48054770 - 1950950 ns MR4_I 00000230 07d2684a - 1950970 ns IT 00000230 684a LDR r2,[r1,#4] - 1950990 ns MR4_I 00000234 6008d1fc - 1951050 ns MR4_D 40006004 00000001 - 1951050 ns R r2 00000001 - 1951050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1951070 ns R r2 80000000 - 1951070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1951090 ns R psr 81000200 - 1951090 ns MR4_I 00000238 48054770 - 1951110 ns MR4_I 00000230 07d2684a - 1951130 ns IT 00000230 684a LDR r2,[r1,#4] - 1951150 ns MR4_I 00000234 6008d1fc - 1951210 ns MR4_D 40006004 00000001 - 1951210 ns R r2 00000001 - 1951210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1951230 ns R r2 80000000 - 1951230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1951250 ns R psr 81000200 - 1951250 ns MR4_I 00000238 48054770 - 1951270 ns MR4_I 00000230 07d2684a - 1951290 ns IT 00000230 684a LDR r2,[r1,#4] - 1951310 ns MR4_I 00000234 6008d1fc - 1951370 ns MR4_D 40006004 00000001 - 1951370 ns R r2 00000001 - 1951370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1951390 ns R r2 80000000 - 1951390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1951410 ns R psr 81000200 - 1951410 ns MR4_I 00000238 48054770 - 1951430 ns MR4_I 00000230 07d2684a - 1951450 ns IT 00000230 684a LDR r2,[r1,#4] - 1951470 ns MR4_I 00000234 6008d1fc - 1951530 ns MR4_D 40006004 00000001 - 1951530 ns R r2 00000001 - 1951530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1951550 ns R r2 80000000 - 1951550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1951570 ns R psr 81000200 - 1951570 ns MR4_I 00000238 48054770 - 1951590 ns MR4_I 00000230 07d2684a - 1951610 ns IT 00000230 684a LDR r2,[r1,#4] - 1951630 ns MR4_I 00000234 6008d1fc - 1951690 ns MR4_D 40006004 00000001 - 1951690 ns R r2 00000001 - 1951690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1951710 ns R r2 80000000 - 1951710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1951730 ns R psr 81000200 - 1951730 ns MR4_I 00000238 48054770 - 1951750 ns MR4_I 00000230 07d2684a - 1951770 ns IT 00000230 684a LDR r2,[r1,#4] - 1951790 ns MR4_I 00000234 6008d1fc - 1951850 ns MR4_D 40006004 00000001 - 1951850 ns R r2 00000001 - 1951850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1951870 ns R r2 80000000 - 1951870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1951890 ns R psr 81000200 - 1951890 ns MR4_I 00000238 48054770 - 1951910 ns MR4_I 00000230 07d2684a - 1951930 ns IT 00000230 684a LDR r2,[r1,#4] - 1951950 ns MR4_I 00000234 6008d1fc - 1952010 ns MR4_D 40006004 00000001 - 1952010 ns R r2 00000001 - 1952010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1952030 ns R r2 80000000 - 1952030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1952050 ns R psr 81000200 - 1952050 ns MR4_I 00000238 48054770 - 1952070 ns MR4_I 00000230 07d2684a - 1952090 ns IT 00000230 684a LDR r2,[r1,#4] - 1952110 ns MR4_I 00000234 6008d1fc - 1952170 ns MR4_D 40006004 00000001 - 1952170 ns R r2 00000001 - 1952170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1952190 ns R r2 80000000 - 1952190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1952210 ns R psr 81000200 - 1952210 ns MR4_I 00000238 48054770 - 1952230 ns MR4_I 00000230 07d2684a - 1952250 ns IT 00000230 684a LDR r2,[r1,#4] - 1952270 ns MR4_I 00000234 6008d1fc - 1952330 ns MR4_D 40006004 00000001 - 1952330 ns R r2 00000001 - 1952330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1952350 ns R r2 80000000 - 1952350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1952370 ns R psr 81000200 - 1952370 ns MR4_I 00000238 48054770 - 1952390 ns MR4_I 00000230 07d2684a - 1952410 ns IT 00000230 684a LDR r2,[r1,#4] - 1952430 ns MR4_I 00000234 6008d1fc - 1952490 ns MR4_D 40006004 00000001 - 1952490 ns R r2 00000001 - 1952490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1952510 ns R r2 80000000 - 1952510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1952530 ns R psr 81000200 - 1952530 ns MR4_I 00000238 48054770 - 1952550 ns MR4_I 00000230 07d2684a - 1952570 ns IT 00000230 684a LDR r2,[r1,#4] - 1952590 ns MR4_I 00000234 6008d1fc - 1952650 ns MR4_D 40006004 00000001 - 1952650 ns R r2 00000001 - 1952650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1952670 ns R r2 80000000 - 1952670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1952690 ns R psr 81000200 - 1952690 ns MR4_I 00000238 48054770 - 1952710 ns MR4_I 00000230 07d2684a - 1952730 ns IT 00000230 684a LDR r2,[r1,#4] - 1952750 ns MR4_I 00000234 6008d1fc - 1952810 ns MR4_D 40006004 00000001 - 1952810 ns R r2 00000001 - 1952810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1952830 ns R r2 80000000 - 1952830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1952850 ns R psr 81000200 - 1952850 ns MR4_I 00000238 48054770 - 1952870 ns MR4_I 00000230 07d2684a - 1952890 ns IT 00000230 684a LDR r2,[r1,#4] - 1952910 ns MR4_I 00000234 6008d1fc - 1952970 ns MR4_D 40006004 00000001 - 1952970 ns R r2 00000001 - 1952970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1952990 ns R r2 80000000 - 1952990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1953010 ns R psr 81000200 - 1953010 ns MR4_I 00000238 48054770 - 1953030 ns MR4_I 00000230 07d2684a - 1953050 ns IT 00000230 684a LDR r2,[r1,#4] - 1953070 ns MR4_I 00000234 6008d1fc - 1953130 ns MR4_D 40006004 00000001 - 1953130 ns R r2 00000001 - 1953130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1953150 ns R r2 80000000 - 1953150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1953170 ns R psr 81000200 - 1953170 ns MR4_I 00000238 48054770 - 1953190 ns MR4_I 00000230 07d2684a - 1953210 ns IT 00000230 684a LDR r2,[r1,#4] - 1953230 ns MR4_I 00000234 6008d1fc - 1953290 ns MR4_D 40006004 00000001 - 1953290 ns R r2 00000001 - 1953290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1953310 ns R r2 80000000 - 1953310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1953330 ns R psr 81000200 - 1953330 ns MR4_I 00000238 48054770 - 1953350 ns MR4_I 00000230 07d2684a - 1953370 ns IT 00000230 684a LDR r2,[r1,#4] - 1953390 ns MR4_I 00000234 6008d1fc - 1953450 ns MR4_D 40006004 00000001 - 1953450 ns R r2 00000001 - 1953450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1953470 ns R r2 80000000 - 1953470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1953490 ns R psr 81000200 - 1953490 ns MR4_I 00000238 48054770 - 1953510 ns MR4_I 00000230 07d2684a - 1953530 ns IT 00000230 684a LDR r2,[r1,#4] - 1953550 ns MR4_I 00000234 6008d1fc - 1953610 ns MR4_D 40006004 00000001 - 1953610 ns R r2 00000001 - 1953610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1953630 ns R r2 80000000 - 1953630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1953650 ns R psr 81000200 - 1953650 ns MR4_I 00000238 48054770 - 1953670 ns MR4_I 00000230 07d2684a - 1953690 ns IT 00000230 684a LDR r2,[r1,#4] - 1953710 ns MR4_I 00000234 6008d1fc - 1953770 ns MR4_D 40006004 00000001 - 1953770 ns R r2 00000001 - 1953770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1953790 ns R r2 80000000 - 1953790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1953810 ns R psr 81000200 - 1953810 ns MR4_I 00000238 48054770 - 1953830 ns MR4_I 00000230 07d2684a - 1953850 ns IT 00000230 684a LDR r2,[r1,#4] - 1953870 ns MR4_I 00000234 6008d1fc - 1953930 ns MR4_D 40006004 00000001 - 1953930 ns R r2 00000001 - 1953930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1953950 ns R r2 80000000 - 1953950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1953970 ns R psr 81000200 - 1953970 ns MR4_I 00000238 48054770 - 1953990 ns MR4_I 00000230 07d2684a - 1954010 ns IT 00000230 684a LDR r2,[r1,#4] - 1954030 ns MR4_I 00000234 6008d1fc - 1954090 ns MR4_D 40006004 00000001 - 1954090 ns R r2 00000001 - 1954090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1954110 ns R r2 80000000 - 1954110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1954130 ns R psr 81000200 - 1954130 ns MR4_I 00000238 48054770 - 1954150 ns MR4_I 00000230 07d2684a - 1954170 ns IT 00000230 684a LDR r2,[r1,#4] - 1954190 ns MR4_I 00000234 6008d1fc - 1954250 ns MR4_D 40006004 00000001 - 1954250 ns R r2 00000001 - 1954250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1954270 ns R r2 80000000 - 1954270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1954290 ns R psr 81000200 - 1954290 ns MR4_I 00000238 48054770 - 1954310 ns MR4_I 00000230 07d2684a - 1954330 ns IT 00000230 684a LDR r2,[r1,#4] - 1954350 ns MR4_I 00000234 6008d1fc - 1954410 ns MR4_D 40006004 00000001 - 1954410 ns R r2 00000001 - 1954410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1954430 ns R r2 80000000 - 1954430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1954450 ns R psr 81000200 - 1954450 ns MR4_I 00000238 48054770 - 1954470 ns MR4_I 00000230 07d2684a - 1954490 ns IT 00000230 684a LDR r2,[r1,#4] - 1954510 ns MR4_I 00000234 6008d1fc - 1954570 ns MR4_D 40006004 00000001 - 1954570 ns R r2 00000001 - 1954570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1954590 ns R r2 80000000 - 1954590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1954610 ns R psr 81000200 - 1954610 ns MR4_I 00000238 48054770 - 1954630 ns MR4_I 00000230 07d2684a - 1954650 ns IT 00000230 684a LDR r2,[r1,#4] - 1954670 ns MR4_I 00000234 6008d1fc - 1954730 ns MR4_D 40006004 00000001 - 1954730 ns R r2 00000001 - 1954730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1954750 ns R r2 80000000 - 1954750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1954770 ns R psr 81000200 - 1954770 ns MR4_I 00000238 48054770 - 1954790 ns MR4_I 00000230 07d2684a - 1954810 ns IT 00000230 684a LDR r2,[r1,#4] - 1954830 ns MR4_I 00000234 6008d1fc - 1954890 ns MR4_D 40006004 00000001 - 1954890 ns R r2 00000001 - 1954890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1954910 ns R r2 80000000 - 1954910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1954930 ns R psr 81000200 - 1954930 ns MR4_I 00000238 48054770 - 1954950 ns MR4_I 00000230 07d2684a - 1954970 ns IT 00000230 684a LDR r2,[r1,#4] - 1954990 ns MR4_I 00000234 6008d1fc - 1955050 ns MR4_D 40006004 00000001 - 1955050 ns R r2 00000001 - 1955050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1955070 ns R r2 80000000 - 1955070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1955090 ns R psr 81000200 - 1955090 ns MR4_I 00000238 48054770 - 1955110 ns MR4_I 00000230 07d2684a - 1955130 ns IT 00000230 684a LDR r2,[r1,#4] - 1955150 ns MR4_I 00000234 6008d1fc - 1955210 ns MR4_D 40006004 00000001 - 1955210 ns R r2 00000001 - 1955210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1955230 ns R r2 80000000 - 1955230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1955250 ns R psr 81000200 - 1955250 ns MR4_I 00000238 48054770 - 1955270 ns MR4_I 00000230 07d2684a - 1955290 ns IT 00000230 684a LDR r2,[r1,#4] - 1955310 ns MR4_I 00000234 6008d1fc - 1955370 ns MR4_D 40006004 00000001 - 1955370 ns R r2 00000001 - 1955370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1955390 ns R r2 80000000 - 1955390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1955410 ns R psr 81000200 - 1955410 ns MR4_I 00000238 48054770 - 1955430 ns MR4_I 00000230 07d2684a - 1955450 ns IT 00000230 684a LDR r2,[r1,#4] - 1955470 ns MR4_I 00000234 6008d1fc - 1955530 ns MR4_D 40006004 00000001 - 1955530 ns R r2 00000001 - 1955530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1955550 ns R r2 80000000 - 1955550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1955570 ns R psr 81000200 - 1955570 ns MR4_I 00000238 48054770 - 1955590 ns MR4_I 00000230 07d2684a - 1955610 ns IT 00000230 684a LDR r2,[r1,#4] - 1955630 ns MR4_I 00000234 6008d1fc - 1955690 ns MR4_D 40006004 00000001 - 1955690 ns R r2 00000001 - 1955690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1955710 ns R r2 80000000 - 1955710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1955730 ns R psr 81000200 - 1955730 ns MR4_I 00000238 48054770 - 1955750 ns MR4_I 00000230 07d2684a - 1955770 ns IT 00000230 684a LDR r2,[r1,#4] - 1955790 ns MR4_I 00000234 6008d1fc - 1955850 ns MR4_D 40006004 00000001 - 1955850 ns R r2 00000001 - 1955850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1955870 ns R r2 80000000 - 1955870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1955890 ns R psr 81000200 - 1955890 ns MR4_I 00000238 48054770 - 1955910 ns MR4_I 00000230 07d2684a - 1955930 ns IT 00000230 684a LDR r2,[r1,#4] - 1955950 ns MR4_I 00000234 6008d1fc - 1956010 ns MR4_D 40006004 00000001 - 1956010 ns R r2 00000001 - 1956010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1956030 ns R r2 80000000 - 1956030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1956050 ns R psr 81000200 - 1956050 ns MR4_I 00000238 48054770 - 1956070 ns MR4_I 00000230 07d2684a - 1956090 ns IT 00000230 684a LDR r2,[r1,#4] - 1956110 ns MR4_I 00000234 6008d1fc - 1956170 ns MR4_D 40006004 00000001 - 1956170 ns R r2 00000001 - 1956170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1956190 ns R r2 80000000 - 1956190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1956210 ns R psr 81000200 - 1956210 ns MR4_I 00000238 48054770 - 1956230 ns MR4_I 00000230 07d2684a - 1956250 ns IT 00000230 684a LDR r2,[r1,#4] - 1956270 ns MR4_I 00000234 6008d1fc - 1956330 ns MR4_D 40006004 00000001 - 1956330 ns R r2 00000001 - 1956330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1956350 ns R r2 80000000 - 1956350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1956370 ns R psr 81000200 - 1956370 ns MR4_I 00000238 48054770 - 1956390 ns MR4_I 00000230 07d2684a - 1956410 ns IT 00000230 684a LDR r2,[r1,#4] - 1956430 ns MR4_I 00000234 6008d1fc - 1956490 ns MR4_D 40006004 00000001 - 1956490 ns R r2 00000001 - 1956490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1956510 ns R r2 80000000 - 1956510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1956530 ns R psr 81000200 - 1956530 ns MR4_I 00000238 48054770 - 1956550 ns MR4_I 00000230 07d2684a - 1956570 ns IT 00000230 684a LDR r2,[r1,#4] - 1956590 ns MR4_I 00000234 6008d1fc - 1956650 ns MR4_D 40006004 00000001 - 1956650 ns R r2 00000001 - 1956650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1956670 ns R r2 80000000 - 1956670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1956690 ns R psr 81000200 - 1956690 ns MR4_I 00000238 48054770 - 1956710 ns MR4_I 00000230 07d2684a - 1956730 ns IT 00000230 684a LDR r2,[r1,#4] - 1956750 ns MR4_I 00000234 6008d1fc - 1956810 ns MR4_D 40006004 00000001 - 1956810 ns R r2 00000001 - 1956810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1956830 ns R r2 80000000 - 1956830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1956850 ns R psr 81000200 - 1956850 ns MR4_I 00000238 48054770 - 1956870 ns MR4_I 00000230 07d2684a - 1956890 ns IT 00000230 684a LDR r2,[r1,#4] - 1956910 ns MR4_I 00000234 6008d1fc - 1956970 ns MR4_D 40006004 00000001 - 1956970 ns R r2 00000001 - 1956970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1956990 ns R r2 80000000 - 1956990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1957010 ns R psr 81000200 - 1957010 ns MR4_I 00000238 48054770 - 1957030 ns MR4_I 00000230 07d2684a - 1957050 ns IT 00000230 684a LDR r2,[r1,#4] - 1957070 ns MR4_I 00000234 6008d1fc - 1957130 ns MR4_D 40006004 00000001 - 1957130 ns R r2 00000001 - 1957130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1957150 ns R r2 80000000 - 1957150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1957170 ns R psr 81000200 - 1957170 ns MR4_I 00000238 48054770 - 1957190 ns MR4_I 00000230 07d2684a - 1957210 ns IT 00000230 684a LDR r2,[r1,#4] - 1957230 ns MR4_I 00000234 6008d1fc - 1957290 ns MR4_D 40006004 00000001 - 1957290 ns R r2 00000001 - 1957290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1957310 ns R r2 80000000 - 1957310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1957330 ns R psr 81000200 - 1957330 ns MR4_I 00000238 48054770 - 1957350 ns MR4_I 00000230 07d2684a - 1957370 ns IT 00000230 684a LDR r2,[r1,#4] - 1957390 ns MR4_I 00000234 6008d1fc - 1957450 ns MR4_D 40006004 00000001 - 1957450 ns R r2 00000001 - 1957450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1957470 ns R r2 80000000 - 1957470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1957490 ns R psr 81000200 - 1957490 ns MR4_I 00000238 48054770 - 1957510 ns MR4_I 00000230 07d2684a - 1957530 ns IT 00000230 684a LDR r2,[r1,#4] - 1957550 ns MR4_I 00000234 6008d1fc - 1957610 ns MR4_D 40006004 00000001 - 1957610 ns R r2 00000001 - 1957610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1957630 ns R r2 80000000 - 1957630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1957650 ns R psr 81000200 - 1957650 ns MR4_I 00000238 48054770 - 1957670 ns MR4_I 00000230 07d2684a - 1957690 ns IT 00000230 684a LDR r2,[r1,#4] - 1957710 ns MR4_I 00000234 6008d1fc - 1957770 ns MR4_D 40006004 00000001 - 1957770 ns R r2 00000001 - 1957770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1957790 ns R r2 80000000 - 1957790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1957810 ns R psr 81000200 - 1957810 ns MR4_I 00000238 48054770 - 1957830 ns MR4_I 00000230 07d2684a - 1957850 ns IT 00000230 684a LDR r2,[r1,#4] - 1957870 ns MR4_I 00000234 6008d1fc - 1957930 ns MR4_D 40006004 00000001 - 1957930 ns R r2 00000001 - 1957930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1957950 ns R r2 80000000 - 1957950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1957970 ns R psr 81000200 - 1957970 ns MR4_I 00000238 48054770 - 1957990 ns MR4_I 00000230 07d2684a - 1958010 ns IT 00000230 684a LDR r2,[r1,#4] - 1958030 ns MR4_I 00000234 6008d1fc - 1958090 ns MR4_D 40006004 00000001 - 1958090 ns R r2 00000001 - 1958090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1958110 ns R r2 80000000 - 1958110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1958130 ns R psr 81000200 - 1958130 ns MR4_I 00000238 48054770 - 1958150 ns MR4_I 00000230 07d2684a - 1958170 ns IT 00000230 684a LDR r2,[r1,#4] - 1958190 ns MR4_I 00000234 6008d1fc - 1958250 ns MR4_D 40006004 00000001 - 1958250 ns R r2 00000001 - 1958250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1958270 ns R r2 80000000 - 1958270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1958290 ns R psr 81000200 - 1958290 ns MR4_I 00000238 48054770 - 1958310 ns MR4_I 00000230 07d2684a - 1958330 ns IT 00000230 684a LDR r2,[r1,#4] - 1958350 ns MR4_I 00000234 6008d1fc - 1958410 ns MR4_D 40006004 00000001 - 1958410 ns R r2 00000001 - 1958410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1958430 ns R r2 80000000 - 1958430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1958450 ns R psr 81000200 - 1958450 ns MR4_I 00000238 48054770 - 1958470 ns MR4_I 00000230 07d2684a - 1958490 ns IT 00000230 684a LDR r2,[r1,#4] - 1958510 ns MR4_I 00000234 6008d1fc - 1958570 ns MR4_D 40006004 00000001 - 1958570 ns R r2 00000001 - 1958570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1958590 ns R r2 80000000 - 1958590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1958610 ns R psr 81000200 - 1958610 ns MR4_I 00000238 48054770 - 1958630 ns MR4_I 00000230 07d2684a - 1958650 ns IT 00000230 684a LDR r2,[r1,#4] - 1958670 ns MR4_I 00000234 6008d1fc - 1958730 ns MR4_D 40006004 00000001 - 1958730 ns R r2 00000001 - 1958730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1958750 ns R r2 80000000 - 1958750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1958770 ns R psr 81000200 - 1958770 ns MR4_I 00000238 48054770 - 1958790 ns MR4_I 00000230 07d2684a - 1958810 ns IT 00000230 684a LDR r2,[r1,#4] - 1958830 ns MR4_I 00000234 6008d1fc - 1958890 ns MR4_D 40006004 00000001 - 1958890 ns R r2 00000001 - 1958890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1958910 ns R r2 80000000 - 1958910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1958930 ns R psr 81000200 - 1958930 ns MR4_I 00000238 48054770 - 1958950 ns MR4_I 00000230 07d2684a - 1958970 ns IT 00000230 684a LDR r2,[r1,#4] - 1958990 ns MR4_I 00000234 6008d1fc - 1959050 ns MR4_D 40006004 00000001 - 1959050 ns R r2 00000001 - 1959050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1959070 ns R r2 80000000 - 1959070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1959090 ns R psr 81000200 - 1959090 ns MR4_I 00000238 48054770 - 1959110 ns MR4_I 00000230 07d2684a - 1959130 ns IT 00000230 684a LDR r2,[r1,#4] - 1959150 ns MR4_I 00000234 6008d1fc - 1959210 ns MR4_D 40006004 00000001 - 1959210 ns R r2 00000001 - 1959210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1959230 ns R r2 80000000 - 1959230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1959250 ns R psr 81000200 - 1959250 ns MR4_I 00000238 48054770 - 1959270 ns MR4_I 00000230 07d2684a - 1959290 ns IT 00000230 684a LDR r2,[r1,#4] - 1959310 ns MR4_I 00000234 6008d1fc - 1959370 ns MR4_D 40006004 00000001 - 1959370 ns R r2 00000001 - 1959370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1959390 ns R r2 80000000 - 1959390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1959410 ns R psr 81000200 - 1959410 ns MR4_I 00000238 48054770 - 1959430 ns MR4_I 00000230 07d2684a - 1959450 ns IT 00000230 684a LDR r2,[r1,#4] - 1959470 ns MR4_I 00000234 6008d1fc - 1959530 ns MR4_D 40006004 00000001 - 1959530 ns R r2 00000001 - 1959530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1959550 ns R r2 80000000 - 1959550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1959570 ns R psr 81000200 - 1959570 ns MR4_I 00000238 48054770 - 1959590 ns MR4_I 00000230 07d2684a - 1959610 ns IT 00000230 684a LDR r2,[r1,#4] - 1959630 ns MR4_I 00000234 6008d1fc - 1959690 ns MR4_D 40006004 00000001 - 1959690 ns R r2 00000001 - 1959690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1959710 ns R r2 80000000 - 1959710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1959730 ns R psr 81000200 - 1959730 ns MR4_I 00000238 48054770 - 1959750 ns MR4_I 00000230 07d2684a - 1959770 ns IT 00000230 684a LDR r2,[r1,#4] - 1959790 ns MR4_I 00000234 6008d1fc - 1959850 ns MR4_D 40006004 00000001 - 1959850 ns R r2 00000001 - 1959850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1959870 ns R r2 80000000 - 1959870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1959890 ns R psr 81000200 - 1959890 ns MR4_I 00000238 48054770 - 1959910 ns MR4_I 00000230 07d2684a - 1959930 ns IT 00000230 684a LDR r2,[r1,#4] - 1959950 ns MR4_I 00000234 6008d1fc - 1960010 ns MR4_D 40006004 00000001 - 1960010 ns R r2 00000001 - 1960010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1960030 ns R r2 80000000 - 1960030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1960050 ns R psr 81000200 - 1960050 ns MR4_I 00000238 48054770 - 1960070 ns MR4_I 00000230 07d2684a - 1960090 ns IT 00000230 684a LDR r2,[r1,#4] - 1960110 ns MR4_I 00000234 6008d1fc - 1960170 ns MR4_D 40006004 00000001 - 1960170 ns R r2 00000001 - 1960170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1960190 ns R r2 80000000 - 1960190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1960210 ns R psr 81000200 - 1960210 ns MR4_I 00000238 48054770 - 1960230 ns MR4_I 00000230 07d2684a - 1960250 ns IT 00000230 684a LDR r2,[r1,#4] - 1960270 ns MR4_I 00000234 6008d1fc - 1960330 ns MR4_D 40006004 00000001 - 1960330 ns R r2 00000001 - 1960330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1960350 ns R r2 80000000 - 1960350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1960370 ns R psr 81000200 - 1960370 ns MR4_I 00000238 48054770 - 1960390 ns MR4_I 00000230 07d2684a - 1960410 ns IT 00000230 684a LDR r2,[r1,#4] - 1960430 ns MR4_I 00000234 6008d1fc - 1960490 ns MR4_D 40006004 00000001 - 1960490 ns R r2 00000001 - 1960490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1960510 ns R r2 80000000 - 1960510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1960530 ns R psr 81000200 - 1960530 ns MR4_I 00000238 48054770 - 1960550 ns MR4_I 00000230 07d2684a - 1960570 ns IT 00000230 684a LDR r2,[r1,#4] - 1960590 ns MR4_I 00000234 6008d1fc - 1960650 ns MR4_D 40006004 00000001 - 1960650 ns R r2 00000001 - 1960650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1960670 ns R r2 80000000 - 1960670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1960690 ns R psr 81000200 - 1960690 ns MR4_I 00000238 48054770 - 1960710 ns MR4_I 00000230 07d2684a - 1960730 ns IT 00000230 684a LDR r2,[r1,#4] - 1960750 ns MR4_I 00000234 6008d1fc - 1960810 ns MR4_D 40006004 00000001 - 1960810 ns R r2 00000001 - 1960810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1960830 ns R r2 80000000 - 1960830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1960850 ns R psr 81000200 - 1960850 ns MR4_I 00000238 48054770 - 1960870 ns MR4_I 00000230 07d2684a - 1960890 ns IT 00000230 684a LDR r2,[r1,#4] - 1960910 ns MR4_I 00000234 6008d1fc - 1960970 ns MR4_D 40006004 00000001 - 1960970 ns R r2 00000001 - 1960970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1960990 ns R r2 80000000 - 1960990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1961010 ns R psr 81000200 - 1961010 ns MR4_I 00000238 48054770 - 1961030 ns MR4_I 00000230 07d2684a - 1961050 ns IT 00000230 684a LDR r2,[r1,#4] - 1961070 ns MR4_I 00000234 6008d1fc - 1961130 ns MR4_D 40006004 00000001 - 1961130 ns R r2 00000001 - 1961130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1961150 ns R r2 80000000 - 1961150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1961170 ns R psr 81000200 - 1961170 ns MR4_I 00000238 48054770 - 1961190 ns MR4_I 00000230 07d2684a - 1961210 ns IT 00000230 684a LDR r2,[r1,#4] - 1961230 ns MR4_I 00000234 6008d1fc - 1961290 ns MR4_D 40006004 00000001 - 1961290 ns R r2 00000001 - 1961290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1961310 ns R r2 80000000 - 1961310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1961330 ns R psr 81000200 - 1961330 ns MR4_I 00000238 48054770 - 1961350 ns MR4_I 00000230 07d2684a - 1961370 ns IT 00000230 684a LDR r2,[r1,#4] - 1961390 ns MR4_I 00000234 6008d1fc - 1961450 ns MR4_D 40006004 00000001 - 1961450 ns R r2 00000001 - 1961450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1961470 ns R r2 80000000 - 1961470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1961490 ns R psr 81000200 - 1961490 ns MR4_I 00000238 48054770 - 1961510 ns MR4_I 00000230 07d2684a - 1961530 ns IT 00000230 684a LDR r2,[r1,#4] - 1961550 ns MR4_I 00000234 6008d1fc - 1961610 ns MR4_D 40006004 00000001 - 1961610 ns R r2 00000001 - 1961610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1961630 ns R r2 80000000 - 1961630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1961650 ns R psr 81000200 - 1961650 ns MR4_I 00000238 48054770 - 1961670 ns MR4_I 00000230 07d2684a - 1961690 ns IT 00000230 684a LDR r2,[r1,#4] - 1961710 ns MR4_I 00000234 6008d1fc - 1961770 ns MR4_D 40006004 00000001 - 1961770 ns R r2 00000001 - 1961770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1961790 ns R r2 80000000 - 1961790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1961810 ns R psr 81000200 - 1961810 ns MR4_I 00000238 48054770 - 1961830 ns MR4_I 00000230 07d2684a - 1961850 ns IT 00000230 684a LDR r2,[r1,#4] - 1961870 ns MR4_I 00000234 6008d1fc - 1961930 ns MR4_D 40006004 00000001 - 1961930 ns R r2 00000001 - 1961930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1961950 ns R r2 80000000 - 1961950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1961970 ns R psr 81000200 - 1961970 ns MR4_I 00000238 48054770 - 1961990 ns MR4_I 00000230 07d2684a - 1962010 ns IT 00000230 684a LDR r2,[r1,#4] - 1962030 ns MR4_I 00000234 6008d1fc - 1962090 ns MR4_D 40006004 00000001 - 1962090 ns R r2 00000001 - 1962090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1962110 ns R r2 80000000 - 1962110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1962130 ns R psr 81000200 - 1962130 ns MR4_I 00000238 48054770 - 1962150 ns MR4_I 00000230 07d2684a - 1962170 ns IT 00000230 684a LDR r2,[r1,#4] - 1962190 ns MR4_I 00000234 6008d1fc - 1962250 ns MR4_D 40006004 00000001 - 1962250 ns R r2 00000001 - 1962250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1962270 ns R r2 80000000 - 1962270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1962290 ns R psr 81000200 - 1962290 ns MR4_I 00000238 48054770 - 1962310 ns MR4_I 00000230 07d2684a - 1962330 ns IT 00000230 684a LDR r2,[r1,#4] - 1962350 ns MR4_I 00000234 6008d1fc - 1962410 ns MR4_D 40006004 00000001 - 1962410 ns R r2 00000001 - 1962410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1962430 ns R r2 80000000 - 1962430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1962450 ns R psr 81000200 - 1962450 ns MR4_I 00000238 48054770 - 1962470 ns MR4_I 00000230 07d2684a - 1962490 ns IT 00000230 684a LDR r2,[r1,#4] - 1962510 ns MR4_I 00000234 6008d1fc - 1962570 ns MR4_D 40006004 00000001 - 1962570 ns R r2 00000001 - 1962570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1962590 ns R r2 80000000 - 1962590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1962610 ns R psr 81000200 - 1962610 ns MR4_I 00000238 48054770 - 1962630 ns MR4_I 00000230 07d2684a - 1962650 ns IT 00000230 684a LDR r2,[r1,#4] - 1962670 ns MR4_I 00000234 6008d1fc - 1962730 ns MR4_D 40006004 00000001 - 1962730 ns R r2 00000001 - 1962730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1962750 ns R r2 80000000 - 1962750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1962770 ns R psr 81000200 - 1962770 ns MR4_I 00000238 48054770 - 1962790 ns MR4_I 00000230 07d2684a - 1962810 ns IT 00000230 684a LDR r2,[r1,#4] - 1962830 ns MR4_I 00000234 6008d1fc - 1962890 ns MR4_D 40006004 00000001 - 1962890 ns R r2 00000001 - 1962890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1962910 ns R r2 80000000 - 1962910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1962930 ns R psr 81000200 - 1962930 ns MR4_I 00000238 48054770 - 1962950 ns MR4_I 00000230 07d2684a - 1962970 ns IT 00000230 684a LDR r2,[r1,#4] - 1962990 ns MR4_I 00000234 6008d1fc - 1963050 ns MR4_D 40006004 00000001 - 1963050 ns R r2 00000001 - 1963050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1963070 ns R r2 80000000 - 1963070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1963090 ns R psr 81000200 - 1963090 ns MR4_I 00000238 48054770 - 1963110 ns MR4_I 00000230 07d2684a - 1963130 ns IT 00000230 684a LDR r2,[r1,#4] - 1963150 ns MR4_I 00000234 6008d1fc - 1963210 ns MR4_D 40006004 00000001 - 1963210 ns R r2 00000001 - 1963210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1963230 ns R r2 80000000 - 1963230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1963250 ns R psr 81000200 - 1963250 ns MR4_I 00000238 48054770 - 1963270 ns MR4_I 00000230 07d2684a - 1963290 ns IT 00000230 684a LDR r2,[r1,#4] - 1963310 ns MR4_I 00000234 6008d1fc - 1963370 ns MR4_D 40006004 00000001 - 1963370 ns R r2 00000001 - 1963370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1963390 ns R r2 80000000 - 1963390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1963410 ns R psr 81000200 - 1963410 ns MR4_I 00000238 48054770 - 1963430 ns MR4_I 00000230 07d2684a - 1963450 ns IT 00000230 684a LDR r2,[r1,#4] - 1963470 ns MR4_I 00000234 6008d1fc - 1963530 ns MR4_D 40006004 00000001 - 1963530 ns R r2 00000001 - 1963530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1963550 ns R r2 80000000 - 1963550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1963570 ns R psr 81000200 - 1963570 ns MR4_I 00000238 48054770 - 1963590 ns MR4_I 00000230 07d2684a - 1963610 ns IT 00000230 684a LDR r2,[r1,#4] - 1963630 ns MR4_I 00000234 6008d1fc - 1963690 ns MR4_D 40006004 00000001 - 1963690 ns R r2 00000001 - 1963690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1963710 ns R r2 80000000 - 1963710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1963730 ns R psr 81000200 - 1963730 ns MR4_I 00000238 48054770 - 1963750 ns MR4_I 00000230 07d2684a - 1963770 ns IT 00000230 684a LDR r2,[r1,#4] - 1963790 ns MR4_I 00000234 6008d1fc - 1963850 ns MR4_D 40006004 00000001 - 1963850 ns R r2 00000001 - 1963850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1963870 ns R r2 80000000 - 1963870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1963890 ns R psr 81000200 - 1963890 ns MR4_I 00000238 48054770 - 1963910 ns MR4_I 00000230 07d2684a - 1963930 ns IT 00000230 684a LDR r2,[r1,#4] - 1963950 ns MR4_I 00000234 6008d1fc - 1964010 ns MR4_D 40006004 00000001 - 1964010 ns R r2 00000001 - 1964010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1964030 ns R r2 80000000 - 1964030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1964050 ns R psr 81000200 - 1964050 ns MR4_I 00000238 48054770 - 1964070 ns MR4_I 00000230 07d2684a - 1964090 ns IT 00000230 684a LDR r2,[r1,#4] - 1964110 ns MR4_I 00000234 6008d1fc - 1964170 ns MR4_D 40006004 00000001 - 1964170 ns R r2 00000001 - 1964170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1964190 ns R r2 80000000 - 1964190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1964210 ns R psr 81000200 - 1964210 ns MR4_I 00000238 48054770 - 1964230 ns MR4_I 00000230 07d2684a - 1964250 ns IT 00000230 684a LDR r2,[r1,#4] - 1964270 ns MR4_I 00000234 6008d1fc - 1964330 ns MR4_D 40006004 00000001 - 1964330 ns R r2 00000001 - 1964330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1964350 ns R r2 80000000 - 1964350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1964370 ns R psr 81000200 - 1964370 ns MR4_I 00000238 48054770 - 1964390 ns MR4_I 00000230 07d2684a - 1964410 ns IT 00000230 684a LDR r2,[r1,#4] - 1964430 ns MR4_I 00000234 6008d1fc - 1964490 ns MR4_D 40006004 00000001 - 1964490 ns R r2 00000001 - 1964490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1964510 ns R r2 80000000 - 1964510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1964530 ns R psr 81000200 - 1964530 ns MR4_I 00000238 48054770 - 1964550 ns MR4_I 00000230 07d2684a - 1964570 ns IT 00000230 684a LDR r2,[r1,#4] - 1964590 ns MR4_I 00000234 6008d1fc - 1964650 ns MR4_D 40006004 00000001 - 1964650 ns R r2 00000001 - 1964650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1964670 ns R r2 80000000 - 1964670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1964690 ns R psr 81000200 - 1964690 ns MR4_I 00000238 48054770 - 1964710 ns MR4_I 00000230 07d2684a - 1964730 ns IT 00000230 684a LDR r2,[r1,#4] - 1964750 ns MR4_I 00000234 6008d1fc - 1964810 ns MR4_D 40006004 00000001 - 1964810 ns R r2 00000001 - 1964810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1964830 ns R r2 80000000 - 1964830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1964850 ns R psr 81000200 - 1964850 ns MR4_I 00000238 48054770 - 1964870 ns MR4_I 00000230 07d2684a - 1964890 ns IT 00000230 684a LDR r2,[r1,#4] - 1964910 ns MR4_I 00000234 6008d1fc - 1964970 ns MR4_D 40006004 00000001 - 1964970 ns R r2 00000001 - 1964970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1964990 ns R r2 80000000 - 1964990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1965010 ns R psr 81000200 - 1965010 ns MR4_I 00000238 48054770 - 1965030 ns MR4_I 00000230 07d2684a - 1965050 ns IT 00000230 684a LDR r2,[r1,#4] - 1965070 ns MR4_I 00000234 6008d1fc - 1965130 ns MR4_D 40006004 00000001 - 1965130 ns R r2 00000001 - 1965130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1965150 ns R r2 80000000 - 1965150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1965170 ns R psr 81000200 - 1965170 ns MR4_I 00000238 48054770 - 1965190 ns MR4_I 00000230 07d2684a - 1965210 ns IT 00000230 684a LDR r2,[r1,#4] - 1965230 ns MR4_I 00000234 6008d1fc - 1965290 ns MR4_D 40006004 00000001 - 1965290 ns R r2 00000001 - 1965290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1965310 ns R r2 80000000 - 1965310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1965330 ns R psr 81000200 - 1965330 ns MR4_I 00000238 48054770 - 1965350 ns MR4_I 00000230 07d2684a - 1965370 ns IT 00000230 684a LDR r2,[r1,#4] - 1965390 ns MR4_I 00000234 6008d1fc - 1965450 ns MR4_D 40006004 00000001 - 1965450 ns R r2 00000001 - 1965450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1965470 ns R r2 80000000 - 1965470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1965490 ns R psr 81000200 - 1965490 ns MR4_I 00000238 48054770 - 1965510 ns MR4_I 00000230 07d2684a - 1965530 ns IT 00000230 684a LDR r2,[r1,#4] - 1965550 ns MR4_I 00000234 6008d1fc - 1965610 ns MR4_D 40006004 00000001 - 1965610 ns R r2 00000001 - 1965610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1965630 ns R r2 80000000 - 1965630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1965650 ns R psr 81000200 - 1965650 ns MR4_I 00000238 48054770 - 1965670 ns MR4_I 00000230 07d2684a - 1965690 ns IT 00000230 684a LDR r2,[r1,#4] - 1965710 ns MR4_I 00000234 6008d1fc - 1965770 ns MR4_D 40006004 00000001 - 1965770 ns R r2 00000001 - 1965770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1965790 ns R r2 80000000 - 1965790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1965810 ns R psr 81000200 - 1965810 ns MR4_I 00000238 48054770 - 1965830 ns MR4_I 00000230 07d2684a - 1965850 ns IT 00000230 684a LDR r2,[r1,#4] - 1965870 ns MR4_I 00000234 6008d1fc - 1965930 ns MR4_D 40006004 00000001 - 1965930 ns R r2 00000001 - 1965930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1965950 ns R r2 80000000 - 1965950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1965970 ns R psr 81000200 - 1965970 ns MR4_I 00000238 48054770 - 1965990 ns MR4_I 00000230 07d2684a - 1966010 ns IT 00000230 684a LDR r2,[r1,#4] - 1966030 ns MR4_I 00000234 6008d1fc - 1966090 ns MR4_D 40006004 00000001 - 1966090 ns R r2 00000001 - 1966090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1966110 ns R r2 80000000 - 1966110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1966130 ns R psr 81000200 - 1966130 ns MR4_I 00000238 48054770 - 1966150 ns MR4_I 00000230 07d2684a - 1966170 ns IT 00000230 684a LDR r2,[r1,#4] - 1966190 ns MR4_I 00000234 6008d1fc - 1966250 ns MR4_D 40006004 00000001 - 1966250 ns R r2 00000001 - 1966250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1966270 ns R r2 80000000 - 1966270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1966290 ns R psr 81000200 - 1966290 ns MR4_I 00000238 48054770 - 1966310 ns MR4_I 00000230 07d2684a - 1966330 ns IT 00000230 684a LDR r2,[r1,#4] - 1966350 ns MR4_I 00000234 6008d1fc - 1966410 ns MR4_D 40006004 00000001 - 1966410 ns R r2 00000001 - 1966410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1966430 ns R r2 80000000 - 1966430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1966450 ns R psr 81000200 - 1966450 ns MR4_I 00000238 48054770 - 1966470 ns MR4_I 00000230 07d2684a - 1966490 ns IT 00000230 684a LDR r2,[r1,#4] - 1966510 ns MR4_I 00000234 6008d1fc - 1966570 ns MR4_D 40006004 00000001 - 1966570 ns R r2 00000001 - 1966570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1966590 ns R r2 80000000 - 1966590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1966610 ns R psr 81000200 - 1966610 ns MR4_I 00000238 48054770 - 1966630 ns MR4_I 00000230 07d2684a - 1966650 ns IT 00000230 684a LDR r2,[r1,#4] - 1966670 ns MR4_I 00000234 6008d1fc - 1966730 ns MR4_D 40006004 00000001 - 1966730 ns R r2 00000001 - 1966730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1966750 ns R r2 80000000 - 1966750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1966770 ns R psr 81000200 - 1966770 ns MR4_I 00000238 48054770 - 1966790 ns MR4_I 00000230 07d2684a - 1966810 ns IT 00000230 684a LDR r2,[r1,#4] - 1966830 ns MR4_I 00000234 6008d1fc - 1966890 ns MR4_D 40006004 00000001 - 1966890 ns R r2 00000001 - 1966890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1966910 ns R r2 80000000 - 1966910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1966930 ns R psr 81000200 - 1966930 ns MR4_I 00000238 48054770 - 1966950 ns MR4_I 00000230 07d2684a - 1966970 ns IT 00000230 684a LDR r2,[r1,#4] - 1966990 ns MR4_I 00000234 6008d1fc - 1967050 ns MR4_D 40006004 00000001 - 1967050 ns R r2 00000001 - 1967050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1967070 ns R r2 80000000 - 1967070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1967090 ns R psr 81000200 - 1967090 ns MR4_I 00000238 48054770 - 1967110 ns MR4_I 00000230 07d2684a - 1967130 ns IT 00000230 684a LDR r2,[r1,#4] - 1967150 ns MR4_I 00000234 6008d1fc - 1967210 ns MR4_D 40006004 00000001 - 1967210 ns R r2 00000001 - 1967210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1967230 ns R r2 80000000 - 1967230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1967250 ns R psr 81000200 - 1967250 ns MR4_I 00000238 48054770 - 1967270 ns MR4_I 00000230 07d2684a - 1967290 ns IT 00000230 684a LDR r2,[r1,#4] - 1967310 ns MR4_I 00000234 6008d1fc - 1967370 ns MR4_D 40006004 00000001 - 1967370 ns R r2 00000001 - 1967370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1967390 ns R r2 80000000 - 1967390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1967410 ns R psr 81000200 - 1967410 ns MR4_I 00000238 48054770 - 1967430 ns MR4_I 00000230 07d2684a - 1967450 ns IT 00000230 684a LDR r2,[r1,#4] - 1967470 ns MR4_I 00000234 6008d1fc - 1967530 ns MR4_D 40006004 00000001 - 1967530 ns R r2 00000001 - 1967530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1967550 ns R r2 80000000 - 1967550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1967570 ns R psr 81000200 - 1967570 ns MR4_I 00000238 48054770 - 1967590 ns MR4_I 00000230 07d2684a - 1967610 ns IT 00000230 684a LDR r2,[r1,#4] - 1967630 ns MR4_I 00000234 6008d1fc - 1967690 ns MR4_D 40006004 00000001 - 1967690 ns R r2 00000001 - 1967690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1967710 ns R r2 80000000 - 1967710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1967730 ns R psr 81000200 - 1967730 ns MR4_I 00000238 48054770 - 1967750 ns MR4_I 00000230 07d2684a - 1967770 ns IT 00000230 684a LDR r2,[r1,#4] - 1967790 ns MR4_I 00000234 6008d1fc - 1967850 ns MR4_D 40006004 00000001 - 1967850 ns R r2 00000001 - 1967850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1967870 ns R r2 80000000 - 1967870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1967890 ns R psr 81000200 - 1967890 ns MR4_I 00000238 48054770 - 1967910 ns MR4_I 00000230 07d2684a - 1967930 ns IT 00000230 684a LDR r2,[r1,#4] - 1967950 ns MR4_I 00000234 6008d1fc - 1968010 ns MR4_D 40006004 00000001 - 1968010 ns R r2 00000001 - 1968010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1968030 ns R r2 80000000 - 1968030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1968050 ns R psr 81000200 - 1968050 ns MR4_I 00000238 48054770 - 1968070 ns MR4_I 00000230 07d2684a - 1968090 ns IT 00000230 684a LDR r2,[r1,#4] - 1968110 ns MR4_I 00000234 6008d1fc - 1968170 ns MR4_D 40006004 00000001 - 1968170 ns R r2 00000001 - 1968170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1968190 ns R r2 80000000 - 1968190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1968210 ns R psr 81000200 - 1968210 ns MR4_I 00000238 48054770 - 1968230 ns MR4_I 00000230 07d2684a - 1968250 ns IT 00000230 684a LDR r2,[r1,#4] - 1968270 ns MR4_I 00000234 6008d1fc - 1968330 ns MR4_D 40006004 00000001 - 1968330 ns R r2 00000001 - 1968330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1968350 ns R r2 80000000 - 1968350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1968370 ns R psr 81000200 - 1968370 ns MR4_I 00000238 48054770 - 1968390 ns MR4_I 00000230 07d2684a - 1968410 ns IT 00000230 684a LDR r2,[r1,#4] - 1968430 ns MR4_I 00000234 6008d1fc - 1968490 ns MR4_D 40006004 00000001 - 1968490 ns R r2 00000001 - 1968490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1968510 ns R r2 80000000 - 1968510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1968530 ns R psr 81000200 - 1968530 ns MR4_I 00000238 48054770 - 1968550 ns MR4_I 00000230 07d2684a - 1968570 ns IT 00000230 684a LDR r2,[r1,#4] - 1968590 ns MR4_I 00000234 6008d1fc - 1968650 ns MR4_D 40006004 00000001 - 1968650 ns R r2 00000001 - 1968650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1968670 ns R r2 80000000 - 1968670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1968690 ns R psr 81000200 - 1968690 ns MR4_I 00000238 48054770 - 1968710 ns MR4_I 00000230 07d2684a - 1968730 ns IT 00000230 684a LDR r2,[r1,#4] - 1968750 ns MR4_I 00000234 6008d1fc - 1968810 ns MR4_D 40006004 00000001 - 1968810 ns R r2 00000001 - 1968810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1968830 ns R r2 80000000 - 1968830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1968850 ns R psr 81000200 - 1968850 ns MR4_I 00000238 48054770 - 1968870 ns MR4_I 00000230 07d2684a - 1968890 ns IT 00000230 684a LDR r2,[r1,#4] - 1968910 ns MR4_I 00000234 6008d1fc - 1968970 ns MR4_D 40006004 00000001 - 1968970 ns R r2 00000001 - 1968970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1968990 ns R r2 80000000 - 1968990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1969010 ns R psr 81000200 - 1969010 ns MR4_I 00000238 48054770 - 1969030 ns MR4_I 00000230 07d2684a - 1969050 ns IT 00000230 684a LDR r2,[r1,#4] - 1969070 ns MR4_I 00000234 6008d1fc - 1969130 ns MR4_D 40006004 00000001 - 1969130 ns R r2 00000001 - 1969130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1969150 ns R r2 80000000 - 1969150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1969170 ns R psr 81000200 - 1969170 ns MR4_I 00000238 48054770 - 1969190 ns MR4_I 00000230 07d2684a - 1969210 ns IT 00000230 684a LDR r2,[r1,#4] - 1969230 ns MR4_I 00000234 6008d1fc - 1969290 ns MR4_D 40006004 00000001 - 1969290 ns R r2 00000001 - 1969290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1969310 ns R r2 80000000 - 1969310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1969330 ns R psr 81000200 - 1969330 ns MR4_I 00000238 48054770 - 1969350 ns MR4_I 00000230 07d2684a - 1969370 ns IT 00000230 684a LDR r2,[r1,#4] - 1969390 ns MR4_I 00000234 6008d1fc - 1969450 ns MR4_D 40006004 00000001 - 1969450 ns R r2 00000001 - 1969450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1969470 ns R r2 80000000 - 1969470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1969490 ns R psr 81000200 - 1969490 ns MR4_I 00000238 48054770 - 1969510 ns MR4_I 00000230 07d2684a - 1969530 ns IT 00000230 684a LDR r2,[r1,#4] - 1969550 ns MR4_I 00000234 6008d1fc - 1969610 ns MR4_D 40006004 00000001 - 1969610 ns R r2 00000001 - 1969610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1969630 ns R r2 80000000 - 1969630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1969650 ns R psr 81000200 - 1969650 ns MR4_I 00000238 48054770 - 1969670 ns MR4_I 00000230 07d2684a - 1969690 ns IT 00000230 684a LDR r2,[r1,#4] - 1969710 ns MR4_I 00000234 6008d1fc - 1969770 ns MR4_D 40006004 00000001 - 1969770 ns R r2 00000001 - 1969770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1969790 ns R r2 80000000 - 1969790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1969810 ns R psr 81000200 - 1969810 ns MR4_I 00000238 48054770 - 1969830 ns MR4_I 00000230 07d2684a - 1969850 ns IT 00000230 684a LDR r2,[r1,#4] - 1969870 ns MR4_I 00000234 6008d1fc - 1969930 ns MR4_D 40006004 00000001 - 1969930 ns R r2 00000001 - 1969930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1969950 ns R r2 80000000 - 1969950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1969970 ns R psr 81000200 - 1969970 ns MR4_I 00000238 48054770 - 1969990 ns MR4_I 00000230 07d2684a - 1970010 ns IT 00000230 684a LDR r2,[r1,#4] - 1970030 ns MR4_I 00000234 6008d1fc - 1970090 ns MR4_D 40006004 00000001 - 1970090 ns R r2 00000001 - 1970090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1970110 ns R r2 80000000 - 1970110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1970130 ns R psr 81000200 - 1970130 ns MR4_I 00000238 48054770 - 1970150 ns MR4_I 00000230 07d2684a - 1970170 ns IT 00000230 684a LDR r2,[r1,#4] - 1970190 ns MR4_I 00000234 6008d1fc - 1970250 ns MR4_D 40006004 00000001 - 1970250 ns R r2 00000001 - 1970250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1970270 ns R r2 80000000 - 1970270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1970290 ns R psr 81000200 - 1970290 ns MR4_I 00000238 48054770 - 1970310 ns MR4_I 00000230 07d2684a - 1970330 ns IT 00000230 684a LDR r2,[r1,#4] - 1970350 ns MR4_I 00000234 6008d1fc - 1970410 ns MR4_D 40006004 00000001 - 1970410 ns R r2 00000001 - 1970410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1970430 ns R r2 80000000 - 1970430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1970450 ns R psr 81000200 - 1970450 ns MR4_I 00000238 48054770 - 1970470 ns MR4_I 00000230 07d2684a - 1970490 ns IT 00000230 684a LDR r2,[r1,#4] - 1970510 ns MR4_I 00000234 6008d1fc - 1970570 ns MR4_D 40006004 00000001 - 1970570 ns R r2 00000001 - 1970570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1970590 ns R r2 80000000 - 1970590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1970610 ns R psr 81000200 - 1970610 ns MR4_I 00000238 48054770 - 1970630 ns MR4_I 00000230 07d2684a - 1970650 ns IT 00000230 684a LDR r2,[r1,#4] - 1970670 ns MR4_I 00000234 6008d1fc - 1970730 ns MR4_D 40006004 00000001 - 1970730 ns R r2 00000001 - 1970730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1970750 ns R r2 80000000 - 1970750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1970770 ns R psr 81000200 - 1970770 ns MR4_I 00000238 48054770 - 1970790 ns MR4_I 00000230 07d2684a - 1970810 ns IT 00000230 684a LDR r2,[r1,#4] - 1970830 ns MR4_I 00000234 6008d1fc - 1970890 ns MR4_D 40006004 00000001 - 1970890 ns R r2 00000001 - 1970890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1970910 ns R r2 80000000 - 1970910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1970930 ns R psr 81000200 - 1970930 ns MR4_I 00000238 48054770 - 1970950 ns MR4_I 00000230 07d2684a - 1970970 ns IT 00000230 684a LDR r2,[r1,#4] - 1970990 ns MR4_I 00000234 6008d1fc - 1971050 ns MR4_D 40006004 00000001 - 1971050 ns R r2 00000001 - 1971050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1971070 ns R r2 80000000 - 1971070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1971090 ns R psr 81000200 - 1971090 ns MR4_I 00000238 48054770 - 1971110 ns MR4_I 00000230 07d2684a - 1971130 ns IT 00000230 684a LDR r2,[r1,#4] - 1971150 ns MR4_I 00000234 6008d1fc - 1971210 ns MR4_D 40006004 00000001 - 1971210 ns R r2 00000001 - 1971210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1971230 ns R r2 80000000 - 1971230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1971250 ns R psr 81000200 - 1971250 ns MR4_I 00000238 48054770 - 1971270 ns MR4_I 00000230 07d2684a - 1971290 ns IT 00000230 684a LDR r2,[r1,#4] - 1971310 ns MR4_I 00000234 6008d1fc - 1971370 ns MR4_D 40006004 00000001 - 1971370 ns R r2 00000001 - 1971370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1971390 ns R r2 80000000 - 1971390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1971410 ns R psr 81000200 - 1971410 ns MR4_I 00000238 48054770 - 1971430 ns MR4_I 00000230 07d2684a - 1971450 ns IT 00000230 684a LDR r2,[r1,#4] - 1971470 ns MR4_I 00000234 6008d1fc - 1971530 ns MR4_D 40006004 00000001 - 1971530 ns R r2 00000001 - 1971530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1971550 ns R r2 80000000 - 1971550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1971570 ns R psr 81000200 - 1971570 ns MR4_I 00000238 48054770 - 1971590 ns MR4_I 00000230 07d2684a - 1971610 ns IT 00000230 684a LDR r2,[r1,#4] - 1971630 ns MR4_I 00000234 6008d1fc - 1971690 ns MR4_D 40006004 00000001 - 1971690 ns R r2 00000001 - 1971690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1971710 ns R r2 80000000 - 1971710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1971730 ns R psr 81000200 - 1971730 ns MR4_I 00000238 48054770 - 1971750 ns MR4_I 00000230 07d2684a - 1971770 ns IT 00000230 684a LDR r2,[r1,#4] - 1971790 ns MR4_I 00000234 6008d1fc - 1971850 ns MR4_D 40006004 00000001 - 1971850 ns R r2 00000001 - 1971850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1971870 ns R r2 80000000 - 1971870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1971890 ns R psr 81000200 - 1971890 ns MR4_I 00000238 48054770 - 1971910 ns MR4_I 00000230 07d2684a - 1971930 ns IT 00000230 684a LDR r2,[r1,#4] - 1971950 ns MR4_I 00000234 6008d1fc - 1972010 ns MR4_D 40006004 00000000 - 1972010 ns R r2 00000000 - 1972010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1972030 ns R r2 00000000 - 1972030 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1972050 ns R psr 41000200 - 1972050 ns MR4_I 00000238 48054770 - 1972050 ns IT 00000236 6008 STR r0,[r1,#0] - 1972130 ns MW4_D 40006000 00000048 - 1972130 ns IT 00000238 4770 BX lr - 1972150 ns MR4_I 0000023c 07896841 - 1972170 ns R psr 41000200 - 1972170 ns MR4_I 000001fc b510bd10 - 1972190 ns IT 000001fc bd10 POP {r4,pc} - 1972210 ns MR4_I 00000200 f81bf000 - 1972210 ns R r13 200002e0 (MSP) - 1972230 ns MR4_D 200002d8 00000000 - 1972230 ns R r4 00000000 - 1972250 ns MR4_D 200002dc 0000032d - 1972270 ns R psr 41000200 - 1972290 ns MR4_I 0000032c 46301c64 - 1972310 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 1972330 ns MR4_I 00000330 280047a8 - 1972330 ns R r4 00000001 - 1972330 ns IT 0000032e 4630 MOV r0,r6 - 1972350 ns R psr 01000200 - 1972350 ns R r0 200002f8 - 1972350 ns IT 00000330 47a8 BLX r5 - 1972370 ns MR4_I 00000334 4620d1f8 - 1972390 ns R psr 01000200 - 1972390 ns MR4_I 000002a8 1c4a6901 - 1972390 ns R r14 00000333 - 1972410 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 1972430 ns MR4_I 000002ac 78086102 - 1972450 ns MR4_D 20000308 00000181 - 1972450 ns R r1 00000181 - 1972450 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 1972470 ns R r2 00000182 - 1972470 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 1972490 ns R psr 01000200 - 1972490 ns MR4_I 000002b0 b5004770 - 1972510 ns MW4_D 20000308 00000182 - 1972510 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 1972550 ns MR1_D 00000181 6c6c6548 - 1972550 ns R r0 00000065 - 1972550 ns IT 000002b0 4770 BX lr - 1972570 ns MR4_I 000002b4 9102b08f - 1972590 ns R psr 01000200 - 1972590 ns MR4_I 00000330 280047a8 - 1972610 ns MR4_I 00000334 4620d1f8 - 1972610 ns IT 00000332 2800 CMP r0,#0 - 1972630 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 1972650 ns R psr 21000200 - 1972650 ns MR4_I 00000338 b510bdf8 - 1972670 ns MR4_I 00000328 47b89900 - 1972690 ns IT 00000328 9900 LDR r1,[sp,#0] - 1972710 ns MR4_I 0000032c 46301c64 - 1972730 ns MR4_D 200002e0 20000004 - 1972730 ns R r1 20000004 - 1972730 ns IT 0000032a 47b8 BLX r7 - 1972770 ns R psr 21000200 - 1972770 ns MR4_I 000001f4 b2c0b510 - 1972770 ns R r14 0000032d - 1972790 ns IT 000001f4 b510 PUSH {r4,lr} - 1972810 ns MR4_I 000001f8 f819f000 - 1972830 ns MW4_D 200002d8 00000001 - 1972850 ns MW4_D 200002dc 0000032d - 1972850 ns R r13 200002d8 (MSP) - 1972850 ns IT 000001f6 b2c0 UXTB r0,r0 - 1972870 ns R r0 00000065 - 1972870 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 1972890 ns MR4_I 000001fc b510bd10 - 1972910 ns R r14 000001fd - 1972930 ns MR4_I 0000022c 49084770 - 1972950 ns MR4_I 00000230 07d2684a - 1972950 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 1972990 ns MR4_D 00000250 40006000 - 1972990 ns R r1 40006000 - 1972990 ns IT 00000230 684a LDR r2,[r1,#4] - 1973010 ns MR4_I 00000234 6008d1fc - 1973070 ns MR4_D 40006004 00000001 - 1973070 ns R r2 00000001 - 1973070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1973090 ns R r2 80000000 - 1973090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1973110 ns R psr 81000200 - 1973110 ns MR4_I 00000238 48054770 - 1973130 ns MR4_I 00000230 07d2684a - 1973150 ns IT 00000230 684a LDR r2,[r1,#4] - 1973170 ns MR4_I 00000234 6008d1fc - 1973230 ns MR4_D 40006004 00000001 - 1973230 ns R r2 00000001 - 1973230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1973250 ns R r2 80000000 - 1973250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1973270 ns R psr 81000200 - 1973270 ns MR4_I 00000238 48054770 - 1973290 ns MR4_I 00000230 07d2684a - 1973310 ns IT 00000230 684a LDR r2,[r1,#4] - 1973330 ns MR4_I 00000234 6008d1fc - 1973390 ns MR4_D 40006004 00000001 - 1973390 ns R r2 00000001 - 1973390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1973410 ns R r2 80000000 - 1973410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1973430 ns R psr 81000200 - 1973430 ns MR4_I 00000238 48054770 - 1973450 ns MR4_I 00000230 07d2684a - 1973470 ns IT 00000230 684a LDR r2,[r1,#4] - 1973490 ns MR4_I 00000234 6008d1fc - 1973550 ns MR4_D 40006004 00000001 - 1973550 ns R r2 00000001 - 1973550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1973570 ns R r2 80000000 - 1973570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1973590 ns R psr 81000200 - 1973590 ns MR4_I 00000238 48054770 - 1973610 ns MR4_I 00000230 07d2684a - 1973630 ns IT 00000230 684a LDR r2,[r1,#4] - 1973650 ns MR4_I 00000234 6008d1fc - 1973710 ns MR4_D 40006004 00000001 - 1973710 ns R r2 00000001 - 1973710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1973730 ns R r2 80000000 - 1973730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1973750 ns R psr 81000200 - 1973750 ns MR4_I 00000238 48054770 - 1973770 ns MR4_I 00000230 07d2684a - 1973790 ns IT 00000230 684a LDR r2,[r1,#4] - 1973810 ns MR4_I 00000234 6008d1fc - 1973870 ns MR4_D 40006004 00000001 - 1973870 ns R r2 00000001 - 1973870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1973890 ns R r2 80000000 - 1973890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1973910 ns R psr 81000200 - 1973910 ns MR4_I 00000238 48054770 - 1973930 ns MR4_I 00000230 07d2684a - 1973950 ns IT 00000230 684a LDR r2,[r1,#4] - 1973970 ns MR4_I 00000234 6008d1fc - 1974030 ns MR4_D 40006004 00000001 - 1974030 ns R r2 00000001 - 1974030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1974050 ns R r2 80000000 - 1974050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1974070 ns R psr 81000200 - 1974070 ns MR4_I 00000238 48054770 - 1974090 ns MR4_I 00000230 07d2684a - 1974110 ns IT 00000230 684a LDR r2,[r1,#4] - 1974130 ns MR4_I 00000234 6008d1fc - 1974190 ns MR4_D 40006004 00000001 - 1974190 ns R r2 00000001 - 1974190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1974210 ns R r2 80000000 - 1974210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1974230 ns R psr 81000200 - 1974230 ns MR4_I 00000238 48054770 - 1974250 ns MR4_I 00000230 07d2684a - 1974270 ns IT 00000230 684a LDR r2,[r1,#4] - 1974290 ns MR4_I 00000234 6008d1fc - 1974350 ns MR4_D 40006004 00000001 - 1974350 ns R r2 00000001 - 1974350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1974370 ns R r2 80000000 - 1974370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1974390 ns R psr 81000200 - 1974390 ns MR4_I 00000238 48054770 - 1974410 ns MR4_I 00000230 07d2684a - 1974430 ns IT 00000230 684a LDR r2,[r1,#4] - 1974450 ns MR4_I 00000234 6008d1fc - 1974510 ns MR4_D 40006004 00000001 - 1974510 ns R r2 00000001 - 1974510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1974530 ns R r2 80000000 - 1974530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1974550 ns R psr 81000200 - 1974550 ns MR4_I 00000238 48054770 - 1974570 ns MR4_I 00000230 07d2684a - 1974590 ns IT 00000230 684a LDR r2,[r1,#4] - 1974610 ns MR4_I 00000234 6008d1fc - 1974670 ns MR4_D 40006004 00000001 - 1974670 ns R r2 00000001 - 1974670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1974690 ns R r2 80000000 - 1974690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1974710 ns R psr 81000200 - 1974710 ns MR4_I 00000238 48054770 - 1974730 ns MR4_I 00000230 07d2684a - 1974750 ns IT 00000230 684a LDR r2,[r1,#4] - 1974770 ns MR4_I 00000234 6008d1fc - 1974830 ns MR4_D 40006004 00000001 - 1974830 ns R r2 00000001 - 1974830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1974850 ns R r2 80000000 - 1974850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1974870 ns R psr 81000200 - 1974870 ns MR4_I 00000238 48054770 - 1974890 ns MR4_I 00000230 07d2684a - 1974910 ns IT 00000230 684a LDR r2,[r1,#4] - 1974930 ns MR4_I 00000234 6008d1fc - 1974990 ns MR4_D 40006004 00000001 - 1974990 ns R r2 00000001 - 1974990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1975010 ns R r2 80000000 - 1975010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1975030 ns R psr 81000200 - 1975030 ns MR4_I 00000238 48054770 - 1975050 ns MR4_I 00000230 07d2684a - 1975070 ns IT 00000230 684a LDR r2,[r1,#4] - 1975090 ns MR4_I 00000234 6008d1fc - 1975150 ns MR4_D 40006004 00000001 - 1975150 ns R r2 00000001 - 1975150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1975170 ns R r2 80000000 - 1975170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1975190 ns R psr 81000200 - 1975190 ns MR4_I 00000238 48054770 - 1975210 ns MR4_I 00000230 07d2684a - 1975230 ns IT 00000230 684a LDR r2,[r1,#4] - 1975250 ns MR4_I 00000234 6008d1fc - 1975310 ns MR4_D 40006004 00000001 - 1975310 ns R r2 00000001 - 1975310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1975330 ns R r2 80000000 - 1975330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1975350 ns R psr 81000200 - 1975350 ns MR4_I 00000238 48054770 - 1975370 ns MR4_I 00000230 07d2684a - 1975390 ns IT 00000230 684a LDR r2,[r1,#4] - 1975410 ns MR4_I 00000234 6008d1fc - 1975470 ns MR4_D 40006004 00000001 - 1975470 ns R r2 00000001 - 1975470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1975490 ns R r2 80000000 - 1975490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1975510 ns R psr 81000200 - 1975510 ns MR4_I 00000238 48054770 - 1975530 ns MR4_I 00000230 07d2684a - 1975550 ns IT 00000230 684a LDR r2,[r1,#4] - 1975570 ns MR4_I 00000234 6008d1fc - 1975630 ns MR4_D 40006004 00000001 - 1975630 ns R r2 00000001 - 1975630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1975650 ns R r2 80000000 - 1975650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1975670 ns R psr 81000200 - 1975670 ns MR4_I 00000238 48054770 - 1975690 ns MR4_I 00000230 07d2684a - 1975710 ns IT 00000230 684a LDR r2,[r1,#4] - 1975730 ns MR4_I 00000234 6008d1fc - 1975790 ns MR4_D 40006004 00000001 - 1975790 ns R r2 00000001 - 1975790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1975810 ns R r2 80000000 - 1975810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1975830 ns R psr 81000200 - 1975830 ns MR4_I 00000238 48054770 - 1975850 ns MR4_I 00000230 07d2684a - 1975870 ns IT 00000230 684a LDR r2,[r1,#4] - 1975890 ns MR4_I 00000234 6008d1fc - 1975950 ns MR4_D 40006004 00000001 - 1975950 ns R r2 00000001 - 1975950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1975970 ns R r2 80000000 - 1975970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1975990 ns R psr 81000200 - 1975990 ns MR4_I 00000238 48054770 - 1976010 ns MR4_I 00000230 07d2684a - 1976030 ns IT 00000230 684a LDR r2,[r1,#4] - 1976050 ns MR4_I 00000234 6008d1fc - 1976110 ns MR4_D 40006004 00000001 - 1976110 ns R r2 00000001 - 1976110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1976130 ns R r2 80000000 - 1976130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1976150 ns R psr 81000200 - 1976150 ns MR4_I 00000238 48054770 - 1976170 ns MR4_I 00000230 07d2684a - 1976190 ns IT 00000230 684a LDR r2,[r1,#4] - 1976210 ns MR4_I 00000234 6008d1fc - 1976270 ns MR4_D 40006004 00000001 - 1976270 ns R r2 00000001 - 1976270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1976290 ns R r2 80000000 - 1976290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1976310 ns R psr 81000200 - 1976310 ns MR4_I 00000238 48054770 - 1976330 ns MR4_I 00000230 07d2684a - 1976350 ns IT 00000230 684a LDR r2,[r1,#4] - 1976370 ns MR4_I 00000234 6008d1fc - 1976430 ns MR4_D 40006004 00000001 - 1976430 ns R r2 00000001 - 1976430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1976450 ns R r2 80000000 - 1976450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1976470 ns R psr 81000200 - 1976470 ns MR4_I 00000238 48054770 - 1976490 ns MR4_I 00000230 07d2684a - 1976510 ns IT 00000230 684a LDR r2,[r1,#4] - 1976530 ns MR4_I 00000234 6008d1fc - 1976590 ns MR4_D 40006004 00000001 - 1976590 ns R r2 00000001 - 1976590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1976610 ns R r2 80000000 - 1976610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1976630 ns R psr 81000200 - 1976630 ns MR4_I 00000238 48054770 - 1976650 ns MR4_I 00000230 07d2684a - 1976670 ns IT 00000230 684a LDR r2,[r1,#4] - 1976690 ns MR4_I 00000234 6008d1fc - 1976750 ns MR4_D 40006004 00000001 - 1976750 ns R r2 00000001 - 1976750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1976770 ns R r2 80000000 - 1976770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1976790 ns R psr 81000200 - 1976790 ns MR4_I 00000238 48054770 - 1976810 ns MR4_I 00000230 07d2684a - 1976830 ns IT 00000230 684a LDR r2,[r1,#4] - 1976850 ns MR4_I 00000234 6008d1fc - 1976910 ns MR4_D 40006004 00000001 - 1976910 ns R r2 00000001 - 1976910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1976930 ns R r2 80000000 - 1976930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1976950 ns R psr 81000200 - 1976950 ns MR4_I 00000238 48054770 - 1976970 ns MR4_I 00000230 07d2684a - 1976990 ns IT 00000230 684a LDR r2,[r1,#4] - 1977010 ns MR4_I 00000234 6008d1fc - 1977070 ns MR4_D 40006004 00000001 - 1977070 ns R r2 00000001 - 1977070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1977090 ns R r2 80000000 - 1977090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1977110 ns R psr 81000200 - 1977110 ns MR4_I 00000238 48054770 - 1977130 ns MR4_I 00000230 07d2684a - 1977150 ns IT 00000230 684a LDR r2,[r1,#4] - 1977170 ns MR4_I 00000234 6008d1fc - 1977230 ns MR4_D 40006004 00000001 - 1977230 ns R r2 00000001 - 1977230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1977250 ns R r2 80000000 - 1977250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1977270 ns R psr 81000200 - 1977270 ns MR4_I 00000238 48054770 - 1977290 ns MR4_I 00000230 07d2684a - 1977310 ns IT 00000230 684a LDR r2,[r1,#4] - 1977330 ns MR4_I 00000234 6008d1fc - 1977390 ns MR4_D 40006004 00000001 - 1977390 ns R r2 00000001 - 1977390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1977410 ns R r2 80000000 - 1977410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1977430 ns R psr 81000200 - 1977430 ns MR4_I 00000238 48054770 - 1977450 ns MR4_I 00000230 07d2684a - 1977470 ns IT 00000230 684a LDR r2,[r1,#4] - 1977490 ns MR4_I 00000234 6008d1fc - 1977550 ns MR4_D 40006004 00000001 - 1977550 ns R r2 00000001 - 1977550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1977570 ns R r2 80000000 - 1977570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1977590 ns R psr 81000200 - 1977590 ns MR4_I 00000238 48054770 - 1977610 ns MR4_I 00000230 07d2684a - 1977630 ns IT 00000230 684a LDR r2,[r1,#4] - 1977650 ns MR4_I 00000234 6008d1fc - 1977710 ns MR4_D 40006004 00000001 - 1977710 ns R r2 00000001 - 1977710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1977730 ns R r2 80000000 - 1977730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1977750 ns R psr 81000200 - 1977750 ns MR4_I 00000238 48054770 - 1977770 ns MR4_I 00000230 07d2684a - 1977790 ns IT 00000230 684a LDR r2,[r1,#4] - 1977810 ns MR4_I 00000234 6008d1fc - 1977870 ns MR4_D 40006004 00000001 - 1977870 ns R r2 00000001 - 1977870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1977890 ns R r2 80000000 - 1977890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1977910 ns R psr 81000200 - 1977910 ns MR4_I 00000238 48054770 - 1977930 ns MR4_I 00000230 07d2684a - 1977950 ns IT 00000230 684a LDR r2,[r1,#4] - 1977970 ns MR4_I 00000234 6008d1fc - 1978030 ns MR4_D 40006004 00000001 - 1978030 ns R r2 00000001 - 1978030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1978050 ns R r2 80000000 - 1978050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1978070 ns R psr 81000200 - 1978070 ns MR4_I 00000238 48054770 - 1978090 ns MR4_I 00000230 07d2684a - 1978110 ns IT 00000230 684a LDR r2,[r1,#4] - 1978130 ns MR4_I 00000234 6008d1fc - 1978190 ns MR4_D 40006004 00000001 - 1978190 ns R r2 00000001 - 1978190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1978210 ns R r2 80000000 - 1978210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1978230 ns R psr 81000200 - 1978230 ns MR4_I 00000238 48054770 - 1978250 ns MR4_I 00000230 07d2684a - 1978270 ns IT 00000230 684a LDR r2,[r1,#4] - 1978290 ns MR4_I 00000234 6008d1fc - 1978350 ns MR4_D 40006004 00000001 - 1978350 ns R r2 00000001 - 1978350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1978370 ns R r2 80000000 - 1978370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1978390 ns R psr 81000200 - 1978390 ns MR4_I 00000238 48054770 - 1978410 ns MR4_I 00000230 07d2684a - 1978430 ns IT 00000230 684a LDR r2,[r1,#4] - 1978450 ns MR4_I 00000234 6008d1fc - 1978510 ns MR4_D 40006004 00000001 - 1978510 ns R r2 00000001 - 1978510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1978530 ns R r2 80000000 - 1978530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1978550 ns R psr 81000200 - 1978550 ns MR4_I 00000238 48054770 - 1978570 ns MR4_I 00000230 07d2684a - 1978590 ns IT 00000230 684a LDR r2,[r1,#4] - 1978610 ns MR4_I 00000234 6008d1fc - 1978670 ns MR4_D 40006004 00000001 - 1978670 ns R r2 00000001 - 1978670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1978690 ns R r2 80000000 - 1978690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1978710 ns R psr 81000200 - 1978710 ns MR4_I 00000238 48054770 - 1978730 ns MR4_I 00000230 07d2684a - 1978750 ns IT 00000230 684a LDR r2,[r1,#4] - 1978770 ns MR4_I 00000234 6008d1fc - 1978830 ns MR4_D 40006004 00000001 - 1978830 ns R r2 00000001 - 1978830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1978850 ns R r2 80000000 - 1978850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1978870 ns R psr 81000200 - 1978870 ns MR4_I 00000238 48054770 - 1978890 ns MR4_I 00000230 07d2684a - 1978910 ns IT 00000230 684a LDR r2,[r1,#4] - 1978930 ns MR4_I 00000234 6008d1fc - 1978990 ns MR4_D 40006004 00000001 - 1978990 ns R r2 00000001 - 1978990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1979010 ns R r2 80000000 - 1979010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1979030 ns R psr 81000200 - 1979030 ns MR4_I 00000238 48054770 - 1979050 ns MR4_I 00000230 07d2684a - 1979070 ns IT 00000230 684a LDR r2,[r1,#4] - 1979090 ns MR4_I 00000234 6008d1fc - 1979150 ns MR4_D 40006004 00000001 - 1979150 ns R r2 00000001 - 1979150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1979170 ns R r2 80000000 - 1979170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1979190 ns R psr 81000200 - 1979190 ns MR4_I 00000238 48054770 - 1979210 ns MR4_I 00000230 07d2684a - 1979230 ns IT 00000230 684a LDR r2,[r1,#4] - 1979250 ns MR4_I 00000234 6008d1fc - 1979310 ns MR4_D 40006004 00000001 - 1979310 ns R r2 00000001 - 1979310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1979330 ns R r2 80000000 - 1979330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1979350 ns R psr 81000200 - 1979350 ns MR4_I 00000238 48054770 - 1979370 ns MR4_I 00000230 07d2684a - 1979390 ns IT 00000230 684a LDR r2,[r1,#4] - 1979410 ns MR4_I 00000234 6008d1fc - 1979470 ns MR4_D 40006004 00000001 - 1979470 ns R r2 00000001 - 1979470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1979490 ns R r2 80000000 - 1979490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1979510 ns R psr 81000200 - 1979510 ns MR4_I 00000238 48054770 - 1979530 ns MR4_I 00000230 07d2684a - 1979550 ns IT 00000230 684a LDR r2,[r1,#4] - 1979570 ns MR4_I 00000234 6008d1fc - 1979630 ns MR4_D 40006004 00000001 - 1979630 ns R r2 00000001 - 1979630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1979650 ns R r2 80000000 - 1979650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1979670 ns R psr 81000200 - 1979670 ns MR4_I 00000238 48054770 - 1979690 ns MR4_I 00000230 07d2684a - 1979710 ns IT 00000230 684a LDR r2,[r1,#4] - 1979730 ns MR4_I 00000234 6008d1fc - 1979790 ns MR4_D 40006004 00000001 - 1979790 ns R r2 00000001 - 1979790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1979810 ns R r2 80000000 - 1979810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1979830 ns R psr 81000200 - 1979830 ns MR4_I 00000238 48054770 - 1979850 ns MR4_I 00000230 07d2684a - 1979870 ns IT 00000230 684a LDR r2,[r1,#4] - 1979890 ns MR4_I 00000234 6008d1fc - 1979950 ns MR4_D 40006004 00000001 - 1979950 ns R r2 00000001 - 1979950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1979970 ns R r2 80000000 - 1979970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1979990 ns R psr 81000200 - 1979990 ns MR4_I 00000238 48054770 - 1980010 ns MR4_I 00000230 07d2684a - 1980030 ns IT 00000230 684a LDR r2,[r1,#4] - 1980050 ns MR4_I 00000234 6008d1fc - 1980110 ns MR4_D 40006004 00000001 - 1980110 ns R r2 00000001 - 1980110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1980130 ns R r2 80000000 - 1980130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1980150 ns R psr 81000200 - 1980150 ns MR4_I 00000238 48054770 - 1980170 ns MR4_I 00000230 07d2684a - 1980190 ns IT 00000230 684a LDR r2,[r1,#4] - 1980210 ns MR4_I 00000234 6008d1fc - 1980270 ns MR4_D 40006004 00000001 - 1980270 ns R r2 00000001 - 1980270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1980290 ns R r2 80000000 - 1980290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1980310 ns R psr 81000200 - 1980310 ns MR4_I 00000238 48054770 - 1980330 ns MR4_I 00000230 07d2684a - 1980350 ns IT 00000230 684a LDR r2,[r1,#4] - 1980370 ns MR4_I 00000234 6008d1fc - 1980430 ns MR4_D 40006004 00000001 - 1980430 ns R r2 00000001 - 1980430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1980450 ns R r2 80000000 - 1980450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1980470 ns R psr 81000200 - 1980470 ns MR4_I 00000238 48054770 - 1980490 ns MR4_I 00000230 07d2684a - 1980510 ns IT 00000230 684a LDR r2,[r1,#4] - 1980530 ns MR4_I 00000234 6008d1fc - 1980590 ns MR4_D 40006004 00000001 - 1980590 ns R r2 00000001 - 1980590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1980610 ns R r2 80000000 - 1980610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1980630 ns R psr 81000200 - 1980630 ns MR4_I 00000238 48054770 - 1980650 ns MR4_I 00000230 07d2684a - 1980670 ns IT 00000230 684a LDR r2,[r1,#4] - 1980690 ns MR4_I 00000234 6008d1fc - 1980750 ns MR4_D 40006004 00000001 - 1980750 ns R r2 00000001 - 1980750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1980770 ns R r2 80000000 - 1980770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1980790 ns R psr 81000200 - 1980790 ns MR4_I 00000238 48054770 - 1980810 ns MR4_I 00000230 07d2684a - 1980830 ns IT 00000230 684a LDR r2,[r1,#4] - 1980850 ns MR4_I 00000234 6008d1fc - 1980910 ns MR4_D 40006004 00000001 - 1980910 ns R r2 00000001 - 1980910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1980930 ns R r2 80000000 - 1980930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1980950 ns R psr 81000200 - 1980950 ns MR4_I 00000238 48054770 - 1980970 ns MR4_I 00000230 07d2684a - 1980990 ns IT 00000230 684a LDR r2,[r1,#4] - 1981010 ns MR4_I 00000234 6008d1fc - 1981070 ns MR4_D 40006004 00000001 - 1981070 ns R r2 00000001 - 1981070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1981090 ns R r2 80000000 - 1981090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1981110 ns R psr 81000200 - 1981110 ns MR4_I 00000238 48054770 - 1981130 ns MR4_I 00000230 07d2684a - 1981150 ns IT 00000230 684a LDR r2,[r1,#4] - 1981170 ns MR4_I 00000234 6008d1fc - 1981230 ns MR4_D 40006004 00000001 - 1981230 ns R r2 00000001 - 1981230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1981250 ns R r2 80000000 - 1981250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1981270 ns R psr 81000200 - 1981270 ns MR4_I 00000238 48054770 - 1981290 ns MR4_I 00000230 07d2684a - 1981310 ns IT 00000230 684a LDR r2,[r1,#4] - 1981330 ns MR4_I 00000234 6008d1fc - 1981390 ns MR4_D 40006004 00000001 - 1981390 ns R r2 00000001 - 1981390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1981410 ns R r2 80000000 - 1981410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1981430 ns R psr 81000200 - 1981430 ns MR4_I 00000238 48054770 - 1981450 ns MR4_I 00000230 07d2684a - 1981470 ns IT 00000230 684a LDR r2,[r1,#4] - 1981490 ns MR4_I 00000234 6008d1fc - 1981550 ns MR4_D 40006004 00000001 - 1981550 ns R r2 00000001 - 1981550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1981570 ns R r2 80000000 - 1981570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1981590 ns R psr 81000200 - 1981590 ns MR4_I 00000238 48054770 - 1981610 ns MR4_I 00000230 07d2684a - 1981630 ns IT 00000230 684a LDR r2,[r1,#4] - 1981650 ns MR4_I 00000234 6008d1fc - 1981710 ns MR4_D 40006004 00000001 - 1981710 ns R r2 00000001 - 1981710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1981730 ns R r2 80000000 - 1981730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1981750 ns R psr 81000200 - 1981750 ns MR4_I 00000238 48054770 - 1981770 ns MR4_I 00000230 07d2684a - 1981790 ns IT 00000230 684a LDR r2,[r1,#4] - 1981810 ns MR4_I 00000234 6008d1fc - 1981870 ns MR4_D 40006004 00000001 - 1981870 ns R r2 00000001 - 1981870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1981890 ns R r2 80000000 - 1981890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1981910 ns R psr 81000200 - 1981910 ns MR4_I 00000238 48054770 - 1981930 ns MR4_I 00000230 07d2684a - 1981950 ns IT 00000230 684a LDR r2,[r1,#4] - 1981970 ns MR4_I 00000234 6008d1fc - 1982030 ns MR4_D 40006004 00000001 - 1982030 ns R r2 00000001 - 1982030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1982050 ns R r2 80000000 - 1982050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1982070 ns R psr 81000200 - 1982070 ns MR4_I 00000238 48054770 - 1982090 ns MR4_I 00000230 07d2684a - 1982110 ns IT 00000230 684a LDR r2,[r1,#4] - 1982130 ns MR4_I 00000234 6008d1fc - 1982190 ns MR4_D 40006004 00000001 - 1982190 ns R r2 00000001 - 1982190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1982210 ns R r2 80000000 - 1982210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1982230 ns R psr 81000200 - 1982230 ns MR4_I 00000238 48054770 - 1982250 ns MR4_I 00000230 07d2684a - 1982270 ns IT 00000230 684a LDR r2,[r1,#4] - 1982290 ns MR4_I 00000234 6008d1fc - 1982350 ns MR4_D 40006004 00000001 - 1982350 ns R r2 00000001 - 1982350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1982370 ns R r2 80000000 - 1982370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1982390 ns R psr 81000200 - 1982390 ns MR4_I 00000238 48054770 - 1982410 ns MR4_I 00000230 07d2684a - 1982430 ns IT 00000230 684a LDR r2,[r1,#4] - 1982450 ns MR4_I 00000234 6008d1fc - 1982510 ns MR4_D 40006004 00000001 - 1982510 ns R r2 00000001 - 1982510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1982530 ns R r2 80000000 - 1982530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1982550 ns R psr 81000200 - 1982550 ns MR4_I 00000238 48054770 - 1982570 ns MR4_I 00000230 07d2684a - 1982590 ns IT 00000230 684a LDR r2,[r1,#4] - 1982610 ns MR4_I 00000234 6008d1fc - 1982670 ns MR4_D 40006004 00000001 - 1982670 ns R r2 00000001 - 1982670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1982690 ns R r2 80000000 - 1982690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1982710 ns R psr 81000200 - 1982710 ns MR4_I 00000238 48054770 - 1982730 ns MR4_I 00000230 07d2684a - 1982750 ns IT 00000230 684a LDR r2,[r1,#4] - 1982770 ns MR4_I 00000234 6008d1fc - 1982830 ns MR4_D 40006004 00000001 - 1982830 ns R r2 00000001 - 1982830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1982850 ns R r2 80000000 - 1982850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1982870 ns R psr 81000200 - 1982870 ns MR4_I 00000238 48054770 - 1982890 ns MR4_I 00000230 07d2684a - 1982910 ns IT 00000230 684a LDR r2,[r1,#4] - 1982930 ns MR4_I 00000234 6008d1fc - 1982990 ns MR4_D 40006004 00000001 - 1982990 ns R r2 00000001 - 1982990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1983010 ns R r2 80000000 - 1983010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1983030 ns R psr 81000200 - 1983030 ns MR4_I 00000238 48054770 - 1983050 ns MR4_I 00000230 07d2684a - 1983070 ns IT 00000230 684a LDR r2,[r1,#4] - 1983090 ns MR4_I 00000234 6008d1fc - 1983150 ns MR4_D 40006004 00000001 - 1983150 ns R r2 00000001 - 1983150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1983170 ns R r2 80000000 - 1983170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1983190 ns R psr 81000200 - 1983190 ns MR4_I 00000238 48054770 - 1983210 ns MR4_I 00000230 07d2684a - 1983230 ns IT 00000230 684a LDR r2,[r1,#4] - 1983250 ns MR4_I 00000234 6008d1fc - 1983310 ns MR4_D 40006004 00000001 - 1983310 ns R r2 00000001 - 1983310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1983330 ns R r2 80000000 - 1983330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1983350 ns R psr 81000200 - 1983350 ns MR4_I 00000238 48054770 - 1983370 ns MR4_I 00000230 07d2684a - 1983390 ns IT 00000230 684a LDR r2,[r1,#4] - 1983410 ns MR4_I 00000234 6008d1fc - 1983470 ns MR4_D 40006004 00000001 - 1983470 ns R r2 00000001 - 1983470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1983490 ns R r2 80000000 - 1983490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1983510 ns R psr 81000200 - 1983510 ns MR4_I 00000238 48054770 - 1983530 ns MR4_I 00000230 07d2684a - 1983550 ns IT 00000230 684a LDR r2,[r1,#4] - 1983570 ns MR4_I 00000234 6008d1fc - 1983630 ns MR4_D 40006004 00000001 - 1983630 ns R r2 00000001 - 1983630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1983650 ns R r2 80000000 - 1983650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1983670 ns R psr 81000200 - 1983670 ns MR4_I 00000238 48054770 - 1983690 ns MR4_I 00000230 07d2684a - 1983710 ns IT 00000230 684a LDR r2,[r1,#4] - 1983730 ns MR4_I 00000234 6008d1fc - 1983790 ns MR4_D 40006004 00000001 - 1983790 ns R r2 00000001 - 1983790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1983810 ns R r2 80000000 - 1983810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1983830 ns R psr 81000200 - 1983830 ns MR4_I 00000238 48054770 - 1983850 ns MR4_I 00000230 07d2684a - 1983870 ns IT 00000230 684a LDR r2,[r1,#4] - 1983890 ns MR4_I 00000234 6008d1fc - 1983950 ns MR4_D 40006004 00000001 - 1983950 ns R r2 00000001 - 1983950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1983970 ns R r2 80000000 - 1983970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1983990 ns R psr 81000200 - 1983990 ns MR4_I 00000238 48054770 - 1984010 ns MR4_I 00000230 07d2684a - 1984030 ns IT 00000230 684a LDR r2,[r1,#4] - 1984050 ns MR4_I 00000234 6008d1fc - 1984110 ns MR4_D 40006004 00000001 - 1984110 ns R r2 00000001 - 1984110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1984130 ns R r2 80000000 - 1984130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1984150 ns R psr 81000200 - 1984150 ns MR4_I 00000238 48054770 - 1984170 ns MR4_I 00000230 07d2684a - 1984190 ns IT 00000230 684a LDR r2,[r1,#4] - 1984210 ns MR4_I 00000234 6008d1fc - 1984270 ns MR4_D 40006004 00000001 - 1984270 ns R r2 00000001 - 1984270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1984290 ns R r2 80000000 - 1984290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1984310 ns R psr 81000200 - 1984310 ns MR4_I 00000238 48054770 - 1984330 ns MR4_I 00000230 07d2684a - 1984350 ns IT 00000230 684a LDR r2,[r1,#4] - 1984370 ns MR4_I 00000234 6008d1fc - 1984430 ns MR4_D 40006004 00000001 - 1984430 ns R r2 00000001 - 1984430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1984450 ns R r2 80000000 - 1984450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1984470 ns R psr 81000200 - 1984470 ns MR4_I 00000238 48054770 - 1984490 ns MR4_I 00000230 07d2684a - 1984510 ns IT 00000230 684a LDR r2,[r1,#4] - 1984530 ns MR4_I 00000234 6008d1fc - 1984590 ns MR4_D 40006004 00000001 - 1984590 ns R r2 00000001 - 1984590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1984610 ns R r2 80000000 - 1984610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1984630 ns R psr 81000200 - 1984630 ns MR4_I 00000238 48054770 - 1984650 ns MR4_I 00000230 07d2684a - 1984670 ns IT 00000230 684a LDR r2,[r1,#4] - 1984690 ns MR4_I 00000234 6008d1fc - 1984750 ns MR4_D 40006004 00000001 - 1984750 ns R r2 00000001 - 1984750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1984770 ns R r2 80000000 - 1984770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1984790 ns R psr 81000200 - 1984790 ns MR4_I 00000238 48054770 - 1984810 ns MR4_I 00000230 07d2684a - 1984830 ns IT 00000230 684a LDR r2,[r1,#4] - 1984850 ns MR4_I 00000234 6008d1fc - 1984910 ns MR4_D 40006004 00000001 - 1984910 ns R r2 00000001 - 1984910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1984930 ns R r2 80000000 - 1984930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1984950 ns R psr 81000200 - 1984950 ns MR4_I 00000238 48054770 - 1984970 ns MR4_I 00000230 07d2684a - 1984990 ns IT 00000230 684a LDR r2,[r1,#4] - 1985010 ns MR4_I 00000234 6008d1fc - 1985070 ns MR4_D 40006004 00000001 - 1985070 ns R r2 00000001 - 1985070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1985090 ns R r2 80000000 - 1985090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1985110 ns R psr 81000200 - 1985110 ns MR4_I 00000238 48054770 - 1985130 ns MR4_I 00000230 07d2684a - 1985150 ns IT 00000230 684a LDR r2,[r1,#4] - 1985170 ns MR4_I 00000234 6008d1fc - 1985230 ns MR4_D 40006004 00000001 - 1985230 ns R r2 00000001 - 1985230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1985250 ns R r2 80000000 - 1985250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1985270 ns R psr 81000200 - 1985270 ns MR4_I 00000238 48054770 - 1985290 ns MR4_I 00000230 07d2684a - 1985310 ns IT 00000230 684a LDR r2,[r1,#4] - 1985330 ns MR4_I 00000234 6008d1fc - 1985390 ns MR4_D 40006004 00000001 - 1985390 ns R r2 00000001 - 1985390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1985410 ns R r2 80000000 - 1985410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1985430 ns R psr 81000200 - 1985430 ns MR4_I 00000238 48054770 - 1985450 ns MR4_I 00000230 07d2684a - 1985470 ns IT 00000230 684a LDR r2,[r1,#4] - 1985490 ns MR4_I 00000234 6008d1fc - 1985550 ns MR4_D 40006004 00000001 - 1985550 ns R r2 00000001 - 1985550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1985570 ns R r2 80000000 - 1985570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1985590 ns R psr 81000200 - 1985590 ns MR4_I 00000238 48054770 - 1985610 ns MR4_I 00000230 07d2684a - 1985630 ns IT 00000230 684a LDR r2,[r1,#4] - 1985650 ns MR4_I 00000234 6008d1fc - 1985710 ns MR4_D 40006004 00000001 - 1985710 ns R r2 00000001 - 1985710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1985730 ns R r2 80000000 - 1985730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1985750 ns R psr 81000200 - 1985750 ns MR4_I 00000238 48054770 - 1985770 ns MR4_I 00000230 07d2684a - 1985790 ns IT 00000230 684a LDR r2,[r1,#4] - 1985810 ns MR4_I 00000234 6008d1fc - 1985870 ns MR4_D 40006004 00000001 - 1985870 ns R r2 00000001 - 1985870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1985890 ns R r2 80000000 - 1985890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1985910 ns R psr 81000200 - 1985910 ns MR4_I 00000238 48054770 - 1985930 ns MR4_I 00000230 07d2684a - 1985950 ns IT 00000230 684a LDR r2,[r1,#4] - 1985970 ns MR4_I 00000234 6008d1fc - 1986030 ns MR4_D 40006004 00000001 - 1986030 ns R r2 00000001 - 1986030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1986050 ns R r2 80000000 - 1986050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1986070 ns R psr 81000200 - 1986070 ns MR4_I 00000238 48054770 - 1986090 ns MR4_I 00000230 07d2684a - 1986110 ns IT 00000230 684a LDR r2,[r1,#4] - 1986130 ns MR4_I 00000234 6008d1fc - 1986190 ns MR4_D 40006004 00000001 - 1986190 ns R r2 00000001 - 1986190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1986210 ns R r2 80000000 - 1986210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1986230 ns R psr 81000200 - 1986230 ns MR4_I 00000238 48054770 - 1986250 ns MR4_I 00000230 07d2684a - 1986270 ns IT 00000230 684a LDR r2,[r1,#4] - 1986290 ns MR4_I 00000234 6008d1fc - 1986350 ns MR4_D 40006004 00000001 - 1986350 ns R r2 00000001 - 1986350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1986370 ns R r2 80000000 - 1986370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1986390 ns R psr 81000200 - 1986390 ns MR4_I 00000238 48054770 - 1986410 ns MR4_I 00000230 07d2684a - 1986430 ns IT 00000230 684a LDR r2,[r1,#4] - 1986450 ns MR4_I 00000234 6008d1fc - 1986510 ns MR4_D 40006004 00000001 - 1986510 ns R r2 00000001 - 1986510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1986530 ns R r2 80000000 - 1986530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1986550 ns R psr 81000200 - 1986550 ns MR4_I 00000238 48054770 - 1986570 ns MR4_I 00000230 07d2684a - 1986590 ns IT 00000230 684a LDR r2,[r1,#4] - 1986610 ns MR4_I 00000234 6008d1fc - 1986670 ns MR4_D 40006004 00000001 - 1986670 ns R r2 00000001 - 1986670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1986690 ns R r2 80000000 - 1986690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1986710 ns R psr 81000200 - 1986710 ns MR4_I 00000238 48054770 - 1986730 ns MR4_I 00000230 07d2684a - 1986750 ns IT 00000230 684a LDR r2,[r1,#4] - 1986770 ns MR4_I 00000234 6008d1fc - 1986830 ns MR4_D 40006004 00000001 - 1986830 ns R r2 00000001 - 1986830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1986850 ns R r2 80000000 - 1986850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1986870 ns R psr 81000200 - 1986870 ns MR4_I 00000238 48054770 - 1986890 ns MR4_I 00000230 07d2684a - 1986910 ns IT 00000230 684a LDR r2,[r1,#4] - 1986930 ns MR4_I 00000234 6008d1fc - 1986990 ns MR4_D 40006004 00000001 - 1986990 ns R r2 00000001 - 1986990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1987010 ns R r2 80000000 - 1987010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1987030 ns R psr 81000200 - 1987030 ns MR4_I 00000238 48054770 - 1987050 ns MR4_I 00000230 07d2684a - 1987070 ns IT 00000230 684a LDR r2,[r1,#4] - 1987090 ns MR4_I 00000234 6008d1fc - 1987150 ns MR4_D 40006004 00000001 - 1987150 ns R r2 00000001 - 1987150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1987170 ns R r2 80000000 - 1987170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1987190 ns R psr 81000200 - 1987190 ns MR4_I 00000238 48054770 - 1987210 ns MR4_I 00000230 07d2684a - 1987230 ns IT 00000230 684a LDR r2,[r1,#4] - 1987250 ns MR4_I 00000234 6008d1fc - 1987310 ns MR4_D 40006004 00000001 - 1987310 ns R r2 00000001 - 1987310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1987330 ns R r2 80000000 - 1987330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1987350 ns R psr 81000200 - 1987350 ns MR4_I 00000238 48054770 - 1987370 ns MR4_I 00000230 07d2684a - 1987390 ns IT 00000230 684a LDR r2,[r1,#4] - 1987410 ns MR4_I 00000234 6008d1fc - 1987470 ns MR4_D 40006004 00000001 - 1987470 ns R r2 00000001 - 1987470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1987490 ns R r2 80000000 - 1987490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1987510 ns R psr 81000200 - 1987510 ns MR4_I 00000238 48054770 - 1987530 ns MR4_I 00000230 07d2684a - 1987550 ns IT 00000230 684a LDR r2,[r1,#4] - 1987570 ns MR4_I 00000234 6008d1fc - 1987630 ns MR4_D 40006004 00000001 - 1987630 ns R r2 00000001 - 1987630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1987650 ns R r2 80000000 - 1987650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1987670 ns R psr 81000200 - 1987670 ns MR4_I 00000238 48054770 - 1987690 ns MR4_I 00000230 07d2684a - 1987710 ns IT 00000230 684a LDR r2,[r1,#4] - 1987730 ns MR4_I 00000234 6008d1fc - 1987790 ns MR4_D 40006004 00000001 - 1987790 ns R r2 00000001 - 1987790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1987810 ns R r2 80000000 - 1987810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1987830 ns R psr 81000200 - 1987830 ns MR4_I 00000238 48054770 - 1987850 ns MR4_I 00000230 07d2684a - 1987870 ns IT 00000230 684a LDR r2,[r1,#4] - 1987890 ns MR4_I 00000234 6008d1fc - 1987950 ns MR4_D 40006004 00000001 - 1987950 ns R r2 00000001 - 1987950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1987970 ns R r2 80000000 - 1987970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1987990 ns R psr 81000200 - 1987990 ns MR4_I 00000238 48054770 - 1988010 ns MR4_I 00000230 07d2684a - 1988030 ns IT 00000230 684a LDR r2,[r1,#4] - 1988050 ns MR4_I 00000234 6008d1fc - 1988110 ns MR4_D 40006004 00000001 - 1988110 ns R r2 00000001 - 1988110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1988130 ns R r2 80000000 - 1988130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1988150 ns R psr 81000200 - 1988150 ns MR4_I 00000238 48054770 - 1988170 ns MR4_I 00000230 07d2684a - 1988190 ns IT 00000230 684a LDR r2,[r1,#4] - 1988210 ns MR4_I 00000234 6008d1fc - 1988270 ns MR4_D 40006004 00000001 - 1988270 ns R r2 00000001 - 1988270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1988290 ns R r2 80000000 - 1988290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1988310 ns R psr 81000200 - 1988310 ns MR4_I 00000238 48054770 - 1988330 ns MR4_I 00000230 07d2684a - 1988350 ns IT 00000230 684a LDR r2,[r1,#4] - 1988370 ns MR4_I 00000234 6008d1fc - 1988430 ns MR4_D 40006004 00000001 - 1988430 ns R r2 00000001 - 1988430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1988450 ns R r2 80000000 - 1988450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1988470 ns R psr 81000200 - 1988470 ns MR4_I 00000238 48054770 - 1988490 ns MR4_I 00000230 07d2684a - 1988510 ns IT 00000230 684a LDR r2,[r1,#4] - 1988530 ns MR4_I 00000234 6008d1fc - 1988590 ns MR4_D 40006004 00000001 - 1988590 ns R r2 00000001 - 1988590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1988610 ns R r2 80000000 - 1988610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1988630 ns R psr 81000200 - 1988630 ns MR4_I 00000238 48054770 - 1988650 ns MR4_I 00000230 07d2684a - 1988670 ns IT 00000230 684a LDR r2,[r1,#4] - 1988690 ns MR4_I 00000234 6008d1fc - 1988750 ns MR4_D 40006004 00000001 - 1988750 ns R r2 00000001 - 1988750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1988770 ns R r2 80000000 - 1988770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1988790 ns R psr 81000200 - 1988790 ns MR4_I 00000238 48054770 - 1988810 ns MR4_I 00000230 07d2684a - 1988830 ns IT 00000230 684a LDR r2,[r1,#4] - 1988850 ns MR4_I 00000234 6008d1fc - 1988910 ns MR4_D 40006004 00000001 - 1988910 ns R r2 00000001 - 1988910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1988930 ns R r2 80000000 - 1988930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1988950 ns R psr 81000200 - 1988950 ns MR4_I 00000238 48054770 - 1988970 ns MR4_I 00000230 07d2684a - 1988990 ns IT 00000230 684a LDR r2,[r1,#4] - 1989010 ns MR4_I 00000234 6008d1fc - 1989070 ns MR4_D 40006004 00000001 - 1989070 ns R r2 00000001 - 1989070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1989090 ns R r2 80000000 - 1989090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1989110 ns R psr 81000200 - 1989110 ns MR4_I 00000238 48054770 - 1989130 ns MR4_I 00000230 07d2684a - 1989150 ns IT 00000230 684a LDR r2,[r1,#4] - 1989170 ns MR4_I 00000234 6008d1fc - 1989230 ns MR4_D 40006004 00000001 - 1989230 ns R r2 00000001 - 1989230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1989250 ns R r2 80000000 - 1989250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1989270 ns R psr 81000200 - 1989270 ns MR4_I 00000238 48054770 - 1989290 ns MR4_I 00000230 07d2684a - 1989310 ns IT 00000230 684a LDR r2,[r1,#4] - 1989330 ns MR4_I 00000234 6008d1fc - 1989390 ns MR4_D 40006004 00000001 - 1989390 ns R r2 00000001 - 1989390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1989410 ns R r2 80000000 - 1989410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1989430 ns R psr 81000200 - 1989430 ns MR4_I 00000238 48054770 - 1989450 ns MR4_I 00000230 07d2684a - 1989470 ns IT 00000230 684a LDR r2,[r1,#4] - 1989490 ns MR4_I 00000234 6008d1fc - 1989550 ns MR4_D 40006004 00000001 - 1989550 ns R r2 00000001 - 1989550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1989570 ns R r2 80000000 - 1989570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1989590 ns R psr 81000200 - 1989590 ns MR4_I 00000238 48054770 - 1989610 ns MR4_I 00000230 07d2684a - 1989630 ns IT 00000230 684a LDR r2,[r1,#4] - 1989650 ns MR4_I 00000234 6008d1fc - 1989710 ns MR4_D 40006004 00000001 - 1989710 ns R r2 00000001 - 1989710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1989730 ns R r2 80000000 - 1989730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1989750 ns R psr 81000200 - 1989750 ns MR4_I 00000238 48054770 - 1989770 ns MR4_I 00000230 07d2684a - 1989790 ns IT 00000230 684a LDR r2,[r1,#4] - 1989810 ns MR4_I 00000234 6008d1fc - 1989870 ns MR4_D 40006004 00000001 - 1989870 ns R r2 00000001 - 1989870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1989890 ns R r2 80000000 - 1989890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1989910 ns R psr 81000200 - 1989910 ns MR4_I 00000238 48054770 - 1989930 ns MR4_I 00000230 07d2684a - 1989950 ns IT 00000230 684a LDR r2,[r1,#4] - 1989970 ns MR4_I 00000234 6008d1fc - 1990030 ns MR4_D 40006004 00000001 - 1990030 ns R r2 00000001 - 1990030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1990050 ns R r2 80000000 - 1990050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1990070 ns R psr 81000200 - 1990070 ns MR4_I 00000238 48054770 - 1990090 ns MR4_I 00000230 07d2684a - 1990110 ns IT 00000230 684a LDR r2,[r1,#4] - 1990130 ns MR4_I 00000234 6008d1fc - 1990190 ns MR4_D 40006004 00000001 - 1990190 ns R r2 00000001 - 1990190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1990210 ns R r2 80000000 - 1990210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1990230 ns R psr 81000200 - 1990230 ns MR4_I 00000238 48054770 - 1990250 ns MR4_I 00000230 07d2684a - 1990270 ns IT 00000230 684a LDR r2,[r1,#4] - 1990290 ns MR4_I 00000234 6008d1fc - 1990350 ns MR4_D 40006004 00000001 - 1990350 ns R r2 00000001 - 1990350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1990370 ns R r2 80000000 - 1990370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1990390 ns R psr 81000200 - 1990390 ns MR4_I 00000238 48054770 - 1990410 ns MR4_I 00000230 07d2684a - 1990430 ns IT 00000230 684a LDR r2,[r1,#4] - 1990450 ns MR4_I 00000234 6008d1fc - 1990510 ns MR4_D 40006004 00000001 - 1990510 ns R r2 00000001 - 1990510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1990530 ns R r2 80000000 - 1990530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1990550 ns R psr 81000200 - 1990550 ns MR4_I 00000238 48054770 - 1990570 ns MR4_I 00000230 07d2684a - 1990590 ns IT 00000230 684a LDR r2,[r1,#4] - 1990610 ns MR4_I 00000234 6008d1fc - 1990670 ns MR4_D 40006004 00000001 - 1990670 ns R r2 00000001 - 1990670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1990690 ns R r2 80000000 - 1990690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1990710 ns R psr 81000200 - 1990710 ns MR4_I 00000238 48054770 - 1990730 ns MR4_I 00000230 07d2684a - 1990750 ns IT 00000230 684a LDR r2,[r1,#4] - 1990770 ns MR4_I 00000234 6008d1fc - 1990830 ns MR4_D 40006004 00000001 - 1990830 ns R r2 00000001 - 1990830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1990850 ns R r2 80000000 - 1990850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1990870 ns R psr 81000200 - 1990870 ns MR4_I 00000238 48054770 - 1990890 ns MR4_I 00000230 07d2684a - 1990910 ns IT 00000230 684a LDR r2,[r1,#4] - 1990930 ns MR4_I 00000234 6008d1fc - 1990990 ns MR4_D 40006004 00000001 - 1990990 ns R r2 00000001 - 1990990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1991010 ns R r2 80000000 - 1991010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1991030 ns R psr 81000200 - 1991030 ns MR4_I 00000238 48054770 - 1991050 ns MR4_I 00000230 07d2684a - 1991070 ns IT 00000230 684a LDR r2,[r1,#4] - 1991090 ns MR4_I 00000234 6008d1fc - 1991150 ns MR4_D 40006004 00000001 - 1991150 ns R r2 00000001 - 1991150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1991170 ns R r2 80000000 - 1991170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1991190 ns R psr 81000200 - 1991190 ns MR4_I 00000238 48054770 - 1991210 ns MR4_I 00000230 07d2684a - 1991230 ns IT 00000230 684a LDR r2,[r1,#4] - 1991250 ns MR4_I 00000234 6008d1fc - 1991310 ns MR4_D 40006004 00000001 - 1991310 ns R r2 00000001 - 1991310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1991330 ns R r2 80000000 - 1991330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1991350 ns R psr 81000200 - 1991350 ns MR4_I 00000238 48054770 - 1991370 ns MR4_I 00000230 07d2684a - 1991390 ns IT 00000230 684a LDR r2,[r1,#4] - 1991410 ns MR4_I 00000234 6008d1fc - 1991470 ns MR4_D 40006004 00000001 - 1991470 ns R r2 00000001 - 1991470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1991490 ns R r2 80000000 - 1991490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1991510 ns R psr 81000200 - 1991510 ns MR4_I 00000238 48054770 - 1991530 ns MR4_I 00000230 07d2684a - 1991550 ns IT 00000230 684a LDR r2,[r1,#4] - 1991570 ns MR4_I 00000234 6008d1fc - 1991630 ns MR4_D 40006004 00000001 - 1991630 ns R r2 00000001 - 1991630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1991650 ns R r2 80000000 - 1991650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1991670 ns R psr 81000200 - 1991670 ns MR4_I 00000238 48054770 - 1991690 ns MR4_I 00000230 07d2684a - 1991710 ns IT 00000230 684a LDR r2,[r1,#4] - 1991730 ns MR4_I 00000234 6008d1fc - 1991790 ns MR4_D 40006004 00000001 - 1991790 ns R r2 00000001 - 1991790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1991810 ns R r2 80000000 - 1991810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1991830 ns R psr 81000200 - 1991830 ns MR4_I 00000238 48054770 - 1991850 ns MR4_I 00000230 07d2684a - 1991870 ns IT 00000230 684a LDR r2,[r1,#4] - 1991890 ns MR4_I 00000234 6008d1fc - 1991950 ns MR4_D 40006004 00000001 - 1991950 ns R r2 00000001 - 1991950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1991970 ns R r2 80000000 - 1991970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1991990 ns R psr 81000200 - 1991990 ns MR4_I 00000238 48054770 - 1992010 ns MR4_I 00000230 07d2684a - 1992030 ns IT 00000230 684a LDR r2,[r1,#4] - 1992050 ns MR4_I 00000234 6008d1fc - 1992110 ns MR4_D 40006004 00000001 - 1992110 ns R r2 00000001 - 1992110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1992130 ns R r2 80000000 - 1992130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1992150 ns R psr 81000200 - 1992150 ns MR4_I 00000238 48054770 - 1992170 ns MR4_I 00000230 07d2684a - 1992190 ns IT 00000230 684a LDR r2,[r1,#4] - 1992210 ns MR4_I 00000234 6008d1fc - 1992270 ns MR4_D 40006004 00000001 - 1992270 ns R r2 00000001 - 1992270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1992290 ns R r2 80000000 - 1992290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1992310 ns R psr 81000200 - 1992310 ns MR4_I 00000238 48054770 - 1992330 ns MR4_I 00000230 07d2684a - 1992350 ns IT 00000230 684a LDR r2,[r1,#4] - 1992370 ns MR4_I 00000234 6008d1fc - 1992430 ns MR4_D 40006004 00000001 - 1992430 ns R r2 00000001 - 1992430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1992450 ns R r2 80000000 - 1992450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1992470 ns R psr 81000200 - 1992470 ns MR4_I 00000238 48054770 - 1992490 ns MR4_I 00000230 07d2684a - 1992510 ns IT 00000230 684a LDR r2,[r1,#4] - 1992530 ns MR4_I 00000234 6008d1fc - 1992590 ns MR4_D 40006004 00000001 - 1992590 ns R r2 00000001 - 1992590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1992610 ns R r2 80000000 - 1992610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1992630 ns R psr 81000200 - 1992630 ns MR4_I 00000238 48054770 - 1992650 ns MR4_I 00000230 07d2684a - 1992670 ns IT 00000230 684a LDR r2,[r1,#4] - 1992690 ns MR4_I 00000234 6008d1fc - 1992750 ns MR4_D 40006004 00000001 - 1992750 ns R r2 00000001 - 1992750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1992770 ns R r2 80000000 - 1992770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1992790 ns R psr 81000200 - 1992790 ns MR4_I 00000238 48054770 - 1992810 ns MR4_I 00000230 07d2684a - 1992830 ns IT 00000230 684a LDR r2,[r1,#4] - 1992850 ns MR4_I 00000234 6008d1fc - 1992910 ns MR4_D 40006004 00000001 - 1992910 ns R r2 00000001 - 1992910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1992930 ns R r2 80000000 - 1992930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1992950 ns R psr 81000200 - 1992950 ns MR4_I 00000238 48054770 - 1992970 ns MR4_I 00000230 07d2684a - 1992990 ns IT 00000230 684a LDR r2,[r1,#4] - 1993010 ns MR4_I 00000234 6008d1fc - 1993070 ns MR4_D 40006004 00000001 - 1993070 ns R r2 00000001 - 1993070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1993090 ns R r2 80000000 - 1993090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1993110 ns R psr 81000200 - 1993110 ns MR4_I 00000238 48054770 - 1993130 ns MR4_I 00000230 07d2684a - 1993150 ns IT 00000230 684a LDR r2,[r1,#4] - 1993170 ns MR4_I 00000234 6008d1fc - 1993230 ns MR4_D 40006004 00000001 - 1993230 ns R r2 00000001 - 1993230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1993250 ns R r2 80000000 - 1993250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1993270 ns R psr 81000200 - 1993270 ns MR4_I 00000238 48054770 - 1993290 ns MR4_I 00000230 07d2684a - 1993310 ns IT 00000230 684a LDR r2,[r1,#4] - 1993330 ns MR4_I 00000234 6008d1fc - 1993390 ns MR4_D 40006004 00000001 - 1993390 ns R r2 00000001 - 1993390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1993410 ns R r2 80000000 - 1993410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1993430 ns R psr 81000200 - 1993430 ns MR4_I 00000238 48054770 - 1993450 ns MR4_I 00000230 07d2684a - 1993470 ns IT 00000230 684a LDR r2,[r1,#4] - 1993490 ns MR4_I 00000234 6008d1fc - 1993550 ns MR4_D 40006004 00000001 - 1993550 ns R r2 00000001 - 1993550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1993570 ns R r2 80000000 - 1993570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1993590 ns R psr 81000200 - 1993590 ns MR4_I 00000238 48054770 - 1993610 ns MR4_I 00000230 07d2684a - 1993630 ns IT 00000230 684a LDR r2,[r1,#4] - 1993650 ns MR4_I 00000234 6008d1fc - 1993710 ns MR4_D 40006004 00000001 - 1993710 ns R r2 00000001 - 1993710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1993730 ns R r2 80000000 - 1993730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1993750 ns R psr 81000200 - 1993750 ns MR4_I 00000238 48054770 - 1993770 ns MR4_I 00000230 07d2684a - 1993790 ns IT 00000230 684a LDR r2,[r1,#4] - 1993810 ns MR4_I 00000234 6008d1fc - 1993870 ns MR4_D 40006004 00000001 - 1993870 ns R r2 00000001 - 1993870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1993890 ns R r2 80000000 - 1993890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1993910 ns R psr 81000200 - 1993910 ns MR4_I 00000238 48054770 - 1993930 ns MR4_I 00000230 07d2684a - 1993950 ns IT 00000230 684a LDR r2,[r1,#4] - 1993970 ns MR4_I 00000234 6008d1fc - 1994030 ns MR4_D 40006004 00000001 - 1994030 ns R r2 00000001 - 1994030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1994050 ns R r2 80000000 - 1994050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1994070 ns R psr 81000200 - 1994070 ns MR4_I 00000238 48054770 - 1994090 ns MR4_I 00000230 07d2684a - 1994110 ns IT 00000230 684a LDR r2,[r1,#4] - 1994130 ns MR4_I 00000234 6008d1fc - 1994190 ns MR4_D 40006004 00000001 - 1994190 ns R r2 00000001 - 1994190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1994210 ns R r2 80000000 - 1994210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1994230 ns R psr 81000200 - 1994230 ns MR4_I 00000238 48054770 - 1994250 ns MR4_I 00000230 07d2684a - 1994270 ns IT 00000230 684a LDR r2,[r1,#4] - 1994290 ns MR4_I 00000234 6008d1fc - 1994350 ns MR4_D 40006004 00000001 - 1994350 ns R r2 00000001 - 1994350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1994370 ns R r2 80000000 - 1994370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1994390 ns R psr 81000200 - 1994390 ns MR4_I 00000238 48054770 - 1994410 ns MR4_I 00000230 07d2684a - 1994430 ns IT 00000230 684a LDR r2,[r1,#4] - 1994450 ns MR4_I 00000234 6008d1fc - 1994510 ns MR4_D 40006004 00000001 - 1994510 ns R r2 00000001 - 1994510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1994530 ns R r2 80000000 - 1994530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1994550 ns R psr 81000200 - 1994550 ns MR4_I 00000238 48054770 - 1994570 ns MR4_I 00000230 07d2684a - 1994590 ns IT 00000230 684a LDR r2,[r1,#4] - 1994610 ns MR4_I 00000234 6008d1fc - 1994670 ns MR4_D 40006004 00000001 - 1994670 ns R r2 00000001 - 1994670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1994690 ns R r2 80000000 - 1994690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1994710 ns R psr 81000200 - 1994710 ns MR4_I 00000238 48054770 - 1994730 ns MR4_I 00000230 07d2684a - 1994750 ns IT 00000230 684a LDR r2,[r1,#4] - 1994770 ns MR4_I 00000234 6008d1fc - 1994830 ns MR4_D 40006004 00000001 - 1994830 ns R r2 00000001 - 1994830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1994850 ns R r2 80000000 - 1994850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1994870 ns R psr 81000200 - 1994870 ns MR4_I 00000238 48054770 - 1994890 ns MR4_I 00000230 07d2684a - 1994910 ns IT 00000230 684a LDR r2,[r1,#4] - 1994930 ns MR4_I 00000234 6008d1fc - 1994990 ns MR4_D 40006004 00000001 - 1994990 ns R r2 00000001 - 1994990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1995010 ns R r2 80000000 - 1995010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1995030 ns R psr 81000200 - 1995030 ns MR4_I 00000238 48054770 - 1995050 ns MR4_I 00000230 07d2684a - 1995070 ns IT 00000230 684a LDR r2,[r1,#4] - 1995090 ns MR4_I 00000234 6008d1fc - 1995150 ns MR4_D 40006004 00000001 - 1995150 ns R r2 00000001 - 1995150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1995170 ns R r2 80000000 - 1995170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1995190 ns R psr 81000200 - 1995190 ns MR4_I 00000238 48054770 - 1995210 ns MR4_I 00000230 07d2684a - 1995230 ns IT 00000230 684a LDR r2,[r1,#4] - 1995250 ns MR4_I 00000234 6008d1fc - 1995310 ns MR4_D 40006004 00000001 - 1995310 ns R r2 00000001 - 1995310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1995330 ns R r2 80000000 - 1995330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1995350 ns R psr 81000200 - 1995350 ns MR4_I 00000238 48054770 - 1995370 ns MR4_I 00000230 07d2684a - 1995390 ns IT 00000230 684a LDR r2,[r1,#4] - 1995410 ns MR4_I 00000234 6008d1fc - 1995470 ns MR4_D 40006004 00000001 - 1995470 ns R r2 00000001 - 1995470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1995490 ns R r2 80000000 - 1995490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1995510 ns R psr 81000200 - 1995510 ns MR4_I 00000238 48054770 - 1995530 ns MR4_I 00000230 07d2684a - 1995550 ns IT 00000230 684a LDR r2,[r1,#4] - 1995570 ns MR4_I 00000234 6008d1fc - 1995630 ns MR4_D 40006004 00000001 - 1995630 ns R r2 00000001 - 1995630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1995650 ns R r2 80000000 - 1995650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1995670 ns R psr 81000200 - 1995670 ns MR4_I 00000238 48054770 - 1995690 ns MR4_I 00000230 07d2684a - 1995710 ns IT 00000230 684a LDR r2,[r1,#4] - 1995730 ns MR4_I 00000234 6008d1fc - 1995790 ns MR4_D 40006004 00000001 - 1995790 ns R r2 00000001 - 1995790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1995810 ns R r2 80000000 - 1995810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1995830 ns R psr 81000200 - 1995830 ns MR4_I 00000238 48054770 - 1995850 ns MR4_I 00000230 07d2684a - 1995870 ns IT 00000230 684a LDR r2,[r1,#4] - 1995890 ns MR4_I 00000234 6008d1fc - 1995950 ns MR4_D 40006004 00000001 - 1995950 ns R r2 00000001 - 1995950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1995970 ns R r2 80000000 - 1995970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1995990 ns R psr 81000200 - 1995990 ns MR4_I 00000238 48054770 - 1996010 ns MR4_I 00000230 07d2684a - 1996030 ns IT 00000230 684a LDR r2,[r1,#4] - 1996050 ns MR4_I 00000234 6008d1fc - 1996110 ns MR4_D 40006004 00000001 - 1996110 ns R r2 00000001 - 1996110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1996130 ns R r2 80000000 - 1996130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1996150 ns R psr 81000200 - 1996150 ns MR4_I 00000238 48054770 - 1996170 ns MR4_I 00000230 07d2684a - 1996190 ns IT 00000230 684a LDR r2,[r1,#4] - 1996210 ns MR4_I 00000234 6008d1fc - 1996270 ns MR4_D 40006004 00000001 - 1996270 ns R r2 00000001 - 1996270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1996290 ns R r2 80000000 - 1996290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1996310 ns R psr 81000200 - 1996310 ns MR4_I 00000238 48054770 - 1996330 ns MR4_I 00000230 07d2684a - 1996350 ns IT 00000230 684a LDR r2,[r1,#4] - 1996370 ns MR4_I 00000234 6008d1fc - 1996430 ns MR4_D 40006004 00000001 - 1996430 ns R r2 00000001 - 1996430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1996450 ns R r2 80000000 - 1996450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1996470 ns R psr 81000200 - 1996470 ns MR4_I 00000238 48054770 - 1996490 ns MR4_I 00000230 07d2684a - 1996510 ns IT 00000230 684a LDR r2,[r1,#4] - 1996530 ns MR4_I 00000234 6008d1fc - 1996590 ns MR4_D 40006004 00000001 - 1996590 ns R r2 00000001 - 1996590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1996610 ns R r2 80000000 - 1996610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1996630 ns R psr 81000200 - 1996630 ns MR4_I 00000238 48054770 - 1996650 ns MR4_I 00000230 07d2684a - 1996670 ns IT 00000230 684a LDR r2,[r1,#4] - 1996690 ns MR4_I 00000234 6008d1fc - 1996750 ns MR4_D 40006004 00000001 - 1996750 ns R r2 00000001 - 1996750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1996770 ns R r2 80000000 - 1996770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1996790 ns R psr 81000200 - 1996790 ns MR4_I 00000238 48054770 - 1996810 ns MR4_I 00000230 07d2684a - 1996830 ns IT 00000230 684a LDR r2,[r1,#4] - 1996850 ns MR4_I 00000234 6008d1fc - 1996910 ns MR4_D 40006004 00000001 - 1996910 ns R r2 00000001 - 1996910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1996930 ns R r2 80000000 - 1996930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1996950 ns R psr 81000200 - 1996950 ns MR4_I 00000238 48054770 - 1996970 ns MR4_I 00000230 07d2684a - 1996990 ns IT 00000230 684a LDR r2,[r1,#4] - 1997010 ns MR4_I 00000234 6008d1fc - 1997070 ns MR4_D 40006004 00000001 - 1997070 ns R r2 00000001 - 1997070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1997090 ns R r2 80000000 - 1997090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1997110 ns R psr 81000200 - 1997110 ns MR4_I 00000238 48054770 - 1997130 ns MR4_I 00000230 07d2684a - 1997150 ns IT 00000230 684a LDR r2,[r1,#4] - 1997170 ns MR4_I 00000234 6008d1fc - 1997230 ns MR4_D 40006004 00000001 - 1997230 ns R r2 00000001 - 1997230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1997250 ns R r2 80000000 - 1997250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1997270 ns R psr 81000200 - 1997270 ns MR4_I 00000238 48054770 - 1997290 ns MR4_I 00000230 07d2684a - 1997310 ns IT 00000230 684a LDR r2,[r1,#4] - 1997330 ns MR4_I 00000234 6008d1fc - 1997390 ns MR4_D 40006004 00000001 - 1997390 ns R r2 00000001 - 1997390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1997410 ns R r2 80000000 - 1997410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1997430 ns R psr 81000200 - 1997430 ns MR4_I 00000238 48054770 - 1997450 ns MR4_I 00000230 07d2684a - 1997470 ns IT 00000230 684a LDR r2,[r1,#4] - 1997490 ns MR4_I 00000234 6008d1fc - 1997550 ns MR4_D 40006004 00000001 - 1997550 ns R r2 00000001 - 1997550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1997570 ns R r2 80000000 - 1997570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1997590 ns R psr 81000200 - 1997590 ns MR4_I 00000238 48054770 - 1997610 ns MR4_I 00000230 07d2684a - 1997630 ns IT 00000230 684a LDR r2,[r1,#4] - 1997650 ns MR4_I 00000234 6008d1fc - 1997710 ns MR4_D 40006004 00000001 - 1997710 ns R r2 00000001 - 1997710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1997730 ns R r2 80000000 - 1997730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1997750 ns R psr 81000200 - 1997750 ns MR4_I 00000238 48054770 - 1997770 ns MR4_I 00000230 07d2684a - 1997790 ns IT 00000230 684a LDR r2,[r1,#4] - 1997810 ns MR4_I 00000234 6008d1fc - 1997870 ns MR4_D 40006004 00000001 - 1997870 ns R r2 00000001 - 1997870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1997890 ns R r2 80000000 - 1997890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1997910 ns R psr 81000200 - 1997910 ns MR4_I 00000238 48054770 - 1997930 ns MR4_I 00000230 07d2684a - 1997950 ns IT 00000230 684a LDR r2,[r1,#4] - 1997970 ns MR4_I 00000234 6008d1fc - 1998030 ns MR4_D 40006004 00000001 - 1998030 ns R r2 00000001 - 1998030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1998050 ns R r2 80000000 - 1998050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1998070 ns R psr 81000200 - 1998070 ns MR4_I 00000238 48054770 - 1998090 ns MR4_I 00000230 07d2684a - 1998110 ns IT 00000230 684a LDR r2,[r1,#4] - 1998130 ns MR4_I 00000234 6008d1fc - 1998190 ns MR4_D 40006004 00000001 - 1998190 ns R r2 00000001 - 1998190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1998210 ns R r2 80000000 - 1998210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1998230 ns R psr 81000200 - 1998230 ns MR4_I 00000238 48054770 - 1998250 ns MR4_I 00000230 07d2684a - 1998270 ns IT 00000230 684a LDR r2,[r1,#4] - 1998290 ns MR4_I 00000234 6008d1fc - 1998350 ns MR4_D 40006004 00000001 - 1998350 ns R r2 00000001 - 1998350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1998370 ns R r2 80000000 - 1998370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1998390 ns R psr 81000200 - 1998390 ns MR4_I 00000238 48054770 - 1998410 ns MR4_I 00000230 07d2684a - 1998430 ns IT 00000230 684a LDR r2,[r1,#4] - 1998450 ns MR4_I 00000234 6008d1fc - 1998510 ns MR4_D 40006004 00000001 - 1998510 ns R r2 00000001 - 1998510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1998530 ns R r2 80000000 - 1998530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1998550 ns R psr 81000200 - 1998550 ns MR4_I 00000238 48054770 - 1998570 ns MR4_I 00000230 07d2684a - 1998590 ns IT 00000230 684a LDR r2,[r1,#4] - 1998610 ns MR4_I 00000234 6008d1fc - 1998670 ns MR4_D 40006004 00000001 - 1998670 ns R r2 00000001 - 1998670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1998690 ns R r2 80000000 - 1998690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1998710 ns R psr 81000200 - 1998710 ns MR4_I 00000238 48054770 - 1998730 ns MR4_I 00000230 07d2684a - 1998750 ns IT 00000230 684a LDR r2,[r1,#4] - 1998770 ns MR4_I 00000234 6008d1fc - 1998830 ns MR4_D 40006004 00000001 - 1998830 ns R r2 00000001 - 1998830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1998850 ns R r2 80000000 - 1998850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1998870 ns R psr 81000200 - 1998870 ns MR4_I 00000238 48054770 - 1998890 ns MR4_I 00000230 07d2684a - 1998910 ns IT 00000230 684a LDR r2,[r1,#4] - 1998930 ns MR4_I 00000234 6008d1fc - 1998990 ns MR4_D 40006004 00000001 - 1998990 ns R r2 00000001 - 1998990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1999010 ns R r2 80000000 - 1999010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1999030 ns R psr 81000200 - 1999030 ns MR4_I 00000238 48054770 - 1999050 ns MR4_I 00000230 07d2684a - 1999070 ns IT 00000230 684a LDR r2,[r1,#4] - 1999090 ns MR4_I 00000234 6008d1fc - 1999150 ns MR4_D 40006004 00000001 - 1999150 ns R r2 00000001 - 1999150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1999170 ns R r2 80000000 - 1999170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1999190 ns R psr 81000200 - 1999190 ns MR4_I 00000238 48054770 - 1999210 ns MR4_I 00000230 07d2684a - 1999230 ns IT 00000230 684a LDR r2,[r1,#4] - 1999250 ns MR4_I 00000234 6008d1fc - 1999310 ns MR4_D 40006004 00000001 - 1999310 ns R r2 00000001 - 1999310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1999330 ns R r2 80000000 - 1999330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1999350 ns R psr 81000200 - 1999350 ns MR4_I 00000238 48054770 - 1999370 ns MR4_I 00000230 07d2684a - 1999390 ns IT 00000230 684a LDR r2,[r1,#4] - 1999410 ns MR4_I 00000234 6008d1fc - 1999470 ns MR4_D 40006004 00000001 - 1999470 ns R r2 00000001 - 1999470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1999490 ns R r2 80000000 - 1999490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1999510 ns R psr 81000200 - 1999510 ns MR4_I 00000238 48054770 - 1999530 ns MR4_I 00000230 07d2684a - 1999550 ns IT 00000230 684a LDR r2,[r1,#4] - 1999570 ns MR4_I 00000234 6008d1fc - 1999630 ns MR4_D 40006004 00000001 - 1999630 ns R r2 00000001 - 1999630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1999650 ns R r2 80000000 - 1999650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1999670 ns R psr 81000200 - 1999670 ns MR4_I 00000238 48054770 - 1999690 ns MR4_I 00000230 07d2684a - 1999710 ns IT 00000230 684a LDR r2,[r1,#4] - 1999730 ns MR4_I 00000234 6008d1fc - 1999790 ns MR4_D 40006004 00000001 - 1999790 ns R r2 00000001 - 1999790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1999810 ns R r2 80000000 - 1999810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1999830 ns R psr 81000200 - 1999830 ns MR4_I 00000238 48054770 - 1999850 ns MR4_I 00000230 07d2684a - 1999870 ns IT 00000230 684a LDR r2,[r1,#4] - 1999890 ns MR4_I 00000234 6008d1fc - 1999950 ns MR4_D 40006004 00000001 - 1999950 ns R r2 00000001 - 1999950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 1999970 ns R r2 80000000 - 1999970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 1999990 ns R psr 81000200 - 1999990 ns MR4_I 00000238 48054770 - 2000010 ns MR4_I 00000230 07d2684a - 2000030 ns IT 00000230 684a LDR r2,[r1,#4] - 2000050 ns MR4_I 00000234 6008d1fc - 2000110 ns MR4_D 40006004 00000001 - 2000110 ns R r2 00000001 - 2000110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2000130 ns R r2 80000000 - 2000130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2000150 ns R psr 81000200 - 2000150 ns MR4_I 00000238 48054770 - 2000170 ns MR4_I 00000230 07d2684a - 2000190 ns IT 00000230 684a LDR r2,[r1,#4] - 2000210 ns MR4_I 00000234 6008d1fc - 2000270 ns MR4_D 40006004 00000001 - 2000270 ns R r2 00000001 - 2000270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2000290 ns R r2 80000000 - 2000290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2000310 ns R psr 81000200 - 2000310 ns MR4_I 00000238 48054770 - 2000330 ns MR4_I 00000230 07d2684a - 2000350 ns IT 00000230 684a LDR r2,[r1,#4] - 2000370 ns MR4_I 00000234 6008d1fc - 2000430 ns MR4_D 40006004 00000001 - 2000430 ns R r2 00000001 - 2000430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2000450 ns R r2 80000000 - 2000450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2000470 ns R psr 81000200 - 2000470 ns MR4_I 00000238 48054770 - 2000490 ns MR4_I 00000230 07d2684a - 2000510 ns IT 00000230 684a LDR r2,[r1,#4] - 2000530 ns MR4_I 00000234 6008d1fc - 2000590 ns MR4_D 40006004 00000001 - 2000590 ns R r2 00000001 - 2000590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2000610 ns R r2 80000000 - 2000610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2000630 ns R psr 81000200 - 2000630 ns MR4_I 00000238 48054770 - 2000650 ns MR4_I 00000230 07d2684a - 2000670 ns IT 00000230 684a LDR r2,[r1,#4] - 2000690 ns MR4_I 00000234 6008d1fc - 2000750 ns MR4_D 40006004 00000001 - 2000750 ns R r2 00000001 - 2000750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2000770 ns R r2 80000000 - 2000770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2000790 ns R psr 81000200 - 2000790 ns MR4_I 00000238 48054770 - 2000810 ns MR4_I 00000230 07d2684a - 2000830 ns IT 00000230 684a LDR r2,[r1,#4] - 2000850 ns MR4_I 00000234 6008d1fc - 2000910 ns MR4_D 40006004 00000001 - 2000910 ns R r2 00000001 - 2000910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2000930 ns R r2 80000000 - 2000930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2000950 ns R psr 81000200 - 2000950 ns MR4_I 00000238 48054770 - 2000970 ns MR4_I 00000230 07d2684a - 2000990 ns IT 00000230 684a LDR r2,[r1,#4] - 2001010 ns MR4_I 00000234 6008d1fc - 2001070 ns MR4_D 40006004 00000001 - 2001070 ns R r2 00000001 - 2001070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2001090 ns R r2 80000000 - 2001090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2001110 ns R psr 81000200 - 2001110 ns MR4_I 00000238 48054770 - 2001130 ns MR4_I 00000230 07d2684a - 2001150 ns IT 00000230 684a LDR r2,[r1,#4] - 2001170 ns MR4_I 00000234 6008d1fc - 2001230 ns MR4_D 40006004 00000001 - 2001230 ns R r2 00000001 - 2001230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2001250 ns R r2 80000000 - 2001250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2001270 ns R psr 81000200 - 2001270 ns MR4_I 00000238 48054770 - 2001290 ns MR4_I 00000230 07d2684a - 2001310 ns IT 00000230 684a LDR r2,[r1,#4] - 2001330 ns MR4_I 00000234 6008d1fc - 2001390 ns MR4_D 40006004 00000001 - 2001390 ns R r2 00000001 - 2001390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2001410 ns R r2 80000000 - 2001410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2001430 ns R psr 81000200 - 2001430 ns MR4_I 00000238 48054770 - 2001450 ns MR4_I 00000230 07d2684a - 2001470 ns IT 00000230 684a LDR r2,[r1,#4] - 2001490 ns MR4_I 00000234 6008d1fc - 2001550 ns MR4_D 40006004 00000001 - 2001550 ns R r2 00000001 - 2001550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2001570 ns R r2 80000000 - 2001570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2001590 ns R psr 81000200 - 2001590 ns MR4_I 00000238 48054770 - 2001610 ns MR4_I 00000230 07d2684a - 2001630 ns IT 00000230 684a LDR r2,[r1,#4] - 2001650 ns MR4_I 00000234 6008d1fc - 2001710 ns MR4_D 40006004 00000001 - 2001710 ns R r2 00000001 - 2001710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2001730 ns R r2 80000000 - 2001730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2001750 ns R psr 81000200 - 2001750 ns MR4_I 00000238 48054770 - 2001770 ns MR4_I 00000230 07d2684a - 2001790 ns IT 00000230 684a LDR r2,[r1,#4] - 2001810 ns MR4_I 00000234 6008d1fc - 2001870 ns MR4_D 40006004 00000001 - 2001870 ns R r2 00000001 - 2001870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2001890 ns R r2 80000000 - 2001890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2001910 ns R psr 81000200 - 2001910 ns MR4_I 00000238 48054770 - 2001930 ns MR4_I 00000230 07d2684a - 2001950 ns IT 00000230 684a LDR r2,[r1,#4] - 2001970 ns MR4_I 00000234 6008d1fc - 2002030 ns MR4_D 40006004 00000001 - 2002030 ns R r2 00000001 - 2002030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2002050 ns R r2 80000000 - 2002050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2002070 ns R psr 81000200 - 2002070 ns MR4_I 00000238 48054770 - 2002090 ns MR4_I 00000230 07d2684a - 2002110 ns IT 00000230 684a LDR r2,[r1,#4] - 2002130 ns MR4_I 00000234 6008d1fc - 2002190 ns MR4_D 40006004 00000001 - 2002190 ns R r2 00000001 - 2002190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2002210 ns R r2 80000000 - 2002210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2002230 ns R psr 81000200 - 2002230 ns MR4_I 00000238 48054770 - 2002250 ns MR4_I 00000230 07d2684a - 2002270 ns IT 00000230 684a LDR r2,[r1,#4] - 2002290 ns MR4_I 00000234 6008d1fc - 2002350 ns MR4_D 40006004 00000001 - 2002350 ns R r2 00000001 - 2002350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2002370 ns R r2 80000000 - 2002370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2002390 ns R psr 81000200 - 2002390 ns MR4_I 00000238 48054770 - 2002410 ns MR4_I 00000230 07d2684a - 2002430 ns IT 00000230 684a LDR r2,[r1,#4] - 2002450 ns MR4_I 00000234 6008d1fc - 2002510 ns MR4_D 40006004 00000001 - 2002510 ns R r2 00000001 - 2002510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2002530 ns R r2 80000000 - 2002530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2002550 ns R psr 81000200 - 2002550 ns MR4_I 00000238 48054770 - 2002570 ns MR4_I 00000230 07d2684a - 2002590 ns IT 00000230 684a LDR r2,[r1,#4] - 2002610 ns MR4_I 00000234 6008d1fc - 2002670 ns MR4_D 40006004 00000001 - 2002670 ns R r2 00000001 - 2002670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2002690 ns R r2 80000000 - 2002690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2002710 ns R psr 81000200 - 2002710 ns MR4_I 00000238 48054770 - 2002730 ns MR4_I 00000230 07d2684a - 2002750 ns IT 00000230 684a LDR r2,[r1,#4] - 2002770 ns MR4_I 00000234 6008d1fc - 2002830 ns MR4_D 40006004 00000001 - 2002830 ns R r2 00000001 - 2002830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2002850 ns R r2 80000000 - 2002850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2002870 ns R psr 81000200 - 2002870 ns MR4_I 00000238 48054770 - 2002890 ns MR4_I 00000230 07d2684a - 2002910 ns IT 00000230 684a LDR r2,[r1,#4] - 2002930 ns MR4_I 00000234 6008d1fc - 2002990 ns MR4_D 40006004 00000001 - 2002990 ns R r2 00000001 - 2002990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2003010 ns R r2 80000000 - 2003010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2003030 ns R psr 81000200 - 2003030 ns MR4_I 00000238 48054770 - 2003050 ns MR4_I 00000230 07d2684a - 2003070 ns IT 00000230 684a LDR r2,[r1,#4] - 2003090 ns MR4_I 00000234 6008d1fc - 2003150 ns MR4_D 40006004 00000001 - 2003150 ns R r2 00000001 - 2003150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2003170 ns R r2 80000000 - 2003170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2003190 ns R psr 81000200 - 2003190 ns MR4_I 00000238 48054770 - 2003210 ns MR4_I 00000230 07d2684a - 2003230 ns IT 00000230 684a LDR r2,[r1,#4] - 2003250 ns MR4_I 00000234 6008d1fc - 2003310 ns MR4_D 40006004 00000001 - 2003310 ns R r2 00000001 - 2003310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2003330 ns R r2 80000000 - 2003330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2003350 ns R psr 81000200 - 2003350 ns MR4_I 00000238 48054770 - 2003370 ns MR4_I 00000230 07d2684a - 2003390 ns IT 00000230 684a LDR r2,[r1,#4] - 2003410 ns MR4_I 00000234 6008d1fc - 2003470 ns MR4_D 40006004 00000001 - 2003470 ns R r2 00000001 - 2003470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2003490 ns R r2 80000000 - 2003490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2003510 ns R psr 81000200 - 2003510 ns MR4_I 00000238 48054770 - 2003530 ns MR4_I 00000230 07d2684a - 2003550 ns IT 00000230 684a LDR r2,[r1,#4] - 2003570 ns MR4_I 00000234 6008d1fc - 2003630 ns MR4_D 40006004 00000001 - 2003630 ns R r2 00000001 - 2003630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2003650 ns R r2 80000000 - 2003650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2003670 ns R psr 81000200 - 2003670 ns MR4_I 00000238 48054770 - 2003690 ns MR4_I 00000230 07d2684a - 2003710 ns IT 00000230 684a LDR r2,[r1,#4] - 2003730 ns MR4_I 00000234 6008d1fc - 2003790 ns MR4_D 40006004 00000001 - 2003790 ns R r2 00000001 - 2003790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2003810 ns R r2 80000000 - 2003810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2003830 ns R psr 81000200 - 2003830 ns MR4_I 00000238 48054770 - 2003850 ns MR4_I 00000230 07d2684a - 2003870 ns IT 00000230 684a LDR r2,[r1,#4] - 2003890 ns MR4_I 00000234 6008d1fc - 2003950 ns MR4_D 40006004 00000001 - 2003950 ns R r2 00000001 - 2003950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2003970 ns R r2 80000000 - 2003970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2003990 ns R psr 81000200 - 2003990 ns MR4_I 00000238 48054770 - 2004010 ns MR4_I 00000230 07d2684a - 2004030 ns IT 00000230 684a LDR r2,[r1,#4] - 2004050 ns MR4_I 00000234 6008d1fc - 2004110 ns MR4_D 40006004 00000001 - 2004110 ns R r2 00000001 - 2004110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2004130 ns R r2 80000000 - 2004130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2004150 ns R psr 81000200 - 2004150 ns MR4_I 00000238 48054770 - 2004170 ns MR4_I 00000230 07d2684a - 2004190 ns IT 00000230 684a LDR r2,[r1,#4] - 2004210 ns MR4_I 00000234 6008d1fc - 2004270 ns MR4_D 40006004 00000001 - 2004270 ns R r2 00000001 - 2004270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2004290 ns R r2 80000000 - 2004290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2004310 ns R psr 81000200 - 2004310 ns MR4_I 00000238 48054770 - 2004330 ns MR4_I 00000230 07d2684a - 2004350 ns IT 00000230 684a LDR r2,[r1,#4] - 2004370 ns MR4_I 00000234 6008d1fc - 2004430 ns MR4_D 40006004 00000001 - 2004430 ns R r2 00000001 - 2004430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2004450 ns R r2 80000000 - 2004450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2004470 ns R psr 81000200 - 2004470 ns MR4_I 00000238 48054770 - 2004490 ns MR4_I 00000230 07d2684a - 2004510 ns IT 00000230 684a LDR r2,[r1,#4] - 2004530 ns MR4_I 00000234 6008d1fc - 2004590 ns MR4_D 40006004 00000001 - 2004590 ns R r2 00000001 - 2004590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2004610 ns R r2 80000000 - 2004610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2004630 ns R psr 81000200 - 2004630 ns MR4_I 00000238 48054770 - 2004650 ns MR4_I 00000230 07d2684a - 2004670 ns IT 00000230 684a LDR r2,[r1,#4] - 2004690 ns MR4_I 00000234 6008d1fc - 2004750 ns MR4_D 40006004 00000001 - 2004750 ns R r2 00000001 - 2004750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2004770 ns R r2 80000000 - 2004770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2004790 ns R psr 81000200 - 2004790 ns MR4_I 00000238 48054770 - 2004810 ns MR4_I 00000230 07d2684a - 2004830 ns IT 00000230 684a LDR r2,[r1,#4] - 2004850 ns MR4_I 00000234 6008d1fc - 2004910 ns MR4_D 40006004 00000001 - 2004910 ns R r2 00000001 - 2004910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2004930 ns R r2 80000000 - 2004930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2004950 ns R psr 81000200 - 2004950 ns MR4_I 00000238 48054770 - 2004970 ns MR4_I 00000230 07d2684a - 2004990 ns IT 00000230 684a LDR r2,[r1,#4] - 2005010 ns MR4_I 00000234 6008d1fc - 2005070 ns MR4_D 40006004 00000001 - 2005070 ns R r2 00000001 - 2005070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2005090 ns R r2 80000000 - 2005090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2005110 ns R psr 81000200 - 2005110 ns MR4_I 00000238 48054770 - 2005130 ns MR4_I 00000230 07d2684a - 2005150 ns IT 00000230 684a LDR r2,[r1,#4] - 2005170 ns MR4_I 00000234 6008d1fc - 2005230 ns MR4_D 40006004 00000001 - 2005230 ns R r2 00000001 - 2005230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2005250 ns R r2 80000000 - 2005250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2005270 ns R psr 81000200 - 2005270 ns MR4_I 00000238 48054770 - 2005290 ns MR4_I 00000230 07d2684a - 2005310 ns IT 00000230 684a LDR r2,[r1,#4] - 2005330 ns MR4_I 00000234 6008d1fc - 2005390 ns MR4_D 40006004 00000001 - 2005390 ns R r2 00000001 - 2005390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2005410 ns R r2 80000000 - 2005410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2005430 ns R psr 81000200 - 2005430 ns MR4_I 00000238 48054770 - 2005450 ns MR4_I 00000230 07d2684a - 2005470 ns IT 00000230 684a LDR r2,[r1,#4] - 2005490 ns MR4_I 00000234 6008d1fc - 2005550 ns MR4_D 40006004 00000001 - 2005550 ns R r2 00000001 - 2005550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2005570 ns R r2 80000000 - 2005570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2005590 ns R psr 81000200 - 2005590 ns MR4_I 00000238 48054770 - 2005610 ns MR4_I 00000230 07d2684a - 2005630 ns IT 00000230 684a LDR r2,[r1,#4] - 2005650 ns MR4_I 00000234 6008d1fc - 2005710 ns MR4_D 40006004 00000001 - 2005710 ns R r2 00000001 - 2005710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2005730 ns R r2 80000000 - 2005730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2005750 ns R psr 81000200 - 2005750 ns MR4_I 00000238 48054770 - 2005770 ns MR4_I 00000230 07d2684a - 2005790 ns IT 00000230 684a LDR r2,[r1,#4] - 2005810 ns MR4_I 00000234 6008d1fc - 2005870 ns MR4_D 40006004 00000001 - 2005870 ns R r2 00000001 - 2005870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2005890 ns R r2 80000000 - 2005890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2005910 ns R psr 81000200 - 2005910 ns MR4_I 00000238 48054770 - 2005930 ns MR4_I 00000230 07d2684a - 2005950 ns IT 00000230 684a LDR r2,[r1,#4] - 2005970 ns MR4_I 00000234 6008d1fc - 2006030 ns MR4_D 40006004 00000001 - 2006030 ns R r2 00000001 - 2006030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2006050 ns R r2 80000000 - 2006050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2006070 ns R psr 81000200 - 2006070 ns MR4_I 00000238 48054770 - 2006090 ns MR4_I 00000230 07d2684a - 2006110 ns IT 00000230 684a LDR r2,[r1,#4] - 2006130 ns MR4_I 00000234 6008d1fc - 2006190 ns MR4_D 40006004 00000001 - 2006190 ns R r2 00000001 - 2006190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2006210 ns R r2 80000000 - 2006210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2006230 ns R psr 81000200 - 2006230 ns MR4_I 00000238 48054770 - 2006250 ns MR4_I 00000230 07d2684a - 2006270 ns IT 00000230 684a LDR r2,[r1,#4] - 2006290 ns MR4_I 00000234 6008d1fc - 2006350 ns MR4_D 40006004 00000001 - 2006350 ns R r2 00000001 - 2006350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2006370 ns R r2 80000000 - 2006370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2006390 ns R psr 81000200 - 2006390 ns MR4_I 00000238 48054770 - 2006410 ns MR4_I 00000230 07d2684a - 2006430 ns IT 00000230 684a LDR r2,[r1,#4] - 2006450 ns MR4_I 00000234 6008d1fc - 2006510 ns MR4_D 40006004 00000001 - 2006510 ns R r2 00000001 - 2006510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2006530 ns R r2 80000000 - 2006530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2006550 ns R psr 81000200 - 2006550 ns MR4_I 00000238 48054770 - 2006570 ns MR4_I 00000230 07d2684a - 2006590 ns IT 00000230 684a LDR r2,[r1,#4] - 2006610 ns MR4_I 00000234 6008d1fc - 2006670 ns MR4_D 40006004 00000001 - 2006670 ns R r2 00000001 - 2006670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2006690 ns R r2 80000000 - 2006690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2006710 ns R psr 81000200 - 2006710 ns MR4_I 00000238 48054770 - 2006730 ns MR4_I 00000230 07d2684a - 2006750 ns IT 00000230 684a LDR r2,[r1,#4] - 2006770 ns MR4_I 00000234 6008d1fc - 2006830 ns MR4_D 40006004 00000001 - 2006830 ns R r2 00000001 - 2006830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2006850 ns R r2 80000000 - 2006850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2006870 ns R psr 81000200 - 2006870 ns MR4_I 00000238 48054770 - 2006890 ns MR4_I 00000230 07d2684a - 2006910 ns IT 00000230 684a LDR r2,[r1,#4] - 2006930 ns MR4_I 00000234 6008d1fc - 2006990 ns MR4_D 40006004 00000001 - 2006990 ns R r2 00000001 - 2006990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2007010 ns R r2 80000000 - 2007010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2007030 ns R psr 81000200 - 2007030 ns MR4_I 00000238 48054770 - 2007050 ns MR4_I 00000230 07d2684a - 2007070 ns IT 00000230 684a LDR r2,[r1,#4] - 2007090 ns MR4_I 00000234 6008d1fc - 2007150 ns MR4_D 40006004 00000001 - 2007150 ns R r2 00000001 - 2007150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2007170 ns R r2 80000000 - 2007170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2007190 ns R psr 81000200 - 2007190 ns MR4_I 00000238 48054770 - 2007210 ns MR4_I 00000230 07d2684a - 2007230 ns IT 00000230 684a LDR r2,[r1,#4] - 2007250 ns MR4_I 00000234 6008d1fc - 2007310 ns MR4_D 40006004 00000001 - 2007310 ns R r2 00000001 - 2007310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2007330 ns R r2 80000000 - 2007330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2007350 ns R psr 81000200 - 2007350 ns MR4_I 00000238 48054770 - 2007370 ns MR4_I 00000230 07d2684a - 2007390 ns IT 00000230 684a LDR r2,[r1,#4] - 2007410 ns MR4_I 00000234 6008d1fc - 2007470 ns MR4_D 40006004 00000001 - 2007470 ns R r2 00000001 - 2007470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2007490 ns R r2 80000000 - 2007490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2007510 ns R psr 81000200 - 2007510 ns MR4_I 00000238 48054770 - 2007530 ns MR4_I 00000230 07d2684a - 2007550 ns IT 00000230 684a LDR r2,[r1,#4] - 2007570 ns MR4_I 00000234 6008d1fc - 2007630 ns MR4_D 40006004 00000001 - 2007630 ns R r2 00000001 - 2007630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2007650 ns R r2 80000000 - 2007650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2007670 ns R psr 81000200 - 2007670 ns MR4_I 00000238 48054770 - 2007690 ns MR4_I 00000230 07d2684a - 2007710 ns IT 00000230 684a LDR r2,[r1,#4] - 2007730 ns MR4_I 00000234 6008d1fc - 2007790 ns MR4_D 40006004 00000001 - 2007790 ns R r2 00000001 - 2007790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2007810 ns R r2 80000000 - 2007810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2007830 ns R psr 81000200 - 2007830 ns MR4_I 00000238 48054770 - 2007850 ns MR4_I 00000230 07d2684a - 2007870 ns IT 00000230 684a LDR r2,[r1,#4] - 2007890 ns MR4_I 00000234 6008d1fc - 2007950 ns MR4_D 40006004 00000001 - 2007950 ns R r2 00000001 - 2007950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2007970 ns R r2 80000000 - 2007970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2007990 ns R psr 81000200 - 2007990 ns MR4_I 00000238 48054770 - 2008010 ns MR4_I 00000230 07d2684a - 2008030 ns IT 00000230 684a LDR r2,[r1,#4] - 2008050 ns MR4_I 00000234 6008d1fc - 2008110 ns MR4_D 40006004 00000001 - 2008110 ns R r2 00000001 - 2008110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2008130 ns R r2 80000000 - 2008130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2008150 ns R psr 81000200 - 2008150 ns MR4_I 00000238 48054770 - 2008170 ns MR4_I 00000230 07d2684a - 2008190 ns IT 00000230 684a LDR r2,[r1,#4] - 2008210 ns MR4_I 00000234 6008d1fc - 2008270 ns MR4_D 40006004 00000001 - 2008270 ns R r2 00000001 - 2008270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2008290 ns R r2 80000000 - 2008290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2008310 ns R psr 81000200 - 2008310 ns MR4_I 00000238 48054770 - 2008330 ns MR4_I 00000230 07d2684a - 2008350 ns IT 00000230 684a LDR r2,[r1,#4] - 2008370 ns MR4_I 00000234 6008d1fc - 2008430 ns MR4_D 40006004 00000001 - 2008430 ns R r2 00000001 - 2008430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2008450 ns R r2 80000000 - 2008450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2008470 ns R psr 81000200 - 2008470 ns MR4_I 00000238 48054770 - 2008490 ns MR4_I 00000230 07d2684a - 2008510 ns IT 00000230 684a LDR r2,[r1,#4] - 2008530 ns MR4_I 00000234 6008d1fc - 2008590 ns MR4_D 40006004 00000001 - 2008590 ns R r2 00000001 - 2008590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2008610 ns R r2 80000000 - 2008610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2008630 ns R psr 81000200 - 2008630 ns MR4_I 00000238 48054770 - 2008650 ns MR4_I 00000230 07d2684a - 2008670 ns IT 00000230 684a LDR r2,[r1,#4] - 2008690 ns MR4_I 00000234 6008d1fc - 2008750 ns MR4_D 40006004 00000001 - 2008750 ns R r2 00000001 - 2008750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2008770 ns R r2 80000000 - 2008770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2008790 ns R psr 81000200 - 2008790 ns MR4_I 00000238 48054770 - 2008810 ns MR4_I 00000230 07d2684a - 2008830 ns IT 00000230 684a LDR r2,[r1,#4] - 2008850 ns MR4_I 00000234 6008d1fc - 2008910 ns MR4_D 40006004 00000001 - 2008910 ns R r2 00000001 - 2008910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2008930 ns R r2 80000000 - 2008930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2008950 ns R psr 81000200 - 2008950 ns MR4_I 00000238 48054770 - 2008970 ns MR4_I 00000230 07d2684a - 2008990 ns IT 00000230 684a LDR r2,[r1,#4] - 2009010 ns MR4_I 00000234 6008d1fc - 2009070 ns MR4_D 40006004 00000001 - 2009070 ns R r2 00000001 - 2009070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2009090 ns R r2 80000000 - 2009090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2009110 ns R psr 81000200 - 2009110 ns MR4_I 00000238 48054770 - 2009130 ns MR4_I 00000230 07d2684a - 2009150 ns IT 00000230 684a LDR r2,[r1,#4] - 2009170 ns MR4_I 00000234 6008d1fc - 2009230 ns MR4_D 40006004 00000001 - 2009230 ns R r2 00000001 - 2009230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2009250 ns R r2 80000000 - 2009250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2009270 ns R psr 81000200 - 2009270 ns MR4_I 00000238 48054770 - 2009290 ns MR4_I 00000230 07d2684a - 2009310 ns IT 00000230 684a LDR r2,[r1,#4] - 2009330 ns MR4_I 00000234 6008d1fc - 2009390 ns MR4_D 40006004 00000001 - 2009390 ns R r2 00000001 - 2009390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2009410 ns R r2 80000000 - 2009410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2009430 ns R psr 81000200 - 2009430 ns MR4_I 00000238 48054770 - 2009450 ns MR4_I 00000230 07d2684a - 2009470 ns IT 00000230 684a LDR r2,[r1,#4] - 2009490 ns MR4_I 00000234 6008d1fc - 2009550 ns MR4_D 40006004 00000001 - 2009550 ns R r2 00000001 - 2009550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2009570 ns R r2 80000000 - 2009570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2009590 ns R psr 81000200 - 2009590 ns MR4_I 00000238 48054770 - 2009610 ns MR4_I 00000230 07d2684a - 2009630 ns IT 00000230 684a LDR r2,[r1,#4] - 2009650 ns MR4_I 00000234 6008d1fc - 2009710 ns MR4_D 40006004 00000001 - 2009710 ns R r2 00000001 - 2009710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2009730 ns R r2 80000000 - 2009730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2009750 ns R psr 81000200 - 2009750 ns MR4_I 00000238 48054770 - 2009770 ns MR4_I 00000230 07d2684a - 2009790 ns IT 00000230 684a LDR r2,[r1,#4] - 2009810 ns MR4_I 00000234 6008d1fc - 2009870 ns MR4_D 40006004 00000001 - 2009870 ns R r2 00000001 - 2009870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2009890 ns R r2 80000000 - 2009890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2009910 ns R psr 81000200 - 2009910 ns MR4_I 00000238 48054770 - 2009930 ns MR4_I 00000230 07d2684a - 2009950 ns IT 00000230 684a LDR r2,[r1,#4] - 2009970 ns MR4_I 00000234 6008d1fc - 2010030 ns MR4_D 40006004 00000001 - 2010030 ns R r2 00000001 - 2010030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2010050 ns R r2 80000000 - 2010050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2010070 ns R psr 81000200 - 2010070 ns MR4_I 00000238 48054770 - 2010090 ns MR4_I 00000230 07d2684a - 2010110 ns IT 00000230 684a LDR r2,[r1,#4] - 2010130 ns MR4_I 00000234 6008d1fc - 2010190 ns MR4_D 40006004 00000001 - 2010190 ns R r2 00000001 - 2010190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2010210 ns R r2 80000000 - 2010210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2010230 ns R psr 81000200 - 2010230 ns MR4_I 00000238 48054770 - 2010250 ns MR4_I 00000230 07d2684a - 2010270 ns IT 00000230 684a LDR r2,[r1,#4] - 2010290 ns MR4_I 00000234 6008d1fc - 2010350 ns MR4_D 40006004 00000001 - 2010350 ns R r2 00000001 - 2010350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2010370 ns R r2 80000000 - 2010370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2010390 ns R psr 81000200 - 2010390 ns MR4_I 00000238 48054770 - 2010410 ns MR4_I 00000230 07d2684a - 2010430 ns IT 00000230 684a LDR r2,[r1,#4] - 2010450 ns MR4_I 00000234 6008d1fc - 2010510 ns MR4_D 40006004 00000001 - 2010510 ns R r2 00000001 - 2010510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2010530 ns R r2 80000000 - 2010530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2010550 ns R psr 81000200 - 2010550 ns MR4_I 00000238 48054770 - 2010570 ns MR4_I 00000230 07d2684a - 2010590 ns IT 00000230 684a LDR r2,[r1,#4] - 2010610 ns MR4_I 00000234 6008d1fc - 2010670 ns MR4_D 40006004 00000001 - 2010670 ns R r2 00000001 - 2010670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2010690 ns R r2 80000000 - 2010690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2010710 ns R psr 81000200 - 2010710 ns MR4_I 00000238 48054770 - 2010730 ns MR4_I 00000230 07d2684a - 2010750 ns IT 00000230 684a LDR r2,[r1,#4] - 2010770 ns MR4_I 00000234 6008d1fc - 2010830 ns MR4_D 40006004 00000001 - 2010830 ns R r2 00000001 - 2010830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2010850 ns R r2 80000000 - 2010850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2010870 ns R psr 81000200 - 2010870 ns MR4_I 00000238 48054770 - 2010890 ns MR4_I 00000230 07d2684a - 2010910 ns IT 00000230 684a LDR r2,[r1,#4] - 2010930 ns MR4_I 00000234 6008d1fc - 2010990 ns MR4_D 40006004 00000001 - 2010990 ns R r2 00000001 - 2010990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2011010 ns R r2 80000000 - 2011010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2011030 ns R psr 81000200 - 2011030 ns MR4_I 00000238 48054770 - 2011050 ns MR4_I 00000230 07d2684a - 2011070 ns IT 00000230 684a LDR r2,[r1,#4] - 2011090 ns MR4_I 00000234 6008d1fc - 2011150 ns MR4_D 40006004 00000001 - 2011150 ns R r2 00000001 - 2011150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2011170 ns R r2 80000000 - 2011170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2011190 ns R psr 81000200 - 2011190 ns MR4_I 00000238 48054770 - 2011210 ns MR4_I 00000230 07d2684a - 2011230 ns IT 00000230 684a LDR r2,[r1,#4] - 2011250 ns MR4_I 00000234 6008d1fc - 2011310 ns MR4_D 40006004 00000001 - 2011310 ns R r2 00000001 - 2011310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2011330 ns R r2 80000000 - 2011330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2011350 ns R psr 81000200 - 2011350 ns MR4_I 00000238 48054770 - 2011370 ns MR4_I 00000230 07d2684a - 2011390 ns IT 00000230 684a LDR r2,[r1,#4] - 2011410 ns MR4_I 00000234 6008d1fc - 2011470 ns MR4_D 40006004 00000001 - 2011470 ns R r2 00000001 - 2011470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2011490 ns R r2 80000000 - 2011490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2011510 ns R psr 81000200 - 2011510 ns MR4_I 00000238 48054770 - 2011530 ns MR4_I 00000230 07d2684a - 2011550 ns IT 00000230 684a LDR r2,[r1,#4] - 2011570 ns MR4_I 00000234 6008d1fc - 2011630 ns MR4_D 40006004 00000001 - 2011630 ns R r2 00000001 - 2011630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2011650 ns R r2 80000000 - 2011650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2011670 ns R psr 81000200 - 2011670 ns MR4_I 00000238 48054770 - 2011690 ns MR4_I 00000230 07d2684a - 2011710 ns IT 00000230 684a LDR r2,[r1,#4] - 2011730 ns MR4_I 00000234 6008d1fc - 2011790 ns MR4_D 40006004 00000001 - 2011790 ns R r2 00000001 - 2011790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2011810 ns R r2 80000000 - 2011810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2011830 ns R psr 81000200 - 2011830 ns MR4_I 00000238 48054770 - 2011850 ns MR4_I 00000230 07d2684a - 2011870 ns IT 00000230 684a LDR r2,[r1,#4] - 2011890 ns MR4_I 00000234 6008d1fc - 2011950 ns MR4_D 40006004 00000001 - 2011950 ns R r2 00000001 - 2011950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2011970 ns R r2 80000000 - 2011970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2011990 ns R psr 81000200 - 2011990 ns MR4_I 00000238 48054770 - 2012010 ns MR4_I 00000230 07d2684a - 2012030 ns IT 00000230 684a LDR r2,[r1,#4] - 2012050 ns MR4_I 00000234 6008d1fc - 2012110 ns MR4_D 40006004 00000001 - 2012110 ns R r2 00000001 - 2012110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2012130 ns R r2 80000000 - 2012130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2012150 ns R psr 81000200 - 2012150 ns MR4_I 00000238 48054770 - 2012170 ns MR4_I 00000230 07d2684a - 2012190 ns IT 00000230 684a LDR r2,[r1,#4] - 2012210 ns MR4_I 00000234 6008d1fc - 2012270 ns MR4_D 40006004 00000001 - 2012270 ns R r2 00000001 - 2012270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2012290 ns R r2 80000000 - 2012290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2012310 ns R psr 81000200 - 2012310 ns MR4_I 00000238 48054770 - 2012330 ns MR4_I 00000230 07d2684a - 2012350 ns IT 00000230 684a LDR r2,[r1,#4] - 2012370 ns MR4_I 00000234 6008d1fc - 2012430 ns MR4_D 40006004 00000001 - 2012430 ns R r2 00000001 - 2012430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2012450 ns R r2 80000000 - 2012450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2012470 ns R psr 81000200 - 2012470 ns MR4_I 00000238 48054770 - 2012490 ns MR4_I 00000230 07d2684a - 2012510 ns IT 00000230 684a LDR r2,[r1,#4] - 2012530 ns MR4_I 00000234 6008d1fc - 2012590 ns MR4_D 40006004 00000001 - 2012590 ns R r2 00000001 - 2012590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2012610 ns R r2 80000000 - 2012610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2012630 ns R psr 81000200 - 2012630 ns MR4_I 00000238 48054770 - 2012650 ns MR4_I 00000230 07d2684a - 2012670 ns IT 00000230 684a LDR r2,[r1,#4] - 2012690 ns MR4_I 00000234 6008d1fc - 2012750 ns MR4_D 40006004 00000001 - 2012750 ns R r2 00000001 - 2012750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2012770 ns R r2 80000000 - 2012770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2012790 ns R psr 81000200 - 2012790 ns MR4_I 00000238 48054770 - 2012810 ns MR4_I 00000230 07d2684a - 2012830 ns IT 00000230 684a LDR r2,[r1,#4] - 2012850 ns MR4_I 00000234 6008d1fc - 2012910 ns MR4_D 40006004 00000001 - 2012910 ns R r2 00000001 - 2012910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2012930 ns R r2 80000000 - 2012930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2012950 ns R psr 81000200 - 2012950 ns MR4_I 00000238 48054770 - 2012970 ns MR4_I 00000230 07d2684a - 2012990 ns IT 00000230 684a LDR r2,[r1,#4] - 2013010 ns MR4_I 00000234 6008d1fc - 2013070 ns MR4_D 40006004 00000001 - 2013070 ns R r2 00000001 - 2013070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2013090 ns R r2 80000000 - 2013090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2013110 ns R psr 81000200 - 2013110 ns MR4_I 00000238 48054770 - 2013130 ns MR4_I 00000230 07d2684a - 2013150 ns IT 00000230 684a LDR r2,[r1,#4] - 2013170 ns MR4_I 00000234 6008d1fc - 2013230 ns MR4_D 40006004 00000001 - 2013230 ns R r2 00000001 - 2013230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2013250 ns R r2 80000000 - 2013250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2013270 ns R psr 81000200 - 2013270 ns MR4_I 00000238 48054770 - 2013290 ns MR4_I 00000230 07d2684a - 2013310 ns IT 00000230 684a LDR r2,[r1,#4] - 2013330 ns MR4_I 00000234 6008d1fc - 2013390 ns MR4_D 40006004 00000001 - 2013390 ns R r2 00000001 - 2013390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2013410 ns R r2 80000000 - 2013410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2013430 ns R psr 81000200 - 2013430 ns MR4_I 00000238 48054770 - 2013450 ns MR4_I 00000230 07d2684a - 2013470 ns IT 00000230 684a LDR r2,[r1,#4] - 2013490 ns MR4_I 00000234 6008d1fc - 2013550 ns MR4_D 40006004 00000000 - 2013550 ns R r2 00000000 - 2013550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2013570 ns R r2 00000000 - 2013570 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2013590 ns R psr 41000200 - 2013590 ns MR4_I 00000238 48054770 - 2013590 ns IT 00000236 6008 STR r0,[r1,#0] - 2013670 ns MW4_D 40006000 00000065 - 2013670 ns IT 00000238 4770 BX lr - 2013690 ns MR4_I 0000023c 07896841 - 2013710 ns R psr 41000200 - 2013710 ns MR4_I 000001fc b510bd10 - 2013730 ns IT 000001fc bd10 POP {r4,pc} - 2013750 ns MR4_I 00000200 f81bf000 - 2013750 ns R r13 200002e0 (MSP) - 2013770 ns MR4_D 200002d8 00000001 - 2013770 ns R r4 00000001 - 2013790 ns MR4_D 200002dc 0000032d - 2013810 ns R psr 41000200 - 2013830 ns MR4_I 0000032c 46301c64 - 2013850 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 2013870 ns MR4_I 00000330 280047a8 - 2013870 ns R r4 00000002 - 2013870 ns IT 0000032e 4630 MOV r0,r6 - 2013890 ns R psr 01000200 - 2013890 ns R r0 200002f8 - 2013890 ns IT 00000330 47a8 BLX r5 - 2013910 ns MR4_I 00000334 4620d1f8 - 2013930 ns R psr 01000200 - 2013930 ns MR4_I 000002a8 1c4a6901 - 2013930 ns R r14 00000333 - 2013950 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2013970 ns MR4_I 000002ac 78086102 - 2013990 ns MR4_D 20000308 00000182 - 2013990 ns R r1 00000182 - 2013990 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2014010 ns R r2 00000183 - 2014010 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2014030 ns R psr 01000200 - 2014030 ns MR4_I 000002b0 b5004770 - 2014050 ns MW4_D 20000308 00000183 - 2014050 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2014090 ns MR1_D 00000182 6c6c6548 - 2014090 ns R r0 0000006c - 2014090 ns IT 000002b0 4770 BX lr - 2014110 ns MR4_I 000002b4 9102b08f - 2014130 ns R psr 01000200 - 2014130 ns MR4_I 00000330 280047a8 - 2014150 ns MR4_I 00000334 4620d1f8 - 2014150 ns IT 00000332 2800 CMP r0,#0 - 2014170 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2014190 ns R psr 21000200 - 2014190 ns MR4_I 00000338 b510bdf8 - 2014210 ns MR4_I 00000328 47b89900 - 2014230 ns IT 00000328 9900 LDR r1,[sp,#0] - 2014250 ns MR4_I 0000032c 46301c64 - 2014270 ns MR4_D 200002e0 20000004 - 2014270 ns R r1 20000004 - 2014270 ns IT 0000032a 47b8 BLX r7 - 2014310 ns R psr 21000200 - 2014310 ns MR4_I 000001f4 b2c0b510 - 2014310 ns R r14 0000032d - 2014330 ns IT 000001f4 b510 PUSH {r4,lr} - 2014350 ns MR4_I 000001f8 f819f000 - 2014370 ns MW4_D 200002d8 00000002 - 2014390 ns MW4_D 200002dc 0000032d - 2014390 ns R r13 200002d8 (MSP) - 2014390 ns IT 000001f6 b2c0 UXTB r0,r0 - 2014410 ns R r0 0000006c - 2014410 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 2014430 ns MR4_I 000001fc b510bd10 - 2014450 ns R r14 000001fd - 2014470 ns MR4_I 0000022c 49084770 - 2014490 ns MR4_I 00000230 07d2684a - 2014490 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 2014530 ns MR4_D 00000250 40006000 - 2014530 ns R r1 40006000 - 2014530 ns IT 00000230 684a LDR r2,[r1,#4] - 2014550 ns MR4_I 00000234 6008d1fc - 2014610 ns MR4_D 40006004 00000001 - 2014610 ns R r2 00000001 - 2014610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2014630 ns R r2 80000000 - 2014630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2014650 ns R psr 81000200 - 2014650 ns MR4_I 00000238 48054770 - 2014670 ns MR4_I 00000230 07d2684a - 2014690 ns IT 00000230 684a LDR r2,[r1,#4] - 2014710 ns MR4_I 00000234 6008d1fc - 2014770 ns MR4_D 40006004 00000001 - 2014770 ns R r2 00000001 - 2014770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2014790 ns R r2 80000000 - 2014790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2014810 ns R psr 81000200 - 2014810 ns MR4_I 00000238 48054770 - 2014830 ns MR4_I 00000230 07d2684a - 2014850 ns IT 00000230 684a LDR r2,[r1,#4] - 2014870 ns MR4_I 00000234 6008d1fc - 2014930 ns MR4_D 40006004 00000001 - 2014930 ns R r2 00000001 - 2014930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2014950 ns R r2 80000000 - 2014950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2014970 ns R psr 81000200 - 2014970 ns MR4_I 00000238 48054770 - 2014990 ns MR4_I 00000230 07d2684a - 2015010 ns IT 00000230 684a LDR r2,[r1,#4] - 2015030 ns MR4_I 00000234 6008d1fc - 2015090 ns MR4_D 40006004 00000001 - 2015090 ns R r2 00000001 - 2015090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2015110 ns R r2 80000000 - 2015110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2015130 ns R psr 81000200 - 2015130 ns MR4_I 00000238 48054770 - 2015150 ns MR4_I 00000230 07d2684a - 2015170 ns IT 00000230 684a LDR r2,[r1,#4] - 2015190 ns MR4_I 00000234 6008d1fc - 2015250 ns MR4_D 40006004 00000001 - 2015250 ns R r2 00000001 - 2015250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2015270 ns R r2 80000000 - 2015270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2015290 ns R psr 81000200 - 2015290 ns MR4_I 00000238 48054770 - 2015310 ns MR4_I 00000230 07d2684a - 2015330 ns IT 00000230 684a LDR r2,[r1,#4] - 2015350 ns MR4_I 00000234 6008d1fc - 2015410 ns MR4_D 40006004 00000001 - 2015410 ns R r2 00000001 - 2015410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2015430 ns R r2 80000000 - 2015430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2015450 ns R psr 81000200 - 2015450 ns MR4_I 00000238 48054770 - 2015470 ns MR4_I 00000230 07d2684a - 2015490 ns IT 00000230 684a LDR r2,[r1,#4] - 2015510 ns MR4_I 00000234 6008d1fc - 2015570 ns MR4_D 40006004 00000001 - 2015570 ns R r2 00000001 - 2015570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2015590 ns R r2 80000000 - 2015590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2015610 ns R psr 81000200 - 2015610 ns MR4_I 00000238 48054770 - 2015630 ns MR4_I 00000230 07d2684a - 2015650 ns IT 00000230 684a LDR r2,[r1,#4] - 2015670 ns MR4_I 00000234 6008d1fc - 2015730 ns MR4_D 40006004 00000001 - 2015730 ns R r2 00000001 - 2015730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2015750 ns R r2 80000000 - 2015750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2015770 ns R psr 81000200 - 2015770 ns MR4_I 00000238 48054770 - 2015790 ns MR4_I 00000230 07d2684a - 2015810 ns IT 00000230 684a LDR r2,[r1,#4] - 2015830 ns MR4_I 00000234 6008d1fc - 2015890 ns MR4_D 40006004 00000001 - 2015890 ns R r2 00000001 - 2015890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2015910 ns R r2 80000000 - 2015910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2015930 ns R psr 81000200 - 2015930 ns MR4_I 00000238 48054770 - 2015950 ns MR4_I 00000230 07d2684a - 2015970 ns IT 00000230 684a LDR r2,[r1,#4] - 2015990 ns MR4_I 00000234 6008d1fc - 2016050 ns MR4_D 40006004 00000001 - 2016050 ns R r2 00000001 - 2016050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2016070 ns R r2 80000000 - 2016070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2016090 ns R psr 81000200 - 2016090 ns MR4_I 00000238 48054770 - 2016110 ns MR4_I 00000230 07d2684a - 2016130 ns IT 00000230 684a LDR r2,[r1,#4] - 2016150 ns MR4_I 00000234 6008d1fc - 2016210 ns MR4_D 40006004 00000001 - 2016210 ns R r2 00000001 - 2016210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2016230 ns R r2 80000000 - 2016230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2016250 ns R psr 81000200 - 2016250 ns MR4_I 00000238 48054770 - 2016270 ns MR4_I 00000230 07d2684a - 2016290 ns IT 00000230 684a LDR r2,[r1,#4] - 2016310 ns MR4_I 00000234 6008d1fc - 2016370 ns MR4_D 40006004 00000001 - 2016370 ns R r2 00000001 - 2016370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2016390 ns R r2 80000000 - 2016390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2016410 ns R psr 81000200 - 2016410 ns MR4_I 00000238 48054770 - 2016430 ns MR4_I 00000230 07d2684a - 2016450 ns IT 00000230 684a LDR r2,[r1,#4] - 2016470 ns MR4_I 00000234 6008d1fc - 2016530 ns MR4_D 40006004 00000001 - 2016530 ns R r2 00000001 - 2016530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2016550 ns R r2 80000000 - 2016550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2016570 ns R psr 81000200 - 2016570 ns MR4_I 00000238 48054770 - 2016590 ns MR4_I 00000230 07d2684a - 2016610 ns IT 00000230 684a LDR r2,[r1,#4] - 2016630 ns MR4_I 00000234 6008d1fc - 2016690 ns MR4_D 40006004 00000001 - 2016690 ns R r2 00000001 - 2016690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2016710 ns R r2 80000000 - 2016710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2016730 ns R psr 81000200 - 2016730 ns MR4_I 00000238 48054770 - 2016750 ns MR4_I 00000230 07d2684a - 2016770 ns IT 00000230 684a LDR r2,[r1,#4] - 2016790 ns MR4_I 00000234 6008d1fc - 2016850 ns MR4_D 40006004 00000001 - 2016850 ns R r2 00000001 - 2016850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2016870 ns R r2 80000000 - 2016870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2016890 ns R psr 81000200 - 2016890 ns MR4_I 00000238 48054770 - 2016910 ns MR4_I 00000230 07d2684a - 2016930 ns IT 00000230 684a LDR r2,[r1,#4] - 2016950 ns MR4_I 00000234 6008d1fc - 2017010 ns MR4_D 40006004 00000001 - 2017010 ns R r2 00000001 - 2017010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2017030 ns R r2 80000000 - 2017030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2017050 ns R psr 81000200 - 2017050 ns MR4_I 00000238 48054770 - 2017070 ns MR4_I 00000230 07d2684a - 2017090 ns IT 00000230 684a LDR r2,[r1,#4] - 2017110 ns MR4_I 00000234 6008d1fc - 2017170 ns MR4_D 40006004 00000001 - 2017170 ns R r2 00000001 - 2017170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2017190 ns R r2 80000000 - 2017190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2017210 ns R psr 81000200 - 2017210 ns MR4_I 00000238 48054770 - 2017230 ns MR4_I 00000230 07d2684a - 2017250 ns IT 00000230 684a LDR r2,[r1,#4] - 2017270 ns MR4_I 00000234 6008d1fc - 2017330 ns MR4_D 40006004 00000001 - 2017330 ns R r2 00000001 - 2017330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2017350 ns R r2 80000000 - 2017350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2017370 ns R psr 81000200 - 2017370 ns MR4_I 00000238 48054770 - 2017390 ns MR4_I 00000230 07d2684a - 2017410 ns IT 00000230 684a LDR r2,[r1,#4] - 2017430 ns MR4_I 00000234 6008d1fc - 2017490 ns MR4_D 40006004 00000001 - 2017490 ns R r2 00000001 - 2017490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2017510 ns R r2 80000000 - 2017510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2017530 ns R psr 81000200 - 2017530 ns MR4_I 00000238 48054770 - 2017550 ns MR4_I 00000230 07d2684a - 2017570 ns IT 00000230 684a LDR r2,[r1,#4] - 2017590 ns MR4_I 00000234 6008d1fc - 2017650 ns MR4_D 40006004 00000001 - 2017650 ns R r2 00000001 - 2017650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2017670 ns R r2 80000000 - 2017670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2017690 ns R psr 81000200 - 2017690 ns MR4_I 00000238 48054770 - 2017710 ns MR4_I 00000230 07d2684a - 2017730 ns IT 00000230 684a LDR r2,[r1,#4] - 2017750 ns MR4_I 00000234 6008d1fc - 2017810 ns MR4_D 40006004 00000001 - 2017810 ns R r2 00000001 - 2017810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2017830 ns R r2 80000000 - 2017830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2017850 ns R psr 81000200 - 2017850 ns MR4_I 00000238 48054770 - 2017870 ns MR4_I 00000230 07d2684a - 2017890 ns IT 00000230 684a LDR r2,[r1,#4] - 2017910 ns MR4_I 00000234 6008d1fc - 2017970 ns MR4_D 40006004 00000001 - 2017970 ns R r2 00000001 - 2017970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2017990 ns R r2 80000000 - 2017990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2018010 ns R psr 81000200 - 2018010 ns MR4_I 00000238 48054770 - 2018030 ns MR4_I 00000230 07d2684a - 2018050 ns IT 00000230 684a LDR r2,[r1,#4] - 2018070 ns MR4_I 00000234 6008d1fc - 2018130 ns MR4_D 40006004 00000001 - 2018130 ns R r2 00000001 - 2018130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2018150 ns R r2 80000000 - 2018150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2018170 ns R psr 81000200 - 2018170 ns MR4_I 00000238 48054770 - 2018190 ns MR4_I 00000230 07d2684a - 2018210 ns IT 00000230 684a LDR r2,[r1,#4] - 2018230 ns MR4_I 00000234 6008d1fc - 2018290 ns MR4_D 40006004 00000001 - 2018290 ns R r2 00000001 - 2018290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2018310 ns R r2 80000000 - 2018310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2018330 ns R psr 81000200 - 2018330 ns MR4_I 00000238 48054770 - 2018350 ns MR4_I 00000230 07d2684a - 2018370 ns IT 00000230 684a LDR r2,[r1,#4] - 2018390 ns MR4_I 00000234 6008d1fc - 2018450 ns MR4_D 40006004 00000001 - 2018450 ns R r2 00000001 - 2018450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2018470 ns R r2 80000000 - 2018470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2018490 ns R psr 81000200 - 2018490 ns MR4_I 00000238 48054770 - 2018510 ns MR4_I 00000230 07d2684a - 2018530 ns IT 00000230 684a LDR r2,[r1,#4] - 2018550 ns MR4_I 00000234 6008d1fc - 2018610 ns MR4_D 40006004 00000001 - 2018610 ns R r2 00000001 - 2018610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2018630 ns R r2 80000000 - 2018630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2018650 ns R psr 81000200 - 2018650 ns MR4_I 00000238 48054770 - 2018670 ns MR4_I 00000230 07d2684a - 2018690 ns IT 00000230 684a LDR r2,[r1,#4] - 2018710 ns MR4_I 00000234 6008d1fc - 2018770 ns MR4_D 40006004 00000001 - 2018770 ns R r2 00000001 - 2018770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2018790 ns R r2 80000000 - 2018790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2018810 ns R psr 81000200 - 2018810 ns MR4_I 00000238 48054770 - 2018830 ns MR4_I 00000230 07d2684a - 2018850 ns IT 00000230 684a LDR r2,[r1,#4] - 2018870 ns MR4_I 00000234 6008d1fc - 2018930 ns MR4_D 40006004 00000001 - 2018930 ns R r2 00000001 - 2018930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2018950 ns R r2 80000000 - 2018950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2018970 ns R psr 81000200 - 2018970 ns MR4_I 00000238 48054770 - 2018990 ns MR4_I 00000230 07d2684a - 2019010 ns IT 00000230 684a LDR r2,[r1,#4] - 2019030 ns MR4_I 00000234 6008d1fc - 2019090 ns MR4_D 40006004 00000001 - 2019090 ns R r2 00000001 - 2019090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2019110 ns R r2 80000000 - 2019110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2019130 ns R psr 81000200 - 2019130 ns MR4_I 00000238 48054770 - 2019150 ns MR4_I 00000230 07d2684a - 2019170 ns IT 00000230 684a LDR r2,[r1,#4] - 2019190 ns MR4_I 00000234 6008d1fc - 2019250 ns MR4_D 40006004 00000001 - 2019250 ns R r2 00000001 - 2019250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2019270 ns R r2 80000000 - 2019270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2019290 ns R psr 81000200 - 2019290 ns MR4_I 00000238 48054770 - 2019310 ns MR4_I 00000230 07d2684a - 2019330 ns IT 00000230 684a LDR r2,[r1,#4] - 2019350 ns MR4_I 00000234 6008d1fc - 2019410 ns MR4_D 40006004 00000001 - 2019410 ns R r2 00000001 - 2019410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2019430 ns R r2 80000000 - 2019430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2019450 ns R psr 81000200 - 2019450 ns MR4_I 00000238 48054770 - 2019470 ns MR4_I 00000230 07d2684a - 2019490 ns IT 00000230 684a LDR r2,[r1,#4] - 2019510 ns MR4_I 00000234 6008d1fc - 2019570 ns MR4_D 40006004 00000001 - 2019570 ns R r2 00000001 - 2019570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2019590 ns R r2 80000000 - 2019590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2019610 ns R psr 81000200 - 2019610 ns MR4_I 00000238 48054770 - 2019630 ns MR4_I 00000230 07d2684a - 2019650 ns IT 00000230 684a LDR r2,[r1,#4] - 2019670 ns MR4_I 00000234 6008d1fc - 2019730 ns MR4_D 40006004 00000001 - 2019730 ns R r2 00000001 - 2019730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2019750 ns R r2 80000000 - 2019750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2019770 ns R psr 81000200 - 2019770 ns MR4_I 00000238 48054770 - 2019790 ns MR4_I 00000230 07d2684a - 2019810 ns IT 00000230 684a LDR r2,[r1,#4] - 2019830 ns MR4_I 00000234 6008d1fc - 2019890 ns MR4_D 40006004 00000001 - 2019890 ns R r2 00000001 - 2019890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2019910 ns R r2 80000000 - 2019910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2019930 ns R psr 81000200 - 2019930 ns MR4_I 00000238 48054770 - 2019950 ns MR4_I 00000230 07d2684a - 2019970 ns IT 00000230 684a LDR r2,[r1,#4] - 2019990 ns MR4_I 00000234 6008d1fc - 2020050 ns MR4_D 40006004 00000001 - 2020050 ns R r2 00000001 - 2020050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2020070 ns R r2 80000000 - 2020070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2020090 ns R psr 81000200 - 2020090 ns MR4_I 00000238 48054770 - 2020110 ns MR4_I 00000230 07d2684a - 2020130 ns IT 00000230 684a LDR r2,[r1,#4] - 2020150 ns MR4_I 00000234 6008d1fc - 2020210 ns MR4_D 40006004 00000001 - 2020210 ns R r2 00000001 - 2020210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2020230 ns R r2 80000000 - 2020230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2020250 ns R psr 81000200 - 2020250 ns MR4_I 00000238 48054770 - 2020270 ns MR4_I 00000230 07d2684a - 2020290 ns IT 00000230 684a LDR r2,[r1,#4] - 2020310 ns MR4_I 00000234 6008d1fc - 2020370 ns MR4_D 40006004 00000001 - 2020370 ns R r2 00000001 - 2020370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2020390 ns R r2 80000000 - 2020390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2020410 ns R psr 81000200 - 2020410 ns MR4_I 00000238 48054770 - 2020430 ns MR4_I 00000230 07d2684a - 2020450 ns IT 00000230 684a LDR r2,[r1,#4] - 2020470 ns MR4_I 00000234 6008d1fc - 2020530 ns MR4_D 40006004 00000001 - 2020530 ns R r2 00000001 - 2020530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2020550 ns R r2 80000000 - 2020550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2020570 ns R psr 81000200 - 2020570 ns MR4_I 00000238 48054770 - 2020590 ns MR4_I 00000230 07d2684a - 2020610 ns IT 00000230 684a LDR r2,[r1,#4] - 2020630 ns MR4_I 00000234 6008d1fc - 2020690 ns MR4_D 40006004 00000001 - 2020690 ns R r2 00000001 - 2020690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2020710 ns R r2 80000000 - 2020710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2020730 ns R psr 81000200 - 2020730 ns MR4_I 00000238 48054770 - 2020750 ns MR4_I 00000230 07d2684a - 2020770 ns IT 00000230 684a LDR r2,[r1,#4] - 2020790 ns MR4_I 00000234 6008d1fc - 2020850 ns MR4_D 40006004 00000001 - 2020850 ns R r2 00000001 - 2020850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2020870 ns R r2 80000000 - 2020870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2020890 ns R psr 81000200 - 2020890 ns MR4_I 00000238 48054770 - 2020910 ns MR4_I 00000230 07d2684a - 2020930 ns IT 00000230 684a LDR r2,[r1,#4] - 2020950 ns MR4_I 00000234 6008d1fc - 2021010 ns MR4_D 40006004 00000001 - 2021010 ns R r2 00000001 - 2021010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2021030 ns R r2 80000000 - 2021030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2021050 ns R psr 81000200 - 2021050 ns MR4_I 00000238 48054770 - 2021070 ns MR4_I 00000230 07d2684a - 2021090 ns IT 00000230 684a LDR r2,[r1,#4] - 2021110 ns MR4_I 00000234 6008d1fc - 2021170 ns MR4_D 40006004 00000001 - 2021170 ns R r2 00000001 - 2021170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2021190 ns R r2 80000000 - 2021190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2021210 ns R psr 81000200 - 2021210 ns MR4_I 00000238 48054770 - 2021230 ns MR4_I 00000230 07d2684a - 2021250 ns IT 00000230 684a LDR r2,[r1,#4] - 2021270 ns MR4_I 00000234 6008d1fc - 2021330 ns MR4_D 40006004 00000001 - 2021330 ns R r2 00000001 - 2021330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2021350 ns R r2 80000000 - 2021350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2021370 ns R psr 81000200 - 2021370 ns MR4_I 00000238 48054770 - 2021390 ns MR4_I 00000230 07d2684a - 2021410 ns IT 00000230 684a LDR r2,[r1,#4] - 2021430 ns MR4_I 00000234 6008d1fc - 2021490 ns MR4_D 40006004 00000001 - 2021490 ns R r2 00000001 - 2021490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2021510 ns R r2 80000000 - 2021510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2021530 ns R psr 81000200 - 2021530 ns MR4_I 00000238 48054770 - 2021550 ns MR4_I 00000230 07d2684a - 2021570 ns IT 00000230 684a LDR r2,[r1,#4] - 2021590 ns MR4_I 00000234 6008d1fc - 2021650 ns MR4_D 40006004 00000001 - 2021650 ns R r2 00000001 - 2021650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2021670 ns R r2 80000000 - 2021670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2021690 ns R psr 81000200 - 2021690 ns MR4_I 00000238 48054770 - 2021710 ns MR4_I 00000230 07d2684a - 2021730 ns IT 00000230 684a LDR r2,[r1,#4] - 2021750 ns MR4_I 00000234 6008d1fc - 2021810 ns MR4_D 40006004 00000001 - 2021810 ns R r2 00000001 - 2021810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2021830 ns R r2 80000000 - 2021830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2021850 ns R psr 81000200 - 2021850 ns MR4_I 00000238 48054770 - 2021870 ns MR4_I 00000230 07d2684a - 2021890 ns IT 00000230 684a LDR r2,[r1,#4] - 2021910 ns MR4_I 00000234 6008d1fc - 2021970 ns MR4_D 40006004 00000001 - 2021970 ns R r2 00000001 - 2021970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2021990 ns R r2 80000000 - 2021990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2022010 ns R psr 81000200 - 2022010 ns MR4_I 00000238 48054770 - 2022030 ns MR4_I 00000230 07d2684a - 2022050 ns IT 00000230 684a LDR r2,[r1,#4] - 2022070 ns MR4_I 00000234 6008d1fc - 2022130 ns MR4_D 40006004 00000001 - 2022130 ns R r2 00000001 - 2022130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2022150 ns R r2 80000000 - 2022150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2022170 ns R psr 81000200 - 2022170 ns MR4_I 00000238 48054770 - 2022190 ns MR4_I 00000230 07d2684a - 2022210 ns IT 00000230 684a LDR r2,[r1,#4] - 2022230 ns MR4_I 00000234 6008d1fc - 2022290 ns MR4_D 40006004 00000001 - 2022290 ns R r2 00000001 - 2022290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2022310 ns R r2 80000000 - 2022310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2022330 ns R psr 81000200 - 2022330 ns MR4_I 00000238 48054770 - 2022350 ns MR4_I 00000230 07d2684a - 2022370 ns IT 00000230 684a LDR r2,[r1,#4] - 2022390 ns MR4_I 00000234 6008d1fc - 2022450 ns MR4_D 40006004 00000001 - 2022450 ns R r2 00000001 - 2022450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2022470 ns R r2 80000000 - 2022470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2022490 ns R psr 81000200 - 2022490 ns MR4_I 00000238 48054770 - 2022510 ns MR4_I 00000230 07d2684a - 2022530 ns IT 00000230 684a LDR r2,[r1,#4] - 2022550 ns MR4_I 00000234 6008d1fc - 2022610 ns MR4_D 40006004 00000001 - 2022610 ns R r2 00000001 - 2022610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2022630 ns R r2 80000000 - 2022630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2022650 ns R psr 81000200 - 2022650 ns MR4_I 00000238 48054770 - 2022670 ns MR4_I 00000230 07d2684a - 2022690 ns IT 00000230 684a LDR r2,[r1,#4] - 2022710 ns MR4_I 00000234 6008d1fc - 2022770 ns MR4_D 40006004 00000001 - 2022770 ns R r2 00000001 - 2022770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2022790 ns R r2 80000000 - 2022790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2022810 ns R psr 81000200 - 2022810 ns MR4_I 00000238 48054770 - 2022830 ns MR4_I 00000230 07d2684a - 2022850 ns IT 00000230 684a LDR r2,[r1,#4] - 2022870 ns MR4_I 00000234 6008d1fc - 2022930 ns MR4_D 40006004 00000001 - 2022930 ns R r2 00000001 - 2022930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2022950 ns R r2 80000000 - 2022950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2022970 ns R psr 81000200 - 2022970 ns MR4_I 00000238 48054770 - 2022990 ns MR4_I 00000230 07d2684a - 2023010 ns IT 00000230 684a LDR r2,[r1,#4] - 2023030 ns MR4_I 00000234 6008d1fc - 2023090 ns MR4_D 40006004 00000001 - 2023090 ns R r2 00000001 - 2023090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2023110 ns R r2 80000000 - 2023110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2023130 ns R psr 81000200 - 2023130 ns MR4_I 00000238 48054770 - 2023150 ns MR4_I 00000230 07d2684a - 2023170 ns IT 00000230 684a LDR r2,[r1,#4] - 2023190 ns MR4_I 00000234 6008d1fc - 2023250 ns MR4_D 40006004 00000001 - 2023250 ns R r2 00000001 - 2023250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2023270 ns R r2 80000000 - 2023270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2023290 ns R psr 81000200 - 2023290 ns MR4_I 00000238 48054770 - 2023310 ns MR4_I 00000230 07d2684a - 2023330 ns IT 00000230 684a LDR r2,[r1,#4] - 2023350 ns MR4_I 00000234 6008d1fc - 2023410 ns MR4_D 40006004 00000001 - 2023410 ns R r2 00000001 - 2023410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2023430 ns R r2 80000000 - 2023430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2023450 ns R psr 81000200 - 2023450 ns MR4_I 00000238 48054770 - 2023470 ns MR4_I 00000230 07d2684a - 2023490 ns IT 00000230 684a LDR r2,[r1,#4] - 2023510 ns MR4_I 00000234 6008d1fc - 2023570 ns MR4_D 40006004 00000001 - 2023570 ns R r2 00000001 - 2023570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2023590 ns R r2 80000000 - 2023590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2023610 ns R psr 81000200 - 2023610 ns MR4_I 00000238 48054770 - 2023630 ns MR4_I 00000230 07d2684a - 2023650 ns IT 00000230 684a LDR r2,[r1,#4] - 2023670 ns MR4_I 00000234 6008d1fc - 2023730 ns MR4_D 40006004 00000001 - 2023730 ns R r2 00000001 - 2023730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2023750 ns R r2 80000000 - 2023750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2023770 ns R psr 81000200 - 2023770 ns MR4_I 00000238 48054770 - 2023790 ns MR4_I 00000230 07d2684a - 2023810 ns IT 00000230 684a LDR r2,[r1,#4] - 2023830 ns MR4_I 00000234 6008d1fc - 2023890 ns MR4_D 40006004 00000001 - 2023890 ns R r2 00000001 - 2023890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2023910 ns R r2 80000000 - 2023910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2023930 ns R psr 81000200 - 2023930 ns MR4_I 00000238 48054770 - 2023950 ns MR4_I 00000230 07d2684a - 2023970 ns IT 00000230 684a LDR r2,[r1,#4] - 2023990 ns MR4_I 00000234 6008d1fc - 2024050 ns MR4_D 40006004 00000001 - 2024050 ns R r2 00000001 - 2024050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2024070 ns R r2 80000000 - 2024070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2024090 ns R psr 81000200 - 2024090 ns MR4_I 00000238 48054770 - 2024110 ns MR4_I 00000230 07d2684a - 2024130 ns IT 00000230 684a LDR r2,[r1,#4] - 2024150 ns MR4_I 00000234 6008d1fc - 2024210 ns MR4_D 40006004 00000001 - 2024210 ns R r2 00000001 - 2024210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2024230 ns R r2 80000000 - 2024230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2024250 ns R psr 81000200 - 2024250 ns MR4_I 00000238 48054770 - 2024270 ns MR4_I 00000230 07d2684a - 2024290 ns IT 00000230 684a LDR r2,[r1,#4] - 2024310 ns MR4_I 00000234 6008d1fc - 2024370 ns MR4_D 40006004 00000001 - 2024370 ns R r2 00000001 - 2024370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2024390 ns R r2 80000000 - 2024390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2024410 ns R psr 81000200 - 2024410 ns MR4_I 00000238 48054770 - 2024430 ns MR4_I 00000230 07d2684a - 2024450 ns IT 00000230 684a LDR r2,[r1,#4] - 2024470 ns MR4_I 00000234 6008d1fc - 2024530 ns MR4_D 40006004 00000001 - 2024530 ns R r2 00000001 - 2024530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2024550 ns R r2 80000000 - 2024550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2024570 ns R psr 81000200 - 2024570 ns MR4_I 00000238 48054770 - 2024590 ns MR4_I 00000230 07d2684a - 2024610 ns IT 00000230 684a LDR r2,[r1,#4] - 2024630 ns MR4_I 00000234 6008d1fc - 2024690 ns MR4_D 40006004 00000001 - 2024690 ns R r2 00000001 - 2024690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2024710 ns R r2 80000000 - 2024710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2024730 ns R psr 81000200 - 2024730 ns MR4_I 00000238 48054770 - 2024750 ns MR4_I 00000230 07d2684a - 2024770 ns IT 00000230 684a LDR r2,[r1,#4] - 2024790 ns MR4_I 00000234 6008d1fc - 2024850 ns MR4_D 40006004 00000001 - 2024850 ns R r2 00000001 - 2024850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2024870 ns R r2 80000000 - 2024870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2024890 ns R psr 81000200 - 2024890 ns MR4_I 00000238 48054770 - 2024910 ns MR4_I 00000230 07d2684a - 2024930 ns IT 00000230 684a LDR r2,[r1,#4] - 2024950 ns MR4_I 00000234 6008d1fc - 2025010 ns MR4_D 40006004 00000001 - 2025010 ns R r2 00000001 - 2025010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2025030 ns R r2 80000000 - 2025030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2025050 ns R psr 81000200 - 2025050 ns MR4_I 00000238 48054770 - 2025070 ns MR4_I 00000230 07d2684a - 2025090 ns IT 00000230 684a LDR r2,[r1,#4] - 2025110 ns MR4_I 00000234 6008d1fc - 2025170 ns MR4_D 40006004 00000001 - 2025170 ns R r2 00000001 - 2025170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2025190 ns R r2 80000000 - 2025190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2025210 ns R psr 81000200 - 2025210 ns MR4_I 00000238 48054770 - 2025230 ns MR4_I 00000230 07d2684a - 2025250 ns IT 00000230 684a LDR r2,[r1,#4] - 2025270 ns MR4_I 00000234 6008d1fc - 2025330 ns MR4_D 40006004 00000001 - 2025330 ns R r2 00000001 - 2025330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2025350 ns R r2 80000000 - 2025350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2025370 ns R psr 81000200 - 2025370 ns MR4_I 00000238 48054770 - 2025390 ns MR4_I 00000230 07d2684a - 2025410 ns IT 00000230 684a LDR r2,[r1,#4] - 2025430 ns MR4_I 00000234 6008d1fc - 2025490 ns MR4_D 40006004 00000001 - 2025490 ns R r2 00000001 - 2025490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2025510 ns R r2 80000000 - 2025510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2025530 ns R psr 81000200 - 2025530 ns MR4_I 00000238 48054770 - 2025550 ns MR4_I 00000230 07d2684a - 2025570 ns IT 00000230 684a LDR r2,[r1,#4] - 2025590 ns MR4_I 00000234 6008d1fc - 2025650 ns MR4_D 40006004 00000001 - 2025650 ns R r2 00000001 - 2025650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2025670 ns R r2 80000000 - 2025670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2025690 ns R psr 81000200 - 2025690 ns MR4_I 00000238 48054770 - 2025710 ns MR4_I 00000230 07d2684a - 2025730 ns IT 00000230 684a LDR r2,[r1,#4] - 2025750 ns MR4_I 00000234 6008d1fc - 2025810 ns MR4_D 40006004 00000001 - 2025810 ns R r2 00000001 - 2025810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2025830 ns R r2 80000000 - 2025830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2025850 ns R psr 81000200 - 2025850 ns MR4_I 00000238 48054770 - 2025870 ns MR4_I 00000230 07d2684a - 2025890 ns IT 00000230 684a LDR r2,[r1,#4] - 2025910 ns MR4_I 00000234 6008d1fc - 2025970 ns MR4_D 40006004 00000001 - 2025970 ns R r2 00000001 - 2025970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2025990 ns R r2 80000000 - 2025990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2026010 ns R psr 81000200 - 2026010 ns MR4_I 00000238 48054770 - 2026030 ns MR4_I 00000230 07d2684a - 2026050 ns IT 00000230 684a LDR r2,[r1,#4] - 2026070 ns MR4_I 00000234 6008d1fc - 2026130 ns MR4_D 40006004 00000001 - 2026130 ns R r2 00000001 - 2026130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2026150 ns R r2 80000000 - 2026150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2026170 ns R psr 81000200 - 2026170 ns MR4_I 00000238 48054770 - 2026190 ns MR4_I 00000230 07d2684a - 2026210 ns IT 00000230 684a LDR r2,[r1,#4] - 2026230 ns MR4_I 00000234 6008d1fc - 2026290 ns MR4_D 40006004 00000001 - 2026290 ns R r2 00000001 - 2026290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2026310 ns R r2 80000000 - 2026310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2026330 ns R psr 81000200 - 2026330 ns MR4_I 00000238 48054770 - 2026350 ns MR4_I 00000230 07d2684a - 2026370 ns IT 00000230 684a LDR r2,[r1,#4] - 2026390 ns MR4_I 00000234 6008d1fc - 2026450 ns MR4_D 40006004 00000001 - 2026450 ns R r2 00000001 - 2026450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2026470 ns R r2 80000000 - 2026470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2026490 ns R psr 81000200 - 2026490 ns MR4_I 00000238 48054770 - 2026510 ns MR4_I 00000230 07d2684a - 2026530 ns IT 00000230 684a LDR r2,[r1,#4] - 2026550 ns MR4_I 00000234 6008d1fc - 2026610 ns MR4_D 40006004 00000001 - 2026610 ns R r2 00000001 - 2026610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2026630 ns R r2 80000000 - 2026630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2026650 ns R psr 81000200 - 2026650 ns MR4_I 00000238 48054770 - 2026670 ns MR4_I 00000230 07d2684a - 2026690 ns IT 00000230 684a LDR r2,[r1,#4] - 2026710 ns MR4_I 00000234 6008d1fc - 2026770 ns MR4_D 40006004 00000001 - 2026770 ns R r2 00000001 - 2026770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2026790 ns R r2 80000000 - 2026790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2026810 ns R psr 81000200 - 2026810 ns MR4_I 00000238 48054770 - 2026830 ns MR4_I 00000230 07d2684a - 2026850 ns IT 00000230 684a LDR r2,[r1,#4] - 2026870 ns MR4_I 00000234 6008d1fc - 2026930 ns MR4_D 40006004 00000001 - 2026930 ns R r2 00000001 - 2026930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2026950 ns R r2 80000000 - 2026950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2026970 ns R psr 81000200 - 2026970 ns MR4_I 00000238 48054770 - 2026990 ns MR4_I 00000230 07d2684a - 2027010 ns IT 00000230 684a LDR r2,[r1,#4] - 2027030 ns MR4_I 00000234 6008d1fc - 2027090 ns MR4_D 40006004 00000001 - 2027090 ns R r2 00000001 - 2027090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2027110 ns R r2 80000000 - 2027110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2027130 ns R psr 81000200 - 2027130 ns MR4_I 00000238 48054770 - 2027150 ns MR4_I 00000230 07d2684a - 2027170 ns IT 00000230 684a LDR r2,[r1,#4] - 2027190 ns MR4_I 00000234 6008d1fc - 2027250 ns MR4_D 40006004 00000001 - 2027250 ns R r2 00000001 - 2027250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2027270 ns R r2 80000000 - 2027270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2027290 ns R psr 81000200 - 2027290 ns MR4_I 00000238 48054770 - 2027310 ns MR4_I 00000230 07d2684a - 2027330 ns IT 00000230 684a LDR r2,[r1,#4] - 2027350 ns MR4_I 00000234 6008d1fc - 2027410 ns MR4_D 40006004 00000001 - 2027410 ns R r2 00000001 - 2027410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2027430 ns R r2 80000000 - 2027430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2027450 ns R psr 81000200 - 2027450 ns MR4_I 00000238 48054770 - 2027470 ns MR4_I 00000230 07d2684a - 2027490 ns IT 00000230 684a LDR r2,[r1,#4] - 2027510 ns MR4_I 00000234 6008d1fc - 2027570 ns MR4_D 40006004 00000001 - 2027570 ns R r2 00000001 - 2027570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2027590 ns R r2 80000000 - 2027590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2027610 ns R psr 81000200 - 2027610 ns MR4_I 00000238 48054770 - 2027630 ns MR4_I 00000230 07d2684a - 2027650 ns IT 00000230 684a LDR r2,[r1,#4] - 2027670 ns MR4_I 00000234 6008d1fc - 2027730 ns MR4_D 40006004 00000001 - 2027730 ns R r2 00000001 - 2027730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2027750 ns R r2 80000000 - 2027750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2027770 ns R psr 81000200 - 2027770 ns MR4_I 00000238 48054770 - 2027790 ns MR4_I 00000230 07d2684a - 2027810 ns IT 00000230 684a LDR r2,[r1,#4] - 2027830 ns MR4_I 00000234 6008d1fc - 2027890 ns MR4_D 40006004 00000001 - 2027890 ns R r2 00000001 - 2027890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2027910 ns R r2 80000000 - 2027910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2027930 ns R psr 81000200 - 2027930 ns MR4_I 00000238 48054770 - 2027950 ns MR4_I 00000230 07d2684a - 2027970 ns IT 00000230 684a LDR r2,[r1,#4] - 2027990 ns MR4_I 00000234 6008d1fc - 2028050 ns MR4_D 40006004 00000001 - 2028050 ns R r2 00000001 - 2028050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2028070 ns R r2 80000000 - 2028070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2028090 ns R psr 81000200 - 2028090 ns MR4_I 00000238 48054770 - 2028110 ns MR4_I 00000230 07d2684a - 2028130 ns IT 00000230 684a LDR r2,[r1,#4] - 2028150 ns MR4_I 00000234 6008d1fc - 2028210 ns MR4_D 40006004 00000001 - 2028210 ns R r2 00000001 - 2028210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2028230 ns R r2 80000000 - 2028230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2028250 ns R psr 81000200 - 2028250 ns MR4_I 00000238 48054770 - 2028270 ns MR4_I 00000230 07d2684a - 2028290 ns IT 00000230 684a LDR r2,[r1,#4] - 2028310 ns MR4_I 00000234 6008d1fc - 2028370 ns MR4_D 40006004 00000001 - 2028370 ns R r2 00000001 - 2028370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2028390 ns R r2 80000000 - 2028390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2028410 ns R psr 81000200 - 2028410 ns MR4_I 00000238 48054770 - 2028430 ns MR4_I 00000230 07d2684a - 2028450 ns IT 00000230 684a LDR r2,[r1,#4] - 2028470 ns MR4_I 00000234 6008d1fc - 2028530 ns MR4_D 40006004 00000001 - 2028530 ns R r2 00000001 - 2028530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2028550 ns R r2 80000000 - 2028550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2028570 ns R psr 81000200 - 2028570 ns MR4_I 00000238 48054770 - 2028590 ns MR4_I 00000230 07d2684a - 2028610 ns IT 00000230 684a LDR r2,[r1,#4] - 2028630 ns MR4_I 00000234 6008d1fc - 2028690 ns MR4_D 40006004 00000001 - 2028690 ns R r2 00000001 - 2028690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2028710 ns R r2 80000000 - 2028710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2028730 ns R psr 81000200 - 2028730 ns MR4_I 00000238 48054770 - 2028750 ns MR4_I 00000230 07d2684a - 2028770 ns IT 00000230 684a LDR r2,[r1,#4] - 2028790 ns MR4_I 00000234 6008d1fc - 2028850 ns MR4_D 40006004 00000001 - 2028850 ns R r2 00000001 - 2028850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2028870 ns R r2 80000000 - 2028870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2028890 ns R psr 81000200 - 2028890 ns MR4_I 00000238 48054770 - 2028910 ns MR4_I 00000230 07d2684a - 2028930 ns IT 00000230 684a LDR r2,[r1,#4] - 2028950 ns MR4_I 00000234 6008d1fc - 2029010 ns MR4_D 40006004 00000001 - 2029010 ns R r2 00000001 - 2029010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2029030 ns R r2 80000000 - 2029030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2029050 ns R psr 81000200 - 2029050 ns MR4_I 00000238 48054770 - 2029070 ns MR4_I 00000230 07d2684a - 2029090 ns IT 00000230 684a LDR r2,[r1,#4] - 2029110 ns MR4_I 00000234 6008d1fc - 2029170 ns MR4_D 40006004 00000001 - 2029170 ns R r2 00000001 - 2029170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2029190 ns R r2 80000000 - 2029190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2029210 ns R psr 81000200 - 2029210 ns MR4_I 00000238 48054770 - 2029230 ns MR4_I 00000230 07d2684a - 2029250 ns IT 00000230 684a LDR r2,[r1,#4] - 2029270 ns MR4_I 00000234 6008d1fc - 2029330 ns MR4_D 40006004 00000001 - 2029330 ns R r2 00000001 - 2029330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2029350 ns R r2 80000000 - 2029350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2029370 ns R psr 81000200 - 2029370 ns MR4_I 00000238 48054770 - 2029390 ns MR4_I 00000230 07d2684a - 2029410 ns IT 00000230 684a LDR r2,[r1,#4] - 2029430 ns MR4_I 00000234 6008d1fc - 2029490 ns MR4_D 40006004 00000001 - 2029490 ns R r2 00000001 - 2029490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2029510 ns R r2 80000000 - 2029510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2029530 ns R psr 81000200 - 2029530 ns MR4_I 00000238 48054770 - 2029550 ns MR4_I 00000230 07d2684a - 2029570 ns IT 00000230 684a LDR r2,[r1,#4] - 2029590 ns MR4_I 00000234 6008d1fc - 2029650 ns MR4_D 40006004 00000001 - 2029650 ns R r2 00000001 - 2029650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2029670 ns R r2 80000000 - 2029670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2029690 ns R psr 81000200 - 2029690 ns MR4_I 00000238 48054770 - 2029710 ns MR4_I 00000230 07d2684a - 2029730 ns IT 00000230 684a LDR r2,[r1,#4] - 2029750 ns MR4_I 00000234 6008d1fc - 2029810 ns MR4_D 40006004 00000001 - 2029810 ns R r2 00000001 - 2029810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2029830 ns R r2 80000000 - 2029830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2029850 ns R psr 81000200 - 2029850 ns MR4_I 00000238 48054770 - 2029870 ns MR4_I 00000230 07d2684a - 2029890 ns IT 00000230 684a LDR r2,[r1,#4] - 2029910 ns MR4_I 00000234 6008d1fc - 2029970 ns MR4_D 40006004 00000001 - 2029970 ns R r2 00000001 - 2029970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2029990 ns R r2 80000000 - 2029990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2030010 ns R psr 81000200 - 2030010 ns MR4_I 00000238 48054770 - 2030030 ns MR4_I 00000230 07d2684a - 2030050 ns IT 00000230 684a LDR r2,[r1,#4] - 2030070 ns MR4_I 00000234 6008d1fc - 2030130 ns MR4_D 40006004 00000001 - 2030130 ns R r2 00000001 - 2030130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2030150 ns R r2 80000000 - 2030150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2030170 ns R psr 81000200 - 2030170 ns MR4_I 00000238 48054770 - 2030190 ns MR4_I 00000230 07d2684a - 2030210 ns IT 00000230 684a LDR r2,[r1,#4] - 2030230 ns MR4_I 00000234 6008d1fc - 2030290 ns MR4_D 40006004 00000001 - 2030290 ns R r2 00000001 - 2030290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2030310 ns R r2 80000000 - 2030310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2030330 ns R psr 81000200 - 2030330 ns MR4_I 00000238 48054770 - 2030350 ns MR4_I 00000230 07d2684a - 2030370 ns IT 00000230 684a LDR r2,[r1,#4] - 2030390 ns MR4_I 00000234 6008d1fc - 2030450 ns MR4_D 40006004 00000001 - 2030450 ns R r2 00000001 - 2030450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2030470 ns R r2 80000000 - 2030470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2030490 ns R psr 81000200 - 2030490 ns MR4_I 00000238 48054770 - 2030510 ns MR4_I 00000230 07d2684a - 2030530 ns IT 00000230 684a LDR r2,[r1,#4] - 2030550 ns MR4_I 00000234 6008d1fc - 2030610 ns MR4_D 40006004 00000001 - 2030610 ns R r2 00000001 - 2030610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2030630 ns R r2 80000000 - 2030630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2030650 ns R psr 81000200 - 2030650 ns MR4_I 00000238 48054770 - 2030670 ns MR4_I 00000230 07d2684a - 2030690 ns IT 00000230 684a LDR r2,[r1,#4] - 2030710 ns MR4_I 00000234 6008d1fc - 2030770 ns MR4_D 40006004 00000001 - 2030770 ns R r2 00000001 - 2030770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2030790 ns R r2 80000000 - 2030790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2030810 ns R psr 81000200 - 2030810 ns MR4_I 00000238 48054770 - 2030830 ns MR4_I 00000230 07d2684a - 2030850 ns IT 00000230 684a LDR r2,[r1,#4] - 2030870 ns MR4_I 00000234 6008d1fc - 2030930 ns MR4_D 40006004 00000001 - 2030930 ns R r2 00000001 - 2030930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2030950 ns R r2 80000000 - 2030950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2030970 ns R psr 81000200 - 2030970 ns MR4_I 00000238 48054770 - 2030990 ns MR4_I 00000230 07d2684a - 2031010 ns IT 00000230 684a LDR r2,[r1,#4] - 2031030 ns MR4_I 00000234 6008d1fc - 2031090 ns MR4_D 40006004 00000001 - 2031090 ns R r2 00000001 - 2031090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2031110 ns R r2 80000000 - 2031110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2031130 ns R psr 81000200 - 2031130 ns MR4_I 00000238 48054770 - 2031150 ns MR4_I 00000230 07d2684a - 2031170 ns IT 00000230 684a LDR r2,[r1,#4] - 2031190 ns MR4_I 00000234 6008d1fc - 2031250 ns MR4_D 40006004 00000001 - 2031250 ns R r2 00000001 - 2031250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2031270 ns R r2 80000000 - 2031270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2031290 ns R psr 81000200 - 2031290 ns MR4_I 00000238 48054770 - 2031310 ns MR4_I 00000230 07d2684a - 2031330 ns IT 00000230 684a LDR r2,[r1,#4] - 2031350 ns MR4_I 00000234 6008d1fc - 2031410 ns MR4_D 40006004 00000001 - 2031410 ns R r2 00000001 - 2031410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2031430 ns R r2 80000000 - 2031430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2031450 ns R psr 81000200 - 2031450 ns MR4_I 00000238 48054770 - 2031470 ns MR4_I 00000230 07d2684a - 2031490 ns IT 00000230 684a LDR r2,[r1,#4] - 2031510 ns MR4_I 00000234 6008d1fc - 2031570 ns MR4_D 40006004 00000001 - 2031570 ns R r2 00000001 - 2031570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2031590 ns R r2 80000000 - 2031590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2031610 ns R psr 81000200 - 2031610 ns MR4_I 00000238 48054770 - 2031630 ns MR4_I 00000230 07d2684a - 2031650 ns IT 00000230 684a LDR r2,[r1,#4] - 2031670 ns MR4_I 00000234 6008d1fc - 2031730 ns MR4_D 40006004 00000001 - 2031730 ns R r2 00000001 - 2031730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2031750 ns R r2 80000000 - 2031750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2031770 ns R psr 81000200 - 2031770 ns MR4_I 00000238 48054770 - 2031790 ns MR4_I 00000230 07d2684a - 2031810 ns IT 00000230 684a LDR r2,[r1,#4] - 2031830 ns MR4_I 00000234 6008d1fc - 2031890 ns MR4_D 40006004 00000001 - 2031890 ns R r2 00000001 - 2031890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2031910 ns R r2 80000000 - 2031910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2031930 ns R psr 81000200 - 2031930 ns MR4_I 00000238 48054770 - 2031950 ns MR4_I 00000230 07d2684a - 2031970 ns IT 00000230 684a LDR r2,[r1,#4] - 2031990 ns MR4_I 00000234 6008d1fc - 2032050 ns MR4_D 40006004 00000001 - 2032050 ns R r2 00000001 - 2032050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2032070 ns R r2 80000000 - 2032070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2032090 ns R psr 81000200 - 2032090 ns MR4_I 00000238 48054770 - 2032110 ns MR4_I 00000230 07d2684a - 2032130 ns IT 00000230 684a LDR r2,[r1,#4] - 2032150 ns MR4_I 00000234 6008d1fc - 2032210 ns MR4_D 40006004 00000001 - 2032210 ns R r2 00000001 - 2032210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2032230 ns R r2 80000000 - 2032230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2032250 ns R psr 81000200 - 2032250 ns MR4_I 00000238 48054770 - 2032270 ns MR4_I 00000230 07d2684a - 2032290 ns IT 00000230 684a LDR r2,[r1,#4] - 2032310 ns MR4_I 00000234 6008d1fc - 2032370 ns MR4_D 40006004 00000001 - 2032370 ns R r2 00000001 - 2032370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2032390 ns R r2 80000000 - 2032390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2032410 ns R psr 81000200 - 2032410 ns MR4_I 00000238 48054770 - 2032430 ns MR4_I 00000230 07d2684a - 2032450 ns IT 00000230 684a LDR r2,[r1,#4] - 2032470 ns MR4_I 00000234 6008d1fc - 2032530 ns MR4_D 40006004 00000001 - 2032530 ns R r2 00000001 - 2032530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2032550 ns R r2 80000000 - 2032550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2032570 ns R psr 81000200 - 2032570 ns MR4_I 00000238 48054770 - 2032590 ns MR4_I 00000230 07d2684a - 2032610 ns IT 00000230 684a LDR r2,[r1,#4] - 2032630 ns MR4_I 00000234 6008d1fc - 2032690 ns MR4_D 40006004 00000001 - 2032690 ns R r2 00000001 - 2032690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2032710 ns R r2 80000000 - 2032710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2032730 ns R psr 81000200 - 2032730 ns MR4_I 00000238 48054770 - 2032750 ns MR4_I 00000230 07d2684a - 2032770 ns IT 00000230 684a LDR r2,[r1,#4] - 2032790 ns MR4_I 00000234 6008d1fc - 2032850 ns MR4_D 40006004 00000001 - 2032850 ns R r2 00000001 - 2032850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2032870 ns R r2 80000000 - 2032870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2032890 ns R psr 81000200 - 2032890 ns MR4_I 00000238 48054770 - 2032910 ns MR4_I 00000230 07d2684a - 2032930 ns IT 00000230 684a LDR r2,[r1,#4] - 2032950 ns MR4_I 00000234 6008d1fc - 2033010 ns MR4_D 40006004 00000001 - 2033010 ns R r2 00000001 - 2033010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2033030 ns R r2 80000000 - 2033030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2033050 ns R psr 81000200 - 2033050 ns MR4_I 00000238 48054770 - 2033070 ns MR4_I 00000230 07d2684a - 2033090 ns IT 00000230 684a LDR r2,[r1,#4] - 2033110 ns MR4_I 00000234 6008d1fc - 2033170 ns MR4_D 40006004 00000001 - 2033170 ns R r2 00000001 - 2033170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2033190 ns R r2 80000000 - 2033190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2033210 ns R psr 81000200 - 2033210 ns MR4_I 00000238 48054770 - 2033230 ns MR4_I 00000230 07d2684a - 2033250 ns IT 00000230 684a LDR r2,[r1,#4] - 2033270 ns MR4_I 00000234 6008d1fc - 2033330 ns MR4_D 40006004 00000001 - 2033330 ns R r2 00000001 - 2033330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2033350 ns R r2 80000000 - 2033350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2033370 ns R psr 81000200 - 2033370 ns MR4_I 00000238 48054770 - 2033390 ns MR4_I 00000230 07d2684a - 2033410 ns IT 00000230 684a LDR r2,[r1,#4] - 2033430 ns MR4_I 00000234 6008d1fc - 2033490 ns MR4_D 40006004 00000001 - 2033490 ns R r2 00000001 - 2033490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2033510 ns R r2 80000000 - 2033510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2033530 ns R psr 81000200 - 2033530 ns MR4_I 00000238 48054770 - 2033550 ns MR4_I 00000230 07d2684a - 2033570 ns IT 00000230 684a LDR r2,[r1,#4] - 2033590 ns MR4_I 00000234 6008d1fc - 2033650 ns MR4_D 40006004 00000001 - 2033650 ns R r2 00000001 - 2033650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2033670 ns R r2 80000000 - 2033670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2033690 ns R psr 81000200 - 2033690 ns MR4_I 00000238 48054770 - 2033710 ns MR4_I 00000230 07d2684a - 2033730 ns IT 00000230 684a LDR r2,[r1,#4] - 2033750 ns MR4_I 00000234 6008d1fc - 2033810 ns MR4_D 40006004 00000001 - 2033810 ns R r2 00000001 - 2033810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2033830 ns R r2 80000000 - 2033830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2033850 ns R psr 81000200 - 2033850 ns MR4_I 00000238 48054770 - 2033870 ns MR4_I 00000230 07d2684a - 2033890 ns IT 00000230 684a LDR r2,[r1,#4] - 2033910 ns MR4_I 00000234 6008d1fc - 2033970 ns MR4_D 40006004 00000001 - 2033970 ns R r2 00000001 - 2033970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2033990 ns R r2 80000000 - 2033990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2034010 ns R psr 81000200 - 2034010 ns MR4_I 00000238 48054770 - 2034030 ns MR4_I 00000230 07d2684a - 2034050 ns IT 00000230 684a LDR r2,[r1,#4] - 2034070 ns MR4_I 00000234 6008d1fc - 2034130 ns MR4_D 40006004 00000001 - 2034130 ns R r2 00000001 - 2034130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2034150 ns R r2 80000000 - 2034150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2034170 ns R psr 81000200 - 2034170 ns MR4_I 00000238 48054770 - 2034190 ns MR4_I 00000230 07d2684a - 2034210 ns IT 00000230 684a LDR r2,[r1,#4] - 2034230 ns MR4_I 00000234 6008d1fc - 2034290 ns MR4_D 40006004 00000001 - 2034290 ns R r2 00000001 - 2034290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2034310 ns R r2 80000000 - 2034310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2034330 ns R psr 81000200 - 2034330 ns MR4_I 00000238 48054770 - 2034350 ns MR4_I 00000230 07d2684a - 2034370 ns IT 00000230 684a LDR r2,[r1,#4] - 2034390 ns MR4_I 00000234 6008d1fc - 2034450 ns MR4_D 40006004 00000001 - 2034450 ns R r2 00000001 - 2034450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2034470 ns R r2 80000000 - 2034470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2034490 ns R psr 81000200 - 2034490 ns MR4_I 00000238 48054770 - 2034510 ns MR4_I 00000230 07d2684a - 2034530 ns IT 00000230 684a LDR r2,[r1,#4] - 2034550 ns MR4_I 00000234 6008d1fc - 2034610 ns MR4_D 40006004 00000001 - 2034610 ns R r2 00000001 - 2034610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2034630 ns R r2 80000000 - 2034630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2034650 ns R psr 81000200 - 2034650 ns MR4_I 00000238 48054770 - 2034670 ns MR4_I 00000230 07d2684a - 2034690 ns IT 00000230 684a LDR r2,[r1,#4] - 2034710 ns MR4_I 00000234 6008d1fc - 2034770 ns MR4_D 40006004 00000001 - 2034770 ns R r2 00000001 - 2034770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2034790 ns R r2 80000000 - 2034790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2034810 ns R psr 81000200 - 2034810 ns MR4_I 00000238 48054770 - 2034830 ns MR4_I 00000230 07d2684a - 2034850 ns IT 00000230 684a LDR r2,[r1,#4] - 2034870 ns MR4_I 00000234 6008d1fc - 2034930 ns MR4_D 40006004 00000001 - 2034930 ns R r2 00000001 - 2034930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2034950 ns R r2 80000000 - 2034950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2034970 ns R psr 81000200 - 2034970 ns MR4_I 00000238 48054770 - 2034990 ns MR4_I 00000230 07d2684a - 2035010 ns IT 00000230 684a LDR r2,[r1,#4] - 2035030 ns MR4_I 00000234 6008d1fc - 2035090 ns MR4_D 40006004 00000001 - 2035090 ns R r2 00000001 - 2035090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2035110 ns R r2 80000000 - 2035110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2035130 ns R psr 81000200 - 2035130 ns MR4_I 00000238 48054770 - 2035150 ns MR4_I 00000230 07d2684a - 2035170 ns IT 00000230 684a LDR r2,[r1,#4] - 2035190 ns MR4_I 00000234 6008d1fc - 2035250 ns MR4_D 40006004 00000001 - 2035250 ns R r2 00000001 - 2035250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2035270 ns R r2 80000000 - 2035270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2035290 ns R psr 81000200 - 2035290 ns MR4_I 00000238 48054770 - 2035310 ns MR4_I 00000230 07d2684a - 2035330 ns IT 00000230 684a LDR r2,[r1,#4] - 2035350 ns MR4_I 00000234 6008d1fc - 2035410 ns MR4_D 40006004 00000001 - 2035410 ns R r2 00000001 - 2035410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2035430 ns R r2 80000000 - 2035430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2035450 ns R psr 81000200 - 2035450 ns MR4_I 00000238 48054770 - 2035470 ns MR4_I 00000230 07d2684a - 2035490 ns IT 00000230 684a LDR r2,[r1,#4] - 2035510 ns MR4_I 00000234 6008d1fc - 2035570 ns MR4_D 40006004 00000001 - 2035570 ns R r2 00000001 - 2035570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2035590 ns R r2 80000000 - 2035590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2035610 ns R psr 81000200 - 2035610 ns MR4_I 00000238 48054770 - 2035630 ns MR4_I 00000230 07d2684a - 2035650 ns IT 00000230 684a LDR r2,[r1,#4] - 2035670 ns MR4_I 00000234 6008d1fc - 2035730 ns MR4_D 40006004 00000001 - 2035730 ns R r2 00000001 - 2035730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2035750 ns R r2 80000000 - 2035750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2035770 ns R psr 81000200 - 2035770 ns MR4_I 00000238 48054770 - 2035790 ns MR4_I 00000230 07d2684a - 2035810 ns IT 00000230 684a LDR r2,[r1,#4] - 2035830 ns MR4_I 00000234 6008d1fc - 2035890 ns MR4_D 40006004 00000001 - 2035890 ns R r2 00000001 - 2035890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2035910 ns R r2 80000000 - 2035910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2035930 ns R psr 81000200 - 2035930 ns MR4_I 00000238 48054770 - 2035950 ns MR4_I 00000230 07d2684a - 2035970 ns IT 00000230 684a LDR r2,[r1,#4] - 2035990 ns MR4_I 00000234 6008d1fc - 2036050 ns MR4_D 40006004 00000001 - 2036050 ns R r2 00000001 - 2036050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2036070 ns R r2 80000000 - 2036070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2036090 ns R psr 81000200 - 2036090 ns MR4_I 00000238 48054770 - 2036110 ns MR4_I 00000230 07d2684a - 2036130 ns IT 00000230 684a LDR r2,[r1,#4] - 2036150 ns MR4_I 00000234 6008d1fc - 2036210 ns MR4_D 40006004 00000001 - 2036210 ns R r2 00000001 - 2036210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2036230 ns R r2 80000000 - 2036230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2036250 ns R psr 81000200 - 2036250 ns MR4_I 00000238 48054770 - 2036270 ns MR4_I 00000230 07d2684a - 2036290 ns IT 00000230 684a LDR r2,[r1,#4] - 2036310 ns MR4_I 00000234 6008d1fc - 2036370 ns MR4_D 40006004 00000001 - 2036370 ns R r2 00000001 - 2036370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2036390 ns R r2 80000000 - 2036390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2036410 ns R psr 81000200 - 2036410 ns MR4_I 00000238 48054770 - 2036430 ns MR4_I 00000230 07d2684a - 2036450 ns IT 00000230 684a LDR r2,[r1,#4] - 2036470 ns MR4_I 00000234 6008d1fc - 2036530 ns MR4_D 40006004 00000001 - 2036530 ns R r2 00000001 - 2036530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2036550 ns R r2 80000000 - 2036550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2036570 ns R psr 81000200 - 2036570 ns MR4_I 00000238 48054770 - 2036590 ns MR4_I 00000230 07d2684a - 2036610 ns IT 00000230 684a LDR r2,[r1,#4] - 2036630 ns MR4_I 00000234 6008d1fc - 2036690 ns MR4_D 40006004 00000001 - 2036690 ns R r2 00000001 - 2036690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2036710 ns R r2 80000000 - 2036710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2036730 ns R psr 81000200 - 2036730 ns MR4_I 00000238 48054770 - 2036750 ns MR4_I 00000230 07d2684a - 2036770 ns IT 00000230 684a LDR r2,[r1,#4] - 2036790 ns MR4_I 00000234 6008d1fc - 2036850 ns MR4_D 40006004 00000001 - 2036850 ns R r2 00000001 - 2036850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2036870 ns R r2 80000000 - 2036870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2036890 ns R psr 81000200 - 2036890 ns MR4_I 00000238 48054770 - 2036910 ns MR4_I 00000230 07d2684a - 2036930 ns IT 00000230 684a LDR r2,[r1,#4] - 2036950 ns MR4_I 00000234 6008d1fc - 2037010 ns MR4_D 40006004 00000001 - 2037010 ns R r2 00000001 - 2037010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2037030 ns R r2 80000000 - 2037030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2037050 ns R psr 81000200 - 2037050 ns MR4_I 00000238 48054770 - 2037070 ns MR4_I 00000230 07d2684a - 2037090 ns IT 00000230 684a LDR r2,[r1,#4] - 2037110 ns MR4_I 00000234 6008d1fc - 2037170 ns MR4_D 40006004 00000001 - 2037170 ns R r2 00000001 - 2037170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2037190 ns R r2 80000000 - 2037190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2037210 ns R psr 81000200 - 2037210 ns MR4_I 00000238 48054770 - 2037230 ns MR4_I 00000230 07d2684a - 2037250 ns IT 00000230 684a LDR r2,[r1,#4] - 2037270 ns MR4_I 00000234 6008d1fc - 2037330 ns MR4_D 40006004 00000001 - 2037330 ns R r2 00000001 - 2037330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2037350 ns R r2 80000000 - 2037350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2037370 ns R psr 81000200 - 2037370 ns MR4_I 00000238 48054770 - 2037390 ns MR4_I 00000230 07d2684a - 2037410 ns IT 00000230 684a LDR r2,[r1,#4] - 2037430 ns MR4_I 00000234 6008d1fc - 2037490 ns MR4_D 40006004 00000001 - 2037490 ns R r2 00000001 - 2037490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2037510 ns R r2 80000000 - 2037510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2037530 ns R psr 81000200 - 2037530 ns MR4_I 00000238 48054770 - 2037550 ns MR4_I 00000230 07d2684a - 2037570 ns IT 00000230 684a LDR r2,[r1,#4] - 2037590 ns MR4_I 00000234 6008d1fc - 2037650 ns MR4_D 40006004 00000001 - 2037650 ns R r2 00000001 - 2037650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2037670 ns R r2 80000000 - 2037670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2037690 ns R psr 81000200 - 2037690 ns MR4_I 00000238 48054770 - 2037710 ns MR4_I 00000230 07d2684a - 2037730 ns IT 00000230 684a LDR r2,[r1,#4] - 2037750 ns MR4_I 00000234 6008d1fc - 2037810 ns MR4_D 40006004 00000001 - 2037810 ns R r2 00000001 - 2037810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2037830 ns R r2 80000000 - 2037830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2037850 ns R psr 81000200 - 2037850 ns MR4_I 00000238 48054770 - 2037870 ns MR4_I 00000230 07d2684a - 2037890 ns IT 00000230 684a LDR r2,[r1,#4] - 2037910 ns MR4_I 00000234 6008d1fc - 2037970 ns MR4_D 40006004 00000001 - 2037970 ns R r2 00000001 - 2037970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2037990 ns R r2 80000000 - 2037990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2038010 ns R psr 81000200 - 2038010 ns MR4_I 00000238 48054770 - 2038030 ns MR4_I 00000230 07d2684a - 2038050 ns IT 00000230 684a LDR r2,[r1,#4] - 2038070 ns MR4_I 00000234 6008d1fc - 2038130 ns MR4_D 40006004 00000001 - 2038130 ns R r2 00000001 - 2038130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2038150 ns R r2 80000000 - 2038150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2038170 ns R psr 81000200 - 2038170 ns MR4_I 00000238 48054770 - 2038190 ns MR4_I 00000230 07d2684a - 2038210 ns IT 00000230 684a LDR r2,[r1,#4] - 2038230 ns MR4_I 00000234 6008d1fc - 2038290 ns MR4_D 40006004 00000001 - 2038290 ns R r2 00000001 - 2038290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2038310 ns R r2 80000000 - 2038310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2038330 ns R psr 81000200 - 2038330 ns MR4_I 00000238 48054770 - 2038350 ns MR4_I 00000230 07d2684a - 2038370 ns IT 00000230 684a LDR r2,[r1,#4] - 2038390 ns MR4_I 00000234 6008d1fc - 2038450 ns MR4_D 40006004 00000001 - 2038450 ns R r2 00000001 - 2038450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2038470 ns R r2 80000000 - 2038470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2038490 ns R psr 81000200 - 2038490 ns MR4_I 00000238 48054770 - 2038510 ns MR4_I 00000230 07d2684a - 2038530 ns IT 00000230 684a LDR r2,[r1,#4] - 2038550 ns MR4_I 00000234 6008d1fc - 2038610 ns MR4_D 40006004 00000001 - 2038610 ns R r2 00000001 - 2038610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2038630 ns R r2 80000000 - 2038630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2038650 ns R psr 81000200 - 2038650 ns MR4_I 00000238 48054770 - 2038670 ns MR4_I 00000230 07d2684a - 2038690 ns IT 00000230 684a LDR r2,[r1,#4] - 2038710 ns MR4_I 00000234 6008d1fc - 2038770 ns MR4_D 40006004 00000001 - 2038770 ns R r2 00000001 - 2038770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2038790 ns R r2 80000000 - 2038790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2038810 ns R psr 81000200 - 2038810 ns MR4_I 00000238 48054770 - 2038830 ns MR4_I 00000230 07d2684a - 2038850 ns IT 00000230 684a LDR r2,[r1,#4] - 2038870 ns MR4_I 00000234 6008d1fc - 2038930 ns MR4_D 40006004 00000001 - 2038930 ns R r2 00000001 - 2038930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2038950 ns R r2 80000000 - 2038950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2038970 ns R psr 81000200 - 2038970 ns MR4_I 00000238 48054770 - 2038990 ns MR4_I 00000230 07d2684a - 2039010 ns IT 00000230 684a LDR r2,[r1,#4] - 2039030 ns MR4_I 00000234 6008d1fc - 2039090 ns MR4_D 40006004 00000001 - 2039090 ns R r2 00000001 - 2039090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2039110 ns R r2 80000000 - 2039110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2039130 ns R psr 81000200 - 2039130 ns MR4_I 00000238 48054770 - 2039150 ns MR4_I 00000230 07d2684a - 2039170 ns IT 00000230 684a LDR r2,[r1,#4] - 2039190 ns MR4_I 00000234 6008d1fc - 2039250 ns MR4_D 40006004 00000001 - 2039250 ns R r2 00000001 - 2039250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2039270 ns R r2 80000000 - 2039270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2039290 ns R psr 81000200 - 2039290 ns MR4_I 00000238 48054770 - 2039310 ns MR4_I 00000230 07d2684a - 2039330 ns IT 00000230 684a LDR r2,[r1,#4] - 2039350 ns MR4_I 00000234 6008d1fc - 2039410 ns MR4_D 40006004 00000001 - 2039410 ns R r2 00000001 - 2039410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2039430 ns R r2 80000000 - 2039430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2039450 ns R psr 81000200 - 2039450 ns MR4_I 00000238 48054770 - 2039470 ns MR4_I 00000230 07d2684a - 2039490 ns IT 00000230 684a LDR r2,[r1,#4] - 2039510 ns MR4_I 00000234 6008d1fc - 2039570 ns MR4_D 40006004 00000001 - 2039570 ns R r2 00000001 - 2039570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2039590 ns R r2 80000000 - 2039590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2039610 ns R psr 81000200 - 2039610 ns MR4_I 00000238 48054770 - 2039630 ns MR4_I 00000230 07d2684a - 2039650 ns IT 00000230 684a LDR r2,[r1,#4] - 2039670 ns MR4_I 00000234 6008d1fc - 2039730 ns MR4_D 40006004 00000001 - 2039730 ns R r2 00000001 - 2039730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2039750 ns R r2 80000000 - 2039750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2039770 ns R psr 81000200 - 2039770 ns MR4_I 00000238 48054770 - 2039790 ns MR4_I 00000230 07d2684a - 2039810 ns IT 00000230 684a LDR r2,[r1,#4] - 2039830 ns MR4_I 00000234 6008d1fc - 2039890 ns MR4_D 40006004 00000001 - 2039890 ns R r2 00000001 - 2039890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2039910 ns R r2 80000000 - 2039910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2039930 ns R psr 81000200 - 2039930 ns MR4_I 00000238 48054770 - 2039950 ns MR4_I 00000230 07d2684a - 2039970 ns IT 00000230 684a LDR r2,[r1,#4] - 2039990 ns MR4_I 00000234 6008d1fc - 2040050 ns MR4_D 40006004 00000001 - 2040050 ns R r2 00000001 - 2040050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2040070 ns R r2 80000000 - 2040070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2040090 ns R psr 81000200 - 2040090 ns MR4_I 00000238 48054770 - 2040110 ns MR4_I 00000230 07d2684a - 2040130 ns IT 00000230 684a LDR r2,[r1,#4] - 2040150 ns MR4_I 00000234 6008d1fc - 2040210 ns MR4_D 40006004 00000001 - 2040210 ns R r2 00000001 - 2040210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2040230 ns R r2 80000000 - 2040230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2040250 ns R psr 81000200 - 2040250 ns MR4_I 00000238 48054770 - 2040270 ns MR4_I 00000230 07d2684a - 2040290 ns IT 00000230 684a LDR r2,[r1,#4] - 2040310 ns MR4_I 00000234 6008d1fc - 2040370 ns MR4_D 40006004 00000001 - 2040370 ns R r2 00000001 - 2040370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2040390 ns R r2 80000000 - 2040390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2040410 ns R psr 81000200 - 2040410 ns MR4_I 00000238 48054770 - 2040430 ns MR4_I 00000230 07d2684a - 2040450 ns IT 00000230 684a LDR r2,[r1,#4] - 2040470 ns MR4_I 00000234 6008d1fc - 2040530 ns MR4_D 40006004 00000001 - 2040530 ns R r2 00000001 - 2040530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2040550 ns R r2 80000000 - 2040550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2040570 ns R psr 81000200 - 2040570 ns MR4_I 00000238 48054770 - 2040590 ns MR4_I 00000230 07d2684a - 2040610 ns IT 00000230 684a LDR r2,[r1,#4] - 2040630 ns MR4_I 00000234 6008d1fc - 2040690 ns MR4_D 40006004 00000001 - 2040690 ns R r2 00000001 - 2040690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2040710 ns R r2 80000000 - 2040710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2040730 ns R psr 81000200 - 2040730 ns MR4_I 00000238 48054770 - 2040750 ns MR4_I 00000230 07d2684a - 2040770 ns IT 00000230 684a LDR r2,[r1,#4] - 2040790 ns MR4_I 00000234 6008d1fc - 2040850 ns MR4_D 40006004 00000001 - 2040850 ns R r2 00000001 - 2040850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2040870 ns R r2 80000000 - 2040870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2040890 ns R psr 81000200 - 2040890 ns MR4_I 00000238 48054770 - 2040910 ns MR4_I 00000230 07d2684a - 2040930 ns IT 00000230 684a LDR r2,[r1,#4] - 2040950 ns MR4_I 00000234 6008d1fc - 2041010 ns MR4_D 40006004 00000001 - 2041010 ns R r2 00000001 - 2041010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2041030 ns R r2 80000000 - 2041030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2041050 ns R psr 81000200 - 2041050 ns MR4_I 00000238 48054770 - 2041070 ns MR4_I 00000230 07d2684a - 2041090 ns IT 00000230 684a LDR r2,[r1,#4] - 2041110 ns MR4_I 00000234 6008d1fc - 2041170 ns MR4_D 40006004 00000001 - 2041170 ns R r2 00000001 - 2041170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2041190 ns R r2 80000000 - 2041190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2041210 ns R psr 81000200 - 2041210 ns MR4_I 00000238 48054770 - 2041230 ns MR4_I 00000230 07d2684a - 2041250 ns IT 00000230 684a LDR r2,[r1,#4] - 2041270 ns MR4_I 00000234 6008d1fc - 2041330 ns MR4_D 40006004 00000001 - 2041330 ns R r2 00000001 - 2041330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2041350 ns R r2 80000000 - 2041350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2041370 ns R psr 81000200 - 2041370 ns MR4_I 00000238 48054770 - 2041390 ns MR4_I 00000230 07d2684a - 2041410 ns IT 00000230 684a LDR r2,[r1,#4] - 2041430 ns MR4_I 00000234 6008d1fc - 2041490 ns MR4_D 40006004 00000001 - 2041490 ns R r2 00000001 - 2041490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2041510 ns R r2 80000000 - 2041510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2041530 ns R psr 81000200 - 2041530 ns MR4_I 00000238 48054770 - 2041550 ns MR4_I 00000230 07d2684a - 2041570 ns IT 00000230 684a LDR r2,[r1,#4] - 2041590 ns MR4_I 00000234 6008d1fc - 2041650 ns MR4_D 40006004 00000001 - 2041650 ns R r2 00000001 - 2041650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2041670 ns R r2 80000000 - 2041670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2041690 ns R psr 81000200 - 2041690 ns MR4_I 00000238 48054770 - 2041710 ns MR4_I 00000230 07d2684a - 2041730 ns IT 00000230 684a LDR r2,[r1,#4] - 2041750 ns MR4_I 00000234 6008d1fc - 2041810 ns MR4_D 40006004 00000001 - 2041810 ns R r2 00000001 - 2041810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2041830 ns R r2 80000000 - 2041830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2041850 ns R psr 81000200 - 2041850 ns MR4_I 00000238 48054770 - 2041870 ns MR4_I 00000230 07d2684a - 2041890 ns IT 00000230 684a LDR r2,[r1,#4] - 2041910 ns MR4_I 00000234 6008d1fc - 2041970 ns MR4_D 40006004 00000001 - 2041970 ns R r2 00000001 - 2041970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2041990 ns R r2 80000000 - 2041990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2042010 ns R psr 81000200 - 2042010 ns MR4_I 00000238 48054770 - 2042030 ns MR4_I 00000230 07d2684a - 2042050 ns IT 00000230 684a LDR r2,[r1,#4] - 2042070 ns MR4_I 00000234 6008d1fc - 2042130 ns MR4_D 40006004 00000001 - 2042130 ns R r2 00000001 - 2042130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2042150 ns R r2 80000000 - 2042150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2042170 ns R psr 81000200 - 2042170 ns MR4_I 00000238 48054770 - 2042190 ns MR4_I 00000230 07d2684a - 2042210 ns IT 00000230 684a LDR r2,[r1,#4] - 2042230 ns MR4_I 00000234 6008d1fc - 2042290 ns MR4_D 40006004 00000001 - 2042290 ns R r2 00000001 - 2042290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2042310 ns R r2 80000000 - 2042310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2042330 ns R psr 81000200 - 2042330 ns MR4_I 00000238 48054770 - 2042350 ns MR4_I 00000230 07d2684a - 2042370 ns IT 00000230 684a LDR r2,[r1,#4] - 2042390 ns MR4_I 00000234 6008d1fc - 2042450 ns MR4_D 40006004 00000001 - 2042450 ns R r2 00000001 - 2042450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2042470 ns R r2 80000000 - 2042470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2042490 ns R psr 81000200 - 2042490 ns MR4_I 00000238 48054770 - 2042510 ns MR4_I 00000230 07d2684a - 2042530 ns IT 00000230 684a LDR r2,[r1,#4] - 2042550 ns MR4_I 00000234 6008d1fc - 2042610 ns MR4_D 40006004 00000001 - 2042610 ns R r2 00000001 - 2042610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2042630 ns R r2 80000000 - 2042630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2042650 ns R psr 81000200 - 2042650 ns MR4_I 00000238 48054770 - 2042670 ns MR4_I 00000230 07d2684a - 2042690 ns IT 00000230 684a LDR r2,[r1,#4] - 2042710 ns MR4_I 00000234 6008d1fc - 2042770 ns MR4_D 40006004 00000001 - 2042770 ns R r2 00000001 - 2042770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2042790 ns R r2 80000000 - 2042790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2042810 ns R psr 81000200 - 2042810 ns MR4_I 00000238 48054770 - 2042830 ns MR4_I 00000230 07d2684a - 2042850 ns IT 00000230 684a LDR r2,[r1,#4] - 2042870 ns MR4_I 00000234 6008d1fc - 2042930 ns MR4_D 40006004 00000001 - 2042930 ns R r2 00000001 - 2042930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2042950 ns R r2 80000000 - 2042950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2042970 ns R psr 81000200 - 2042970 ns MR4_I 00000238 48054770 - 2042990 ns MR4_I 00000230 07d2684a - 2043010 ns IT 00000230 684a LDR r2,[r1,#4] - 2043030 ns MR4_I 00000234 6008d1fc - 2043090 ns MR4_D 40006004 00000001 - 2043090 ns R r2 00000001 - 2043090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2043110 ns R r2 80000000 - 2043110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2043130 ns R psr 81000200 - 2043130 ns MR4_I 00000238 48054770 - 2043150 ns MR4_I 00000230 07d2684a - 2043170 ns IT 00000230 684a LDR r2,[r1,#4] - 2043190 ns MR4_I 00000234 6008d1fc - 2043250 ns MR4_D 40006004 00000001 - 2043250 ns R r2 00000001 - 2043250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2043270 ns R r2 80000000 - 2043270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2043290 ns R psr 81000200 - 2043290 ns MR4_I 00000238 48054770 - 2043310 ns MR4_I 00000230 07d2684a - 2043330 ns IT 00000230 684a LDR r2,[r1,#4] - 2043350 ns MR4_I 00000234 6008d1fc - 2043410 ns MR4_D 40006004 00000001 - 2043410 ns R r2 00000001 - 2043410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2043430 ns R r2 80000000 - 2043430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2043450 ns R psr 81000200 - 2043450 ns MR4_I 00000238 48054770 - 2043470 ns MR4_I 00000230 07d2684a - 2043490 ns IT 00000230 684a LDR r2,[r1,#4] - 2043510 ns MR4_I 00000234 6008d1fc - 2043570 ns MR4_D 40006004 00000001 - 2043570 ns R r2 00000001 - 2043570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2043590 ns R r2 80000000 - 2043590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2043610 ns R psr 81000200 - 2043610 ns MR4_I 00000238 48054770 - 2043630 ns MR4_I 00000230 07d2684a - 2043650 ns IT 00000230 684a LDR r2,[r1,#4] - 2043670 ns MR4_I 00000234 6008d1fc - 2043730 ns MR4_D 40006004 00000001 - 2043730 ns R r2 00000001 - 2043730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2043750 ns R r2 80000000 - 2043750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2043770 ns R psr 81000200 - 2043770 ns MR4_I 00000238 48054770 - 2043790 ns MR4_I 00000230 07d2684a - 2043810 ns IT 00000230 684a LDR r2,[r1,#4] - 2043830 ns MR4_I 00000234 6008d1fc - 2043890 ns MR4_D 40006004 00000001 - 2043890 ns R r2 00000001 - 2043890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2043910 ns R r2 80000000 - 2043910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2043930 ns R psr 81000200 - 2043930 ns MR4_I 00000238 48054770 - 2043950 ns MR4_I 00000230 07d2684a - 2043970 ns IT 00000230 684a LDR r2,[r1,#4] - 2043990 ns MR4_I 00000234 6008d1fc - 2044050 ns MR4_D 40006004 00000001 - 2044050 ns R r2 00000001 - 2044050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2044070 ns R r2 80000000 - 2044070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2044090 ns R psr 81000200 - 2044090 ns MR4_I 00000238 48054770 - 2044110 ns MR4_I 00000230 07d2684a - 2044130 ns IT 00000230 684a LDR r2,[r1,#4] - 2044150 ns MR4_I 00000234 6008d1fc - 2044210 ns MR4_D 40006004 00000001 - 2044210 ns R r2 00000001 - 2044210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2044230 ns R r2 80000000 - 2044230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2044250 ns R psr 81000200 - 2044250 ns MR4_I 00000238 48054770 - 2044270 ns MR4_I 00000230 07d2684a - 2044290 ns IT 00000230 684a LDR r2,[r1,#4] - 2044310 ns MR4_I 00000234 6008d1fc - 2044370 ns MR4_D 40006004 00000001 - 2044370 ns R r2 00000001 - 2044370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2044390 ns R r2 80000000 - 2044390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2044410 ns R psr 81000200 - 2044410 ns MR4_I 00000238 48054770 - 2044430 ns MR4_I 00000230 07d2684a - 2044450 ns IT 00000230 684a LDR r2,[r1,#4] - 2044470 ns MR4_I 00000234 6008d1fc - 2044530 ns MR4_D 40006004 00000001 - 2044530 ns R r2 00000001 - 2044530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2044550 ns R r2 80000000 - 2044550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2044570 ns R psr 81000200 - 2044570 ns MR4_I 00000238 48054770 - 2044590 ns MR4_I 00000230 07d2684a - 2044610 ns IT 00000230 684a LDR r2,[r1,#4] - 2044630 ns MR4_I 00000234 6008d1fc - 2044690 ns MR4_D 40006004 00000001 - 2044690 ns R r2 00000001 - 2044690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2044710 ns R r2 80000000 - 2044710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2044730 ns R psr 81000200 - 2044730 ns MR4_I 00000238 48054770 - 2044750 ns MR4_I 00000230 07d2684a - 2044770 ns IT 00000230 684a LDR r2,[r1,#4] - 2044790 ns MR4_I 00000234 6008d1fc - 2044850 ns MR4_D 40006004 00000001 - 2044850 ns R r2 00000001 - 2044850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2044870 ns R r2 80000000 - 2044870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2044890 ns R psr 81000200 - 2044890 ns MR4_I 00000238 48054770 - 2044910 ns MR4_I 00000230 07d2684a - 2044930 ns IT 00000230 684a LDR r2,[r1,#4] - 2044950 ns MR4_I 00000234 6008d1fc - 2045010 ns MR4_D 40006004 00000001 - 2045010 ns R r2 00000001 - 2045010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2045030 ns R r2 80000000 - 2045030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2045050 ns R psr 81000200 - 2045050 ns MR4_I 00000238 48054770 - 2045070 ns MR4_I 00000230 07d2684a - 2045090 ns IT 00000230 684a LDR r2,[r1,#4] - 2045110 ns MR4_I 00000234 6008d1fc - 2045170 ns MR4_D 40006004 00000001 - 2045170 ns R r2 00000001 - 2045170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2045190 ns R r2 80000000 - 2045190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2045210 ns R psr 81000200 - 2045210 ns MR4_I 00000238 48054770 - 2045230 ns MR4_I 00000230 07d2684a - 2045250 ns IT 00000230 684a LDR r2,[r1,#4] - 2045270 ns MR4_I 00000234 6008d1fc - 2045330 ns MR4_D 40006004 00000001 - 2045330 ns R r2 00000001 - 2045330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2045350 ns R r2 80000000 - 2045350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2045370 ns R psr 81000200 - 2045370 ns MR4_I 00000238 48054770 - 2045390 ns MR4_I 00000230 07d2684a - 2045410 ns IT 00000230 684a LDR r2,[r1,#4] - 2045430 ns MR4_I 00000234 6008d1fc - 2045490 ns MR4_D 40006004 00000001 - 2045490 ns R r2 00000001 - 2045490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2045510 ns R r2 80000000 - 2045510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2045530 ns R psr 81000200 - 2045530 ns MR4_I 00000238 48054770 - 2045550 ns MR4_I 00000230 07d2684a - 2045570 ns IT 00000230 684a LDR r2,[r1,#4] - 2045590 ns MR4_I 00000234 6008d1fc - 2045650 ns MR4_D 40006004 00000001 - 2045650 ns R r2 00000001 - 2045650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2045670 ns R r2 80000000 - 2045670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2045690 ns R psr 81000200 - 2045690 ns MR4_I 00000238 48054770 - 2045710 ns MR4_I 00000230 07d2684a - 2045730 ns IT 00000230 684a LDR r2,[r1,#4] - 2045750 ns MR4_I 00000234 6008d1fc - 2045810 ns MR4_D 40006004 00000001 - 2045810 ns R r2 00000001 - 2045810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2045830 ns R r2 80000000 - 2045830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2045850 ns R psr 81000200 - 2045850 ns MR4_I 00000238 48054770 - 2045870 ns MR4_I 00000230 07d2684a - 2045890 ns IT 00000230 684a LDR r2,[r1,#4] - 2045910 ns MR4_I 00000234 6008d1fc - 2045970 ns MR4_D 40006004 00000001 - 2045970 ns R r2 00000001 - 2045970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2045990 ns R r2 80000000 - 2045990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2046010 ns R psr 81000200 - 2046010 ns MR4_I 00000238 48054770 - 2046030 ns MR4_I 00000230 07d2684a - 2046050 ns IT 00000230 684a LDR r2,[r1,#4] - 2046070 ns MR4_I 00000234 6008d1fc - 2046130 ns MR4_D 40006004 00000001 - 2046130 ns R r2 00000001 - 2046130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2046150 ns R r2 80000000 - 2046150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2046170 ns R psr 81000200 - 2046170 ns MR4_I 00000238 48054770 - 2046190 ns MR4_I 00000230 07d2684a - 2046210 ns IT 00000230 684a LDR r2,[r1,#4] - 2046230 ns MR4_I 00000234 6008d1fc - 2046290 ns MR4_D 40006004 00000001 - 2046290 ns R r2 00000001 - 2046290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2046310 ns R r2 80000000 - 2046310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2046330 ns R psr 81000200 - 2046330 ns MR4_I 00000238 48054770 - 2046350 ns MR4_I 00000230 07d2684a - 2046370 ns IT 00000230 684a LDR r2,[r1,#4] - 2046390 ns MR4_I 00000234 6008d1fc - 2046450 ns MR4_D 40006004 00000001 - 2046450 ns R r2 00000001 - 2046450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2046470 ns R r2 80000000 - 2046470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2046490 ns R psr 81000200 - 2046490 ns MR4_I 00000238 48054770 - 2046510 ns MR4_I 00000230 07d2684a - 2046530 ns IT 00000230 684a LDR r2,[r1,#4] - 2046550 ns MR4_I 00000234 6008d1fc - 2046610 ns MR4_D 40006004 00000001 - 2046610 ns R r2 00000001 - 2046610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2046630 ns R r2 80000000 - 2046630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2046650 ns R psr 81000200 - 2046650 ns MR4_I 00000238 48054770 - 2046670 ns MR4_I 00000230 07d2684a - 2046690 ns IT 00000230 684a LDR r2,[r1,#4] - 2046710 ns MR4_I 00000234 6008d1fc - 2046770 ns MR4_D 40006004 00000001 - 2046770 ns R r2 00000001 - 2046770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2046790 ns R r2 80000000 - 2046790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2046810 ns R psr 81000200 - 2046810 ns MR4_I 00000238 48054770 - 2046830 ns MR4_I 00000230 07d2684a - 2046850 ns IT 00000230 684a LDR r2,[r1,#4] - 2046870 ns MR4_I 00000234 6008d1fc - 2046930 ns MR4_D 40006004 00000001 - 2046930 ns R r2 00000001 - 2046930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2046950 ns R r2 80000000 - 2046950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2046970 ns R psr 81000200 - 2046970 ns MR4_I 00000238 48054770 - 2046990 ns MR4_I 00000230 07d2684a - 2047010 ns IT 00000230 684a LDR r2,[r1,#4] - 2047030 ns MR4_I 00000234 6008d1fc - 2047090 ns MR4_D 40006004 00000001 - 2047090 ns R r2 00000001 - 2047090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2047110 ns R r2 80000000 - 2047110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2047130 ns R psr 81000200 - 2047130 ns MR4_I 00000238 48054770 - 2047150 ns MR4_I 00000230 07d2684a - 2047170 ns IT 00000230 684a LDR r2,[r1,#4] - 2047190 ns MR4_I 00000234 6008d1fc - 2047250 ns MR4_D 40006004 00000001 - 2047250 ns R r2 00000001 - 2047250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2047270 ns R r2 80000000 - 2047270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2047290 ns R psr 81000200 - 2047290 ns MR4_I 00000238 48054770 - 2047310 ns MR4_I 00000230 07d2684a - 2047330 ns IT 00000230 684a LDR r2,[r1,#4] - 2047350 ns MR4_I 00000234 6008d1fc - 2047410 ns MR4_D 40006004 00000001 - 2047410 ns R r2 00000001 - 2047410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2047430 ns R r2 80000000 - 2047430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2047450 ns R psr 81000200 - 2047450 ns MR4_I 00000238 48054770 - 2047470 ns MR4_I 00000230 07d2684a - 2047490 ns IT 00000230 684a LDR r2,[r1,#4] - 2047510 ns MR4_I 00000234 6008d1fc - 2047570 ns MR4_D 40006004 00000001 - 2047570 ns R r2 00000001 - 2047570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2047590 ns R r2 80000000 - 2047590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2047610 ns R psr 81000200 - 2047610 ns MR4_I 00000238 48054770 - 2047630 ns MR4_I 00000230 07d2684a - 2047650 ns IT 00000230 684a LDR r2,[r1,#4] - 2047670 ns MR4_I 00000234 6008d1fc - 2047730 ns MR4_D 40006004 00000001 - 2047730 ns R r2 00000001 - 2047730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2047750 ns R r2 80000000 - 2047750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2047770 ns R psr 81000200 - 2047770 ns MR4_I 00000238 48054770 - 2047790 ns MR4_I 00000230 07d2684a - 2047810 ns IT 00000230 684a LDR r2,[r1,#4] - 2047830 ns MR4_I 00000234 6008d1fc - 2047890 ns MR4_D 40006004 00000001 - 2047890 ns R r2 00000001 - 2047890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2047910 ns R r2 80000000 - 2047910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2047930 ns R psr 81000200 - 2047930 ns MR4_I 00000238 48054770 - 2047950 ns MR4_I 00000230 07d2684a - 2047970 ns IT 00000230 684a LDR r2,[r1,#4] - 2047990 ns MR4_I 00000234 6008d1fc - 2048050 ns MR4_D 40006004 00000001 - 2048050 ns R r2 00000001 - 2048050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2048070 ns R r2 80000000 - 2048070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2048090 ns R psr 81000200 - 2048090 ns MR4_I 00000238 48054770 - 2048110 ns MR4_I 00000230 07d2684a - 2048130 ns IT 00000230 684a LDR r2,[r1,#4] - 2048150 ns MR4_I 00000234 6008d1fc - 2048210 ns MR4_D 40006004 00000001 - 2048210 ns R r2 00000001 - 2048210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2048230 ns R r2 80000000 - 2048230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2048250 ns R psr 81000200 - 2048250 ns MR4_I 00000238 48054770 - 2048270 ns MR4_I 00000230 07d2684a - 2048290 ns IT 00000230 684a LDR r2,[r1,#4] - 2048310 ns MR4_I 00000234 6008d1fc - 2048370 ns MR4_D 40006004 00000001 - 2048370 ns R r2 00000001 - 2048370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2048390 ns R r2 80000000 - 2048390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2048410 ns R psr 81000200 - 2048410 ns MR4_I 00000238 48054770 - 2048430 ns MR4_I 00000230 07d2684a - 2048450 ns IT 00000230 684a LDR r2,[r1,#4] - 2048470 ns MR4_I 00000234 6008d1fc - 2048530 ns MR4_D 40006004 00000001 - 2048530 ns R r2 00000001 - 2048530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2048550 ns R r2 80000000 - 2048550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2048570 ns R psr 81000200 - 2048570 ns MR4_I 00000238 48054770 - 2048590 ns MR4_I 00000230 07d2684a - 2048610 ns IT 00000230 684a LDR r2,[r1,#4] - 2048630 ns MR4_I 00000234 6008d1fc - 2048690 ns MR4_D 40006004 00000001 - 2048690 ns R r2 00000001 - 2048690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2048710 ns R r2 80000000 - 2048710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2048730 ns R psr 81000200 - 2048730 ns MR4_I 00000238 48054770 - 2048750 ns MR4_I 00000230 07d2684a - 2048770 ns IT 00000230 684a LDR r2,[r1,#4] - 2048790 ns MR4_I 00000234 6008d1fc - 2048850 ns MR4_D 40006004 00000001 - 2048850 ns R r2 00000001 - 2048850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2048870 ns R r2 80000000 - 2048870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2048890 ns R psr 81000200 - 2048890 ns MR4_I 00000238 48054770 - 2048910 ns MR4_I 00000230 07d2684a - 2048930 ns IT 00000230 684a LDR r2,[r1,#4] - 2048950 ns MR4_I 00000234 6008d1fc - 2049010 ns MR4_D 40006004 00000001 - 2049010 ns R r2 00000001 - 2049010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2049030 ns R r2 80000000 - 2049030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2049050 ns R psr 81000200 - 2049050 ns MR4_I 00000238 48054770 - 2049070 ns MR4_I 00000230 07d2684a - 2049090 ns IT 00000230 684a LDR r2,[r1,#4] - 2049110 ns MR4_I 00000234 6008d1fc - 2049170 ns MR4_D 40006004 00000001 - 2049170 ns R r2 00000001 - 2049170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2049190 ns R r2 80000000 - 2049190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2049210 ns R psr 81000200 - 2049210 ns MR4_I 00000238 48054770 - 2049230 ns MR4_I 00000230 07d2684a - 2049250 ns IT 00000230 684a LDR r2,[r1,#4] - 2049270 ns MR4_I 00000234 6008d1fc - 2049330 ns MR4_D 40006004 00000001 - 2049330 ns R r2 00000001 - 2049330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2049350 ns R r2 80000000 - 2049350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2049370 ns R psr 81000200 - 2049370 ns MR4_I 00000238 48054770 - 2049390 ns MR4_I 00000230 07d2684a - 2049410 ns IT 00000230 684a LDR r2,[r1,#4] - 2049430 ns MR4_I 00000234 6008d1fc - 2049490 ns MR4_D 40006004 00000001 - 2049490 ns R r2 00000001 - 2049490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2049510 ns R r2 80000000 - 2049510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2049530 ns R psr 81000200 - 2049530 ns MR4_I 00000238 48054770 - 2049550 ns MR4_I 00000230 07d2684a - 2049570 ns IT 00000230 684a LDR r2,[r1,#4] - 2049590 ns MR4_I 00000234 6008d1fc - 2049650 ns MR4_D 40006004 00000001 - 2049650 ns R r2 00000001 - 2049650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2049670 ns R r2 80000000 - 2049670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2049690 ns R psr 81000200 - 2049690 ns MR4_I 00000238 48054770 - 2049710 ns MR4_I 00000230 07d2684a - 2049730 ns IT 00000230 684a LDR r2,[r1,#4] - 2049750 ns MR4_I 00000234 6008d1fc - 2049810 ns MR4_D 40006004 00000001 - 2049810 ns R r2 00000001 - 2049810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2049830 ns R r2 80000000 - 2049830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2049850 ns R psr 81000200 - 2049850 ns MR4_I 00000238 48054770 - 2049870 ns MR4_I 00000230 07d2684a - 2049890 ns IT 00000230 684a LDR r2,[r1,#4] - 2049910 ns MR4_I 00000234 6008d1fc - 2049970 ns MR4_D 40006004 00000001 - 2049970 ns R r2 00000001 - 2049970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2049990 ns R r2 80000000 - 2049990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2050010 ns R psr 81000200 - 2050010 ns MR4_I 00000238 48054770 - 2050030 ns MR4_I 00000230 07d2684a - 2050050 ns IT 00000230 684a LDR r2,[r1,#4] - 2050070 ns MR4_I 00000234 6008d1fc - 2050130 ns MR4_D 40006004 00000001 - 2050130 ns R r2 00000001 - 2050130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2050150 ns R r2 80000000 - 2050150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2050170 ns R psr 81000200 - 2050170 ns MR4_I 00000238 48054770 - 2050190 ns MR4_I 00000230 07d2684a - 2050210 ns IT 00000230 684a LDR r2,[r1,#4] - 2050230 ns MR4_I 00000234 6008d1fc - 2050290 ns MR4_D 40006004 00000001 - 2050290 ns R r2 00000001 - 2050290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2050310 ns R r2 80000000 - 2050310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2050330 ns R psr 81000200 - 2050330 ns MR4_I 00000238 48054770 - 2050350 ns MR4_I 00000230 07d2684a - 2050370 ns IT 00000230 684a LDR r2,[r1,#4] - 2050390 ns MR4_I 00000234 6008d1fc - 2050450 ns MR4_D 40006004 00000001 - 2050450 ns R r2 00000001 - 2050450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2050470 ns R r2 80000000 - 2050470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2050490 ns R psr 81000200 - 2050490 ns MR4_I 00000238 48054770 - 2050510 ns MR4_I 00000230 07d2684a - 2050530 ns IT 00000230 684a LDR r2,[r1,#4] - 2050550 ns MR4_I 00000234 6008d1fc - 2050610 ns MR4_D 40006004 00000001 - 2050610 ns R r2 00000001 - 2050610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2050630 ns R r2 80000000 - 2050630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2050650 ns R psr 81000200 - 2050650 ns MR4_I 00000238 48054770 - 2050670 ns MR4_I 00000230 07d2684a - 2050690 ns IT 00000230 684a LDR r2,[r1,#4] - 2050710 ns MR4_I 00000234 6008d1fc - 2050770 ns MR4_D 40006004 00000001 - 2050770 ns R r2 00000001 - 2050770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2050790 ns R r2 80000000 - 2050790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2050810 ns R psr 81000200 - 2050810 ns MR4_I 00000238 48054770 - 2050830 ns MR4_I 00000230 07d2684a - 2050850 ns IT 00000230 684a LDR r2,[r1,#4] - 2050870 ns MR4_I 00000234 6008d1fc - 2050930 ns MR4_D 40006004 00000001 - 2050930 ns R r2 00000001 - 2050930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2050950 ns R r2 80000000 - 2050950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2050970 ns R psr 81000200 - 2050970 ns MR4_I 00000238 48054770 - 2050990 ns MR4_I 00000230 07d2684a - 2051010 ns IT 00000230 684a LDR r2,[r1,#4] - 2051030 ns MR4_I 00000234 6008d1fc - 2051090 ns MR4_D 40006004 00000001 - 2051090 ns R r2 00000001 - 2051090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2051110 ns R r2 80000000 - 2051110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2051130 ns R psr 81000200 - 2051130 ns MR4_I 00000238 48054770 - 2051150 ns MR4_I 00000230 07d2684a - 2051170 ns IT 00000230 684a LDR r2,[r1,#4] - 2051190 ns MR4_I 00000234 6008d1fc - 2051250 ns MR4_D 40006004 00000001 - 2051250 ns R r2 00000001 - 2051250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2051270 ns R r2 80000000 - 2051270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2051290 ns R psr 81000200 - 2051290 ns MR4_I 00000238 48054770 - 2051310 ns MR4_I 00000230 07d2684a - 2051330 ns IT 00000230 684a LDR r2,[r1,#4] - 2051350 ns MR4_I 00000234 6008d1fc - 2051410 ns MR4_D 40006004 00000001 - 2051410 ns R r2 00000001 - 2051410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2051430 ns R r2 80000000 - 2051430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2051450 ns R psr 81000200 - 2051450 ns MR4_I 00000238 48054770 - 2051470 ns MR4_I 00000230 07d2684a - 2051490 ns IT 00000230 684a LDR r2,[r1,#4] - 2051510 ns MR4_I 00000234 6008d1fc - 2051570 ns MR4_D 40006004 00000001 - 2051570 ns R r2 00000001 - 2051570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2051590 ns R r2 80000000 - 2051590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2051610 ns R psr 81000200 - 2051610 ns MR4_I 00000238 48054770 - 2051630 ns MR4_I 00000230 07d2684a - 2051650 ns IT 00000230 684a LDR r2,[r1,#4] - 2051670 ns MR4_I 00000234 6008d1fc - 2051730 ns MR4_D 40006004 00000001 - 2051730 ns R r2 00000001 - 2051730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2051750 ns R r2 80000000 - 2051750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2051770 ns R psr 81000200 - 2051770 ns MR4_I 00000238 48054770 - 2051790 ns MR4_I 00000230 07d2684a - 2051810 ns IT 00000230 684a LDR r2,[r1,#4] - 2051830 ns MR4_I 00000234 6008d1fc - 2051890 ns MR4_D 40006004 00000001 - 2051890 ns R r2 00000001 - 2051890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2051910 ns R r2 80000000 - 2051910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2051930 ns R psr 81000200 - 2051930 ns MR4_I 00000238 48054770 - 2051950 ns MR4_I 00000230 07d2684a - 2051970 ns IT 00000230 684a LDR r2,[r1,#4] - 2051990 ns MR4_I 00000234 6008d1fc - 2052050 ns MR4_D 40006004 00000001 - 2052050 ns R r2 00000001 - 2052050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2052070 ns R r2 80000000 - 2052070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2052090 ns R psr 81000200 - 2052090 ns MR4_I 00000238 48054770 - 2052110 ns MR4_I 00000230 07d2684a - 2052130 ns IT 00000230 684a LDR r2,[r1,#4] - 2052150 ns MR4_I 00000234 6008d1fc - 2052210 ns MR4_D 40006004 00000001 - 2052210 ns R r2 00000001 - 2052210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2052230 ns R r2 80000000 - 2052230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2052250 ns R psr 81000200 - 2052250 ns MR4_I 00000238 48054770 - 2052270 ns MR4_I 00000230 07d2684a - 2052290 ns IT 00000230 684a LDR r2,[r1,#4] - 2052310 ns MR4_I 00000234 6008d1fc - 2052370 ns MR4_D 40006004 00000001 - 2052370 ns R r2 00000001 - 2052370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2052390 ns R r2 80000000 - 2052390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2052410 ns R psr 81000200 - 2052410 ns MR4_I 00000238 48054770 - 2052430 ns MR4_I 00000230 07d2684a - 2052450 ns IT 00000230 684a LDR r2,[r1,#4] - 2052470 ns MR4_I 00000234 6008d1fc - 2052530 ns MR4_D 40006004 00000001 - 2052530 ns R r2 00000001 - 2052530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2052550 ns R r2 80000000 - 2052550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2052570 ns R psr 81000200 - 2052570 ns MR4_I 00000238 48054770 - 2052590 ns MR4_I 00000230 07d2684a - 2052610 ns IT 00000230 684a LDR r2,[r1,#4] - 2052630 ns MR4_I 00000234 6008d1fc - 2052690 ns MR4_D 40006004 00000001 - 2052690 ns R r2 00000001 - 2052690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2052710 ns R r2 80000000 - 2052710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2052730 ns R psr 81000200 - 2052730 ns MR4_I 00000238 48054770 - 2052750 ns MR4_I 00000230 07d2684a - 2052770 ns IT 00000230 684a LDR r2,[r1,#4] - 2052790 ns MR4_I 00000234 6008d1fc - 2052850 ns MR4_D 40006004 00000001 - 2052850 ns R r2 00000001 - 2052850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2052870 ns R r2 80000000 - 2052870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2052890 ns R psr 81000200 - 2052890 ns MR4_I 00000238 48054770 - 2052910 ns MR4_I 00000230 07d2684a - 2052930 ns IT 00000230 684a LDR r2,[r1,#4] - 2052950 ns MR4_I 00000234 6008d1fc - 2053010 ns MR4_D 40006004 00000001 - 2053010 ns R r2 00000001 - 2053010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2053030 ns R r2 80000000 - 2053030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2053050 ns R psr 81000200 - 2053050 ns MR4_I 00000238 48054770 - 2053070 ns MR4_I 00000230 07d2684a - 2053090 ns IT 00000230 684a LDR r2,[r1,#4] - 2053110 ns MR4_I 00000234 6008d1fc - 2053170 ns MR4_D 40006004 00000001 - 2053170 ns R r2 00000001 - 2053170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2053190 ns R r2 80000000 - 2053190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2053210 ns R psr 81000200 - 2053210 ns MR4_I 00000238 48054770 - 2053230 ns MR4_I 00000230 07d2684a - 2053250 ns IT 00000230 684a LDR r2,[r1,#4] - 2053270 ns MR4_I 00000234 6008d1fc - 2053330 ns MR4_D 40006004 00000001 - 2053330 ns R r2 00000001 - 2053330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2053350 ns R r2 80000000 - 2053350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2053370 ns R psr 81000200 - 2053370 ns MR4_I 00000238 48054770 - 2053390 ns MR4_I 00000230 07d2684a - 2053410 ns IT 00000230 684a LDR r2,[r1,#4] - 2053430 ns MR4_I 00000234 6008d1fc - 2053490 ns MR4_D 40006004 00000001 - 2053490 ns R r2 00000001 - 2053490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2053510 ns R r2 80000000 - 2053510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2053530 ns R psr 81000200 - 2053530 ns MR4_I 00000238 48054770 - 2053550 ns MR4_I 00000230 07d2684a - 2053570 ns IT 00000230 684a LDR r2,[r1,#4] - 2053590 ns MR4_I 00000234 6008d1fc - 2053650 ns MR4_D 40006004 00000001 - 2053650 ns R r2 00000001 - 2053650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2053670 ns R r2 80000000 - 2053670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2053690 ns R psr 81000200 - 2053690 ns MR4_I 00000238 48054770 - 2053710 ns MR4_I 00000230 07d2684a - 2053730 ns IT 00000230 684a LDR r2,[r1,#4] - 2053750 ns MR4_I 00000234 6008d1fc - 2053810 ns MR4_D 40006004 00000001 - 2053810 ns R r2 00000001 - 2053810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2053830 ns R r2 80000000 - 2053830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2053850 ns R psr 81000200 - 2053850 ns MR4_I 00000238 48054770 - 2053870 ns MR4_I 00000230 07d2684a - 2053890 ns IT 00000230 684a LDR r2,[r1,#4] - 2053910 ns MR4_I 00000234 6008d1fc - 2053970 ns MR4_D 40006004 00000001 - 2053970 ns R r2 00000001 - 2053970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2053990 ns R r2 80000000 - 2053990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2054010 ns R psr 81000200 - 2054010 ns MR4_I 00000238 48054770 - 2054030 ns MR4_I 00000230 07d2684a - 2054050 ns IT 00000230 684a LDR r2,[r1,#4] - 2054070 ns MR4_I 00000234 6008d1fc - 2054130 ns MR4_D 40006004 00000001 - 2054130 ns R r2 00000001 - 2054130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2054150 ns R r2 80000000 - 2054150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2054170 ns R psr 81000200 - 2054170 ns MR4_I 00000238 48054770 - 2054190 ns MR4_I 00000230 07d2684a - 2054210 ns IT 00000230 684a LDR r2,[r1,#4] - 2054230 ns MR4_I 00000234 6008d1fc - 2054290 ns MR4_D 40006004 00000001 - 2054290 ns R r2 00000001 - 2054290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2054310 ns R r2 80000000 - 2054310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2054330 ns R psr 81000200 - 2054330 ns MR4_I 00000238 48054770 - 2054350 ns MR4_I 00000230 07d2684a - 2054370 ns IT 00000230 684a LDR r2,[r1,#4] - 2054390 ns MR4_I 00000234 6008d1fc - 2054450 ns MR4_D 40006004 00000001 - 2054450 ns R r2 00000001 - 2054450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2054470 ns R r2 80000000 - 2054470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2054490 ns R psr 81000200 - 2054490 ns MR4_I 00000238 48054770 - 2054510 ns MR4_I 00000230 07d2684a - 2054530 ns IT 00000230 684a LDR r2,[r1,#4] - 2054550 ns MR4_I 00000234 6008d1fc - 2054610 ns MR4_D 40006004 00000001 - 2054610 ns R r2 00000001 - 2054610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2054630 ns R r2 80000000 - 2054630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2054650 ns R psr 81000200 - 2054650 ns MR4_I 00000238 48054770 - 2054670 ns MR4_I 00000230 07d2684a - 2054690 ns IT 00000230 684a LDR r2,[r1,#4] - 2054710 ns MR4_I 00000234 6008d1fc - 2054770 ns MR4_D 40006004 00000001 - 2054770 ns R r2 00000001 - 2054770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2054790 ns R r2 80000000 - 2054790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2054810 ns R psr 81000200 - 2054810 ns MR4_I 00000238 48054770 - 2054830 ns MR4_I 00000230 07d2684a - 2054850 ns IT 00000230 684a LDR r2,[r1,#4] - 2054870 ns MR4_I 00000234 6008d1fc - 2054930 ns MR4_D 40006004 00000001 - 2054930 ns R r2 00000001 - 2054930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2054950 ns R r2 80000000 - 2054950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2054970 ns R psr 81000200 - 2054970 ns MR4_I 00000238 48054770 - 2054990 ns MR4_I 00000230 07d2684a - 2055010 ns IT 00000230 684a LDR r2,[r1,#4] - 2055030 ns MR4_I 00000234 6008d1fc - 2055090 ns MR4_D 40006004 00000001 - 2055090 ns R r2 00000001 - 2055090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2055110 ns R r2 80000000 - 2055110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2055130 ns R psr 81000200 - 2055130 ns MR4_I 00000238 48054770 - 2055150 ns MR4_I 00000230 07d2684a - 2055170 ns IT 00000230 684a LDR r2,[r1,#4] - 2055190 ns MR4_I 00000234 6008d1fc - 2055250 ns MR4_D 40006004 00000000 - 2055250 ns R r2 00000000 - 2055250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2055270 ns R r2 00000000 - 2055270 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2055290 ns R psr 41000200 - 2055290 ns MR4_I 00000238 48054770 - 2055290 ns IT 00000236 6008 STR r0,[r1,#0] - 2055370 ns MW4_D 40006000 0000006c - 2055370 ns IT 00000238 4770 BX lr - 2055390 ns MR4_I 0000023c 07896841 - 2055410 ns R psr 41000200 - 2055410 ns MR4_I 000001fc b510bd10 - 2055430 ns IT 000001fc bd10 POP {r4,pc} - 2055450 ns MR4_I 00000200 f81bf000 - 2055450 ns R r13 200002e0 (MSP) - 2055470 ns MR4_D 200002d8 00000002 - 2055470 ns R r4 00000002 - 2055490 ns MR4_D 200002dc 0000032d - 2055510 ns R psr 41000200 - 2055530 ns MR4_I 0000032c 46301c64 - 2055550 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 2055570 ns MR4_I 00000330 280047a8 - 2055570 ns R r4 00000003 - 2055570 ns IT 0000032e 4630 MOV r0,r6 - 2055590 ns R psr 01000200 - 2055590 ns R r0 200002f8 - 2055590 ns IT 00000330 47a8 BLX r5 - 2055610 ns MR4_I 00000334 4620d1f8 - 2055630 ns R psr 01000200 - 2055630 ns MR4_I 000002a8 1c4a6901 - 2055630 ns R r14 00000333 - 2055650 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2055670 ns MR4_I 000002ac 78086102 - 2055690 ns MR4_D 20000308 00000183 - 2055690 ns R r1 00000183 - 2055690 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2055710 ns R r2 00000184 - 2055710 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2055730 ns R psr 01000200 - 2055730 ns MR4_I 000002b0 b5004770 - 2055750 ns MW4_D 20000308 00000184 - 2055750 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2055790 ns MR1_D 00000183 6c6c6548 - 2055790 ns R r0 0000006c - 2055790 ns IT 000002b0 4770 BX lr - 2055810 ns MR4_I 000002b4 9102b08f - 2055830 ns R psr 01000200 - 2055830 ns MR4_I 00000330 280047a8 - 2055850 ns MR4_I 00000334 4620d1f8 - 2055850 ns IT 00000332 2800 CMP r0,#0 - 2055870 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2055890 ns R psr 21000200 - 2055890 ns MR4_I 00000338 b510bdf8 - 2055910 ns MR4_I 00000328 47b89900 - 2055930 ns IT 00000328 9900 LDR r1,[sp,#0] - 2055950 ns MR4_I 0000032c 46301c64 - 2055970 ns MR4_D 200002e0 20000004 - 2055970 ns R r1 20000004 - 2055970 ns IT 0000032a 47b8 BLX r7 - 2056010 ns R psr 21000200 - 2056010 ns MR4_I 000001f4 b2c0b510 - 2056010 ns R r14 0000032d - 2056030 ns IT 000001f4 b510 PUSH {r4,lr} - 2056050 ns MR4_I 000001f8 f819f000 - 2056070 ns MW4_D 200002d8 00000003 - 2056090 ns MW4_D 200002dc 0000032d - 2056090 ns R r13 200002d8 (MSP) - 2056090 ns IT 000001f6 b2c0 UXTB r0,r0 - 2056110 ns R r0 0000006c - 2056110 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 2056130 ns MR4_I 000001fc b510bd10 - 2056150 ns R r14 000001fd - 2056170 ns MR4_I 0000022c 49084770 - 2056190 ns MR4_I 00000230 07d2684a - 2056190 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 2056230 ns MR4_D 00000250 40006000 - 2056230 ns R r1 40006000 - 2056230 ns IT 00000230 684a LDR r2,[r1,#4] - 2056250 ns MR4_I 00000234 6008d1fc - 2056310 ns MR4_D 40006004 00000001 - 2056310 ns R r2 00000001 - 2056310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2056330 ns R r2 80000000 - 2056330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2056350 ns R psr 81000200 - 2056350 ns MR4_I 00000238 48054770 - 2056370 ns MR4_I 00000230 07d2684a - 2056390 ns IT 00000230 684a LDR r2,[r1,#4] - 2056410 ns MR4_I 00000234 6008d1fc - 2056470 ns MR4_D 40006004 00000001 - 2056470 ns R r2 00000001 - 2056470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2056490 ns R r2 80000000 - 2056490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2056510 ns R psr 81000200 - 2056510 ns MR4_I 00000238 48054770 - 2056530 ns MR4_I 00000230 07d2684a - 2056550 ns IT 00000230 684a LDR r2,[r1,#4] - 2056570 ns MR4_I 00000234 6008d1fc - 2056630 ns MR4_D 40006004 00000001 - 2056630 ns R r2 00000001 - 2056630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2056650 ns R r2 80000000 - 2056650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2056670 ns R psr 81000200 - 2056670 ns MR4_I 00000238 48054770 - 2056690 ns MR4_I 00000230 07d2684a - 2056710 ns IT 00000230 684a LDR r2,[r1,#4] - 2056730 ns MR4_I 00000234 6008d1fc - 2056790 ns MR4_D 40006004 00000001 - 2056790 ns R r2 00000001 - 2056790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2056810 ns R r2 80000000 - 2056810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2056830 ns R psr 81000200 - 2056830 ns MR4_I 00000238 48054770 - 2056850 ns MR4_I 00000230 07d2684a - 2056870 ns IT 00000230 684a LDR r2,[r1,#4] - 2056890 ns MR4_I 00000234 6008d1fc - 2056950 ns MR4_D 40006004 00000001 - 2056950 ns R r2 00000001 - 2056950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2056970 ns R r2 80000000 - 2056970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2056990 ns R psr 81000200 - 2056990 ns MR4_I 00000238 48054770 - 2057010 ns MR4_I 00000230 07d2684a - 2057030 ns IT 00000230 684a LDR r2,[r1,#4] - 2057050 ns MR4_I 00000234 6008d1fc - 2057110 ns MR4_D 40006004 00000001 - 2057110 ns R r2 00000001 - 2057110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2057130 ns R r2 80000000 - 2057130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2057150 ns R psr 81000200 - 2057150 ns MR4_I 00000238 48054770 - 2057170 ns MR4_I 00000230 07d2684a - 2057190 ns IT 00000230 684a LDR r2,[r1,#4] - 2057210 ns MR4_I 00000234 6008d1fc - 2057270 ns MR4_D 40006004 00000001 - 2057270 ns R r2 00000001 - 2057270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2057290 ns R r2 80000000 - 2057290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2057310 ns R psr 81000200 - 2057310 ns MR4_I 00000238 48054770 - 2057330 ns MR4_I 00000230 07d2684a - 2057350 ns IT 00000230 684a LDR r2,[r1,#4] - 2057370 ns MR4_I 00000234 6008d1fc - 2057430 ns MR4_D 40006004 00000001 - 2057430 ns R r2 00000001 - 2057430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2057450 ns R r2 80000000 - 2057450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2057470 ns R psr 81000200 - 2057470 ns MR4_I 00000238 48054770 - 2057490 ns MR4_I 00000230 07d2684a - 2057510 ns IT 00000230 684a LDR r2,[r1,#4] - 2057530 ns MR4_I 00000234 6008d1fc - 2057590 ns MR4_D 40006004 00000001 - 2057590 ns R r2 00000001 - 2057590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2057610 ns R r2 80000000 - 2057610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2057630 ns R psr 81000200 - 2057630 ns MR4_I 00000238 48054770 - 2057650 ns MR4_I 00000230 07d2684a - 2057670 ns IT 00000230 684a LDR r2,[r1,#4] - 2057690 ns MR4_I 00000234 6008d1fc - 2057750 ns MR4_D 40006004 00000001 - 2057750 ns R r2 00000001 - 2057750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2057770 ns R r2 80000000 - 2057770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2057790 ns R psr 81000200 - 2057790 ns MR4_I 00000238 48054770 - 2057810 ns MR4_I 00000230 07d2684a - 2057830 ns IT 00000230 684a LDR r2,[r1,#4] - 2057850 ns MR4_I 00000234 6008d1fc - 2057910 ns MR4_D 40006004 00000001 - 2057910 ns R r2 00000001 - 2057910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2057930 ns R r2 80000000 - 2057930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2057950 ns R psr 81000200 - 2057950 ns MR4_I 00000238 48054770 - 2057970 ns MR4_I 00000230 07d2684a - 2057990 ns IT 00000230 684a LDR r2,[r1,#4] - 2058010 ns MR4_I 00000234 6008d1fc - 2058070 ns MR4_D 40006004 00000001 - 2058070 ns R r2 00000001 - 2058070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2058090 ns R r2 80000000 - 2058090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2058110 ns R psr 81000200 - 2058110 ns MR4_I 00000238 48054770 - 2058130 ns MR4_I 00000230 07d2684a - 2058150 ns IT 00000230 684a LDR r2,[r1,#4] - 2058170 ns MR4_I 00000234 6008d1fc - 2058230 ns MR4_D 40006004 00000001 - 2058230 ns R r2 00000001 - 2058230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2058250 ns R r2 80000000 - 2058250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2058270 ns R psr 81000200 - 2058270 ns MR4_I 00000238 48054770 - 2058290 ns MR4_I 00000230 07d2684a - 2058310 ns IT 00000230 684a LDR r2,[r1,#4] - 2058330 ns MR4_I 00000234 6008d1fc - 2058390 ns MR4_D 40006004 00000001 - 2058390 ns R r2 00000001 - 2058390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2058410 ns R r2 80000000 - 2058410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2058430 ns R psr 81000200 - 2058430 ns MR4_I 00000238 48054770 - 2058450 ns MR4_I 00000230 07d2684a - 2058470 ns IT 00000230 684a LDR r2,[r1,#4] - 2058490 ns MR4_I 00000234 6008d1fc - 2058550 ns MR4_D 40006004 00000001 - 2058550 ns R r2 00000001 - 2058550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2058570 ns R r2 80000000 - 2058570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2058590 ns R psr 81000200 - 2058590 ns MR4_I 00000238 48054770 - 2058610 ns MR4_I 00000230 07d2684a - 2058630 ns IT 00000230 684a LDR r2,[r1,#4] - 2058650 ns MR4_I 00000234 6008d1fc - 2058710 ns MR4_D 40006004 00000001 - 2058710 ns R r2 00000001 - 2058710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2058730 ns R r2 80000000 - 2058730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2058750 ns R psr 81000200 - 2058750 ns MR4_I 00000238 48054770 - 2058770 ns MR4_I 00000230 07d2684a - 2058790 ns IT 00000230 684a LDR r2,[r1,#4] - 2058810 ns MR4_I 00000234 6008d1fc - 2058870 ns MR4_D 40006004 00000001 - 2058870 ns R r2 00000001 - 2058870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2058890 ns R r2 80000000 - 2058890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2058910 ns R psr 81000200 - 2058910 ns MR4_I 00000238 48054770 - 2058930 ns MR4_I 00000230 07d2684a - 2058950 ns IT 00000230 684a LDR r2,[r1,#4] - 2058970 ns MR4_I 00000234 6008d1fc - 2059030 ns MR4_D 40006004 00000001 - 2059030 ns R r2 00000001 - 2059030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2059050 ns R r2 80000000 - 2059050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2059070 ns R psr 81000200 - 2059070 ns MR4_I 00000238 48054770 - 2059090 ns MR4_I 00000230 07d2684a - 2059110 ns IT 00000230 684a LDR r2,[r1,#4] - 2059130 ns MR4_I 00000234 6008d1fc - 2059190 ns MR4_D 40006004 00000001 - 2059190 ns R r2 00000001 - 2059190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2059210 ns R r2 80000000 - 2059210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2059230 ns R psr 81000200 - 2059230 ns MR4_I 00000238 48054770 - 2059250 ns MR4_I 00000230 07d2684a - 2059270 ns IT 00000230 684a LDR r2,[r1,#4] - 2059290 ns MR4_I 00000234 6008d1fc - 2059350 ns MR4_D 40006004 00000001 - 2059350 ns R r2 00000001 - 2059350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2059370 ns R r2 80000000 - 2059370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2059390 ns R psr 81000200 - 2059390 ns MR4_I 00000238 48054770 - 2059410 ns MR4_I 00000230 07d2684a - 2059430 ns IT 00000230 684a LDR r2,[r1,#4] - 2059450 ns MR4_I 00000234 6008d1fc - 2059510 ns MR4_D 40006004 00000001 - 2059510 ns R r2 00000001 - 2059510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2059530 ns R r2 80000000 - 2059530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2059550 ns R psr 81000200 - 2059550 ns MR4_I 00000238 48054770 - 2059570 ns MR4_I 00000230 07d2684a - 2059590 ns IT 00000230 684a LDR r2,[r1,#4] - 2059610 ns MR4_I 00000234 6008d1fc - 2059670 ns MR4_D 40006004 00000001 - 2059670 ns R r2 00000001 - 2059670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2059690 ns R r2 80000000 - 2059690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2059710 ns R psr 81000200 - 2059710 ns MR4_I 00000238 48054770 - 2059730 ns MR4_I 00000230 07d2684a - 2059750 ns IT 00000230 684a LDR r2,[r1,#4] - 2059770 ns MR4_I 00000234 6008d1fc - 2059830 ns MR4_D 40006004 00000001 - 2059830 ns R r2 00000001 - 2059830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2059850 ns R r2 80000000 - 2059850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2059870 ns R psr 81000200 - 2059870 ns MR4_I 00000238 48054770 - 2059890 ns MR4_I 00000230 07d2684a - 2059910 ns IT 00000230 684a LDR r2,[r1,#4] - 2059930 ns MR4_I 00000234 6008d1fc - 2059990 ns MR4_D 40006004 00000001 - 2059990 ns R r2 00000001 - 2059990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2060010 ns R r2 80000000 - 2060010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2060030 ns R psr 81000200 - 2060030 ns MR4_I 00000238 48054770 - 2060050 ns MR4_I 00000230 07d2684a - 2060070 ns IT 00000230 684a LDR r2,[r1,#4] - 2060090 ns MR4_I 00000234 6008d1fc - 2060150 ns MR4_D 40006004 00000001 - 2060150 ns R r2 00000001 - 2060150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2060170 ns R r2 80000000 - 2060170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2060190 ns R psr 81000200 - 2060190 ns MR4_I 00000238 48054770 - 2060210 ns MR4_I 00000230 07d2684a - 2060230 ns IT 00000230 684a LDR r2,[r1,#4] - 2060250 ns MR4_I 00000234 6008d1fc - 2060310 ns MR4_D 40006004 00000001 - 2060310 ns R r2 00000001 - 2060310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2060330 ns R r2 80000000 - 2060330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2060350 ns R psr 81000200 - 2060350 ns MR4_I 00000238 48054770 - 2060370 ns MR4_I 00000230 07d2684a - 2060390 ns IT 00000230 684a LDR r2,[r1,#4] - 2060410 ns MR4_I 00000234 6008d1fc - 2060470 ns MR4_D 40006004 00000001 - 2060470 ns R r2 00000001 - 2060470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2060490 ns R r2 80000000 - 2060490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2060510 ns R psr 81000200 - 2060510 ns MR4_I 00000238 48054770 - 2060530 ns MR4_I 00000230 07d2684a - 2060550 ns IT 00000230 684a LDR r2,[r1,#4] - 2060570 ns MR4_I 00000234 6008d1fc - 2060630 ns MR4_D 40006004 00000001 - 2060630 ns R r2 00000001 - 2060630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2060650 ns R r2 80000000 - 2060650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2060670 ns R psr 81000200 - 2060670 ns MR4_I 00000238 48054770 - 2060690 ns MR4_I 00000230 07d2684a - 2060710 ns IT 00000230 684a LDR r2,[r1,#4] - 2060730 ns MR4_I 00000234 6008d1fc - 2060790 ns MR4_D 40006004 00000001 - 2060790 ns R r2 00000001 - 2060790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2060810 ns R r2 80000000 - 2060810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2060830 ns R psr 81000200 - 2060830 ns MR4_I 00000238 48054770 - 2060850 ns MR4_I 00000230 07d2684a - 2060870 ns IT 00000230 684a LDR r2,[r1,#4] - 2060890 ns MR4_I 00000234 6008d1fc - 2060950 ns MR4_D 40006004 00000001 - 2060950 ns R r2 00000001 - 2060950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2060970 ns R r2 80000000 - 2060970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2060990 ns R psr 81000200 - 2060990 ns MR4_I 00000238 48054770 - 2061010 ns MR4_I 00000230 07d2684a - 2061030 ns IT 00000230 684a LDR r2,[r1,#4] - 2061050 ns MR4_I 00000234 6008d1fc - 2061110 ns MR4_D 40006004 00000001 - 2061110 ns R r2 00000001 - 2061110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2061130 ns R r2 80000000 - 2061130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2061150 ns R psr 81000200 - 2061150 ns MR4_I 00000238 48054770 - 2061170 ns MR4_I 00000230 07d2684a - 2061190 ns IT 00000230 684a LDR r2,[r1,#4] - 2061210 ns MR4_I 00000234 6008d1fc - 2061270 ns MR4_D 40006004 00000001 - 2061270 ns R r2 00000001 - 2061270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2061290 ns R r2 80000000 - 2061290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2061310 ns R psr 81000200 - 2061310 ns MR4_I 00000238 48054770 - 2061330 ns MR4_I 00000230 07d2684a - 2061350 ns IT 00000230 684a LDR r2,[r1,#4] - 2061370 ns MR4_I 00000234 6008d1fc - 2061430 ns MR4_D 40006004 00000001 - 2061430 ns R r2 00000001 - 2061430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2061450 ns R r2 80000000 - 2061450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2061470 ns R psr 81000200 - 2061470 ns MR4_I 00000238 48054770 - 2061490 ns MR4_I 00000230 07d2684a - 2061510 ns IT 00000230 684a LDR r2,[r1,#4] - 2061530 ns MR4_I 00000234 6008d1fc - 2061590 ns MR4_D 40006004 00000001 - 2061590 ns R r2 00000001 - 2061590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2061610 ns R r2 80000000 - 2061610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2061630 ns R psr 81000200 - 2061630 ns MR4_I 00000238 48054770 - 2061650 ns MR4_I 00000230 07d2684a - 2061670 ns IT 00000230 684a LDR r2,[r1,#4] - 2061690 ns MR4_I 00000234 6008d1fc - 2061750 ns MR4_D 40006004 00000001 - 2061750 ns R r2 00000001 - 2061750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2061770 ns R r2 80000000 - 2061770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2061790 ns R psr 81000200 - 2061790 ns MR4_I 00000238 48054770 - 2061810 ns MR4_I 00000230 07d2684a - 2061830 ns IT 00000230 684a LDR r2,[r1,#4] - 2061850 ns MR4_I 00000234 6008d1fc - 2061910 ns MR4_D 40006004 00000001 - 2061910 ns R r2 00000001 - 2061910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2061930 ns R r2 80000000 - 2061930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2061950 ns R psr 81000200 - 2061950 ns MR4_I 00000238 48054770 - 2061970 ns MR4_I 00000230 07d2684a - 2061990 ns IT 00000230 684a LDR r2,[r1,#4] - 2062010 ns MR4_I 00000234 6008d1fc - 2062070 ns MR4_D 40006004 00000001 - 2062070 ns R r2 00000001 - 2062070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2062090 ns R r2 80000000 - 2062090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2062110 ns R psr 81000200 - 2062110 ns MR4_I 00000238 48054770 - 2062130 ns MR4_I 00000230 07d2684a - 2062150 ns IT 00000230 684a LDR r2,[r1,#4] - 2062170 ns MR4_I 00000234 6008d1fc - 2062230 ns MR4_D 40006004 00000001 - 2062230 ns R r2 00000001 - 2062230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2062250 ns R r2 80000000 - 2062250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2062270 ns R psr 81000200 - 2062270 ns MR4_I 00000238 48054770 - 2062290 ns MR4_I 00000230 07d2684a - 2062310 ns IT 00000230 684a LDR r2,[r1,#4] - 2062330 ns MR4_I 00000234 6008d1fc - 2062390 ns MR4_D 40006004 00000001 - 2062390 ns R r2 00000001 - 2062390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2062410 ns R r2 80000000 - 2062410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2062430 ns R psr 81000200 - 2062430 ns MR4_I 00000238 48054770 - 2062450 ns MR4_I 00000230 07d2684a - 2062470 ns IT 00000230 684a LDR r2,[r1,#4] - 2062490 ns MR4_I 00000234 6008d1fc - 2062550 ns MR4_D 40006004 00000001 - 2062550 ns R r2 00000001 - 2062550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2062570 ns R r2 80000000 - 2062570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2062590 ns R psr 81000200 - 2062590 ns MR4_I 00000238 48054770 - 2062610 ns MR4_I 00000230 07d2684a - 2062630 ns IT 00000230 684a LDR r2,[r1,#4] - 2062650 ns MR4_I 00000234 6008d1fc - 2062710 ns MR4_D 40006004 00000001 - 2062710 ns R r2 00000001 - 2062710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2062730 ns R r2 80000000 - 2062730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2062750 ns R psr 81000200 - 2062750 ns MR4_I 00000238 48054770 - 2062770 ns MR4_I 00000230 07d2684a - 2062790 ns IT 00000230 684a LDR r2,[r1,#4] - 2062810 ns MR4_I 00000234 6008d1fc - 2062870 ns MR4_D 40006004 00000001 - 2062870 ns R r2 00000001 - 2062870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2062890 ns R r2 80000000 - 2062890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2062910 ns R psr 81000200 - 2062910 ns MR4_I 00000238 48054770 - 2062930 ns MR4_I 00000230 07d2684a - 2062950 ns IT 00000230 684a LDR r2,[r1,#4] - 2062970 ns MR4_I 00000234 6008d1fc - 2063030 ns MR4_D 40006004 00000001 - 2063030 ns R r2 00000001 - 2063030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2063050 ns R r2 80000000 - 2063050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2063070 ns R psr 81000200 - 2063070 ns MR4_I 00000238 48054770 - 2063090 ns MR4_I 00000230 07d2684a - 2063110 ns IT 00000230 684a LDR r2,[r1,#4] - 2063130 ns MR4_I 00000234 6008d1fc - 2063190 ns MR4_D 40006004 00000001 - 2063190 ns R r2 00000001 - 2063190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2063210 ns R r2 80000000 - 2063210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2063230 ns R psr 81000200 - 2063230 ns MR4_I 00000238 48054770 - 2063250 ns MR4_I 00000230 07d2684a - 2063270 ns IT 00000230 684a LDR r2,[r1,#4] - 2063290 ns MR4_I 00000234 6008d1fc - 2063350 ns MR4_D 40006004 00000001 - 2063350 ns R r2 00000001 - 2063350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2063370 ns R r2 80000000 - 2063370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2063390 ns R psr 81000200 - 2063390 ns MR4_I 00000238 48054770 - 2063410 ns MR4_I 00000230 07d2684a - 2063430 ns IT 00000230 684a LDR r2,[r1,#4] - 2063450 ns MR4_I 00000234 6008d1fc - 2063510 ns MR4_D 40006004 00000001 - 2063510 ns R r2 00000001 - 2063510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2063530 ns R r2 80000000 - 2063530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2063550 ns R psr 81000200 - 2063550 ns MR4_I 00000238 48054770 - 2063570 ns MR4_I 00000230 07d2684a - 2063590 ns IT 00000230 684a LDR r2,[r1,#4] - 2063610 ns MR4_I 00000234 6008d1fc - 2063670 ns MR4_D 40006004 00000001 - 2063670 ns R r2 00000001 - 2063670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2063690 ns R r2 80000000 - 2063690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2063710 ns R psr 81000200 - 2063710 ns MR4_I 00000238 48054770 - 2063730 ns MR4_I 00000230 07d2684a - 2063750 ns IT 00000230 684a LDR r2,[r1,#4] - 2063770 ns MR4_I 00000234 6008d1fc - 2063830 ns MR4_D 40006004 00000001 - 2063830 ns R r2 00000001 - 2063830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2063850 ns R r2 80000000 - 2063850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2063870 ns R psr 81000200 - 2063870 ns MR4_I 00000238 48054770 - 2063890 ns MR4_I 00000230 07d2684a - 2063910 ns IT 00000230 684a LDR r2,[r1,#4] - 2063930 ns MR4_I 00000234 6008d1fc - 2063990 ns MR4_D 40006004 00000001 - 2063990 ns R r2 00000001 - 2063990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2064010 ns R r2 80000000 - 2064010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2064030 ns R psr 81000200 - 2064030 ns MR4_I 00000238 48054770 - 2064050 ns MR4_I 00000230 07d2684a - 2064070 ns IT 00000230 684a LDR r2,[r1,#4] - 2064090 ns MR4_I 00000234 6008d1fc - 2064150 ns MR4_D 40006004 00000001 - 2064150 ns R r2 00000001 - 2064150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2064170 ns R r2 80000000 - 2064170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2064190 ns R psr 81000200 - 2064190 ns MR4_I 00000238 48054770 - 2064210 ns MR4_I 00000230 07d2684a - 2064230 ns IT 00000230 684a LDR r2,[r1,#4] - 2064250 ns MR4_I 00000234 6008d1fc - 2064310 ns MR4_D 40006004 00000001 - 2064310 ns R r2 00000001 - 2064310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2064330 ns R r2 80000000 - 2064330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2064350 ns R psr 81000200 - 2064350 ns MR4_I 00000238 48054770 - 2064370 ns MR4_I 00000230 07d2684a - 2064390 ns IT 00000230 684a LDR r2,[r1,#4] - 2064410 ns MR4_I 00000234 6008d1fc - 2064470 ns MR4_D 40006004 00000001 - 2064470 ns R r2 00000001 - 2064470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2064490 ns R r2 80000000 - 2064490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2064510 ns R psr 81000200 - 2064510 ns MR4_I 00000238 48054770 - 2064530 ns MR4_I 00000230 07d2684a - 2064550 ns IT 00000230 684a LDR r2,[r1,#4] - 2064570 ns MR4_I 00000234 6008d1fc - 2064630 ns MR4_D 40006004 00000001 - 2064630 ns R r2 00000001 - 2064630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2064650 ns R r2 80000000 - 2064650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2064670 ns R psr 81000200 - 2064670 ns MR4_I 00000238 48054770 - 2064690 ns MR4_I 00000230 07d2684a - 2064710 ns IT 00000230 684a LDR r2,[r1,#4] - 2064730 ns MR4_I 00000234 6008d1fc - 2064790 ns MR4_D 40006004 00000001 - 2064790 ns R r2 00000001 - 2064790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2064810 ns R r2 80000000 - 2064810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2064830 ns R psr 81000200 - 2064830 ns MR4_I 00000238 48054770 - 2064850 ns MR4_I 00000230 07d2684a - 2064870 ns IT 00000230 684a LDR r2,[r1,#4] - 2064890 ns MR4_I 00000234 6008d1fc - 2064950 ns MR4_D 40006004 00000001 - 2064950 ns R r2 00000001 - 2064950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2064970 ns R r2 80000000 - 2064970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2064990 ns R psr 81000200 - 2064990 ns MR4_I 00000238 48054770 - 2065010 ns MR4_I 00000230 07d2684a - 2065030 ns IT 00000230 684a LDR r2,[r1,#4] - 2065050 ns MR4_I 00000234 6008d1fc - 2065110 ns MR4_D 40006004 00000001 - 2065110 ns R r2 00000001 - 2065110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2065130 ns R r2 80000000 - 2065130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2065150 ns R psr 81000200 - 2065150 ns MR4_I 00000238 48054770 - 2065170 ns MR4_I 00000230 07d2684a - 2065190 ns IT 00000230 684a LDR r2,[r1,#4] - 2065210 ns MR4_I 00000234 6008d1fc - 2065270 ns MR4_D 40006004 00000001 - 2065270 ns R r2 00000001 - 2065270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2065290 ns R r2 80000000 - 2065290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2065310 ns R psr 81000200 - 2065310 ns MR4_I 00000238 48054770 - 2065330 ns MR4_I 00000230 07d2684a - 2065350 ns IT 00000230 684a LDR r2,[r1,#4] - 2065370 ns MR4_I 00000234 6008d1fc - 2065430 ns MR4_D 40006004 00000001 - 2065430 ns R r2 00000001 - 2065430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2065450 ns R r2 80000000 - 2065450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2065470 ns R psr 81000200 - 2065470 ns MR4_I 00000238 48054770 - 2065490 ns MR4_I 00000230 07d2684a - 2065510 ns IT 00000230 684a LDR r2,[r1,#4] - 2065530 ns MR4_I 00000234 6008d1fc - 2065590 ns MR4_D 40006004 00000001 - 2065590 ns R r2 00000001 - 2065590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2065610 ns R r2 80000000 - 2065610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2065630 ns R psr 81000200 - 2065630 ns MR4_I 00000238 48054770 - 2065650 ns MR4_I 00000230 07d2684a - 2065670 ns IT 00000230 684a LDR r2,[r1,#4] - 2065690 ns MR4_I 00000234 6008d1fc - 2065750 ns MR4_D 40006004 00000001 - 2065750 ns R r2 00000001 - 2065750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2065770 ns R r2 80000000 - 2065770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2065790 ns R psr 81000200 - 2065790 ns MR4_I 00000238 48054770 - 2065810 ns MR4_I 00000230 07d2684a - 2065830 ns IT 00000230 684a LDR r2,[r1,#4] - 2065850 ns MR4_I 00000234 6008d1fc - 2065910 ns MR4_D 40006004 00000001 - 2065910 ns R r2 00000001 - 2065910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2065930 ns R r2 80000000 - 2065930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2065950 ns R psr 81000200 - 2065950 ns MR4_I 00000238 48054770 - 2065970 ns MR4_I 00000230 07d2684a - 2065990 ns IT 00000230 684a LDR r2,[r1,#4] - 2066010 ns MR4_I 00000234 6008d1fc - 2066070 ns MR4_D 40006004 00000001 - 2066070 ns R r2 00000001 - 2066070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2066090 ns R r2 80000000 - 2066090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2066110 ns R psr 81000200 - 2066110 ns MR4_I 00000238 48054770 - 2066130 ns MR4_I 00000230 07d2684a - 2066150 ns IT 00000230 684a LDR r2,[r1,#4] - 2066170 ns MR4_I 00000234 6008d1fc - 2066230 ns MR4_D 40006004 00000001 - 2066230 ns R r2 00000001 - 2066230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2066250 ns R r2 80000000 - 2066250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2066270 ns R psr 81000200 - 2066270 ns MR4_I 00000238 48054770 - 2066290 ns MR4_I 00000230 07d2684a - 2066310 ns IT 00000230 684a LDR r2,[r1,#4] - 2066330 ns MR4_I 00000234 6008d1fc - 2066390 ns MR4_D 40006004 00000001 - 2066390 ns R r2 00000001 - 2066390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2066410 ns R r2 80000000 - 2066410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2066430 ns R psr 81000200 - 2066430 ns MR4_I 00000238 48054770 - 2066450 ns MR4_I 00000230 07d2684a - 2066470 ns IT 00000230 684a LDR r2,[r1,#4] - 2066490 ns MR4_I 00000234 6008d1fc - 2066550 ns MR4_D 40006004 00000001 - 2066550 ns R r2 00000001 - 2066550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2066570 ns R r2 80000000 - 2066570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2066590 ns R psr 81000200 - 2066590 ns MR4_I 00000238 48054770 - 2066610 ns MR4_I 00000230 07d2684a - 2066630 ns IT 00000230 684a LDR r2,[r1,#4] - 2066650 ns MR4_I 00000234 6008d1fc - 2066710 ns MR4_D 40006004 00000001 - 2066710 ns R r2 00000001 - 2066710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2066730 ns R r2 80000000 - 2066730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2066750 ns R psr 81000200 - 2066750 ns MR4_I 00000238 48054770 - 2066770 ns MR4_I 00000230 07d2684a - 2066790 ns IT 00000230 684a LDR r2,[r1,#4] - 2066810 ns MR4_I 00000234 6008d1fc - 2066870 ns MR4_D 40006004 00000001 - 2066870 ns R r2 00000001 - 2066870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2066890 ns R r2 80000000 - 2066890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2066910 ns R psr 81000200 - 2066910 ns MR4_I 00000238 48054770 - 2066930 ns MR4_I 00000230 07d2684a - 2066950 ns IT 00000230 684a LDR r2,[r1,#4] - 2066970 ns MR4_I 00000234 6008d1fc - 2067030 ns MR4_D 40006004 00000001 - 2067030 ns R r2 00000001 - 2067030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2067050 ns R r2 80000000 - 2067050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2067070 ns R psr 81000200 - 2067070 ns MR4_I 00000238 48054770 - 2067090 ns MR4_I 00000230 07d2684a - 2067110 ns IT 00000230 684a LDR r2,[r1,#4] - 2067130 ns MR4_I 00000234 6008d1fc - 2067190 ns MR4_D 40006004 00000001 - 2067190 ns R r2 00000001 - 2067190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2067210 ns R r2 80000000 - 2067210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2067230 ns R psr 81000200 - 2067230 ns MR4_I 00000238 48054770 - 2067250 ns MR4_I 00000230 07d2684a - 2067270 ns IT 00000230 684a LDR r2,[r1,#4] - 2067290 ns MR4_I 00000234 6008d1fc - 2067350 ns MR4_D 40006004 00000001 - 2067350 ns R r2 00000001 - 2067350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2067370 ns R r2 80000000 - 2067370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2067390 ns R psr 81000200 - 2067390 ns MR4_I 00000238 48054770 - 2067410 ns MR4_I 00000230 07d2684a - 2067430 ns IT 00000230 684a LDR r2,[r1,#4] - 2067450 ns MR4_I 00000234 6008d1fc - 2067510 ns MR4_D 40006004 00000001 - 2067510 ns R r2 00000001 - 2067510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2067530 ns R r2 80000000 - 2067530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2067550 ns R psr 81000200 - 2067550 ns MR4_I 00000238 48054770 - 2067570 ns MR4_I 00000230 07d2684a - 2067590 ns IT 00000230 684a LDR r2,[r1,#4] - 2067610 ns MR4_I 00000234 6008d1fc - 2067670 ns MR4_D 40006004 00000001 - 2067670 ns R r2 00000001 - 2067670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2067690 ns R r2 80000000 - 2067690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2067710 ns R psr 81000200 - 2067710 ns MR4_I 00000238 48054770 - 2067730 ns MR4_I 00000230 07d2684a - 2067750 ns IT 00000230 684a LDR r2,[r1,#4] - 2067770 ns MR4_I 00000234 6008d1fc - 2067830 ns MR4_D 40006004 00000001 - 2067830 ns R r2 00000001 - 2067830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2067850 ns R r2 80000000 - 2067850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2067870 ns R psr 81000200 - 2067870 ns MR4_I 00000238 48054770 - 2067890 ns MR4_I 00000230 07d2684a - 2067910 ns IT 00000230 684a LDR r2,[r1,#4] - 2067930 ns MR4_I 00000234 6008d1fc - 2067990 ns MR4_D 40006004 00000001 - 2067990 ns R r2 00000001 - 2067990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2068010 ns R r2 80000000 - 2068010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2068030 ns R psr 81000200 - 2068030 ns MR4_I 00000238 48054770 - 2068050 ns MR4_I 00000230 07d2684a - 2068070 ns IT 00000230 684a LDR r2,[r1,#4] - 2068090 ns MR4_I 00000234 6008d1fc - 2068150 ns MR4_D 40006004 00000001 - 2068150 ns R r2 00000001 - 2068150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2068170 ns R r2 80000000 - 2068170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2068190 ns R psr 81000200 - 2068190 ns MR4_I 00000238 48054770 - 2068210 ns MR4_I 00000230 07d2684a - 2068230 ns IT 00000230 684a LDR r2,[r1,#4] - 2068250 ns MR4_I 00000234 6008d1fc - 2068310 ns MR4_D 40006004 00000001 - 2068310 ns R r2 00000001 - 2068310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2068330 ns R r2 80000000 - 2068330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2068350 ns R psr 81000200 - 2068350 ns MR4_I 00000238 48054770 - 2068370 ns MR4_I 00000230 07d2684a - 2068390 ns IT 00000230 684a LDR r2,[r1,#4] - 2068410 ns MR4_I 00000234 6008d1fc - 2068470 ns MR4_D 40006004 00000001 - 2068470 ns R r2 00000001 - 2068470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2068490 ns R r2 80000000 - 2068490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2068510 ns R psr 81000200 - 2068510 ns MR4_I 00000238 48054770 - 2068530 ns MR4_I 00000230 07d2684a - 2068550 ns IT 00000230 684a LDR r2,[r1,#4] - 2068570 ns MR4_I 00000234 6008d1fc - 2068630 ns MR4_D 40006004 00000001 - 2068630 ns R r2 00000001 - 2068630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2068650 ns R r2 80000000 - 2068650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2068670 ns R psr 81000200 - 2068670 ns MR4_I 00000238 48054770 - 2068690 ns MR4_I 00000230 07d2684a - 2068710 ns IT 00000230 684a LDR r2,[r1,#4] - 2068730 ns MR4_I 00000234 6008d1fc - 2068790 ns MR4_D 40006004 00000001 - 2068790 ns R r2 00000001 - 2068790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2068810 ns R r2 80000000 - 2068810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2068830 ns R psr 81000200 - 2068830 ns MR4_I 00000238 48054770 - 2068850 ns MR4_I 00000230 07d2684a - 2068870 ns IT 00000230 684a LDR r2,[r1,#4] - 2068890 ns MR4_I 00000234 6008d1fc - 2068950 ns MR4_D 40006004 00000001 - 2068950 ns R r2 00000001 - 2068950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2068970 ns R r2 80000000 - 2068970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2068990 ns R psr 81000200 - 2068990 ns MR4_I 00000238 48054770 - 2069010 ns MR4_I 00000230 07d2684a - 2069030 ns IT 00000230 684a LDR r2,[r1,#4] - 2069050 ns MR4_I 00000234 6008d1fc - 2069110 ns MR4_D 40006004 00000001 - 2069110 ns R r2 00000001 - 2069110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2069130 ns R r2 80000000 - 2069130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2069150 ns R psr 81000200 - 2069150 ns MR4_I 00000238 48054770 - 2069170 ns MR4_I 00000230 07d2684a - 2069190 ns IT 00000230 684a LDR r2,[r1,#4] - 2069210 ns MR4_I 00000234 6008d1fc - 2069270 ns MR4_D 40006004 00000001 - 2069270 ns R r2 00000001 - 2069270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2069290 ns R r2 80000000 - 2069290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2069310 ns R psr 81000200 - 2069310 ns MR4_I 00000238 48054770 - 2069330 ns MR4_I 00000230 07d2684a - 2069350 ns IT 00000230 684a LDR r2,[r1,#4] - 2069370 ns MR4_I 00000234 6008d1fc - 2069430 ns MR4_D 40006004 00000001 - 2069430 ns R r2 00000001 - 2069430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2069450 ns R r2 80000000 - 2069450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2069470 ns R psr 81000200 - 2069470 ns MR4_I 00000238 48054770 - 2069490 ns MR4_I 00000230 07d2684a - 2069510 ns IT 00000230 684a LDR r2,[r1,#4] - 2069530 ns MR4_I 00000234 6008d1fc - 2069590 ns MR4_D 40006004 00000001 - 2069590 ns R r2 00000001 - 2069590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2069610 ns R r2 80000000 - 2069610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2069630 ns R psr 81000200 - 2069630 ns MR4_I 00000238 48054770 - 2069650 ns MR4_I 00000230 07d2684a - 2069670 ns IT 00000230 684a LDR r2,[r1,#4] - 2069690 ns MR4_I 00000234 6008d1fc - 2069750 ns MR4_D 40006004 00000001 - 2069750 ns R r2 00000001 - 2069750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2069770 ns R r2 80000000 - 2069770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2069790 ns R psr 81000200 - 2069790 ns MR4_I 00000238 48054770 - 2069810 ns MR4_I 00000230 07d2684a - 2069830 ns IT 00000230 684a LDR r2,[r1,#4] - 2069850 ns MR4_I 00000234 6008d1fc - 2069910 ns MR4_D 40006004 00000001 - 2069910 ns R r2 00000001 - 2069910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2069930 ns R r2 80000000 - 2069930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2069950 ns R psr 81000200 - 2069950 ns MR4_I 00000238 48054770 - 2069970 ns MR4_I 00000230 07d2684a - 2069990 ns IT 00000230 684a LDR r2,[r1,#4] - 2070010 ns MR4_I 00000234 6008d1fc - 2070070 ns MR4_D 40006004 00000001 - 2070070 ns R r2 00000001 - 2070070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2070090 ns R r2 80000000 - 2070090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2070110 ns R psr 81000200 - 2070110 ns MR4_I 00000238 48054770 - 2070130 ns MR4_I 00000230 07d2684a - 2070150 ns IT 00000230 684a LDR r2,[r1,#4] - 2070170 ns MR4_I 00000234 6008d1fc - 2070230 ns MR4_D 40006004 00000001 - 2070230 ns R r2 00000001 - 2070230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2070250 ns R r2 80000000 - 2070250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2070270 ns R psr 81000200 - 2070270 ns MR4_I 00000238 48054770 - 2070290 ns MR4_I 00000230 07d2684a - 2070310 ns IT 00000230 684a LDR r2,[r1,#4] - 2070330 ns MR4_I 00000234 6008d1fc - 2070390 ns MR4_D 40006004 00000001 - 2070390 ns R r2 00000001 - 2070390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2070410 ns R r2 80000000 - 2070410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2070430 ns R psr 81000200 - 2070430 ns MR4_I 00000238 48054770 - 2070450 ns MR4_I 00000230 07d2684a - 2070470 ns IT 00000230 684a LDR r2,[r1,#4] - 2070490 ns MR4_I 00000234 6008d1fc - 2070550 ns MR4_D 40006004 00000001 - 2070550 ns R r2 00000001 - 2070550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2070570 ns R r2 80000000 - 2070570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2070590 ns R psr 81000200 - 2070590 ns MR4_I 00000238 48054770 - 2070610 ns MR4_I 00000230 07d2684a - 2070630 ns IT 00000230 684a LDR r2,[r1,#4] - 2070650 ns MR4_I 00000234 6008d1fc - 2070710 ns MR4_D 40006004 00000001 - 2070710 ns R r2 00000001 - 2070710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2070730 ns R r2 80000000 - 2070730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2070750 ns R psr 81000200 - 2070750 ns MR4_I 00000238 48054770 - 2070770 ns MR4_I 00000230 07d2684a - 2070790 ns IT 00000230 684a LDR r2,[r1,#4] - 2070810 ns MR4_I 00000234 6008d1fc - 2070870 ns MR4_D 40006004 00000001 - 2070870 ns R r2 00000001 - 2070870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2070890 ns R r2 80000000 - 2070890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2070910 ns R psr 81000200 - 2070910 ns MR4_I 00000238 48054770 - 2070930 ns MR4_I 00000230 07d2684a - 2070950 ns IT 00000230 684a LDR r2,[r1,#4] - 2070970 ns MR4_I 00000234 6008d1fc - 2071030 ns MR4_D 40006004 00000001 - 2071030 ns R r2 00000001 - 2071030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2071050 ns R r2 80000000 - 2071050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2071070 ns R psr 81000200 - 2071070 ns MR4_I 00000238 48054770 - 2071090 ns MR4_I 00000230 07d2684a - 2071110 ns IT 00000230 684a LDR r2,[r1,#4] - 2071130 ns MR4_I 00000234 6008d1fc - 2071190 ns MR4_D 40006004 00000001 - 2071190 ns R r2 00000001 - 2071190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2071210 ns R r2 80000000 - 2071210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2071230 ns R psr 81000200 - 2071230 ns MR4_I 00000238 48054770 - 2071250 ns MR4_I 00000230 07d2684a - 2071270 ns IT 00000230 684a LDR r2,[r1,#4] - 2071290 ns MR4_I 00000234 6008d1fc - 2071350 ns MR4_D 40006004 00000001 - 2071350 ns R r2 00000001 - 2071350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2071370 ns R r2 80000000 - 2071370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2071390 ns R psr 81000200 - 2071390 ns MR4_I 00000238 48054770 - 2071410 ns MR4_I 00000230 07d2684a - 2071430 ns IT 00000230 684a LDR r2,[r1,#4] - 2071450 ns MR4_I 00000234 6008d1fc - 2071510 ns MR4_D 40006004 00000001 - 2071510 ns R r2 00000001 - 2071510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2071530 ns R r2 80000000 - 2071530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2071550 ns R psr 81000200 - 2071550 ns MR4_I 00000238 48054770 - 2071570 ns MR4_I 00000230 07d2684a - 2071590 ns IT 00000230 684a LDR r2,[r1,#4] - 2071610 ns MR4_I 00000234 6008d1fc - 2071670 ns MR4_D 40006004 00000001 - 2071670 ns R r2 00000001 - 2071670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2071690 ns R r2 80000000 - 2071690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2071710 ns R psr 81000200 - 2071710 ns MR4_I 00000238 48054770 - 2071730 ns MR4_I 00000230 07d2684a - 2071750 ns IT 00000230 684a LDR r2,[r1,#4] - 2071770 ns MR4_I 00000234 6008d1fc - 2071830 ns MR4_D 40006004 00000001 - 2071830 ns R r2 00000001 - 2071830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2071850 ns R r2 80000000 - 2071850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2071870 ns R psr 81000200 - 2071870 ns MR4_I 00000238 48054770 - 2071890 ns MR4_I 00000230 07d2684a - 2071910 ns IT 00000230 684a LDR r2,[r1,#4] - 2071930 ns MR4_I 00000234 6008d1fc - 2071990 ns MR4_D 40006004 00000001 - 2071990 ns R r2 00000001 - 2071990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2072010 ns R r2 80000000 - 2072010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2072030 ns R psr 81000200 - 2072030 ns MR4_I 00000238 48054770 - 2072050 ns MR4_I 00000230 07d2684a - 2072070 ns IT 00000230 684a LDR r2,[r1,#4] - 2072090 ns MR4_I 00000234 6008d1fc - 2072150 ns MR4_D 40006004 00000001 - 2072150 ns R r2 00000001 - 2072150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2072170 ns R r2 80000000 - 2072170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2072190 ns R psr 81000200 - 2072190 ns MR4_I 00000238 48054770 - 2072210 ns MR4_I 00000230 07d2684a - 2072230 ns IT 00000230 684a LDR r2,[r1,#4] - 2072250 ns MR4_I 00000234 6008d1fc - 2072310 ns MR4_D 40006004 00000001 - 2072310 ns R r2 00000001 - 2072310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2072330 ns R r2 80000000 - 2072330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2072350 ns R psr 81000200 - 2072350 ns MR4_I 00000238 48054770 - 2072370 ns MR4_I 00000230 07d2684a - 2072390 ns IT 00000230 684a LDR r2,[r1,#4] - 2072410 ns MR4_I 00000234 6008d1fc - 2072470 ns MR4_D 40006004 00000001 - 2072470 ns R r2 00000001 - 2072470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2072490 ns R r2 80000000 - 2072490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2072510 ns R psr 81000200 - 2072510 ns MR4_I 00000238 48054770 - 2072530 ns MR4_I 00000230 07d2684a - 2072550 ns IT 00000230 684a LDR r2,[r1,#4] - 2072570 ns MR4_I 00000234 6008d1fc - 2072630 ns MR4_D 40006004 00000001 - 2072630 ns R r2 00000001 - 2072630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2072650 ns R r2 80000000 - 2072650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2072670 ns R psr 81000200 - 2072670 ns MR4_I 00000238 48054770 - 2072690 ns MR4_I 00000230 07d2684a - 2072710 ns IT 00000230 684a LDR r2,[r1,#4] - 2072730 ns MR4_I 00000234 6008d1fc - 2072790 ns MR4_D 40006004 00000001 - 2072790 ns R r2 00000001 - 2072790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2072810 ns R r2 80000000 - 2072810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2072830 ns R psr 81000200 - 2072830 ns MR4_I 00000238 48054770 - 2072850 ns MR4_I 00000230 07d2684a - 2072870 ns IT 00000230 684a LDR r2,[r1,#4] - 2072890 ns MR4_I 00000234 6008d1fc - 2072950 ns MR4_D 40006004 00000001 - 2072950 ns R r2 00000001 - 2072950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2072970 ns R r2 80000000 - 2072970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2072990 ns R psr 81000200 - 2072990 ns MR4_I 00000238 48054770 - 2073010 ns MR4_I 00000230 07d2684a - 2073030 ns IT 00000230 684a LDR r2,[r1,#4] - 2073050 ns MR4_I 00000234 6008d1fc - 2073110 ns MR4_D 40006004 00000001 - 2073110 ns R r2 00000001 - 2073110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2073130 ns R r2 80000000 - 2073130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2073150 ns R psr 81000200 - 2073150 ns MR4_I 00000238 48054770 - 2073170 ns MR4_I 00000230 07d2684a - 2073190 ns IT 00000230 684a LDR r2,[r1,#4] - 2073210 ns MR4_I 00000234 6008d1fc - 2073270 ns MR4_D 40006004 00000001 - 2073270 ns R r2 00000001 - 2073270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2073290 ns R r2 80000000 - 2073290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2073310 ns R psr 81000200 - 2073310 ns MR4_I 00000238 48054770 - 2073330 ns MR4_I 00000230 07d2684a - 2073350 ns IT 00000230 684a LDR r2,[r1,#4] - 2073370 ns MR4_I 00000234 6008d1fc - 2073430 ns MR4_D 40006004 00000001 - 2073430 ns R r2 00000001 - 2073430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2073450 ns R r2 80000000 - 2073450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2073470 ns R psr 81000200 - 2073470 ns MR4_I 00000238 48054770 - 2073490 ns MR4_I 00000230 07d2684a - 2073510 ns IT 00000230 684a LDR r2,[r1,#4] - 2073530 ns MR4_I 00000234 6008d1fc - 2073590 ns MR4_D 40006004 00000001 - 2073590 ns R r2 00000001 - 2073590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2073610 ns R r2 80000000 - 2073610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2073630 ns R psr 81000200 - 2073630 ns MR4_I 00000238 48054770 - 2073650 ns MR4_I 00000230 07d2684a - 2073670 ns IT 00000230 684a LDR r2,[r1,#4] - 2073690 ns MR4_I 00000234 6008d1fc - 2073750 ns MR4_D 40006004 00000001 - 2073750 ns R r2 00000001 - 2073750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2073770 ns R r2 80000000 - 2073770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2073790 ns R psr 81000200 - 2073790 ns MR4_I 00000238 48054770 - 2073810 ns MR4_I 00000230 07d2684a - 2073830 ns IT 00000230 684a LDR r2,[r1,#4] - 2073850 ns MR4_I 00000234 6008d1fc - 2073910 ns MR4_D 40006004 00000001 - 2073910 ns R r2 00000001 - 2073910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2073930 ns R r2 80000000 - 2073930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2073950 ns R psr 81000200 - 2073950 ns MR4_I 00000238 48054770 - 2073970 ns MR4_I 00000230 07d2684a - 2073990 ns IT 00000230 684a LDR r2,[r1,#4] - 2074010 ns MR4_I 00000234 6008d1fc - 2074070 ns MR4_D 40006004 00000001 - 2074070 ns R r2 00000001 - 2074070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2074090 ns R r2 80000000 - 2074090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2074110 ns R psr 81000200 - 2074110 ns MR4_I 00000238 48054770 - 2074130 ns MR4_I 00000230 07d2684a - 2074150 ns IT 00000230 684a LDR r2,[r1,#4] - 2074170 ns MR4_I 00000234 6008d1fc - 2074230 ns MR4_D 40006004 00000001 - 2074230 ns R r2 00000001 - 2074230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2074250 ns R r2 80000000 - 2074250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2074270 ns R psr 81000200 - 2074270 ns MR4_I 00000238 48054770 - 2074290 ns MR4_I 00000230 07d2684a - 2074310 ns IT 00000230 684a LDR r2,[r1,#4] - 2074330 ns MR4_I 00000234 6008d1fc - 2074390 ns MR4_D 40006004 00000001 - 2074390 ns R r2 00000001 - 2074390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2074410 ns R r2 80000000 - 2074410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2074430 ns R psr 81000200 - 2074430 ns MR4_I 00000238 48054770 - 2074450 ns MR4_I 00000230 07d2684a - 2074470 ns IT 00000230 684a LDR r2,[r1,#4] - 2074490 ns MR4_I 00000234 6008d1fc - 2074550 ns MR4_D 40006004 00000001 - 2074550 ns R r2 00000001 - 2074550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2074570 ns R r2 80000000 - 2074570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2074590 ns R psr 81000200 - 2074590 ns MR4_I 00000238 48054770 - 2074610 ns MR4_I 00000230 07d2684a - 2074630 ns IT 00000230 684a LDR r2,[r1,#4] - 2074650 ns MR4_I 00000234 6008d1fc - 2074710 ns MR4_D 40006004 00000001 - 2074710 ns R r2 00000001 - 2074710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2074730 ns R r2 80000000 - 2074730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2074750 ns R psr 81000200 - 2074750 ns MR4_I 00000238 48054770 - 2074770 ns MR4_I 00000230 07d2684a - 2074790 ns IT 00000230 684a LDR r2,[r1,#4] - 2074810 ns MR4_I 00000234 6008d1fc - 2074870 ns MR4_D 40006004 00000001 - 2074870 ns R r2 00000001 - 2074870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2074890 ns R r2 80000000 - 2074890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2074910 ns R psr 81000200 - 2074910 ns MR4_I 00000238 48054770 - 2074930 ns MR4_I 00000230 07d2684a - 2074950 ns IT 00000230 684a LDR r2,[r1,#4] - 2074970 ns MR4_I 00000234 6008d1fc - 2075030 ns MR4_D 40006004 00000001 - 2075030 ns R r2 00000001 - 2075030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2075050 ns R r2 80000000 - 2075050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2075070 ns R psr 81000200 - 2075070 ns MR4_I 00000238 48054770 - 2075090 ns MR4_I 00000230 07d2684a - 2075110 ns IT 00000230 684a LDR r2,[r1,#4] - 2075130 ns MR4_I 00000234 6008d1fc - 2075190 ns MR4_D 40006004 00000001 - 2075190 ns R r2 00000001 - 2075190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2075210 ns R r2 80000000 - 2075210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2075230 ns R psr 81000200 - 2075230 ns MR4_I 00000238 48054770 - 2075250 ns MR4_I 00000230 07d2684a - 2075270 ns IT 00000230 684a LDR r2,[r1,#4] - 2075290 ns MR4_I 00000234 6008d1fc - 2075350 ns MR4_D 40006004 00000001 - 2075350 ns R r2 00000001 - 2075350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2075370 ns R r2 80000000 - 2075370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2075390 ns R psr 81000200 - 2075390 ns MR4_I 00000238 48054770 - 2075410 ns MR4_I 00000230 07d2684a - 2075430 ns IT 00000230 684a LDR r2,[r1,#4] - 2075450 ns MR4_I 00000234 6008d1fc - 2075510 ns MR4_D 40006004 00000001 - 2075510 ns R r2 00000001 - 2075510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2075530 ns R r2 80000000 - 2075530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2075550 ns R psr 81000200 - 2075550 ns MR4_I 00000238 48054770 - 2075570 ns MR4_I 00000230 07d2684a - 2075590 ns IT 00000230 684a LDR r2,[r1,#4] - 2075610 ns MR4_I 00000234 6008d1fc - 2075670 ns MR4_D 40006004 00000001 - 2075670 ns R r2 00000001 - 2075670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2075690 ns R r2 80000000 - 2075690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2075710 ns R psr 81000200 - 2075710 ns MR4_I 00000238 48054770 - 2075730 ns MR4_I 00000230 07d2684a - 2075750 ns IT 00000230 684a LDR r2,[r1,#4] - 2075770 ns MR4_I 00000234 6008d1fc - 2075830 ns MR4_D 40006004 00000001 - 2075830 ns R r2 00000001 - 2075830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2075850 ns R r2 80000000 - 2075850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2075870 ns R psr 81000200 - 2075870 ns MR4_I 00000238 48054770 - 2075890 ns MR4_I 00000230 07d2684a - 2075910 ns IT 00000230 684a LDR r2,[r1,#4] - 2075930 ns MR4_I 00000234 6008d1fc - 2075990 ns MR4_D 40006004 00000001 - 2075990 ns R r2 00000001 - 2075990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2076010 ns R r2 80000000 - 2076010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2076030 ns R psr 81000200 - 2076030 ns MR4_I 00000238 48054770 - 2076050 ns MR4_I 00000230 07d2684a - 2076070 ns IT 00000230 684a LDR r2,[r1,#4] - 2076090 ns MR4_I 00000234 6008d1fc - 2076150 ns MR4_D 40006004 00000001 - 2076150 ns R r2 00000001 - 2076150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2076170 ns R r2 80000000 - 2076170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2076190 ns R psr 81000200 - 2076190 ns MR4_I 00000238 48054770 - 2076210 ns MR4_I 00000230 07d2684a - 2076230 ns IT 00000230 684a LDR r2,[r1,#4] - 2076250 ns MR4_I 00000234 6008d1fc - 2076310 ns MR4_D 40006004 00000001 - 2076310 ns R r2 00000001 - 2076310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2076330 ns R r2 80000000 - 2076330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2076350 ns R psr 81000200 - 2076350 ns MR4_I 00000238 48054770 - 2076370 ns MR4_I 00000230 07d2684a - 2076390 ns IT 00000230 684a LDR r2,[r1,#4] - 2076410 ns MR4_I 00000234 6008d1fc - 2076470 ns MR4_D 40006004 00000001 - 2076470 ns R r2 00000001 - 2076470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2076490 ns R r2 80000000 - 2076490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2076510 ns R psr 81000200 - 2076510 ns MR4_I 00000238 48054770 - 2076530 ns MR4_I 00000230 07d2684a - 2076550 ns IT 00000230 684a LDR r2,[r1,#4] - 2076570 ns MR4_I 00000234 6008d1fc - 2076630 ns MR4_D 40006004 00000001 - 2076630 ns R r2 00000001 - 2076630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2076650 ns R r2 80000000 - 2076650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2076670 ns R psr 81000200 - 2076670 ns MR4_I 00000238 48054770 - 2076690 ns MR4_I 00000230 07d2684a - 2076710 ns IT 00000230 684a LDR r2,[r1,#4] - 2076730 ns MR4_I 00000234 6008d1fc - 2076790 ns MR4_D 40006004 00000001 - 2076790 ns R r2 00000001 - 2076790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2076810 ns R r2 80000000 - 2076810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2076830 ns R psr 81000200 - 2076830 ns MR4_I 00000238 48054770 - 2076850 ns MR4_I 00000230 07d2684a - 2076870 ns IT 00000230 684a LDR r2,[r1,#4] - 2076890 ns MR4_I 00000234 6008d1fc - 2076950 ns MR4_D 40006004 00000001 - 2076950 ns R r2 00000001 - 2076950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2076970 ns R r2 80000000 - 2076970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2076990 ns R psr 81000200 - 2076990 ns MR4_I 00000238 48054770 - 2077010 ns MR4_I 00000230 07d2684a - 2077030 ns IT 00000230 684a LDR r2,[r1,#4] - 2077050 ns MR4_I 00000234 6008d1fc - 2077110 ns MR4_D 40006004 00000001 - 2077110 ns R r2 00000001 - 2077110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2077130 ns R r2 80000000 - 2077130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2077150 ns R psr 81000200 - 2077150 ns MR4_I 00000238 48054770 - 2077170 ns MR4_I 00000230 07d2684a - 2077190 ns IT 00000230 684a LDR r2,[r1,#4] - 2077210 ns MR4_I 00000234 6008d1fc - 2077270 ns MR4_D 40006004 00000001 - 2077270 ns R r2 00000001 - 2077270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2077290 ns R r2 80000000 - 2077290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2077310 ns R psr 81000200 - 2077310 ns MR4_I 00000238 48054770 - 2077330 ns MR4_I 00000230 07d2684a - 2077350 ns IT 00000230 684a LDR r2,[r1,#4] - 2077370 ns MR4_I 00000234 6008d1fc - 2077430 ns MR4_D 40006004 00000001 - 2077430 ns R r2 00000001 - 2077430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2077450 ns R r2 80000000 - 2077450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2077470 ns R psr 81000200 - 2077470 ns MR4_I 00000238 48054770 - 2077490 ns MR4_I 00000230 07d2684a - 2077510 ns IT 00000230 684a LDR r2,[r1,#4] - 2077530 ns MR4_I 00000234 6008d1fc - 2077590 ns MR4_D 40006004 00000001 - 2077590 ns R r2 00000001 - 2077590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2077610 ns R r2 80000000 - 2077610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2077630 ns R psr 81000200 - 2077630 ns MR4_I 00000238 48054770 - 2077650 ns MR4_I 00000230 07d2684a - 2077670 ns IT 00000230 684a LDR r2,[r1,#4] - 2077690 ns MR4_I 00000234 6008d1fc - 2077750 ns MR4_D 40006004 00000001 - 2077750 ns R r2 00000001 - 2077750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2077770 ns R r2 80000000 - 2077770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2077790 ns R psr 81000200 - 2077790 ns MR4_I 00000238 48054770 - 2077810 ns MR4_I 00000230 07d2684a - 2077830 ns IT 00000230 684a LDR r2,[r1,#4] - 2077850 ns MR4_I 00000234 6008d1fc - 2077910 ns MR4_D 40006004 00000001 - 2077910 ns R r2 00000001 - 2077910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2077930 ns R r2 80000000 - 2077930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2077950 ns R psr 81000200 - 2077950 ns MR4_I 00000238 48054770 - 2077970 ns MR4_I 00000230 07d2684a - 2077990 ns IT 00000230 684a LDR r2,[r1,#4] - 2078010 ns MR4_I 00000234 6008d1fc - 2078070 ns MR4_D 40006004 00000001 - 2078070 ns R r2 00000001 - 2078070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2078090 ns R r2 80000000 - 2078090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2078110 ns R psr 81000200 - 2078110 ns MR4_I 00000238 48054770 - 2078130 ns MR4_I 00000230 07d2684a - 2078150 ns IT 00000230 684a LDR r2,[r1,#4] - 2078170 ns MR4_I 00000234 6008d1fc - 2078230 ns MR4_D 40006004 00000001 - 2078230 ns R r2 00000001 - 2078230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2078250 ns R r2 80000000 - 2078250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2078270 ns R psr 81000200 - 2078270 ns MR4_I 00000238 48054770 - 2078290 ns MR4_I 00000230 07d2684a - 2078310 ns IT 00000230 684a LDR r2,[r1,#4] - 2078330 ns MR4_I 00000234 6008d1fc - 2078390 ns MR4_D 40006004 00000001 - 2078390 ns R r2 00000001 - 2078390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2078410 ns R r2 80000000 - 2078410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2078430 ns R psr 81000200 - 2078430 ns MR4_I 00000238 48054770 - 2078450 ns MR4_I 00000230 07d2684a - 2078470 ns IT 00000230 684a LDR r2,[r1,#4] - 2078490 ns MR4_I 00000234 6008d1fc - 2078550 ns MR4_D 40006004 00000001 - 2078550 ns R r2 00000001 - 2078550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2078570 ns R r2 80000000 - 2078570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2078590 ns R psr 81000200 - 2078590 ns MR4_I 00000238 48054770 - 2078610 ns MR4_I 00000230 07d2684a - 2078630 ns IT 00000230 684a LDR r2,[r1,#4] - 2078650 ns MR4_I 00000234 6008d1fc - 2078710 ns MR4_D 40006004 00000001 - 2078710 ns R r2 00000001 - 2078710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2078730 ns R r2 80000000 - 2078730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2078750 ns R psr 81000200 - 2078750 ns MR4_I 00000238 48054770 - 2078770 ns MR4_I 00000230 07d2684a - 2078790 ns IT 00000230 684a LDR r2,[r1,#4] - 2078810 ns MR4_I 00000234 6008d1fc - 2078870 ns MR4_D 40006004 00000001 - 2078870 ns R r2 00000001 - 2078870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2078890 ns R r2 80000000 - 2078890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2078910 ns R psr 81000200 - 2078910 ns MR4_I 00000238 48054770 - 2078930 ns MR4_I 00000230 07d2684a - 2078950 ns IT 00000230 684a LDR r2,[r1,#4] - 2078970 ns MR4_I 00000234 6008d1fc - 2079030 ns MR4_D 40006004 00000001 - 2079030 ns R r2 00000001 - 2079030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2079050 ns R r2 80000000 - 2079050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2079070 ns R psr 81000200 - 2079070 ns MR4_I 00000238 48054770 - 2079090 ns MR4_I 00000230 07d2684a - 2079110 ns IT 00000230 684a LDR r2,[r1,#4] - 2079130 ns MR4_I 00000234 6008d1fc - 2079190 ns MR4_D 40006004 00000001 - 2079190 ns R r2 00000001 - 2079190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2079210 ns R r2 80000000 - 2079210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2079230 ns R psr 81000200 - 2079230 ns MR4_I 00000238 48054770 - 2079250 ns MR4_I 00000230 07d2684a - 2079270 ns IT 00000230 684a LDR r2,[r1,#4] - 2079290 ns MR4_I 00000234 6008d1fc - 2079350 ns MR4_D 40006004 00000001 - 2079350 ns R r2 00000001 - 2079350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2079370 ns R r2 80000000 - 2079370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2079390 ns R psr 81000200 - 2079390 ns MR4_I 00000238 48054770 - 2079410 ns MR4_I 00000230 07d2684a - 2079430 ns IT 00000230 684a LDR r2,[r1,#4] - 2079450 ns MR4_I 00000234 6008d1fc - 2079510 ns MR4_D 40006004 00000001 - 2079510 ns R r2 00000001 - 2079510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2079530 ns R r2 80000000 - 2079530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2079550 ns R psr 81000200 - 2079550 ns MR4_I 00000238 48054770 - 2079570 ns MR4_I 00000230 07d2684a - 2079590 ns IT 00000230 684a LDR r2,[r1,#4] - 2079610 ns MR4_I 00000234 6008d1fc - 2079670 ns MR4_D 40006004 00000001 - 2079670 ns R r2 00000001 - 2079670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2079690 ns R r2 80000000 - 2079690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2079710 ns R psr 81000200 - 2079710 ns MR4_I 00000238 48054770 - 2079730 ns MR4_I 00000230 07d2684a - 2079750 ns IT 00000230 684a LDR r2,[r1,#4] - 2079770 ns MR4_I 00000234 6008d1fc - 2079830 ns MR4_D 40006004 00000001 - 2079830 ns R r2 00000001 - 2079830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2079850 ns R r2 80000000 - 2079850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2079870 ns R psr 81000200 - 2079870 ns MR4_I 00000238 48054770 - 2079890 ns MR4_I 00000230 07d2684a - 2079910 ns IT 00000230 684a LDR r2,[r1,#4] - 2079930 ns MR4_I 00000234 6008d1fc - 2079990 ns MR4_D 40006004 00000001 - 2079990 ns R r2 00000001 - 2079990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2080010 ns R r2 80000000 - 2080010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2080030 ns R psr 81000200 - 2080030 ns MR4_I 00000238 48054770 - 2080050 ns MR4_I 00000230 07d2684a - 2080070 ns IT 00000230 684a LDR r2,[r1,#4] - 2080090 ns MR4_I 00000234 6008d1fc - 2080150 ns MR4_D 40006004 00000001 - 2080150 ns R r2 00000001 - 2080150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2080170 ns R r2 80000000 - 2080170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2080190 ns R psr 81000200 - 2080190 ns MR4_I 00000238 48054770 - 2080210 ns MR4_I 00000230 07d2684a - 2080230 ns IT 00000230 684a LDR r2,[r1,#4] - 2080250 ns MR4_I 00000234 6008d1fc - 2080310 ns MR4_D 40006004 00000001 - 2080310 ns R r2 00000001 - 2080310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2080330 ns R r2 80000000 - 2080330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2080350 ns R psr 81000200 - 2080350 ns MR4_I 00000238 48054770 - 2080370 ns MR4_I 00000230 07d2684a - 2080390 ns IT 00000230 684a LDR r2,[r1,#4] - 2080410 ns MR4_I 00000234 6008d1fc - 2080470 ns MR4_D 40006004 00000001 - 2080470 ns R r2 00000001 - 2080470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2080490 ns R r2 80000000 - 2080490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2080510 ns R psr 81000200 - 2080510 ns MR4_I 00000238 48054770 - 2080530 ns MR4_I 00000230 07d2684a - 2080550 ns IT 00000230 684a LDR r2,[r1,#4] - 2080570 ns MR4_I 00000234 6008d1fc - 2080630 ns MR4_D 40006004 00000001 - 2080630 ns R r2 00000001 - 2080630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2080650 ns R r2 80000000 - 2080650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2080670 ns R psr 81000200 - 2080670 ns MR4_I 00000238 48054770 - 2080690 ns MR4_I 00000230 07d2684a - 2080710 ns IT 00000230 684a LDR r2,[r1,#4] - 2080730 ns MR4_I 00000234 6008d1fc - 2080790 ns MR4_D 40006004 00000001 - 2080790 ns R r2 00000001 - 2080790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2080810 ns R r2 80000000 - 2080810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2080830 ns R psr 81000200 - 2080830 ns MR4_I 00000238 48054770 - 2080850 ns MR4_I 00000230 07d2684a - 2080870 ns IT 00000230 684a LDR r2,[r1,#4] - 2080890 ns MR4_I 00000234 6008d1fc - 2080950 ns MR4_D 40006004 00000001 - 2080950 ns R r2 00000001 - 2080950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2080970 ns R r2 80000000 - 2080970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2080990 ns R psr 81000200 - 2080990 ns MR4_I 00000238 48054770 - 2081010 ns MR4_I 00000230 07d2684a - 2081030 ns IT 00000230 684a LDR r2,[r1,#4] - 2081050 ns MR4_I 00000234 6008d1fc - 2081110 ns MR4_D 40006004 00000001 - 2081110 ns R r2 00000001 - 2081110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2081130 ns R r2 80000000 - 2081130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2081150 ns R psr 81000200 - 2081150 ns MR4_I 00000238 48054770 - 2081170 ns MR4_I 00000230 07d2684a - 2081190 ns IT 00000230 684a LDR r2,[r1,#4] - 2081210 ns MR4_I 00000234 6008d1fc - 2081270 ns MR4_D 40006004 00000001 - 2081270 ns R r2 00000001 - 2081270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2081290 ns R r2 80000000 - 2081290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2081310 ns R psr 81000200 - 2081310 ns MR4_I 00000238 48054770 - 2081330 ns MR4_I 00000230 07d2684a - 2081350 ns IT 00000230 684a LDR r2,[r1,#4] - 2081370 ns MR4_I 00000234 6008d1fc - 2081430 ns MR4_D 40006004 00000001 - 2081430 ns R r2 00000001 - 2081430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2081450 ns R r2 80000000 - 2081450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2081470 ns R psr 81000200 - 2081470 ns MR4_I 00000238 48054770 - 2081490 ns MR4_I 00000230 07d2684a - 2081510 ns IT 00000230 684a LDR r2,[r1,#4] - 2081530 ns MR4_I 00000234 6008d1fc - 2081590 ns MR4_D 40006004 00000001 - 2081590 ns R r2 00000001 - 2081590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2081610 ns R r2 80000000 - 2081610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2081630 ns R psr 81000200 - 2081630 ns MR4_I 00000238 48054770 - 2081650 ns MR4_I 00000230 07d2684a - 2081670 ns IT 00000230 684a LDR r2,[r1,#4] - 2081690 ns MR4_I 00000234 6008d1fc - 2081750 ns MR4_D 40006004 00000001 - 2081750 ns R r2 00000001 - 2081750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2081770 ns R r2 80000000 - 2081770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2081790 ns R psr 81000200 - 2081790 ns MR4_I 00000238 48054770 - 2081810 ns MR4_I 00000230 07d2684a - 2081830 ns IT 00000230 684a LDR r2,[r1,#4] - 2081850 ns MR4_I 00000234 6008d1fc - 2081910 ns MR4_D 40006004 00000001 - 2081910 ns R r2 00000001 - 2081910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2081930 ns R r2 80000000 - 2081930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2081950 ns R psr 81000200 - 2081950 ns MR4_I 00000238 48054770 - 2081970 ns MR4_I 00000230 07d2684a - 2081990 ns IT 00000230 684a LDR r2,[r1,#4] - 2082010 ns MR4_I 00000234 6008d1fc - 2082070 ns MR4_D 40006004 00000001 - 2082070 ns R r2 00000001 - 2082070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2082090 ns R r2 80000000 - 2082090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2082110 ns R psr 81000200 - 2082110 ns MR4_I 00000238 48054770 - 2082130 ns MR4_I 00000230 07d2684a - 2082150 ns IT 00000230 684a LDR r2,[r1,#4] - 2082170 ns MR4_I 00000234 6008d1fc - 2082230 ns MR4_D 40006004 00000001 - 2082230 ns R r2 00000001 - 2082230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2082250 ns R r2 80000000 - 2082250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2082270 ns R psr 81000200 - 2082270 ns MR4_I 00000238 48054770 - 2082290 ns MR4_I 00000230 07d2684a - 2082310 ns IT 00000230 684a LDR r2,[r1,#4] - 2082330 ns MR4_I 00000234 6008d1fc - 2082390 ns MR4_D 40006004 00000001 - 2082390 ns R r2 00000001 - 2082390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2082410 ns R r2 80000000 - 2082410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2082430 ns R psr 81000200 - 2082430 ns MR4_I 00000238 48054770 - 2082450 ns MR4_I 00000230 07d2684a - 2082470 ns IT 00000230 684a LDR r2,[r1,#4] - 2082490 ns MR4_I 00000234 6008d1fc - 2082550 ns MR4_D 40006004 00000001 - 2082550 ns R r2 00000001 - 2082550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2082570 ns R r2 80000000 - 2082570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2082590 ns R psr 81000200 - 2082590 ns MR4_I 00000238 48054770 - 2082610 ns MR4_I 00000230 07d2684a - 2082630 ns IT 00000230 684a LDR r2,[r1,#4] - 2082650 ns MR4_I 00000234 6008d1fc - 2082710 ns MR4_D 40006004 00000001 - 2082710 ns R r2 00000001 - 2082710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2082730 ns R r2 80000000 - 2082730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2082750 ns R psr 81000200 - 2082750 ns MR4_I 00000238 48054770 - 2082770 ns MR4_I 00000230 07d2684a - 2082790 ns IT 00000230 684a LDR r2,[r1,#4] - 2082810 ns MR4_I 00000234 6008d1fc - 2082870 ns MR4_D 40006004 00000001 - 2082870 ns R r2 00000001 - 2082870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2082890 ns R r2 80000000 - 2082890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2082910 ns R psr 81000200 - 2082910 ns MR4_I 00000238 48054770 - 2082930 ns MR4_I 00000230 07d2684a - 2082950 ns IT 00000230 684a LDR r2,[r1,#4] - 2082970 ns MR4_I 00000234 6008d1fc - 2083030 ns MR4_D 40006004 00000001 - 2083030 ns R r2 00000001 - 2083030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2083050 ns R r2 80000000 - 2083050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2083070 ns R psr 81000200 - 2083070 ns MR4_I 00000238 48054770 - 2083090 ns MR4_I 00000230 07d2684a - 2083110 ns IT 00000230 684a LDR r2,[r1,#4] - 2083130 ns MR4_I 00000234 6008d1fc - 2083190 ns MR4_D 40006004 00000001 - 2083190 ns R r2 00000001 - 2083190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2083210 ns R r2 80000000 - 2083210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2083230 ns R psr 81000200 - 2083230 ns MR4_I 00000238 48054770 - 2083250 ns MR4_I 00000230 07d2684a - 2083270 ns IT 00000230 684a LDR r2,[r1,#4] - 2083290 ns MR4_I 00000234 6008d1fc - 2083350 ns MR4_D 40006004 00000001 - 2083350 ns R r2 00000001 - 2083350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2083370 ns R r2 80000000 - 2083370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2083390 ns R psr 81000200 - 2083390 ns MR4_I 00000238 48054770 - 2083410 ns MR4_I 00000230 07d2684a - 2083430 ns IT 00000230 684a LDR r2,[r1,#4] - 2083450 ns MR4_I 00000234 6008d1fc - 2083510 ns MR4_D 40006004 00000001 - 2083510 ns R r2 00000001 - 2083510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2083530 ns R r2 80000000 - 2083530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2083550 ns R psr 81000200 - 2083550 ns MR4_I 00000238 48054770 - 2083570 ns MR4_I 00000230 07d2684a - 2083590 ns IT 00000230 684a LDR r2,[r1,#4] - 2083610 ns MR4_I 00000234 6008d1fc - 2083670 ns MR4_D 40006004 00000001 - 2083670 ns R r2 00000001 - 2083670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2083690 ns R r2 80000000 - 2083690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2083710 ns R psr 81000200 - 2083710 ns MR4_I 00000238 48054770 - 2083730 ns MR4_I 00000230 07d2684a - 2083750 ns IT 00000230 684a LDR r2,[r1,#4] - 2083770 ns MR4_I 00000234 6008d1fc - 2083830 ns MR4_D 40006004 00000001 - 2083830 ns R r2 00000001 - 2083830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2083850 ns R r2 80000000 - 2083850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2083870 ns R psr 81000200 - 2083870 ns MR4_I 00000238 48054770 - 2083890 ns MR4_I 00000230 07d2684a - 2083910 ns IT 00000230 684a LDR r2,[r1,#4] - 2083930 ns MR4_I 00000234 6008d1fc - 2083990 ns MR4_D 40006004 00000001 - 2083990 ns R r2 00000001 - 2083990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2084010 ns R r2 80000000 - 2084010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2084030 ns R psr 81000200 - 2084030 ns MR4_I 00000238 48054770 - 2084050 ns MR4_I 00000230 07d2684a - 2084070 ns IT 00000230 684a LDR r2,[r1,#4] - 2084090 ns MR4_I 00000234 6008d1fc - 2084150 ns MR4_D 40006004 00000001 - 2084150 ns R r2 00000001 - 2084150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2084170 ns R r2 80000000 - 2084170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2084190 ns R psr 81000200 - 2084190 ns MR4_I 00000238 48054770 - 2084210 ns MR4_I 00000230 07d2684a - 2084230 ns IT 00000230 684a LDR r2,[r1,#4] - 2084250 ns MR4_I 00000234 6008d1fc - 2084310 ns MR4_D 40006004 00000001 - 2084310 ns R r2 00000001 - 2084310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2084330 ns R r2 80000000 - 2084330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2084350 ns R psr 81000200 - 2084350 ns MR4_I 00000238 48054770 - 2084370 ns MR4_I 00000230 07d2684a - 2084390 ns IT 00000230 684a LDR r2,[r1,#4] - 2084410 ns MR4_I 00000234 6008d1fc - 2084470 ns MR4_D 40006004 00000001 - 2084470 ns R r2 00000001 - 2084470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2084490 ns R r2 80000000 - 2084490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2084510 ns R psr 81000200 - 2084510 ns MR4_I 00000238 48054770 - 2084530 ns MR4_I 00000230 07d2684a - 2084550 ns IT 00000230 684a LDR r2,[r1,#4] - 2084570 ns MR4_I 00000234 6008d1fc - 2084630 ns MR4_D 40006004 00000001 - 2084630 ns R r2 00000001 - 2084630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2084650 ns R r2 80000000 - 2084650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2084670 ns R psr 81000200 - 2084670 ns MR4_I 00000238 48054770 - 2084690 ns MR4_I 00000230 07d2684a - 2084710 ns IT 00000230 684a LDR r2,[r1,#4] - 2084730 ns MR4_I 00000234 6008d1fc - 2084790 ns MR4_D 40006004 00000001 - 2084790 ns R r2 00000001 - 2084790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2084810 ns R r2 80000000 - 2084810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2084830 ns R psr 81000200 - 2084830 ns MR4_I 00000238 48054770 - 2084850 ns MR4_I 00000230 07d2684a - 2084870 ns IT 00000230 684a LDR r2,[r1,#4] - 2084890 ns MR4_I 00000234 6008d1fc - 2084950 ns MR4_D 40006004 00000001 - 2084950 ns R r2 00000001 - 2084950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2084970 ns R r2 80000000 - 2084970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2084990 ns R psr 81000200 - 2084990 ns MR4_I 00000238 48054770 - 2085010 ns MR4_I 00000230 07d2684a - 2085030 ns IT 00000230 684a LDR r2,[r1,#4] - 2085050 ns MR4_I 00000234 6008d1fc - 2085110 ns MR4_D 40006004 00000001 - 2085110 ns R r2 00000001 - 2085110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2085130 ns R r2 80000000 - 2085130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2085150 ns R psr 81000200 - 2085150 ns MR4_I 00000238 48054770 - 2085170 ns MR4_I 00000230 07d2684a - 2085190 ns IT 00000230 684a LDR r2,[r1,#4] - 2085210 ns MR4_I 00000234 6008d1fc - 2085270 ns MR4_D 40006004 00000001 - 2085270 ns R r2 00000001 - 2085270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2085290 ns R r2 80000000 - 2085290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2085310 ns R psr 81000200 - 2085310 ns MR4_I 00000238 48054770 - 2085330 ns MR4_I 00000230 07d2684a - 2085350 ns IT 00000230 684a LDR r2,[r1,#4] - 2085370 ns MR4_I 00000234 6008d1fc - 2085430 ns MR4_D 40006004 00000001 - 2085430 ns R r2 00000001 - 2085430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2085450 ns R r2 80000000 - 2085450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2085470 ns R psr 81000200 - 2085470 ns MR4_I 00000238 48054770 - 2085490 ns MR4_I 00000230 07d2684a - 2085510 ns IT 00000230 684a LDR r2,[r1,#4] - 2085530 ns MR4_I 00000234 6008d1fc - 2085590 ns MR4_D 40006004 00000001 - 2085590 ns R r2 00000001 - 2085590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2085610 ns R r2 80000000 - 2085610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2085630 ns R psr 81000200 - 2085630 ns MR4_I 00000238 48054770 - 2085650 ns MR4_I 00000230 07d2684a - 2085670 ns IT 00000230 684a LDR r2,[r1,#4] - 2085690 ns MR4_I 00000234 6008d1fc - 2085750 ns MR4_D 40006004 00000001 - 2085750 ns R r2 00000001 - 2085750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2085770 ns R r2 80000000 - 2085770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2085790 ns R psr 81000200 - 2085790 ns MR4_I 00000238 48054770 - 2085810 ns MR4_I 00000230 07d2684a - 2085830 ns IT 00000230 684a LDR r2,[r1,#4] - 2085850 ns MR4_I 00000234 6008d1fc - 2085910 ns MR4_D 40006004 00000001 - 2085910 ns R r2 00000001 - 2085910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2085930 ns R r2 80000000 - 2085930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2085950 ns R psr 81000200 - 2085950 ns MR4_I 00000238 48054770 - 2085970 ns MR4_I 00000230 07d2684a - 2085990 ns IT 00000230 684a LDR r2,[r1,#4] - 2086010 ns MR4_I 00000234 6008d1fc - 2086070 ns MR4_D 40006004 00000001 - 2086070 ns R r2 00000001 - 2086070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2086090 ns R r2 80000000 - 2086090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2086110 ns R psr 81000200 - 2086110 ns MR4_I 00000238 48054770 - 2086130 ns MR4_I 00000230 07d2684a - 2086150 ns IT 00000230 684a LDR r2,[r1,#4] - 2086170 ns MR4_I 00000234 6008d1fc - 2086230 ns MR4_D 40006004 00000001 - 2086230 ns R r2 00000001 - 2086230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2086250 ns R r2 80000000 - 2086250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2086270 ns R psr 81000200 - 2086270 ns MR4_I 00000238 48054770 - 2086290 ns MR4_I 00000230 07d2684a - 2086310 ns IT 00000230 684a LDR r2,[r1,#4] - 2086330 ns MR4_I 00000234 6008d1fc - 2086390 ns MR4_D 40006004 00000001 - 2086390 ns R r2 00000001 - 2086390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2086410 ns R r2 80000000 - 2086410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2086430 ns R psr 81000200 - 2086430 ns MR4_I 00000238 48054770 - 2086450 ns MR4_I 00000230 07d2684a - 2086470 ns IT 00000230 684a LDR r2,[r1,#4] - 2086490 ns MR4_I 00000234 6008d1fc - 2086550 ns MR4_D 40006004 00000001 - 2086550 ns R r2 00000001 - 2086550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2086570 ns R r2 80000000 - 2086570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2086590 ns R psr 81000200 - 2086590 ns MR4_I 00000238 48054770 - 2086610 ns MR4_I 00000230 07d2684a - 2086630 ns IT 00000230 684a LDR r2,[r1,#4] - 2086650 ns MR4_I 00000234 6008d1fc - 2086710 ns MR4_D 40006004 00000001 - 2086710 ns R r2 00000001 - 2086710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2086730 ns R r2 80000000 - 2086730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2086750 ns R psr 81000200 - 2086750 ns MR4_I 00000238 48054770 - 2086770 ns MR4_I 00000230 07d2684a - 2086790 ns IT 00000230 684a LDR r2,[r1,#4] - 2086810 ns MR4_I 00000234 6008d1fc - 2086870 ns MR4_D 40006004 00000001 - 2086870 ns R r2 00000001 - 2086870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2086890 ns R r2 80000000 - 2086890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2086910 ns R psr 81000200 - 2086910 ns MR4_I 00000238 48054770 - 2086930 ns MR4_I 00000230 07d2684a - 2086950 ns IT 00000230 684a LDR r2,[r1,#4] - 2086970 ns MR4_I 00000234 6008d1fc - 2087030 ns MR4_D 40006004 00000001 - 2087030 ns R r2 00000001 - 2087030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2087050 ns R r2 80000000 - 2087050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2087070 ns R psr 81000200 - 2087070 ns MR4_I 00000238 48054770 - 2087090 ns MR4_I 00000230 07d2684a - 2087110 ns IT 00000230 684a LDR r2,[r1,#4] - 2087130 ns MR4_I 00000234 6008d1fc - 2087190 ns MR4_D 40006004 00000001 - 2087190 ns R r2 00000001 - 2087190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2087210 ns R r2 80000000 - 2087210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2087230 ns R psr 81000200 - 2087230 ns MR4_I 00000238 48054770 - 2087250 ns MR4_I 00000230 07d2684a - 2087270 ns IT 00000230 684a LDR r2,[r1,#4] - 2087290 ns MR4_I 00000234 6008d1fc - 2087350 ns MR4_D 40006004 00000001 - 2087350 ns R r2 00000001 - 2087350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2087370 ns R r2 80000000 - 2087370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2087390 ns R psr 81000200 - 2087390 ns MR4_I 00000238 48054770 - 2087410 ns MR4_I 00000230 07d2684a - 2087430 ns IT 00000230 684a LDR r2,[r1,#4] - 2087450 ns MR4_I 00000234 6008d1fc - 2087510 ns MR4_D 40006004 00000001 - 2087510 ns R r2 00000001 - 2087510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2087530 ns R r2 80000000 - 2087530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2087550 ns R psr 81000200 - 2087550 ns MR4_I 00000238 48054770 - 2087570 ns MR4_I 00000230 07d2684a - 2087590 ns IT 00000230 684a LDR r2,[r1,#4] - 2087610 ns MR4_I 00000234 6008d1fc - 2087670 ns MR4_D 40006004 00000001 - 2087670 ns R r2 00000001 - 2087670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2087690 ns R r2 80000000 - 2087690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2087710 ns R psr 81000200 - 2087710 ns MR4_I 00000238 48054770 - 2087730 ns MR4_I 00000230 07d2684a - 2087750 ns IT 00000230 684a LDR r2,[r1,#4] - 2087770 ns MR4_I 00000234 6008d1fc - 2087830 ns MR4_D 40006004 00000001 - 2087830 ns R r2 00000001 - 2087830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2087850 ns R r2 80000000 - 2087850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2087870 ns R psr 81000200 - 2087870 ns MR4_I 00000238 48054770 - 2087890 ns MR4_I 00000230 07d2684a - 2087910 ns IT 00000230 684a LDR r2,[r1,#4] - 2087930 ns MR4_I 00000234 6008d1fc - 2087990 ns MR4_D 40006004 00000001 - 2087990 ns R r2 00000001 - 2087990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2088010 ns R r2 80000000 - 2088010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2088030 ns R psr 81000200 - 2088030 ns MR4_I 00000238 48054770 - 2088050 ns MR4_I 00000230 07d2684a - 2088070 ns IT 00000230 684a LDR r2,[r1,#4] - 2088090 ns MR4_I 00000234 6008d1fc - 2088150 ns MR4_D 40006004 00000001 - 2088150 ns R r2 00000001 - 2088150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2088170 ns R r2 80000000 - 2088170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2088190 ns R psr 81000200 - 2088190 ns MR4_I 00000238 48054770 - 2088210 ns MR4_I 00000230 07d2684a - 2088230 ns IT 00000230 684a LDR r2,[r1,#4] - 2088250 ns MR4_I 00000234 6008d1fc - 2088310 ns MR4_D 40006004 00000001 - 2088310 ns R r2 00000001 - 2088310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2088330 ns R r2 80000000 - 2088330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2088350 ns R psr 81000200 - 2088350 ns MR4_I 00000238 48054770 - 2088370 ns MR4_I 00000230 07d2684a - 2088390 ns IT 00000230 684a LDR r2,[r1,#4] - 2088410 ns MR4_I 00000234 6008d1fc - 2088470 ns MR4_D 40006004 00000001 - 2088470 ns R r2 00000001 - 2088470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2088490 ns R r2 80000000 - 2088490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2088510 ns R psr 81000200 - 2088510 ns MR4_I 00000238 48054770 - 2088530 ns MR4_I 00000230 07d2684a - 2088550 ns IT 00000230 684a LDR r2,[r1,#4] - 2088570 ns MR4_I 00000234 6008d1fc - 2088630 ns MR4_D 40006004 00000001 - 2088630 ns R r2 00000001 - 2088630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2088650 ns R r2 80000000 - 2088650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2088670 ns R psr 81000200 - 2088670 ns MR4_I 00000238 48054770 - 2088690 ns MR4_I 00000230 07d2684a - 2088710 ns IT 00000230 684a LDR r2,[r1,#4] - 2088730 ns MR4_I 00000234 6008d1fc - 2088790 ns MR4_D 40006004 00000001 - 2088790 ns R r2 00000001 - 2088790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2088810 ns R r2 80000000 - 2088810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2088830 ns R psr 81000200 - 2088830 ns MR4_I 00000238 48054770 - 2088850 ns MR4_I 00000230 07d2684a - 2088870 ns IT 00000230 684a LDR r2,[r1,#4] - 2088890 ns MR4_I 00000234 6008d1fc - 2088950 ns MR4_D 40006004 00000001 - 2088950 ns R r2 00000001 - 2088950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2088970 ns R r2 80000000 - 2088970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2088990 ns R psr 81000200 - 2088990 ns MR4_I 00000238 48054770 - 2089010 ns MR4_I 00000230 07d2684a - 2089030 ns IT 00000230 684a LDR r2,[r1,#4] - 2089050 ns MR4_I 00000234 6008d1fc - 2089110 ns MR4_D 40006004 00000001 - 2089110 ns R r2 00000001 - 2089110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2089130 ns R r2 80000000 - 2089130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2089150 ns R psr 81000200 - 2089150 ns MR4_I 00000238 48054770 - 2089170 ns MR4_I 00000230 07d2684a - 2089190 ns IT 00000230 684a LDR r2,[r1,#4] - 2089210 ns MR4_I 00000234 6008d1fc - 2089270 ns MR4_D 40006004 00000001 - 2089270 ns R r2 00000001 - 2089270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2089290 ns R r2 80000000 - 2089290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2089310 ns R psr 81000200 - 2089310 ns MR4_I 00000238 48054770 - 2089330 ns MR4_I 00000230 07d2684a - 2089350 ns IT 00000230 684a LDR r2,[r1,#4] - 2089370 ns MR4_I 00000234 6008d1fc - 2089430 ns MR4_D 40006004 00000001 - 2089430 ns R r2 00000001 - 2089430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2089450 ns R r2 80000000 - 2089450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2089470 ns R psr 81000200 - 2089470 ns MR4_I 00000238 48054770 - 2089490 ns MR4_I 00000230 07d2684a - 2089510 ns IT 00000230 684a LDR r2,[r1,#4] - 2089530 ns MR4_I 00000234 6008d1fc - 2089590 ns MR4_D 40006004 00000001 - 2089590 ns R r2 00000001 - 2089590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2089610 ns R r2 80000000 - 2089610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2089630 ns R psr 81000200 - 2089630 ns MR4_I 00000238 48054770 - 2089650 ns MR4_I 00000230 07d2684a - 2089670 ns IT 00000230 684a LDR r2,[r1,#4] - 2089690 ns MR4_I 00000234 6008d1fc - 2089750 ns MR4_D 40006004 00000001 - 2089750 ns R r2 00000001 - 2089750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2089770 ns R r2 80000000 - 2089770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2089790 ns R psr 81000200 - 2089790 ns MR4_I 00000238 48054770 - 2089810 ns MR4_I 00000230 07d2684a - 2089830 ns IT 00000230 684a LDR r2,[r1,#4] - 2089850 ns MR4_I 00000234 6008d1fc - 2089910 ns MR4_D 40006004 00000001 - 2089910 ns R r2 00000001 - 2089910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2089930 ns R r2 80000000 - 2089930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2089950 ns R psr 81000200 - 2089950 ns MR4_I 00000238 48054770 - 2089970 ns MR4_I 00000230 07d2684a - 2089990 ns IT 00000230 684a LDR r2,[r1,#4] - 2090010 ns MR4_I 00000234 6008d1fc - 2090070 ns MR4_D 40006004 00000001 - 2090070 ns R r2 00000001 - 2090070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2090090 ns R r2 80000000 - 2090090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2090110 ns R psr 81000200 - 2090110 ns MR4_I 00000238 48054770 - 2090130 ns MR4_I 00000230 07d2684a - 2090150 ns IT 00000230 684a LDR r2,[r1,#4] - 2090170 ns MR4_I 00000234 6008d1fc - 2090230 ns MR4_D 40006004 00000001 - 2090230 ns R r2 00000001 - 2090230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2090250 ns R r2 80000000 - 2090250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2090270 ns R psr 81000200 - 2090270 ns MR4_I 00000238 48054770 - 2090290 ns MR4_I 00000230 07d2684a - 2090310 ns IT 00000230 684a LDR r2,[r1,#4] - 2090330 ns MR4_I 00000234 6008d1fc - 2090390 ns MR4_D 40006004 00000001 - 2090390 ns R r2 00000001 - 2090390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2090410 ns R r2 80000000 - 2090410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2090430 ns R psr 81000200 - 2090430 ns MR4_I 00000238 48054770 - 2090450 ns MR4_I 00000230 07d2684a - 2090470 ns IT 00000230 684a LDR r2,[r1,#4] - 2090490 ns MR4_I 00000234 6008d1fc - 2090550 ns MR4_D 40006004 00000001 - 2090550 ns R r2 00000001 - 2090550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2090570 ns R r2 80000000 - 2090570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2090590 ns R psr 81000200 - 2090590 ns MR4_I 00000238 48054770 - 2090610 ns MR4_I 00000230 07d2684a - 2090630 ns IT 00000230 684a LDR r2,[r1,#4] - 2090650 ns MR4_I 00000234 6008d1fc - 2090710 ns MR4_D 40006004 00000001 - 2090710 ns R r2 00000001 - 2090710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2090730 ns R r2 80000000 - 2090730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2090750 ns R psr 81000200 - 2090750 ns MR4_I 00000238 48054770 - 2090770 ns MR4_I 00000230 07d2684a - 2090790 ns IT 00000230 684a LDR r2,[r1,#4] - 2090810 ns MR4_I 00000234 6008d1fc - 2090870 ns MR4_D 40006004 00000001 - 2090870 ns R r2 00000001 - 2090870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2090890 ns R r2 80000000 - 2090890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2090910 ns R psr 81000200 - 2090910 ns MR4_I 00000238 48054770 - 2090930 ns MR4_I 00000230 07d2684a - 2090950 ns IT 00000230 684a LDR r2,[r1,#4] - 2090970 ns MR4_I 00000234 6008d1fc - 2091030 ns MR4_D 40006004 00000001 - 2091030 ns R r2 00000001 - 2091030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2091050 ns R r2 80000000 - 2091050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2091070 ns R psr 81000200 - 2091070 ns MR4_I 00000238 48054770 - 2091090 ns MR4_I 00000230 07d2684a - 2091110 ns IT 00000230 684a LDR r2,[r1,#4] - 2091130 ns MR4_I 00000234 6008d1fc - 2091190 ns MR4_D 40006004 00000001 - 2091190 ns R r2 00000001 - 2091190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2091210 ns R r2 80000000 - 2091210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2091230 ns R psr 81000200 - 2091230 ns MR4_I 00000238 48054770 - 2091250 ns MR4_I 00000230 07d2684a - 2091270 ns IT 00000230 684a LDR r2,[r1,#4] - 2091290 ns MR4_I 00000234 6008d1fc - 2091350 ns MR4_D 40006004 00000001 - 2091350 ns R r2 00000001 - 2091350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2091370 ns R r2 80000000 - 2091370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2091390 ns R psr 81000200 - 2091390 ns MR4_I 00000238 48054770 - 2091410 ns MR4_I 00000230 07d2684a - 2091430 ns IT 00000230 684a LDR r2,[r1,#4] - 2091450 ns MR4_I 00000234 6008d1fc - 2091510 ns MR4_D 40006004 00000001 - 2091510 ns R r2 00000001 - 2091510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2091530 ns R r2 80000000 - 2091530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2091550 ns R psr 81000200 - 2091550 ns MR4_I 00000238 48054770 - 2091570 ns MR4_I 00000230 07d2684a - 2091590 ns IT 00000230 684a LDR r2,[r1,#4] - 2091610 ns MR4_I 00000234 6008d1fc - 2091670 ns MR4_D 40006004 00000001 - 2091670 ns R r2 00000001 - 2091670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2091690 ns R r2 80000000 - 2091690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2091710 ns R psr 81000200 - 2091710 ns MR4_I 00000238 48054770 - 2091730 ns MR4_I 00000230 07d2684a - 2091750 ns IT 00000230 684a LDR r2,[r1,#4] - 2091770 ns MR4_I 00000234 6008d1fc - 2091830 ns MR4_D 40006004 00000001 - 2091830 ns R r2 00000001 - 2091830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2091850 ns R r2 80000000 - 2091850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2091870 ns R psr 81000200 - 2091870 ns MR4_I 00000238 48054770 - 2091890 ns MR4_I 00000230 07d2684a - 2091910 ns IT 00000230 684a LDR r2,[r1,#4] - 2091930 ns MR4_I 00000234 6008d1fc - 2091990 ns MR4_D 40006004 00000001 - 2091990 ns R r2 00000001 - 2091990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2092010 ns R r2 80000000 - 2092010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2092030 ns R psr 81000200 - 2092030 ns MR4_I 00000238 48054770 - 2092050 ns MR4_I 00000230 07d2684a - 2092070 ns IT 00000230 684a LDR r2,[r1,#4] - 2092090 ns MR4_I 00000234 6008d1fc - 2092150 ns MR4_D 40006004 00000001 - 2092150 ns R r2 00000001 - 2092150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2092170 ns R r2 80000000 - 2092170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2092190 ns R psr 81000200 - 2092190 ns MR4_I 00000238 48054770 - 2092210 ns MR4_I 00000230 07d2684a - 2092230 ns IT 00000230 684a LDR r2,[r1,#4] - 2092250 ns MR4_I 00000234 6008d1fc - 2092310 ns MR4_D 40006004 00000001 - 2092310 ns R r2 00000001 - 2092310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2092330 ns R r2 80000000 - 2092330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2092350 ns R psr 81000200 - 2092350 ns MR4_I 00000238 48054770 - 2092370 ns MR4_I 00000230 07d2684a - 2092390 ns IT 00000230 684a LDR r2,[r1,#4] - 2092410 ns MR4_I 00000234 6008d1fc - 2092470 ns MR4_D 40006004 00000001 - 2092470 ns R r2 00000001 - 2092470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2092490 ns R r2 80000000 - 2092490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2092510 ns R psr 81000200 - 2092510 ns MR4_I 00000238 48054770 - 2092530 ns MR4_I 00000230 07d2684a - 2092550 ns IT 00000230 684a LDR r2,[r1,#4] - 2092570 ns MR4_I 00000234 6008d1fc - 2092630 ns MR4_D 40006004 00000001 - 2092630 ns R r2 00000001 - 2092630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2092650 ns R r2 80000000 - 2092650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2092670 ns R psr 81000200 - 2092670 ns MR4_I 00000238 48054770 - 2092690 ns MR4_I 00000230 07d2684a - 2092710 ns IT 00000230 684a LDR r2,[r1,#4] - 2092730 ns MR4_I 00000234 6008d1fc - 2092790 ns MR4_D 40006004 00000001 - 2092790 ns R r2 00000001 - 2092790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2092810 ns R r2 80000000 - 2092810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2092830 ns R psr 81000200 - 2092830 ns MR4_I 00000238 48054770 - 2092850 ns MR4_I 00000230 07d2684a - 2092870 ns IT 00000230 684a LDR r2,[r1,#4] - 2092890 ns MR4_I 00000234 6008d1fc - 2092950 ns MR4_D 40006004 00000001 - 2092950 ns R r2 00000001 - 2092950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2092970 ns R r2 80000000 - 2092970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2092990 ns R psr 81000200 - 2092990 ns MR4_I 00000238 48054770 - 2093010 ns MR4_I 00000230 07d2684a - 2093030 ns IT 00000230 684a LDR r2,[r1,#4] - 2093050 ns MR4_I 00000234 6008d1fc - 2093110 ns MR4_D 40006004 00000001 - 2093110 ns R r2 00000001 - 2093110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2093130 ns R r2 80000000 - 2093130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2093150 ns R psr 81000200 - 2093150 ns MR4_I 00000238 48054770 - 2093170 ns MR4_I 00000230 07d2684a - 2093190 ns IT 00000230 684a LDR r2,[r1,#4] - 2093210 ns MR4_I 00000234 6008d1fc - 2093270 ns MR4_D 40006004 00000001 - 2093270 ns R r2 00000001 - 2093270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2093290 ns R r2 80000000 - 2093290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2093310 ns R psr 81000200 - 2093310 ns MR4_I 00000238 48054770 - 2093330 ns MR4_I 00000230 07d2684a - 2093350 ns IT 00000230 684a LDR r2,[r1,#4] - 2093370 ns MR4_I 00000234 6008d1fc - 2093430 ns MR4_D 40006004 00000001 - 2093430 ns R r2 00000001 - 2093430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2093450 ns R r2 80000000 - 2093450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2093470 ns R psr 81000200 - 2093470 ns MR4_I 00000238 48054770 - 2093490 ns MR4_I 00000230 07d2684a - 2093510 ns IT 00000230 684a LDR r2,[r1,#4] - 2093530 ns MR4_I 00000234 6008d1fc - 2093590 ns MR4_D 40006004 00000001 - 2093590 ns R r2 00000001 - 2093590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2093610 ns R r2 80000000 - 2093610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2093630 ns R psr 81000200 - 2093630 ns MR4_I 00000238 48054770 - 2093650 ns MR4_I 00000230 07d2684a - 2093670 ns IT 00000230 684a LDR r2,[r1,#4] - 2093690 ns MR4_I 00000234 6008d1fc - 2093750 ns MR4_D 40006004 00000001 - 2093750 ns R r2 00000001 - 2093750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2093770 ns R r2 80000000 - 2093770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2093790 ns R psr 81000200 - 2093790 ns MR4_I 00000238 48054770 - 2093810 ns MR4_I 00000230 07d2684a - 2093830 ns IT 00000230 684a LDR r2,[r1,#4] - 2093850 ns MR4_I 00000234 6008d1fc - 2093910 ns MR4_D 40006004 00000001 - 2093910 ns R r2 00000001 - 2093910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2093930 ns R r2 80000000 - 2093930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2093950 ns R psr 81000200 - 2093950 ns MR4_I 00000238 48054770 - 2093970 ns MR4_I 00000230 07d2684a - 2093990 ns IT 00000230 684a LDR r2,[r1,#4] - 2094010 ns MR4_I 00000234 6008d1fc - 2094070 ns MR4_D 40006004 00000001 - 2094070 ns R r2 00000001 - 2094070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2094090 ns R r2 80000000 - 2094090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2094110 ns R psr 81000200 - 2094110 ns MR4_I 00000238 48054770 - 2094130 ns MR4_I 00000230 07d2684a - 2094150 ns IT 00000230 684a LDR r2,[r1,#4] - 2094170 ns MR4_I 00000234 6008d1fc - 2094230 ns MR4_D 40006004 00000001 - 2094230 ns R r2 00000001 - 2094230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2094250 ns R r2 80000000 - 2094250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2094270 ns R psr 81000200 - 2094270 ns MR4_I 00000238 48054770 - 2094290 ns MR4_I 00000230 07d2684a - 2094310 ns IT 00000230 684a LDR r2,[r1,#4] - 2094330 ns MR4_I 00000234 6008d1fc - 2094390 ns MR4_D 40006004 00000001 - 2094390 ns R r2 00000001 - 2094390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2094410 ns R r2 80000000 - 2094410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2094430 ns R psr 81000200 - 2094430 ns MR4_I 00000238 48054770 - 2094450 ns MR4_I 00000230 07d2684a - 2094470 ns IT 00000230 684a LDR r2,[r1,#4] - 2094490 ns MR4_I 00000234 6008d1fc - 2094550 ns MR4_D 40006004 00000001 - 2094550 ns R r2 00000001 - 2094550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2094570 ns R r2 80000000 - 2094570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2094590 ns R psr 81000200 - 2094590 ns MR4_I 00000238 48054770 - 2094610 ns MR4_I 00000230 07d2684a - 2094630 ns IT 00000230 684a LDR r2,[r1,#4] - 2094650 ns MR4_I 00000234 6008d1fc - 2094710 ns MR4_D 40006004 00000001 - 2094710 ns R r2 00000001 - 2094710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2094730 ns R r2 80000000 - 2094730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2094750 ns R psr 81000200 - 2094750 ns MR4_I 00000238 48054770 - 2094770 ns MR4_I 00000230 07d2684a - 2094790 ns IT 00000230 684a LDR r2,[r1,#4] - 2094810 ns MR4_I 00000234 6008d1fc - 2094870 ns MR4_D 40006004 00000001 - 2094870 ns R r2 00000001 - 2094870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2094890 ns R r2 80000000 - 2094890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2094910 ns R psr 81000200 - 2094910 ns MR4_I 00000238 48054770 - 2094930 ns MR4_I 00000230 07d2684a - 2094950 ns IT 00000230 684a LDR r2,[r1,#4] - 2094970 ns MR4_I 00000234 6008d1fc - 2095030 ns MR4_D 40006004 00000001 - 2095030 ns R r2 00000001 - 2095030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2095050 ns R r2 80000000 - 2095050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2095070 ns R psr 81000200 - 2095070 ns MR4_I 00000238 48054770 - 2095090 ns MR4_I 00000230 07d2684a - 2095110 ns IT 00000230 684a LDR r2,[r1,#4] - 2095130 ns MR4_I 00000234 6008d1fc - 2095190 ns MR4_D 40006004 00000001 - 2095190 ns R r2 00000001 - 2095190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2095210 ns R r2 80000000 - 2095210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2095230 ns R psr 81000200 - 2095230 ns MR4_I 00000238 48054770 - 2095250 ns MR4_I 00000230 07d2684a - 2095270 ns IT 00000230 684a LDR r2,[r1,#4] - 2095290 ns MR4_I 00000234 6008d1fc - 2095350 ns MR4_D 40006004 00000001 - 2095350 ns R r2 00000001 - 2095350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2095370 ns R r2 80000000 - 2095370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2095390 ns R psr 81000200 - 2095390 ns MR4_I 00000238 48054770 - 2095410 ns MR4_I 00000230 07d2684a - 2095430 ns IT 00000230 684a LDR r2,[r1,#4] - 2095450 ns MR4_I 00000234 6008d1fc - 2095510 ns MR4_D 40006004 00000001 - 2095510 ns R r2 00000001 - 2095510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2095530 ns R r2 80000000 - 2095530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2095550 ns R psr 81000200 - 2095550 ns MR4_I 00000238 48054770 - 2095570 ns MR4_I 00000230 07d2684a - 2095590 ns IT 00000230 684a LDR r2,[r1,#4] - 2095610 ns MR4_I 00000234 6008d1fc - 2095670 ns MR4_D 40006004 00000001 - 2095670 ns R r2 00000001 - 2095670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2095690 ns R r2 80000000 - 2095690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2095710 ns R psr 81000200 - 2095710 ns MR4_I 00000238 48054770 - 2095730 ns MR4_I 00000230 07d2684a - 2095750 ns IT 00000230 684a LDR r2,[r1,#4] - 2095770 ns MR4_I 00000234 6008d1fc - 2095830 ns MR4_D 40006004 00000001 - 2095830 ns R r2 00000001 - 2095830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2095850 ns R r2 80000000 - 2095850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2095870 ns R psr 81000200 - 2095870 ns MR4_I 00000238 48054770 - 2095890 ns MR4_I 00000230 07d2684a - 2095910 ns IT 00000230 684a LDR r2,[r1,#4] - 2095930 ns MR4_I 00000234 6008d1fc - 2095990 ns MR4_D 40006004 00000001 - 2095990 ns R r2 00000001 - 2095990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2096010 ns R r2 80000000 - 2096010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2096030 ns R psr 81000200 - 2096030 ns MR4_I 00000238 48054770 - 2096050 ns MR4_I 00000230 07d2684a - 2096070 ns IT 00000230 684a LDR r2,[r1,#4] - 2096090 ns MR4_I 00000234 6008d1fc - 2096150 ns MR4_D 40006004 00000001 - 2096150 ns R r2 00000001 - 2096150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2096170 ns R r2 80000000 - 2096170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2096190 ns R psr 81000200 - 2096190 ns MR4_I 00000238 48054770 - 2096210 ns MR4_I 00000230 07d2684a - 2096230 ns IT 00000230 684a LDR r2,[r1,#4] - 2096250 ns MR4_I 00000234 6008d1fc - 2096310 ns MR4_D 40006004 00000001 - 2096310 ns R r2 00000001 - 2096310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2096330 ns R r2 80000000 - 2096330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2096350 ns R psr 81000200 - 2096350 ns MR4_I 00000238 48054770 - 2096370 ns MR4_I 00000230 07d2684a - 2096390 ns IT 00000230 684a LDR r2,[r1,#4] - 2096410 ns MR4_I 00000234 6008d1fc - 2096470 ns MR4_D 40006004 00000001 - 2096470 ns R r2 00000001 - 2096470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2096490 ns R r2 80000000 - 2096490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2096510 ns R psr 81000200 - 2096510 ns MR4_I 00000238 48054770 - 2096530 ns MR4_I 00000230 07d2684a - 2096550 ns IT 00000230 684a LDR r2,[r1,#4] - 2096570 ns MR4_I 00000234 6008d1fc - 2096630 ns MR4_D 40006004 00000001 - 2096630 ns R r2 00000001 - 2096630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2096650 ns R r2 80000000 - 2096650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2096670 ns R psr 81000200 - 2096670 ns MR4_I 00000238 48054770 - 2096690 ns MR4_I 00000230 07d2684a - 2096710 ns IT 00000230 684a LDR r2,[r1,#4] - 2096730 ns MR4_I 00000234 6008d1fc - 2096790 ns MR4_D 40006004 00000000 - 2096790 ns R r2 00000000 - 2096790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2096810 ns R r2 00000000 - 2096810 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2096830 ns R psr 41000200 - 2096830 ns MR4_I 00000238 48054770 - 2096830 ns IT 00000236 6008 STR r0,[r1,#0] - 2096910 ns MW4_D 40006000 0000006c - 2096910 ns IT 00000238 4770 BX lr - 2096930 ns MR4_I 0000023c 07896841 - 2096950 ns R psr 41000200 - 2096950 ns MR4_I 000001fc b510bd10 - 2096970 ns IT 000001fc bd10 POP {r4,pc} - 2096990 ns MR4_I 00000200 f81bf000 - 2096990 ns R r13 200002e0 (MSP) - 2097010 ns MR4_D 200002d8 00000003 - 2097010 ns R r4 00000003 - 2097030 ns MR4_D 200002dc 0000032d - 2097050 ns R psr 41000200 - 2097070 ns MR4_I 0000032c 46301c64 - 2097090 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 2097110 ns MR4_I 00000330 280047a8 - 2097110 ns R r4 00000004 - 2097110 ns IT 0000032e 4630 MOV r0,r6 - 2097130 ns R psr 01000200 - 2097130 ns R r0 200002f8 - 2097130 ns IT 00000330 47a8 BLX r5 - 2097150 ns MR4_I 00000334 4620d1f8 - 2097170 ns R psr 01000200 - 2097170 ns MR4_I 000002a8 1c4a6901 - 2097170 ns R r14 00000333 - 2097190 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2097210 ns MR4_I 000002ac 78086102 - 2097230 ns MR4_D 20000308 00000184 - 2097230 ns R r1 00000184 - 2097230 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2097250 ns R r2 00000185 - 2097250 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2097270 ns R psr 01000200 - 2097270 ns MR4_I 000002b0 b5004770 - 2097290 ns MW4_D 20000308 00000185 - 2097290 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2097330 ns MR1_D 00000184 6f77206f - 2097330 ns R r0 0000006f - 2097330 ns IT 000002b0 4770 BX lr - 2097350 ns MR4_I 000002b4 9102b08f - 2097370 ns R psr 01000200 - 2097370 ns MR4_I 00000330 280047a8 - 2097390 ns MR4_I 00000334 4620d1f8 - 2097390 ns IT 00000332 2800 CMP r0,#0 - 2097410 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2097430 ns R psr 21000200 - 2097430 ns MR4_I 00000338 b510bdf8 - 2097450 ns MR4_I 00000328 47b89900 - 2097470 ns IT 00000328 9900 LDR r1,[sp,#0] - 2097490 ns MR4_I 0000032c 46301c64 - 2097510 ns MR4_D 200002e0 20000004 - 2097510 ns R r1 20000004 - 2097510 ns IT 0000032a 47b8 BLX r7 - 2097550 ns R psr 21000200 - 2097550 ns MR4_I 000001f4 b2c0b510 - 2097550 ns R r14 0000032d - 2097570 ns IT 000001f4 b510 PUSH {r4,lr} - 2097590 ns MR4_I 000001f8 f819f000 - 2097610 ns MW4_D 200002d8 00000004 - 2097630 ns MW4_D 200002dc 0000032d - 2097630 ns R r13 200002d8 (MSP) - 2097630 ns IT 000001f6 b2c0 UXTB r0,r0 - 2097650 ns R r0 0000006f - 2097650 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 2097670 ns MR4_I 000001fc b510bd10 - 2097690 ns R r14 000001fd - 2097710 ns MR4_I 0000022c 49084770 - 2097730 ns MR4_I 00000230 07d2684a - 2097730 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 2097770 ns MR4_D 00000250 40006000 - 2097770 ns R r1 40006000 - 2097770 ns IT 00000230 684a LDR r2,[r1,#4] - 2097790 ns MR4_I 00000234 6008d1fc - 2097850 ns MR4_D 40006004 00000001 - 2097850 ns R r2 00000001 - 2097850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2097870 ns R r2 80000000 - 2097870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2097890 ns R psr 81000200 - 2097890 ns MR4_I 00000238 48054770 - 2097910 ns MR4_I 00000230 07d2684a - 2097930 ns IT 00000230 684a LDR r2,[r1,#4] - 2097950 ns MR4_I 00000234 6008d1fc - 2098010 ns MR4_D 40006004 00000001 - 2098010 ns R r2 00000001 - 2098010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2098030 ns R r2 80000000 - 2098030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2098050 ns R psr 81000200 - 2098050 ns MR4_I 00000238 48054770 - 2098070 ns MR4_I 00000230 07d2684a - 2098090 ns IT 00000230 684a LDR r2,[r1,#4] - 2098110 ns MR4_I 00000234 6008d1fc - 2098170 ns MR4_D 40006004 00000001 - 2098170 ns R r2 00000001 - 2098170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2098190 ns R r2 80000000 - 2098190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2098210 ns R psr 81000200 - 2098210 ns MR4_I 00000238 48054770 - 2098230 ns MR4_I 00000230 07d2684a - 2098250 ns IT 00000230 684a LDR r2,[r1,#4] - 2098270 ns MR4_I 00000234 6008d1fc - 2098330 ns MR4_D 40006004 00000001 - 2098330 ns R r2 00000001 - 2098330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2098350 ns R r2 80000000 - 2098350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2098370 ns R psr 81000200 - 2098370 ns MR4_I 00000238 48054770 - 2098390 ns MR4_I 00000230 07d2684a - 2098410 ns IT 00000230 684a LDR r2,[r1,#4] - 2098430 ns MR4_I 00000234 6008d1fc - 2098490 ns MR4_D 40006004 00000001 - 2098490 ns R r2 00000001 - 2098490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2098510 ns R r2 80000000 - 2098510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2098530 ns R psr 81000200 - 2098530 ns MR4_I 00000238 48054770 - 2098550 ns MR4_I 00000230 07d2684a - 2098570 ns IT 00000230 684a LDR r2,[r1,#4] - 2098590 ns MR4_I 00000234 6008d1fc - 2098650 ns MR4_D 40006004 00000001 - 2098650 ns R r2 00000001 - 2098650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2098670 ns R r2 80000000 - 2098670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2098690 ns R psr 81000200 - 2098690 ns MR4_I 00000238 48054770 - 2098710 ns MR4_I 00000230 07d2684a - 2098730 ns IT 00000230 684a LDR r2,[r1,#4] - 2098750 ns MR4_I 00000234 6008d1fc - 2098810 ns MR4_D 40006004 00000001 - 2098810 ns R r2 00000001 - 2098810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2098830 ns R r2 80000000 - 2098830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2098850 ns R psr 81000200 - 2098850 ns MR4_I 00000238 48054770 - 2098870 ns MR4_I 00000230 07d2684a - 2098890 ns IT 00000230 684a LDR r2,[r1,#4] - 2098910 ns MR4_I 00000234 6008d1fc - 2098970 ns MR4_D 40006004 00000001 - 2098970 ns R r2 00000001 - 2098970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2098990 ns R r2 80000000 - 2098990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2099010 ns R psr 81000200 - 2099010 ns MR4_I 00000238 48054770 - 2099030 ns MR4_I 00000230 07d2684a - 2099050 ns IT 00000230 684a LDR r2,[r1,#4] - 2099070 ns MR4_I 00000234 6008d1fc - 2099130 ns MR4_D 40006004 00000001 - 2099130 ns R r2 00000001 - 2099130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2099150 ns R r2 80000000 - 2099150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2099170 ns R psr 81000200 - 2099170 ns MR4_I 00000238 48054770 - 2099190 ns MR4_I 00000230 07d2684a - 2099210 ns IT 00000230 684a LDR r2,[r1,#4] - 2099230 ns MR4_I 00000234 6008d1fc - 2099290 ns MR4_D 40006004 00000001 - 2099290 ns R r2 00000001 - 2099290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2099310 ns R r2 80000000 - 2099310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2099330 ns R psr 81000200 - 2099330 ns MR4_I 00000238 48054770 - 2099350 ns MR4_I 00000230 07d2684a - 2099370 ns IT 00000230 684a LDR r2,[r1,#4] - 2099390 ns MR4_I 00000234 6008d1fc - 2099450 ns MR4_D 40006004 00000001 - 2099450 ns R r2 00000001 - 2099450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2099470 ns R r2 80000000 - 2099470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2099490 ns R psr 81000200 - 2099490 ns MR4_I 00000238 48054770 - 2099510 ns MR4_I 00000230 07d2684a - 2099530 ns IT 00000230 684a LDR r2,[r1,#4] - 2099550 ns MR4_I 00000234 6008d1fc - 2099610 ns MR4_D 40006004 00000001 - 2099610 ns R r2 00000001 - 2099610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2099630 ns R r2 80000000 - 2099630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2099650 ns R psr 81000200 - 2099650 ns MR4_I 00000238 48054770 - 2099670 ns MR4_I 00000230 07d2684a - 2099690 ns IT 00000230 684a LDR r2,[r1,#4] - 2099710 ns MR4_I 00000234 6008d1fc - 2099770 ns MR4_D 40006004 00000001 - 2099770 ns R r2 00000001 - 2099770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2099790 ns R r2 80000000 - 2099790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2099810 ns R psr 81000200 - 2099810 ns MR4_I 00000238 48054770 - 2099830 ns MR4_I 00000230 07d2684a - 2099850 ns IT 00000230 684a LDR r2,[r1,#4] - 2099870 ns MR4_I 00000234 6008d1fc - 2099930 ns MR4_D 40006004 00000001 - 2099930 ns R r2 00000001 - 2099930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2099950 ns R r2 80000000 - 2099950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2099970 ns R psr 81000200 - 2099970 ns MR4_I 00000238 48054770 - 2099990 ns MR4_I 00000230 07d2684a - 2100010 ns IT 00000230 684a LDR r2,[r1,#4] - 2100030 ns MR4_I 00000234 6008d1fc - 2100090 ns MR4_D 40006004 00000001 - 2100090 ns R r2 00000001 - 2100090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2100110 ns R r2 80000000 - 2100110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2100130 ns R psr 81000200 - 2100130 ns MR4_I 00000238 48054770 - 2100150 ns MR4_I 00000230 07d2684a - 2100170 ns IT 00000230 684a LDR r2,[r1,#4] - 2100190 ns MR4_I 00000234 6008d1fc - 2100250 ns MR4_D 40006004 00000001 - 2100250 ns R r2 00000001 - 2100250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2100270 ns R r2 80000000 - 2100270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2100290 ns R psr 81000200 - 2100290 ns MR4_I 00000238 48054770 - 2100310 ns MR4_I 00000230 07d2684a - 2100330 ns IT 00000230 684a LDR r2,[r1,#4] - 2100350 ns MR4_I 00000234 6008d1fc - 2100410 ns MR4_D 40006004 00000001 - 2100410 ns R r2 00000001 - 2100410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2100430 ns R r2 80000000 - 2100430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2100450 ns R psr 81000200 - 2100450 ns MR4_I 00000238 48054770 - 2100470 ns MR4_I 00000230 07d2684a - 2100490 ns IT 00000230 684a LDR r2,[r1,#4] - 2100510 ns MR4_I 00000234 6008d1fc - 2100570 ns MR4_D 40006004 00000001 - 2100570 ns R r2 00000001 - 2100570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2100590 ns R r2 80000000 - 2100590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2100610 ns R psr 81000200 - 2100610 ns MR4_I 00000238 48054770 - 2100630 ns MR4_I 00000230 07d2684a - 2100650 ns IT 00000230 684a LDR r2,[r1,#4] - 2100670 ns MR4_I 00000234 6008d1fc - 2100730 ns MR4_D 40006004 00000001 - 2100730 ns R r2 00000001 - 2100730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2100750 ns R r2 80000000 - 2100750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2100770 ns R psr 81000200 - 2100770 ns MR4_I 00000238 48054770 - 2100790 ns MR4_I 00000230 07d2684a - 2100810 ns IT 00000230 684a LDR r2,[r1,#4] - 2100830 ns MR4_I 00000234 6008d1fc - 2100890 ns MR4_D 40006004 00000001 - 2100890 ns R r2 00000001 - 2100890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2100910 ns R r2 80000000 - 2100910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2100930 ns R psr 81000200 - 2100930 ns MR4_I 00000238 48054770 - 2100950 ns MR4_I 00000230 07d2684a - 2100970 ns IT 00000230 684a LDR r2,[r1,#4] - 2100990 ns MR4_I 00000234 6008d1fc - 2101050 ns MR4_D 40006004 00000001 - 2101050 ns R r2 00000001 - 2101050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2101070 ns R r2 80000000 - 2101070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2101090 ns R psr 81000200 - 2101090 ns MR4_I 00000238 48054770 - 2101110 ns MR4_I 00000230 07d2684a - 2101130 ns IT 00000230 684a LDR r2,[r1,#4] - 2101150 ns MR4_I 00000234 6008d1fc - 2101210 ns MR4_D 40006004 00000001 - 2101210 ns R r2 00000001 - 2101210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2101230 ns R r2 80000000 - 2101230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2101250 ns R psr 81000200 - 2101250 ns MR4_I 00000238 48054770 - 2101270 ns MR4_I 00000230 07d2684a - 2101290 ns IT 00000230 684a LDR r2,[r1,#4] - 2101310 ns MR4_I 00000234 6008d1fc - 2101370 ns MR4_D 40006004 00000001 - 2101370 ns R r2 00000001 - 2101370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2101390 ns R r2 80000000 - 2101390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2101410 ns R psr 81000200 - 2101410 ns MR4_I 00000238 48054770 - 2101430 ns MR4_I 00000230 07d2684a - 2101450 ns IT 00000230 684a LDR r2,[r1,#4] - 2101470 ns MR4_I 00000234 6008d1fc - 2101530 ns MR4_D 40006004 00000001 - 2101530 ns R r2 00000001 - 2101530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2101550 ns R r2 80000000 - 2101550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2101570 ns R psr 81000200 - 2101570 ns MR4_I 00000238 48054770 - 2101590 ns MR4_I 00000230 07d2684a - 2101610 ns IT 00000230 684a LDR r2,[r1,#4] - 2101630 ns MR4_I 00000234 6008d1fc - 2101690 ns MR4_D 40006004 00000001 - 2101690 ns R r2 00000001 - 2101690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2101710 ns R r2 80000000 - 2101710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2101730 ns R psr 81000200 - 2101730 ns MR4_I 00000238 48054770 - 2101750 ns MR4_I 00000230 07d2684a - 2101770 ns IT 00000230 684a LDR r2,[r1,#4] - 2101790 ns MR4_I 00000234 6008d1fc - 2101850 ns MR4_D 40006004 00000001 - 2101850 ns R r2 00000001 - 2101850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2101870 ns R r2 80000000 - 2101870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2101890 ns R psr 81000200 - 2101890 ns MR4_I 00000238 48054770 - 2101910 ns MR4_I 00000230 07d2684a - 2101930 ns IT 00000230 684a LDR r2,[r1,#4] - 2101950 ns MR4_I 00000234 6008d1fc - 2102010 ns MR4_D 40006004 00000001 - 2102010 ns R r2 00000001 - 2102010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2102030 ns R r2 80000000 - 2102030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2102050 ns R psr 81000200 - 2102050 ns MR4_I 00000238 48054770 - 2102070 ns MR4_I 00000230 07d2684a - 2102090 ns IT 00000230 684a LDR r2,[r1,#4] - 2102110 ns MR4_I 00000234 6008d1fc - 2102170 ns MR4_D 40006004 00000001 - 2102170 ns R r2 00000001 - 2102170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2102190 ns R r2 80000000 - 2102190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2102210 ns R psr 81000200 - 2102210 ns MR4_I 00000238 48054770 - 2102230 ns MR4_I 00000230 07d2684a - 2102250 ns IT 00000230 684a LDR r2,[r1,#4] - 2102270 ns MR4_I 00000234 6008d1fc - 2102330 ns MR4_D 40006004 00000001 - 2102330 ns R r2 00000001 - 2102330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2102350 ns R r2 80000000 - 2102350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2102370 ns R psr 81000200 - 2102370 ns MR4_I 00000238 48054770 - 2102390 ns MR4_I 00000230 07d2684a - 2102410 ns IT 00000230 684a LDR r2,[r1,#4] - 2102430 ns MR4_I 00000234 6008d1fc - 2102490 ns MR4_D 40006004 00000001 - 2102490 ns R r2 00000001 - 2102490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2102510 ns R r2 80000000 - 2102510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2102530 ns R psr 81000200 - 2102530 ns MR4_I 00000238 48054770 - 2102550 ns MR4_I 00000230 07d2684a - 2102570 ns IT 00000230 684a LDR r2,[r1,#4] - 2102590 ns MR4_I 00000234 6008d1fc - 2102650 ns MR4_D 40006004 00000001 - 2102650 ns R r2 00000001 - 2102650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2102670 ns R r2 80000000 - 2102670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2102690 ns R psr 81000200 - 2102690 ns MR4_I 00000238 48054770 - 2102710 ns MR4_I 00000230 07d2684a - 2102730 ns IT 00000230 684a LDR r2,[r1,#4] - 2102750 ns MR4_I 00000234 6008d1fc - 2102810 ns MR4_D 40006004 00000001 - 2102810 ns R r2 00000001 - 2102810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2102830 ns R r2 80000000 - 2102830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2102850 ns R psr 81000200 - 2102850 ns MR4_I 00000238 48054770 - 2102870 ns MR4_I 00000230 07d2684a - 2102890 ns IT 00000230 684a LDR r2,[r1,#4] - 2102910 ns MR4_I 00000234 6008d1fc - 2102970 ns MR4_D 40006004 00000001 - 2102970 ns R r2 00000001 - 2102970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2102990 ns R r2 80000000 - 2102990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2103010 ns R psr 81000200 - 2103010 ns MR4_I 00000238 48054770 - 2103030 ns MR4_I 00000230 07d2684a - 2103050 ns IT 00000230 684a LDR r2,[r1,#4] - 2103070 ns MR4_I 00000234 6008d1fc - 2103130 ns MR4_D 40006004 00000001 - 2103130 ns R r2 00000001 - 2103130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2103150 ns R r2 80000000 - 2103150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2103170 ns R psr 81000200 - 2103170 ns MR4_I 00000238 48054770 - 2103190 ns MR4_I 00000230 07d2684a - 2103210 ns IT 00000230 684a LDR r2,[r1,#4] - 2103230 ns MR4_I 00000234 6008d1fc - 2103290 ns MR4_D 40006004 00000001 - 2103290 ns R r2 00000001 - 2103290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2103310 ns R r2 80000000 - 2103310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2103330 ns R psr 81000200 - 2103330 ns MR4_I 00000238 48054770 - 2103350 ns MR4_I 00000230 07d2684a - 2103370 ns IT 00000230 684a LDR r2,[r1,#4] - 2103390 ns MR4_I 00000234 6008d1fc - 2103450 ns MR4_D 40006004 00000001 - 2103450 ns R r2 00000001 - 2103450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2103470 ns R r2 80000000 - 2103470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2103490 ns R psr 81000200 - 2103490 ns MR4_I 00000238 48054770 - 2103510 ns MR4_I 00000230 07d2684a - 2103530 ns IT 00000230 684a LDR r2,[r1,#4] - 2103550 ns MR4_I 00000234 6008d1fc - 2103610 ns MR4_D 40006004 00000001 - 2103610 ns R r2 00000001 - 2103610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2103630 ns R r2 80000000 - 2103630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2103650 ns R psr 81000200 - 2103650 ns MR4_I 00000238 48054770 - 2103670 ns MR4_I 00000230 07d2684a - 2103690 ns IT 00000230 684a LDR r2,[r1,#4] - 2103710 ns MR4_I 00000234 6008d1fc - 2103770 ns MR4_D 40006004 00000001 - 2103770 ns R r2 00000001 - 2103770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2103790 ns R r2 80000000 - 2103790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2103810 ns R psr 81000200 - 2103810 ns MR4_I 00000238 48054770 - 2103830 ns MR4_I 00000230 07d2684a - 2103850 ns IT 00000230 684a LDR r2,[r1,#4] - 2103870 ns MR4_I 00000234 6008d1fc - 2103930 ns MR4_D 40006004 00000001 - 2103930 ns R r2 00000001 - 2103930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2103950 ns R r2 80000000 - 2103950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2103970 ns R psr 81000200 - 2103970 ns MR4_I 00000238 48054770 - 2103990 ns MR4_I 00000230 07d2684a - 2104010 ns IT 00000230 684a LDR r2,[r1,#4] - 2104030 ns MR4_I 00000234 6008d1fc - 2104090 ns MR4_D 40006004 00000001 - 2104090 ns R r2 00000001 - 2104090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2104110 ns R r2 80000000 - 2104110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2104130 ns R psr 81000200 - 2104130 ns MR4_I 00000238 48054770 - 2104150 ns MR4_I 00000230 07d2684a - 2104170 ns IT 00000230 684a LDR r2,[r1,#4] - 2104190 ns MR4_I 00000234 6008d1fc - 2104250 ns MR4_D 40006004 00000001 - 2104250 ns R r2 00000001 - 2104250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2104270 ns R r2 80000000 - 2104270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2104290 ns R psr 81000200 - 2104290 ns MR4_I 00000238 48054770 - 2104310 ns MR4_I 00000230 07d2684a - 2104330 ns IT 00000230 684a LDR r2,[r1,#4] - 2104350 ns MR4_I 00000234 6008d1fc - 2104410 ns MR4_D 40006004 00000001 - 2104410 ns R r2 00000001 - 2104410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2104430 ns R r2 80000000 - 2104430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2104450 ns R psr 81000200 - 2104450 ns MR4_I 00000238 48054770 - 2104470 ns MR4_I 00000230 07d2684a - 2104490 ns IT 00000230 684a LDR r2,[r1,#4] - 2104510 ns MR4_I 00000234 6008d1fc - 2104570 ns MR4_D 40006004 00000001 - 2104570 ns R r2 00000001 - 2104570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2104590 ns R r2 80000000 - 2104590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2104610 ns R psr 81000200 - 2104610 ns MR4_I 00000238 48054770 - 2104630 ns MR4_I 00000230 07d2684a - 2104650 ns IT 00000230 684a LDR r2,[r1,#4] - 2104670 ns MR4_I 00000234 6008d1fc - 2104730 ns MR4_D 40006004 00000001 - 2104730 ns R r2 00000001 - 2104730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2104750 ns R r2 80000000 - 2104750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2104770 ns R psr 81000200 - 2104770 ns MR4_I 00000238 48054770 - 2104790 ns MR4_I 00000230 07d2684a - 2104810 ns IT 00000230 684a LDR r2,[r1,#4] - 2104830 ns MR4_I 00000234 6008d1fc - 2104890 ns MR4_D 40006004 00000001 - 2104890 ns R r2 00000001 - 2104890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2104910 ns R r2 80000000 - 2104910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2104930 ns R psr 81000200 - 2104930 ns MR4_I 00000238 48054770 - 2104950 ns MR4_I 00000230 07d2684a - 2104970 ns IT 00000230 684a LDR r2,[r1,#4] - 2104990 ns MR4_I 00000234 6008d1fc - 2105050 ns MR4_D 40006004 00000001 - 2105050 ns R r2 00000001 - 2105050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2105070 ns R r2 80000000 - 2105070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2105090 ns R psr 81000200 - 2105090 ns MR4_I 00000238 48054770 - 2105110 ns MR4_I 00000230 07d2684a - 2105130 ns IT 00000230 684a LDR r2,[r1,#4] - 2105150 ns MR4_I 00000234 6008d1fc - 2105210 ns MR4_D 40006004 00000001 - 2105210 ns R r2 00000001 - 2105210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2105230 ns R r2 80000000 - 2105230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2105250 ns R psr 81000200 - 2105250 ns MR4_I 00000238 48054770 - 2105270 ns MR4_I 00000230 07d2684a - 2105290 ns IT 00000230 684a LDR r2,[r1,#4] - 2105310 ns MR4_I 00000234 6008d1fc - 2105370 ns MR4_D 40006004 00000001 - 2105370 ns R r2 00000001 - 2105370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2105390 ns R r2 80000000 - 2105390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2105410 ns R psr 81000200 - 2105410 ns MR4_I 00000238 48054770 - 2105430 ns MR4_I 00000230 07d2684a - 2105450 ns IT 00000230 684a LDR r2,[r1,#4] - 2105470 ns MR4_I 00000234 6008d1fc - 2105530 ns MR4_D 40006004 00000001 - 2105530 ns R r2 00000001 - 2105530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2105550 ns R r2 80000000 - 2105550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2105570 ns R psr 81000200 - 2105570 ns MR4_I 00000238 48054770 - 2105590 ns MR4_I 00000230 07d2684a - 2105610 ns IT 00000230 684a LDR r2,[r1,#4] - 2105630 ns MR4_I 00000234 6008d1fc - 2105690 ns MR4_D 40006004 00000001 - 2105690 ns R r2 00000001 - 2105690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2105710 ns R r2 80000000 - 2105710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2105730 ns R psr 81000200 - 2105730 ns MR4_I 00000238 48054770 - 2105750 ns MR4_I 00000230 07d2684a - 2105770 ns IT 00000230 684a LDR r2,[r1,#4] - 2105790 ns MR4_I 00000234 6008d1fc - 2105850 ns MR4_D 40006004 00000001 - 2105850 ns R r2 00000001 - 2105850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2105870 ns R r2 80000000 - 2105870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2105890 ns R psr 81000200 - 2105890 ns MR4_I 00000238 48054770 - 2105910 ns MR4_I 00000230 07d2684a - 2105930 ns IT 00000230 684a LDR r2,[r1,#4] - 2105950 ns MR4_I 00000234 6008d1fc - 2106010 ns MR4_D 40006004 00000001 - 2106010 ns R r2 00000001 - 2106010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2106030 ns R r2 80000000 - 2106030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2106050 ns R psr 81000200 - 2106050 ns MR4_I 00000238 48054770 - 2106070 ns MR4_I 00000230 07d2684a - 2106090 ns IT 00000230 684a LDR r2,[r1,#4] - 2106110 ns MR4_I 00000234 6008d1fc - 2106170 ns MR4_D 40006004 00000001 - 2106170 ns R r2 00000001 - 2106170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2106190 ns R r2 80000000 - 2106190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2106210 ns R psr 81000200 - 2106210 ns MR4_I 00000238 48054770 - 2106230 ns MR4_I 00000230 07d2684a - 2106250 ns IT 00000230 684a LDR r2,[r1,#4] - 2106270 ns MR4_I 00000234 6008d1fc - 2106330 ns MR4_D 40006004 00000001 - 2106330 ns R r2 00000001 - 2106330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2106350 ns R r2 80000000 - 2106350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2106370 ns R psr 81000200 - 2106370 ns MR4_I 00000238 48054770 - 2106390 ns MR4_I 00000230 07d2684a - 2106410 ns IT 00000230 684a LDR r2,[r1,#4] - 2106430 ns MR4_I 00000234 6008d1fc - 2106490 ns MR4_D 40006004 00000001 - 2106490 ns R r2 00000001 - 2106490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2106510 ns R r2 80000000 - 2106510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2106530 ns R psr 81000200 - 2106530 ns MR4_I 00000238 48054770 - 2106550 ns MR4_I 00000230 07d2684a - 2106570 ns IT 00000230 684a LDR r2,[r1,#4] - 2106590 ns MR4_I 00000234 6008d1fc - 2106650 ns MR4_D 40006004 00000001 - 2106650 ns R r2 00000001 - 2106650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2106670 ns R r2 80000000 - 2106670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2106690 ns R psr 81000200 - 2106690 ns MR4_I 00000238 48054770 - 2106710 ns MR4_I 00000230 07d2684a - 2106730 ns IT 00000230 684a LDR r2,[r1,#4] - 2106750 ns MR4_I 00000234 6008d1fc - 2106810 ns MR4_D 40006004 00000001 - 2106810 ns R r2 00000001 - 2106810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2106830 ns R r2 80000000 - 2106830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2106850 ns R psr 81000200 - 2106850 ns MR4_I 00000238 48054770 - 2106870 ns MR4_I 00000230 07d2684a - 2106890 ns IT 00000230 684a LDR r2,[r1,#4] - 2106910 ns MR4_I 00000234 6008d1fc - 2106970 ns MR4_D 40006004 00000001 - 2106970 ns R r2 00000001 - 2106970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2106990 ns R r2 80000000 - 2106990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2107010 ns R psr 81000200 - 2107010 ns MR4_I 00000238 48054770 - 2107030 ns MR4_I 00000230 07d2684a - 2107050 ns IT 00000230 684a LDR r2,[r1,#4] - 2107070 ns MR4_I 00000234 6008d1fc - 2107130 ns MR4_D 40006004 00000001 - 2107130 ns R r2 00000001 - 2107130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2107150 ns R r2 80000000 - 2107150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2107170 ns R psr 81000200 - 2107170 ns MR4_I 00000238 48054770 - 2107190 ns MR4_I 00000230 07d2684a - 2107210 ns IT 00000230 684a LDR r2,[r1,#4] - 2107230 ns MR4_I 00000234 6008d1fc - 2107290 ns MR4_D 40006004 00000001 - 2107290 ns R r2 00000001 - 2107290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2107310 ns R r2 80000000 - 2107310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2107330 ns R psr 81000200 - 2107330 ns MR4_I 00000238 48054770 - 2107350 ns MR4_I 00000230 07d2684a - 2107370 ns IT 00000230 684a LDR r2,[r1,#4] - 2107390 ns MR4_I 00000234 6008d1fc - 2107450 ns MR4_D 40006004 00000001 - 2107450 ns R r2 00000001 - 2107450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2107470 ns R r2 80000000 - 2107470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2107490 ns R psr 81000200 - 2107490 ns MR4_I 00000238 48054770 - 2107510 ns MR4_I 00000230 07d2684a - 2107530 ns IT 00000230 684a LDR r2,[r1,#4] - 2107550 ns MR4_I 00000234 6008d1fc - 2107610 ns MR4_D 40006004 00000001 - 2107610 ns R r2 00000001 - 2107610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2107630 ns R r2 80000000 - 2107630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2107650 ns R psr 81000200 - 2107650 ns MR4_I 00000238 48054770 - 2107670 ns MR4_I 00000230 07d2684a - 2107690 ns IT 00000230 684a LDR r2,[r1,#4] - 2107710 ns MR4_I 00000234 6008d1fc - 2107770 ns MR4_D 40006004 00000001 - 2107770 ns R r2 00000001 - 2107770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2107790 ns R r2 80000000 - 2107790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2107810 ns R psr 81000200 - 2107810 ns MR4_I 00000238 48054770 - 2107830 ns MR4_I 00000230 07d2684a - 2107850 ns IT 00000230 684a LDR r2,[r1,#4] - 2107870 ns MR4_I 00000234 6008d1fc - 2107930 ns MR4_D 40006004 00000001 - 2107930 ns R r2 00000001 - 2107930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2107950 ns R r2 80000000 - 2107950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2107970 ns R psr 81000200 - 2107970 ns MR4_I 00000238 48054770 - 2107990 ns MR4_I 00000230 07d2684a - 2108010 ns IT 00000230 684a LDR r2,[r1,#4] - 2108030 ns MR4_I 00000234 6008d1fc - 2108090 ns MR4_D 40006004 00000001 - 2108090 ns R r2 00000001 - 2108090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2108110 ns R r2 80000000 - 2108110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2108130 ns R psr 81000200 - 2108130 ns MR4_I 00000238 48054770 - 2108150 ns MR4_I 00000230 07d2684a - 2108170 ns IT 00000230 684a LDR r2,[r1,#4] - 2108190 ns MR4_I 00000234 6008d1fc - 2108250 ns MR4_D 40006004 00000001 - 2108250 ns R r2 00000001 - 2108250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2108270 ns R r2 80000000 - 2108270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2108290 ns R psr 81000200 - 2108290 ns MR4_I 00000238 48054770 - 2108310 ns MR4_I 00000230 07d2684a - 2108330 ns IT 00000230 684a LDR r2,[r1,#4] - 2108350 ns MR4_I 00000234 6008d1fc - 2108410 ns MR4_D 40006004 00000001 - 2108410 ns R r2 00000001 - 2108410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2108430 ns R r2 80000000 - 2108430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2108450 ns R psr 81000200 - 2108450 ns MR4_I 00000238 48054770 - 2108470 ns MR4_I 00000230 07d2684a - 2108490 ns IT 00000230 684a LDR r2,[r1,#4] - 2108510 ns MR4_I 00000234 6008d1fc - 2108570 ns MR4_D 40006004 00000001 - 2108570 ns R r2 00000001 - 2108570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2108590 ns R r2 80000000 - 2108590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2108610 ns R psr 81000200 - 2108610 ns MR4_I 00000238 48054770 - 2108630 ns MR4_I 00000230 07d2684a - 2108650 ns IT 00000230 684a LDR r2,[r1,#4] - 2108670 ns MR4_I 00000234 6008d1fc - 2108730 ns MR4_D 40006004 00000001 - 2108730 ns R r2 00000001 - 2108730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2108750 ns R r2 80000000 - 2108750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2108770 ns R psr 81000200 - 2108770 ns MR4_I 00000238 48054770 - 2108790 ns MR4_I 00000230 07d2684a - 2108810 ns IT 00000230 684a LDR r2,[r1,#4] - 2108830 ns MR4_I 00000234 6008d1fc - 2108890 ns MR4_D 40006004 00000001 - 2108890 ns R r2 00000001 - 2108890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2108910 ns R r2 80000000 - 2108910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2108930 ns R psr 81000200 - 2108930 ns MR4_I 00000238 48054770 - 2108950 ns MR4_I 00000230 07d2684a - 2108970 ns IT 00000230 684a LDR r2,[r1,#4] - 2108990 ns MR4_I 00000234 6008d1fc - 2109050 ns MR4_D 40006004 00000001 - 2109050 ns R r2 00000001 - 2109050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2109070 ns R r2 80000000 - 2109070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2109090 ns R psr 81000200 - 2109090 ns MR4_I 00000238 48054770 - 2109110 ns MR4_I 00000230 07d2684a - 2109130 ns IT 00000230 684a LDR r2,[r1,#4] - 2109150 ns MR4_I 00000234 6008d1fc - 2109210 ns MR4_D 40006004 00000001 - 2109210 ns R r2 00000001 - 2109210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2109230 ns R r2 80000000 - 2109230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2109250 ns R psr 81000200 - 2109250 ns MR4_I 00000238 48054770 - 2109270 ns MR4_I 00000230 07d2684a - 2109290 ns IT 00000230 684a LDR r2,[r1,#4] - 2109310 ns MR4_I 00000234 6008d1fc - 2109370 ns MR4_D 40006004 00000001 - 2109370 ns R r2 00000001 - 2109370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2109390 ns R r2 80000000 - 2109390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2109410 ns R psr 81000200 - 2109410 ns MR4_I 00000238 48054770 - 2109430 ns MR4_I 00000230 07d2684a - 2109450 ns IT 00000230 684a LDR r2,[r1,#4] - 2109470 ns MR4_I 00000234 6008d1fc - 2109530 ns MR4_D 40006004 00000001 - 2109530 ns R r2 00000001 - 2109530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2109550 ns R r2 80000000 - 2109550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2109570 ns R psr 81000200 - 2109570 ns MR4_I 00000238 48054770 - 2109590 ns MR4_I 00000230 07d2684a - 2109610 ns IT 00000230 684a LDR r2,[r1,#4] - 2109630 ns MR4_I 00000234 6008d1fc - 2109690 ns MR4_D 40006004 00000001 - 2109690 ns R r2 00000001 - 2109690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2109710 ns R r2 80000000 - 2109710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2109730 ns R psr 81000200 - 2109730 ns MR4_I 00000238 48054770 - 2109750 ns MR4_I 00000230 07d2684a - 2109770 ns IT 00000230 684a LDR r2,[r1,#4] - 2109790 ns MR4_I 00000234 6008d1fc - 2109850 ns MR4_D 40006004 00000001 - 2109850 ns R r2 00000001 - 2109850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2109870 ns R r2 80000000 - 2109870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2109890 ns R psr 81000200 - 2109890 ns MR4_I 00000238 48054770 - 2109910 ns MR4_I 00000230 07d2684a - 2109930 ns IT 00000230 684a LDR r2,[r1,#4] - 2109950 ns MR4_I 00000234 6008d1fc - 2110010 ns MR4_D 40006004 00000001 - 2110010 ns R r2 00000001 - 2110010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2110030 ns R r2 80000000 - 2110030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2110050 ns R psr 81000200 - 2110050 ns MR4_I 00000238 48054770 - 2110070 ns MR4_I 00000230 07d2684a - 2110090 ns IT 00000230 684a LDR r2,[r1,#4] - 2110110 ns MR4_I 00000234 6008d1fc - 2110170 ns MR4_D 40006004 00000001 - 2110170 ns R r2 00000001 - 2110170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2110190 ns R r2 80000000 - 2110190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2110210 ns R psr 81000200 - 2110210 ns MR4_I 00000238 48054770 - 2110230 ns MR4_I 00000230 07d2684a - 2110250 ns IT 00000230 684a LDR r2,[r1,#4] - 2110270 ns MR4_I 00000234 6008d1fc - 2110330 ns MR4_D 40006004 00000001 - 2110330 ns R r2 00000001 - 2110330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2110350 ns R r2 80000000 - 2110350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2110370 ns R psr 81000200 - 2110370 ns MR4_I 00000238 48054770 - 2110390 ns MR4_I 00000230 07d2684a - 2110410 ns IT 00000230 684a LDR r2,[r1,#4] - 2110430 ns MR4_I 00000234 6008d1fc - 2110490 ns MR4_D 40006004 00000001 - 2110490 ns R r2 00000001 - 2110490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2110510 ns R r2 80000000 - 2110510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2110530 ns R psr 81000200 - 2110530 ns MR4_I 00000238 48054770 - 2110550 ns MR4_I 00000230 07d2684a - 2110570 ns IT 00000230 684a LDR r2,[r1,#4] - 2110590 ns MR4_I 00000234 6008d1fc - 2110650 ns MR4_D 40006004 00000001 - 2110650 ns R r2 00000001 - 2110650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2110670 ns R r2 80000000 - 2110670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2110690 ns R psr 81000200 - 2110690 ns MR4_I 00000238 48054770 - 2110710 ns MR4_I 00000230 07d2684a - 2110730 ns IT 00000230 684a LDR r2,[r1,#4] - 2110750 ns MR4_I 00000234 6008d1fc - 2110810 ns MR4_D 40006004 00000001 - 2110810 ns R r2 00000001 - 2110810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2110830 ns R r2 80000000 - 2110830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2110850 ns R psr 81000200 - 2110850 ns MR4_I 00000238 48054770 - 2110870 ns MR4_I 00000230 07d2684a - 2110890 ns IT 00000230 684a LDR r2,[r1,#4] - 2110910 ns MR4_I 00000234 6008d1fc - 2110970 ns MR4_D 40006004 00000001 - 2110970 ns R r2 00000001 - 2110970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2110990 ns R r2 80000000 - 2110990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2111010 ns R psr 81000200 - 2111010 ns MR4_I 00000238 48054770 - 2111030 ns MR4_I 00000230 07d2684a - 2111050 ns IT 00000230 684a LDR r2,[r1,#4] - 2111070 ns MR4_I 00000234 6008d1fc - 2111130 ns MR4_D 40006004 00000001 - 2111130 ns R r2 00000001 - 2111130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2111150 ns R r2 80000000 - 2111150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2111170 ns R psr 81000200 - 2111170 ns MR4_I 00000238 48054770 - 2111190 ns MR4_I 00000230 07d2684a - 2111210 ns IT 00000230 684a LDR r2,[r1,#4] - 2111230 ns MR4_I 00000234 6008d1fc - 2111290 ns MR4_D 40006004 00000001 - 2111290 ns R r2 00000001 - 2111290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2111310 ns R r2 80000000 - 2111310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2111330 ns R psr 81000200 - 2111330 ns MR4_I 00000238 48054770 - 2111350 ns MR4_I 00000230 07d2684a - 2111370 ns IT 00000230 684a LDR r2,[r1,#4] - 2111390 ns MR4_I 00000234 6008d1fc - 2111450 ns MR4_D 40006004 00000001 - 2111450 ns R r2 00000001 - 2111450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2111470 ns R r2 80000000 - 2111470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2111490 ns R psr 81000200 - 2111490 ns MR4_I 00000238 48054770 - 2111510 ns MR4_I 00000230 07d2684a - 2111530 ns IT 00000230 684a LDR r2,[r1,#4] - 2111550 ns MR4_I 00000234 6008d1fc - 2111610 ns MR4_D 40006004 00000001 - 2111610 ns R r2 00000001 - 2111610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2111630 ns R r2 80000000 - 2111630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2111650 ns R psr 81000200 - 2111650 ns MR4_I 00000238 48054770 - 2111670 ns MR4_I 00000230 07d2684a - 2111690 ns IT 00000230 684a LDR r2,[r1,#4] - 2111710 ns MR4_I 00000234 6008d1fc - 2111770 ns MR4_D 40006004 00000001 - 2111770 ns R r2 00000001 - 2111770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2111790 ns R r2 80000000 - 2111790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2111810 ns R psr 81000200 - 2111810 ns MR4_I 00000238 48054770 - 2111830 ns MR4_I 00000230 07d2684a - 2111850 ns IT 00000230 684a LDR r2,[r1,#4] - 2111870 ns MR4_I 00000234 6008d1fc - 2111930 ns MR4_D 40006004 00000001 - 2111930 ns R r2 00000001 - 2111930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2111950 ns R r2 80000000 - 2111950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2111970 ns R psr 81000200 - 2111970 ns MR4_I 00000238 48054770 - 2111990 ns MR4_I 00000230 07d2684a - 2112010 ns IT 00000230 684a LDR r2,[r1,#4] - 2112030 ns MR4_I 00000234 6008d1fc - 2112090 ns MR4_D 40006004 00000001 - 2112090 ns R r2 00000001 - 2112090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2112110 ns R r2 80000000 - 2112110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2112130 ns R psr 81000200 - 2112130 ns MR4_I 00000238 48054770 - 2112150 ns MR4_I 00000230 07d2684a - 2112170 ns IT 00000230 684a LDR r2,[r1,#4] - 2112190 ns MR4_I 00000234 6008d1fc - 2112250 ns MR4_D 40006004 00000001 - 2112250 ns R r2 00000001 - 2112250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2112270 ns R r2 80000000 - 2112270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2112290 ns R psr 81000200 - 2112290 ns MR4_I 00000238 48054770 - 2112310 ns MR4_I 00000230 07d2684a - 2112330 ns IT 00000230 684a LDR r2,[r1,#4] - 2112350 ns MR4_I 00000234 6008d1fc - 2112410 ns MR4_D 40006004 00000001 - 2112410 ns R r2 00000001 - 2112410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2112430 ns R r2 80000000 - 2112430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2112450 ns R psr 81000200 - 2112450 ns MR4_I 00000238 48054770 - 2112470 ns MR4_I 00000230 07d2684a - 2112490 ns IT 00000230 684a LDR r2,[r1,#4] - 2112510 ns MR4_I 00000234 6008d1fc - 2112570 ns MR4_D 40006004 00000001 - 2112570 ns R r2 00000001 - 2112570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2112590 ns R r2 80000000 - 2112590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2112610 ns R psr 81000200 - 2112610 ns MR4_I 00000238 48054770 - 2112630 ns MR4_I 00000230 07d2684a - 2112650 ns IT 00000230 684a LDR r2,[r1,#4] - 2112670 ns MR4_I 00000234 6008d1fc - 2112730 ns MR4_D 40006004 00000001 - 2112730 ns R r2 00000001 - 2112730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2112750 ns R r2 80000000 - 2112750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2112770 ns R psr 81000200 - 2112770 ns MR4_I 00000238 48054770 - 2112790 ns MR4_I 00000230 07d2684a - 2112810 ns IT 00000230 684a LDR r2,[r1,#4] - 2112830 ns MR4_I 00000234 6008d1fc - 2112890 ns MR4_D 40006004 00000001 - 2112890 ns R r2 00000001 - 2112890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2112910 ns R r2 80000000 - 2112910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2112930 ns R psr 81000200 - 2112930 ns MR4_I 00000238 48054770 - 2112950 ns MR4_I 00000230 07d2684a - 2112970 ns IT 00000230 684a LDR r2,[r1,#4] - 2112990 ns MR4_I 00000234 6008d1fc - 2113050 ns MR4_D 40006004 00000001 - 2113050 ns R r2 00000001 - 2113050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2113070 ns R r2 80000000 - 2113070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2113090 ns R psr 81000200 - 2113090 ns MR4_I 00000238 48054770 - 2113110 ns MR4_I 00000230 07d2684a - 2113130 ns IT 00000230 684a LDR r2,[r1,#4] - 2113150 ns MR4_I 00000234 6008d1fc - 2113210 ns MR4_D 40006004 00000001 - 2113210 ns R r2 00000001 - 2113210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2113230 ns R r2 80000000 - 2113230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2113250 ns R psr 81000200 - 2113250 ns MR4_I 00000238 48054770 - 2113270 ns MR4_I 00000230 07d2684a - 2113290 ns IT 00000230 684a LDR r2,[r1,#4] - 2113310 ns MR4_I 00000234 6008d1fc - 2113370 ns MR4_D 40006004 00000001 - 2113370 ns R r2 00000001 - 2113370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2113390 ns R r2 80000000 - 2113390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2113410 ns R psr 81000200 - 2113410 ns MR4_I 00000238 48054770 - 2113430 ns MR4_I 00000230 07d2684a - 2113450 ns IT 00000230 684a LDR r2,[r1,#4] - 2113470 ns MR4_I 00000234 6008d1fc - 2113530 ns MR4_D 40006004 00000001 - 2113530 ns R r2 00000001 - 2113530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2113550 ns R r2 80000000 - 2113550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2113570 ns R psr 81000200 - 2113570 ns MR4_I 00000238 48054770 - 2113590 ns MR4_I 00000230 07d2684a - 2113610 ns IT 00000230 684a LDR r2,[r1,#4] - 2113630 ns MR4_I 00000234 6008d1fc - 2113690 ns MR4_D 40006004 00000001 - 2113690 ns R r2 00000001 - 2113690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2113710 ns R r2 80000000 - 2113710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2113730 ns R psr 81000200 - 2113730 ns MR4_I 00000238 48054770 - 2113750 ns MR4_I 00000230 07d2684a - 2113770 ns IT 00000230 684a LDR r2,[r1,#4] - 2113790 ns MR4_I 00000234 6008d1fc - 2113850 ns MR4_D 40006004 00000001 - 2113850 ns R r2 00000001 - 2113850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2113870 ns R r2 80000000 - 2113870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2113890 ns R psr 81000200 - 2113890 ns MR4_I 00000238 48054770 - 2113910 ns MR4_I 00000230 07d2684a - 2113930 ns IT 00000230 684a LDR r2,[r1,#4] - 2113950 ns MR4_I 00000234 6008d1fc - 2114010 ns MR4_D 40006004 00000001 - 2114010 ns R r2 00000001 - 2114010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2114030 ns R r2 80000000 - 2114030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2114050 ns R psr 81000200 - 2114050 ns MR4_I 00000238 48054770 - 2114070 ns MR4_I 00000230 07d2684a - 2114090 ns IT 00000230 684a LDR r2,[r1,#4] - 2114110 ns MR4_I 00000234 6008d1fc - 2114170 ns MR4_D 40006004 00000001 - 2114170 ns R r2 00000001 - 2114170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2114190 ns R r2 80000000 - 2114190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2114210 ns R psr 81000200 - 2114210 ns MR4_I 00000238 48054770 - 2114230 ns MR4_I 00000230 07d2684a - 2114250 ns IT 00000230 684a LDR r2,[r1,#4] - 2114270 ns MR4_I 00000234 6008d1fc - 2114330 ns MR4_D 40006004 00000001 - 2114330 ns R r2 00000001 - 2114330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2114350 ns R r2 80000000 - 2114350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2114370 ns R psr 81000200 - 2114370 ns MR4_I 00000238 48054770 - 2114390 ns MR4_I 00000230 07d2684a - 2114410 ns IT 00000230 684a LDR r2,[r1,#4] - 2114430 ns MR4_I 00000234 6008d1fc - 2114490 ns MR4_D 40006004 00000001 - 2114490 ns R r2 00000001 - 2114490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2114510 ns R r2 80000000 - 2114510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2114530 ns R psr 81000200 - 2114530 ns MR4_I 00000238 48054770 - 2114550 ns MR4_I 00000230 07d2684a - 2114570 ns IT 00000230 684a LDR r2,[r1,#4] - 2114590 ns MR4_I 00000234 6008d1fc - 2114650 ns MR4_D 40006004 00000001 - 2114650 ns R r2 00000001 - 2114650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2114670 ns R r2 80000000 - 2114670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2114690 ns R psr 81000200 - 2114690 ns MR4_I 00000238 48054770 - 2114710 ns MR4_I 00000230 07d2684a - 2114730 ns IT 00000230 684a LDR r2,[r1,#4] - 2114750 ns MR4_I 00000234 6008d1fc - 2114810 ns MR4_D 40006004 00000001 - 2114810 ns R r2 00000001 - 2114810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2114830 ns R r2 80000000 - 2114830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2114850 ns R psr 81000200 - 2114850 ns MR4_I 00000238 48054770 - 2114870 ns MR4_I 00000230 07d2684a - 2114890 ns IT 00000230 684a LDR r2,[r1,#4] - 2114910 ns MR4_I 00000234 6008d1fc - 2114970 ns MR4_D 40006004 00000001 - 2114970 ns R r2 00000001 - 2114970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2114990 ns R r2 80000000 - 2114990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2115010 ns R psr 81000200 - 2115010 ns MR4_I 00000238 48054770 - 2115030 ns MR4_I 00000230 07d2684a - 2115050 ns IT 00000230 684a LDR r2,[r1,#4] - 2115070 ns MR4_I 00000234 6008d1fc - 2115130 ns MR4_D 40006004 00000001 - 2115130 ns R r2 00000001 - 2115130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2115150 ns R r2 80000000 - 2115150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2115170 ns R psr 81000200 - 2115170 ns MR4_I 00000238 48054770 - 2115190 ns MR4_I 00000230 07d2684a - 2115210 ns IT 00000230 684a LDR r2,[r1,#4] - 2115230 ns MR4_I 00000234 6008d1fc - 2115290 ns MR4_D 40006004 00000001 - 2115290 ns R r2 00000001 - 2115290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2115310 ns R r2 80000000 - 2115310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2115330 ns R psr 81000200 - 2115330 ns MR4_I 00000238 48054770 - 2115350 ns MR4_I 00000230 07d2684a - 2115370 ns IT 00000230 684a LDR r2,[r1,#4] - 2115390 ns MR4_I 00000234 6008d1fc - 2115450 ns MR4_D 40006004 00000001 - 2115450 ns R r2 00000001 - 2115450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2115470 ns R r2 80000000 - 2115470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2115490 ns R psr 81000200 - 2115490 ns MR4_I 00000238 48054770 - 2115510 ns MR4_I 00000230 07d2684a - 2115530 ns IT 00000230 684a LDR r2,[r1,#4] - 2115550 ns MR4_I 00000234 6008d1fc - 2115610 ns MR4_D 40006004 00000001 - 2115610 ns R r2 00000001 - 2115610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2115630 ns R r2 80000000 - 2115630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2115650 ns R psr 81000200 - 2115650 ns MR4_I 00000238 48054770 - 2115670 ns MR4_I 00000230 07d2684a - 2115690 ns IT 00000230 684a LDR r2,[r1,#4] - 2115710 ns MR4_I 00000234 6008d1fc - 2115770 ns MR4_D 40006004 00000001 - 2115770 ns R r2 00000001 - 2115770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2115790 ns R r2 80000000 - 2115790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2115810 ns R psr 81000200 - 2115810 ns MR4_I 00000238 48054770 - 2115830 ns MR4_I 00000230 07d2684a - 2115850 ns IT 00000230 684a LDR r2,[r1,#4] - 2115870 ns MR4_I 00000234 6008d1fc - 2115930 ns MR4_D 40006004 00000001 - 2115930 ns R r2 00000001 - 2115930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2115950 ns R r2 80000000 - 2115950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2115970 ns R psr 81000200 - 2115970 ns MR4_I 00000238 48054770 - 2115990 ns MR4_I 00000230 07d2684a - 2116010 ns IT 00000230 684a LDR r2,[r1,#4] - 2116030 ns MR4_I 00000234 6008d1fc - 2116090 ns MR4_D 40006004 00000001 - 2116090 ns R r2 00000001 - 2116090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2116110 ns R r2 80000000 - 2116110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2116130 ns R psr 81000200 - 2116130 ns MR4_I 00000238 48054770 - 2116150 ns MR4_I 00000230 07d2684a - 2116170 ns IT 00000230 684a LDR r2,[r1,#4] - 2116190 ns MR4_I 00000234 6008d1fc - 2116250 ns MR4_D 40006004 00000001 - 2116250 ns R r2 00000001 - 2116250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2116270 ns R r2 80000000 - 2116270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2116290 ns R psr 81000200 - 2116290 ns MR4_I 00000238 48054770 - 2116310 ns MR4_I 00000230 07d2684a - 2116330 ns IT 00000230 684a LDR r2,[r1,#4] - 2116350 ns MR4_I 00000234 6008d1fc - 2116410 ns MR4_D 40006004 00000001 - 2116410 ns R r2 00000001 - 2116410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2116430 ns R r2 80000000 - 2116430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2116450 ns R psr 81000200 - 2116450 ns MR4_I 00000238 48054770 - 2116470 ns MR4_I 00000230 07d2684a - 2116490 ns IT 00000230 684a LDR r2,[r1,#4] - 2116510 ns MR4_I 00000234 6008d1fc - 2116570 ns MR4_D 40006004 00000001 - 2116570 ns R r2 00000001 - 2116570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2116590 ns R r2 80000000 - 2116590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2116610 ns R psr 81000200 - 2116610 ns MR4_I 00000238 48054770 - 2116630 ns MR4_I 00000230 07d2684a - 2116650 ns IT 00000230 684a LDR r2,[r1,#4] - 2116670 ns MR4_I 00000234 6008d1fc - 2116730 ns MR4_D 40006004 00000001 - 2116730 ns R r2 00000001 - 2116730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2116750 ns R r2 80000000 - 2116750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2116770 ns R psr 81000200 - 2116770 ns MR4_I 00000238 48054770 - 2116790 ns MR4_I 00000230 07d2684a - 2116810 ns IT 00000230 684a LDR r2,[r1,#4] - 2116830 ns MR4_I 00000234 6008d1fc - 2116890 ns MR4_D 40006004 00000001 - 2116890 ns R r2 00000001 - 2116890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2116910 ns R r2 80000000 - 2116910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2116930 ns R psr 81000200 - 2116930 ns MR4_I 00000238 48054770 - 2116950 ns MR4_I 00000230 07d2684a - 2116970 ns IT 00000230 684a LDR r2,[r1,#4] - 2116990 ns MR4_I 00000234 6008d1fc - 2117050 ns MR4_D 40006004 00000001 - 2117050 ns R r2 00000001 - 2117050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2117070 ns R r2 80000000 - 2117070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2117090 ns R psr 81000200 - 2117090 ns MR4_I 00000238 48054770 - 2117110 ns MR4_I 00000230 07d2684a - 2117130 ns IT 00000230 684a LDR r2,[r1,#4] - 2117150 ns MR4_I 00000234 6008d1fc - 2117210 ns MR4_D 40006004 00000001 - 2117210 ns R r2 00000001 - 2117210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2117230 ns R r2 80000000 - 2117230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2117250 ns R psr 81000200 - 2117250 ns MR4_I 00000238 48054770 - 2117270 ns MR4_I 00000230 07d2684a - 2117290 ns IT 00000230 684a LDR r2,[r1,#4] - 2117310 ns MR4_I 00000234 6008d1fc - 2117370 ns MR4_D 40006004 00000001 - 2117370 ns R r2 00000001 - 2117370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2117390 ns R r2 80000000 - 2117390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2117410 ns R psr 81000200 - 2117410 ns MR4_I 00000238 48054770 - 2117430 ns MR4_I 00000230 07d2684a - 2117450 ns IT 00000230 684a LDR r2,[r1,#4] - 2117470 ns MR4_I 00000234 6008d1fc - 2117530 ns MR4_D 40006004 00000001 - 2117530 ns R r2 00000001 - 2117530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2117550 ns R r2 80000000 - 2117550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2117570 ns R psr 81000200 - 2117570 ns MR4_I 00000238 48054770 - 2117590 ns MR4_I 00000230 07d2684a - 2117610 ns IT 00000230 684a LDR r2,[r1,#4] - 2117630 ns MR4_I 00000234 6008d1fc - 2117690 ns MR4_D 40006004 00000001 - 2117690 ns R r2 00000001 - 2117690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2117710 ns R r2 80000000 - 2117710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2117730 ns R psr 81000200 - 2117730 ns MR4_I 00000238 48054770 - 2117750 ns MR4_I 00000230 07d2684a - 2117770 ns IT 00000230 684a LDR r2,[r1,#4] - 2117790 ns MR4_I 00000234 6008d1fc - 2117850 ns MR4_D 40006004 00000001 - 2117850 ns R r2 00000001 - 2117850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2117870 ns R r2 80000000 - 2117870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2117890 ns R psr 81000200 - 2117890 ns MR4_I 00000238 48054770 - 2117910 ns MR4_I 00000230 07d2684a - 2117930 ns IT 00000230 684a LDR r2,[r1,#4] - 2117950 ns MR4_I 00000234 6008d1fc - 2118010 ns MR4_D 40006004 00000001 - 2118010 ns R r2 00000001 - 2118010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2118030 ns R r2 80000000 - 2118030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2118050 ns R psr 81000200 - 2118050 ns MR4_I 00000238 48054770 - 2118070 ns MR4_I 00000230 07d2684a - 2118090 ns IT 00000230 684a LDR r2,[r1,#4] - 2118110 ns MR4_I 00000234 6008d1fc - 2118170 ns MR4_D 40006004 00000001 - 2118170 ns R r2 00000001 - 2118170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2118190 ns R r2 80000000 - 2118190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2118210 ns R psr 81000200 - 2118210 ns MR4_I 00000238 48054770 - 2118230 ns MR4_I 00000230 07d2684a - 2118250 ns IT 00000230 684a LDR r2,[r1,#4] - 2118270 ns MR4_I 00000234 6008d1fc - 2118330 ns MR4_D 40006004 00000001 - 2118330 ns R r2 00000001 - 2118330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2118350 ns R r2 80000000 - 2118350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2118370 ns R psr 81000200 - 2118370 ns MR4_I 00000238 48054770 - 2118390 ns MR4_I 00000230 07d2684a - 2118410 ns IT 00000230 684a LDR r2,[r1,#4] - 2118430 ns MR4_I 00000234 6008d1fc - 2118490 ns MR4_D 40006004 00000001 - 2118490 ns R r2 00000001 - 2118490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2118510 ns R r2 80000000 - 2118510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2118530 ns R psr 81000200 - 2118530 ns MR4_I 00000238 48054770 - 2118550 ns MR4_I 00000230 07d2684a - 2118570 ns IT 00000230 684a LDR r2,[r1,#4] - 2118590 ns MR4_I 00000234 6008d1fc - 2118650 ns MR4_D 40006004 00000001 - 2118650 ns R r2 00000001 - 2118650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2118670 ns R r2 80000000 - 2118670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2118690 ns R psr 81000200 - 2118690 ns MR4_I 00000238 48054770 - 2118710 ns MR4_I 00000230 07d2684a - 2118730 ns IT 00000230 684a LDR r2,[r1,#4] - 2118750 ns MR4_I 00000234 6008d1fc - 2118810 ns MR4_D 40006004 00000001 - 2118810 ns R r2 00000001 - 2118810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2118830 ns R r2 80000000 - 2118830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2118850 ns R psr 81000200 - 2118850 ns MR4_I 00000238 48054770 - 2118870 ns MR4_I 00000230 07d2684a - 2118890 ns IT 00000230 684a LDR r2,[r1,#4] - 2118910 ns MR4_I 00000234 6008d1fc - 2118970 ns MR4_D 40006004 00000001 - 2118970 ns R r2 00000001 - 2118970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2118990 ns R r2 80000000 - 2118990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2119010 ns R psr 81000200 - 2119010 ns MR4_I 00000238 48054770 - 2119030 ns MR4_I 00000230 07d2684a - 2119050 ns IT 00000230 684a LDR r2,[r1,#4] - 2119070 ns MR4_I 00000234 6008d1fc - 2119130 ns MR4_D 40006004 00000001 - 2119130 ns R r2 00000001 - 2119130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2119150 ns R r2 80000000 - 2119150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2119170 ns R psr 81000200 - 2119170 ns MR4_I 00000238 48054770 - 2119190 ns MR4_I 00000230 07d2684a - 2119210 ns IT 00000230 684a LDR r2,[r1,#4] - 2119230 ns MR4_I 00000234 6008d1fc - 2119290 ns MR4_D 40006004 00000001 - 2119290 ns R r2 00000001 - 2119290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2119310 ns R r2 80000000 - 2119310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2119330 ns R psr 81000200 - 2119330 ns MR4_I 00000238 48054770 - 2119350 ns MR4_I 00000230 07d2684a - 2119370 ns IT 00000230 684a LDR r2,[r1,#4] - 2119390 ns MR4_I 00000234 6008d1fc - 2119450 ns MR4_D 40006004 00000001 - 2119450 ns R r2 00000001 - 2119450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2119470 ns R r2 80000000 - 2119470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2119490 ns R psr 81000200 - 2119490 ns MR4_I 00000238 48054770 - 2119510 ns MR4_I 00000230 07d2684a - 2119530 ns IT 00000230 684a LDR r2,[r1,#4] - 2119550 ns MR4_I 00000234 6008d1fc - 2119610 ns MR4_D 40006004 00000001 - 2119610 ns R r2 00000001 - 2119610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2119630 ns R r2 80000000 - 2119630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2119650 ns R psr 81000200 - 2119650 ns MR4_I 00000238 48054770 - 2119670 ns MR4_I 00000230 07d2684a - 2119690 ns IT 00000230 684a LDR r2,[r1,#4] - 2119710 ns MR4_I 00000234 6008d1fc - 2119770 ns MR4_D 40006004 00000001 - 2119770 ns R r2 00000001 - 2119770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2119790 ns R r2 80000000 - 2119790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2119810 ns R psr 81000200 - 2119810 ns MR4_I 00000238 48054770 - 2119830 ns MR4_I 00000230 07d2684a - 2119850 ns IT 00000230 684a LDR r2,[r1,#4] - 2119870 ns MR4_I 00000234 6008d1fc - 2119930 ns MR4_D 40006004 00000001 - 2119930 ns R r2 00000001 - 2119930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2119950 ns R r2 80000000 - 2119950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2119970 ns R psr 81000200 - 2119970 ns MR4_I 00000238 48054770 - 2119990 ns MR4_I 00000230 07d2684a - 2120010 ns IT 00000230 684a LDR r2,[r1,#4] - 2120030 ns MR4_I 00000234 6008d1fc - 2120090 ns MR4_D 40006004 00000001 - 2120090 ns R r2 00000001 - 2120090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2120110 ns R r2 80000000 - 2120110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2120130 ns R psr 81000200 - 2120130 ns MR4_I 00000238 48054770 - 2120150 ns MR4_I 00000230 07d2684a - 2120170 ns IT 00000230 684a LDR r2,[r1,#4] - 2120190 ns MR4_I 00000234 6008d1fc - 2120250 ns MR4_D 40006004 00000001 - 2120250 ns R r2 00000001 - 2120250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2120270 ns R r2 80000000 - 2120270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2120290 ns R psr 81000200 - 2120290 ns MR4_I 00000238 48054770 - 2120310 ns MR4_I 00000230 07d2684a - 2120330 ns IT 00000230 684a LDR r2,[r1,#4] - 2120350 ns MR4_I 00000234 6008d1fc - 2120410 ns MR4_D 40006004 00000001 - 2120410 ns R r2 00000001 - 2120410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2120430 ns R r2 80000000 - 2120430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2120450 ns R psr 81000200 - 2120450 ns MR4_I 00000238 48054770 - 2120470 ns MR4_I 00000230 07d2684a - 2120490 ns IT 00000230 684a LDR r2,[r1,#4] - 2120510 ns MR4_I 00000234 6008d1fc - 2120570 ns MR4_D 40006004 00000001 - 2120570 ns R r2 00000001 - 2120570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2120590 ns R r2 80000000 - 2120590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2120610 ns R psr 81000200 - 2120610 ns MR4_I 00000238 48054770 - 2120630 ns MR4_I 00000230 07d2684a - 2120650 ns IT 00000230 684a LDR r2,[r1,#4] - 2120670 ns MR4_I 00000234 6008d1fc - 2120730 ns MR4_D 40006004 00000001 - 2120730 ns R r2 00000001 - 2120730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2120750 ns R r2 80000000 - 2120750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2120770 ns R psr 81000200 - 2120770 ns MR4_I 00000238 48054770 - 2120790 ns MR4_I 00000230 07d2684a - 2120810 ns IT 00000230 684a LDR r2,[r1,#4] - 2120830 ns MR4_I 00000234 6008d1fc - 2120890 ns MR4_D 40006004 00000001 - 2120890 ns R r2 00000001 - 2120890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2120910 ns R r2 80000000 - 2120910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2120930 ns R psr 81000200 - 2120930 ns MR4_I 00000238 48054770 - 2120950 ns MR4_I 00000230 07d2684a - 2120970 ns IT 00000230 684a LDR r2,[r1,#4] - 2120990 ns MR4_I 00000234 6008d1fc - 2121050 ns MR4_D 40006004 00000001 - 2121050 ns R r2 00000001 - 2121050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2121070 ns R r2 80000000 - 2121070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2121090 ns R psr 81000200 - 2121090 ns MR4_I 00000238 48054770 - 2121110 ns MR4_I 00000230 07d2684a - 2121130 ns IT 00000230 684a LDR r2,[r1,#4] - 2121150 ns MR4_I 00000234 6008d1fc - 2121210 ns MR4_D 40006004 00000001 - 2121210 ns R r2 00000001 - 2121210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2121230 ns R r2 80000000 - 2121230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2121250 ns R psr 81000200 - 2121250 ns MR4_I 00000238 48054770 - 2121270 ns MR4_I 00000230 07d2684a - 2121290 ns IT 00000230 684a LDR r2,[r1,#4] - 2121310 ns MR4_I 00000234 6008d1fc - 2121370 ns MR4_D 40006004 00000001 - 2121370 ns R r2 00000001 - 2121370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2121390 ns R r2 80000000 - 2121390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2121410 ns R psr 81000200 - 2121410 ns MR4_I 00000238 48054770 - 2121430 ns MR4_I 00000230 07d2684a - 2121450 ns IT 00000230 684a LDR r2,[r1,#4] - 2121470 ns MR4_I 00000234 6008d1fc - 2121530 ns MR4_D 40006004 00000001 - 2121530 ns R r2 00000001 - 2121530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2121550 ns R r2 80000000 - 2121550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2121570 ns R psr 81000200 - 2121570 ns MR4_I 00000238 48054770 - 2121590 ns MR4_I 00000230 07d2684a - 2121610 ns IT 00000230 684a LDR r2,[r1,#4] - 2121630 ns MR4_I 00000234 6008d1fc - 2121690 ns MR4_D 40006004 00000001 - 2121690 ns R r2 00000001 - 2121690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2121710 ns R r2 80000000 - 2121710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2121730 ns R psr 81000200 - 2121730 ns MR4_I 00000238 48054770 - 2121750 ns MR4_I 00000230 07d2684a - 2121770 ns IT 00000230 684a LDR r2,[r1,#4] - 2121790 ns MR4_I 00000234 6008d1fc - 2121850 ns MR4_D 40006004 00000001 - 2121850 ns R r2 00000001 - 2121850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2121870 ns R r2 80000000 - 2121870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2121890 ns R psr 81000200 - 2121890 ns MR4_I 00000238 48054770 - 2121910 ns MR4_I 00000230 07d2684a - 2121930 ns IT 00000230 684a LDR r2,[r1,#4] - 2121950 ns MR4_I 00000234 6008d1fc - 2122010 ns MR4_D 40006004 00000001 - 2122010 ns R r2 00000001 - 2122010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2122030 ns R r2 80000000 - 2122030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2122050 ns R psr 81000200 - 2122050 ns MR4_I 00000238 48054770 - 2122070 ns MR4_I 00000230 07d2684a - 2122090 ns IT 00000230 684a LDR r2,[r1,#4] - 2122110 ns MR4_I 00000234 6008d1fc - 2122170 ns MR4_D 40006004 00000001 - 2122170 ns R r2 00000001 - 2122170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2122190 ns R r2 80000000 - 2122190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2122210 ns R psr 81000200 - 2122210 ns MR4_I 00000238 48054770 - 2122230 ns MR4_I 00000230 07d2684a - 2122250 ns IT 00000230 684a LDR r2,[r1,#4] - 2122270 ns MR4_I 00000234 6008d1fc - 2122330 ns MR4_D 40006004 00000001 - 2122330 ns R r2 00000001 - 2122330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2122350 ns R r2 80000000 - 2122350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2122370 ns R psr 81000200 - 2122370 ns MR4_I 00000238 48054770 - 2122390 ns MR4_I 00000230 07d2684a - 2122410 ns IT 00000230 684a LDR r2,[r1,#4] - 2122430 ns MR4_I 00000234 6008d1fc - 2122490 ns MR4_D 40006004 00000001 - 2122490 ns R r2 00000001 - 2122490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2122510 ns R r2 80000000 - 2122510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2122530 ns R psr 81000200 - 2122530 ns MR4_I 00000238 48054770 - 2122550 ns MR4_I 00000230 07d2684a - 2122570 ns IT 00000230 684a LDR r2,[r1,#4] - 2122590 ns MR4_I 00000234 6008d1fc - 2122650 ns MR4_D 40006004 00000001 - 2122650 ns R r2 00000001 - 2122650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2122670 ns R r2 80000000 - 2122670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2122690 ns R psr 81000200 - 2122690 ns MR4_I 00000238 48054770 - 2122710 ns MR4_I 00000230 07d2684a - 2122730 ns IT 00000230 684a LDR r2,[r1,#4] - 2122750 ns MR4_I 00000234 6008d1fc - 2122810 ns MR4_D 40006004 00000001 - 2122810 ns R r2 00000001 - 2122810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2122830 ns R r2 80000000 - 2122830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2122850 ns R psr 81000200 - 2122850 ns MR4_I 00000238 48054770 - 2122870 ns MR4_I 00000230 07d2684a - 2122890 ns IT 00000230 684a LDR r2,[r1,#4] - 2122910 ns MR4_I 00000234 6008d1fc - 2122970 ns MR4_D 40006004 00000001 - 2122970 ns R r2 00000001 - 2122970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2122990 ns R r2 80000000 - 2122990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2123010 ns R psr 81000200 - 2123010 ns MR4_I 00000238 48054770 - 2123030 ns MR4_I 00000230 07d2684a - 2123050 ns IT 00000230 684a LDR r2,[r1,#4] - 2123070 ns MR4_I 00000234 6008d1fc - 2123130 ns MR4_D 40006004 00000001 - 2123130 ns R r2 00000001 - 2123130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2123150 ns R r2 80000000 - 2123150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2123170 ns R psr 81000200 - 2123170 ns MR4_I 00000238 48054770 - 2123190 ns MR4_I 00000230 07d2684a - 2123210 ns IT 00000230 684a LDR r2,[r1,#4] - 2123230 ns MR4_I 00000234 6008d1fc - 2123290 ns MR4_D 40006004 00000001 - 2123290 ns R r2 00000001 - 2123290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2123310 ns R r2 80000000 - 2123310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2123330 ns R psr 81000200 - 2123330 ns MR4_I 00000238 48054770 - 2123350 ns MR4_I 00000230 07d2684a - 2123370 ns IT 00000230 684a LDR r2,[r1,#4] - 2123390 ns MR4_I 00000234 6008d1fc - 2123450 ns MR4_D 40006004 00000001 - 2123450 ns R r2 00000001 - 2123450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2123470 ns R r2 80000000 - 2123470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2123490 ns R psr 81000200 - 2123490 ns MR4_I 00000238 48054770 - 2123510 ns MR4_I 00000230 07d2684a - 2123530 ns IT 00000230 684a LDR r2,[r1,#4] - 2123550 ns MR4_I 00000234 6008d1fc - 2123610 ns MR4_D 40006004 00000001 - 2123610 ns R r2 00000001 - 2123610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2123630 ns R r2 80000000 - 2123630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2123650 ns R psr 81000200 - 2123650 ns MR4_I 00000238 48054770 - 2123670 ns MR4_I 00000230 07d2684a - 2123690 ns IT 00000230 684a LDR r2,[r1,#4] - 2123710 ns MR4_I 00000234 6008d1fc - 2123770 ns MR4_D 40006004 00000001 - 2123770 ns R r2 00000001 - 2123770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2123790 ns R r2 80000000 - 2123790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2123810 ns R psr 81000200 - 2123810 ns MR4_I 00000238 48054770 - 2123830 ns MR4_I 00000230 07d2684a - 2123850 ns IT 00000230 684a LDR r2,[r1,#4] - 2123870 ns MR4_I 00000234 6008d1fc - 2123930 ns MR4_D 40006004 00000001 - 2123930 ns R r2 00000001 - 2123930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2123950 ns R r2 80000000 - 2123950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2123970 ns R psr 81000200 - 2123970 ns MR4_I 00000238 48054770 - 2123990 ns MR4_I 00000230 07d2684a - 2124010 ns IT 00000230 684a LDR r2,[r1,#4] - 2124030 ns MR4_I 00000234 6008d1fc - 2124090 ns MR4_D 40006004 00000001 - 2124090 ns R r2 00000001 - 2124090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2124110 ns R r2 80000000 - 2124110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2124130 ns R psr 81000200 - 2124130 ns MR4_I 00000238 48054770 - 2124150 ns MR4_I 00000230 07d2684a - 2124170 ns IT 00000230 684a LDR r2,[r1,#4] - 2124190 ns MR4_I 00000234 6008d1fc - 2124250 ns MR4_D 40006004 00000001 - 2124250 ns R r2 00000001 - 2124250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2124270 ns R r2 80000000 - 2124270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2124290 ns R psr 81000200 - 2124290 ns MR4_I 00000238 48054770 - 2124310 ns MR4_I 00000230 07d2684a - 2124330 ns IT 00000230 684a LDR r2,[r1,#4] - 2124350 ns MR4_I 00000234 6008d1fc - 2124410 ns MR4_D 40006004 00000001 - 2124410 ns R r2 00000001 - 2124410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2124430 ns R r2 80000000 - 2124430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2124450 ns R psr 81000200 - 2124450 ns MR4_I 00000238 48054770 - 2124470 ns MR4_I 00000230 07d2684a - 2124490 ns IT 00000230 684a LDR r2,[r1,#4] - 2124510 ns MR4_I 00000234 6008d1fc - 2124570 ns MR4_D 40006004 00000001 - 2124570 ns R r2 00000001 - 2124570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2124590 ns R r2 80000000 - 2124590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2124610 ns R psr 81000200 - 2124610 ns MR4_I 00000238 48054770 - 2124630 ns MR4_I 00000230 07d2684a - 2124650 ns IT 00000230 684a LDR r2,[r1,#4] - 2124670 ns MR4_I 00000234 6008d1fc - 2124730 ns MR4_D 40006004 00000001 - 2124730 ns R r2 00000001 - 2124730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2124750 ns R r2 80000000 - 2124750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2124770 ns R psr 81000200 - 2124770 ns MR4_I 00000238 48054770 - 2124790 ns MR4_I 00000230 07d2684a - 2124810 ns IT 00000230 684a LDR r2,[r1,#4] - 2124830 ns MR4_I 00000234 6008d1fc - 2124890 ns MR4_D 40006004 00000001 - 2124890 ns R r2 00000001 - 2124890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2124910 ns R r2 80000000 - 2124910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2124930 ns R psr 81000200 - 2124930 ns MR4_I 00000238 48054770 - 2124950 ns MR4_I 00000230 07d2684a - 2124970 ns IT 00000230 684a LDR r2,[r1,#4] - 2124990 ns MR4_I 00000234 6008d1fc - 2125050 ns MR4_D 40006004 00000001 - 2125050 ns R r2 00000001 - 2125050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2125070 ns R r2 80000000 - 2125070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2125090 ns R psr 81000200 - 2125090 ns MR4_I 00000238 48054770 - 2125110 ns MR4_I 00000230 07d2684a - 2125130 ns IT 00000230 684a LDR r2,[r1,#4] - 2125150 ns MR4_I 00000234 6008d1fc - 2125210 ns MR4_D 40006004 00000001 - 2125210 ns R r2 00000001 - 2125210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2125230 ns R r2 80000000 - 2125230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2125250 ns R psr 81000200 - 2125250 ns MR4_I 00000238 48054770 - 2125270 ns MR4_I 00000230 07d2684a - 2125290 ns IT 00000230 684a LDR r2,[r1,#4] - 2125310 ns MR4_I 00000234 6008d1fc - 2125370 ns MR4_D 40006004 00000001 - 2125370 ns R r2 00000001 - 2125370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2125390 ns R r2 80000000 - 2125390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2125410 ns R psr 81000200 - 2125410 ns MR4_I 00000238 48054770 - 2125430 ns MR4_I 00000230 07d2684a - 2125450 ns IT 00000230 684a LDR r2,[r1,#4] - 2125470 ns MR4_I 00000234 6008d1fc - 2125530 ns MR4_D 40006004 00000001 - 2125530 ns R r2 00000001 - 2125530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2125550 ns R r2 80000000 - 2125550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2125570 ns R psr 81000200 - 2125570 ns MR4_I 00000238 48054770 - 2125590 ns MR4_I 00000230 07d2684a - 2125610 ns IT 00000230 684a LDR r2,[r1,#4] - 2125630 ns MR4_I 00000234 6008d1fc - 2125690 ns MR4_D 40006004 00000001 - 2125690 ns R r2 00000001 - 2125690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2125710 ns R r2 80000000 - 2125710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2125730 ns R psr 81000200 - 2125730 ns MR4_I 00000238 48054770 - 2125750 ns MR4_I 00000230 07d2684a - 2125770 ns IT 00000230 684a LDR r2,[r1,#4] - 2125790 ns MR4_I 00000234 6008d1fc - 2125850 ns MR4_D 40006004 00000001 - 2125850 ns R r2 00000001 - 2125850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2125870 ns R r2 80000000 - 2125870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2125890 ns R psr 81000200 - 2125890 ns MR4_I 00000238 48054770 - 2125910 ns MR4_I 00000230 07d2684a - 2125930 ns IT 00000230 684a LDR r2,[r1,#4] - 2125950 ns MR4_I 00000234 6008d1fc - 2126010 ns MR4_D 40006004 00000001 - 2126010 ns R r2 00000001 - 2126010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2126030 ns R r2 80000000 - 2126030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2126050 ns R psr 81000200 - 2126050 ns MR4_I 00000238 48054770 - 2126070 ns MR4_I 00000230 07d2684a - 2126090 ns IT 00000230 684a LDR r2,[r1,#4] - 2126110 ns MR4_I 00000234 6008d1fc - 2126170 ns MR4_D 40006004 00000001 - 2126170 ns R r2 00000001 - 2126170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2126190 ns R r2 80000000 - 2126190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2126210 ns R psr 81000200 - 2126210 ns MR4_I 00000238 48054770 - 2126230 ns MR4_I 00000230 07d2684a - 2126250 ns IT 00000230 684a LDR r2,[r1,#4] - 2126270 ns MR4_I 00000234 6008d1fc - 2126330 ns MR4_D 40006004 00000001 - 2126330 ns R r2 00000001 - 2126330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2126350 ns R r2 80000000 - 2126350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2126370 ns R psr 81000200 - 2126370 ns MR4_I 00000238 48054770 - 2126390 ns MR4_I 00000230 07d2684a - 2126410 ns IT 00000230 684a LDR r2,[r1,#4] - 2126430 ns MR4_I 00000234 6008d1fc - 2126490 ns MR4_D 40006004 00000001 - 2126490 ns R r2 00000001 - 2126490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2126510 ns R r2 80000000 - 2126510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2126530 ns R psr 81000200 - 2126530 ns MR4_I 00000238 48054770 - 2126550 ns MR4_I 00000230 07d2684a - 2126570 ns IT 00000230 684a LDR r2,[r1,#4] - 2126590 ns MR4_I 00000234 6008d1fc - 2126650 ns MR4_D 40006004 00000001 - 2126650 ns R r2 00000001 - 2126650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2126670 ns R r2 80000000 - 2126670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2126690 ns R psr 81000200 - 2126690 ns MR4_I 00000238 48054770 - 2126710 ns MR4_I 00000230 07d2684a - 2126730 ns IT 00000230 684a LDR r2,[r1,#4] - 2126750 ns MR4_I 00000234 6008d1fc - 2126810 ns MR4_D 40006004 00000001 - 2126810 ns R r2 00000001 - 2126810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2126830 ns R r2 80000000 - 2126830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2126850 ns R psr 81000200 - 2126850 ns MR4_I 00000238 48054770 - 2126870 ns MR4_I 00000230 07d2684a - 2126890 ns IT 00000230 684a LDR r2,[r1,#4] - 2126910 ns MR4_I 00000234 6008d1fc - 2126970 ns MR4_D 40006004 00000001 - 2126970 ns R r2 00000001 - 2126970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2126990 ns R r2 80000000 - 2126990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2127010 ns R psr 81000200 - 2127010 ns MR4_I 00000238 48054770 - 2127030 ns MR4_I 00000230 07d2684a - 2127050 ns IT 00000230 684a LDR r2,[r1,#4] - 2127070 ns MR4_I 00000234 6008d1fc - 2127130 ns MR4_D 40006004 00000001 - 2127130 ns R r2 00000001 - 2127130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2127150 ns R r2 80000000 - 2127150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2127170 ns R psr 81000200 - 2127170 ns MR4_I 00000238 48054770 - 2127190 ns MR4_I 00000230 07d2684a - 2127210 ns IT 00000230 684a LDR r2,[r1,#4] - 2127230 ns MR4_I 00000234 6008d1fc - 2127290 ns MR4_D 40006004 00000001 - 2127290 ns R r2 00000001 - 2127290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2127310 ns R r2 80000000 - 2127310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2127330 ns R psr 81000200 - 2127330 ns MR4_I 00000238 48054770 - 2127350 ns MR4_I 00000230 07d2684a - 2127370 ns IT 00000230 684a LDR r2,[r1,#4] - 2127390 ns MR4_I 00000234 6008d1fc - 2127450 ns MR4_D 40006004 00000001 - 2127450 ns R r2 00000001 - 2127450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2127470 ns R r2 80000000 - 2127470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2127490 ns R psr 81000200 - 2127490 ns MR4_I 00000238 48054770 - 2127510 ns MR4_I 00000230 07d2684a - 2127530 ns IT 00000230 684a LDR r2,[r1,#4] - 2127550 ns MR4_I 00000234 6008d1fc - 2127610 ns MR4_D 40006004 00000001 - 2127610 ns R r2 00000001 - 2127610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2127630 ns R r2 80000000 - 2127630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2127650 ns R psr 81000200 - 2127650 ns MR4_I 00000238 48054770 - 2127670 ns MR4_I 00000230 07d2684a - 2127690 ns IT 00000230 684a LDR r2,[r1,#4] - 2127710 ns MR4_I 00000234 6008d1fc - 2127770 ns MR4_D 40006004 00000001 - 2127770 ns R r2 00000001 - 2127770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2127790 ns R r2 80000000 - 2127790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2127810 ns R psr 81000200 - 2127810 ns MR4_I 00000238 48054770 - 2127830 ns MR4_I 00000230 07d2684a - 2127850 ns IT 00000230 684a LDR r2,[r1,#4] - 2127870 ns MR4_I 00000234 6008d1fc - 2127930 ns MR4_D 40006004 00000001 - 2127930 ns R r2 00000001 - 2127930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2127950 ns R r2 80000000 - 2127950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2127970 ns R psr 81000200 - 2127970 ns MR4_I 00000238 48054770 - 2127990 ns MR4_I 00000230 07d2684a - 2128010 ns IT 00000230 684a LDR r2,[r1,#4] - 2128030 ns MR4_I 00000234 6008d1fc - 2128090 ns MR4_D 40006004 00000001 - 2128090 ns R r2 00000001 - 2128090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2128110 ns R r2 80000000 - 2128110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2128130 ns R psr 81000200 - 2128130 ns MR4_I 00000238 48054770 - 2128150 ns MR4_I 00000230 07d2684a - 2128170 ns IT 00000230 684a LDR r2,[r1,#4] - 2128190 ns MR4_I 00000234 6008d1fc - 2128250 ns MR4_D 40006004 00000001 - 2128250 ns R r2 00000001 - 2128250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2128270 ns R r2 80000000 - 2128270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2128290 ns R psr 81000200 - 2128290 ns MR4_I 00000238 48054770 - 2128310 ns MR4_I 00000230 07d2684a - 2128330 ns IT 00000230 684a LDR r2,[r1,#4] - 2128350 ns MR4_I 00000234 6008d1fc - 2128410 ns MR4_D 40006004 00000001 - 2128410 ns R r2 00000001 - 2128410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2128430 ns R r2 80000000 - 2128430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2128450 ns R psr 81000200 - 2128450 ns MR4_I 00000238 48054770 - 2128470 ns MR4_I 00000230 07d2684a - 2128490 ns IT 00000230 684a LDR r2,[r1,#4] - 2128510 ns MR4_I 00000234 6008d1fc - 2128570 ns MR4_D 40006004 00000001 - 2128570 ns R r2 00000001 - 2128570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2128590 ns R r2 80000000 - 2128590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2128610 ns R psr 81000200 - 2128610 ns MR4_I 00000238 48054770 - 2128630 ns MR4_I 00000230 07d2684a - 2128650 ns IT 00000230 684a LDR r2,[r1,#4] - 2128670 ns MR4_I 00000234 6008d1fc - 2128730 ns MR4_D 40006004 00000001 - 2128730 ns R r2 00000001 - 2128730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2128750 ns R r2 80000000 - 2128750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2128770 ns R psr 81000200 - 2128770 ns MR4_I 00000238 48054770 - 2128790 ns MR4_I 00000230 07d2684a - 2128810 ns IT 00000230 684a LDR r2,[r1,#4] - 2128830 ns MR4_I 00000234 6008d1fc - 2128890 ns MR4_D 40006004 00000001 - 2128890 ns R r2 00000001 - 2128890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2128910 ns R r2 80000000 - 2128910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2128930 ns R psr 81000200 - 2128930 ns MR4_I 00000238 48054770 - 2128950 ns MR4_I 00000230 07d2684a - 2128970 ns IT 00000230 684a LDR r2,[r1,#4] - 2128990 ns MR4_I 00000234 6008d1fc - 2129050 ns MR4_D 40006004 00000001 - 2129050 ns R r2 00000001 - 2129050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2129070 ns R r2 80000000 - 2129070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2129090 ns R psr 81000200 - 2129090 ns MR4_I 00000238 48054770 - 2129110 ns MR4_I 00000230 07d2684a - 2129130 ns IT 00000230 684a LDR r2,[r1,#4] - 2129150 ns MR4_I 00000234 6008d1fc - 2129210 ns MR4_D 40006004 00000001 - 2129210 ns R r2 00000001 - 2129210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2129230 ns R r2 80000000 - 2129230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2129250 ns R psr 81000200 - 2129250 ns MR4_I 00000238 48054770 - 2129270 ns MR4_I 00000230 07d2684a - 2129290 ns IT 00000230 684a LDR r2,[r1,#4] - 2129310 ns MR4_I 00000234 6008d1fc - 2129370 ns MR4_D 40006004 00000001 - 2129370 ns R r2 00000001 - 2129370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2129390 ns R r2 80000000 - 2129390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2129410 ns R psr 81000200 - 2129410 ns MR4_I 00000238 48054770 - 2129430 ns MR4_I 00000230 07d2684a - 2129450 ns IT 00000230 684a LDR r2,[r1,#4] - 2129470 ns MR4_I 00000234 6008d1fc - 2129530 ns MR4_D 40006004 00000001 - 2129530 ns R r2 00000001 - 2129530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2129550 ns R r2 80000000 - 2129550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2129570 ns R psr 81000200 - 2129570 ns MR4_I 00000238 48054770 - 2129590 ns MR4_I 00000230 07d2684a - 2129610 ns IT 00000230 684a LDR r2,[r1,#4] - 2129630 ns MR4_I 00000234 6008d1fc - 2129690 ns MR4_D 40006004 00000001 - 2129690 ns R r2 00000001 - 2129690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2129710 ns R r2 80000000 - 2129710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2129730 ns R psr 81000200 - 2129730 ns MR4_I 00000238 48054770 - 2129750 ns MR4_I 00000230 07d2684a - 2129770 ns IT 00000230 684a LDR r2,[r1,#4] - 2129790 ns MR4_I 00000234 6008d1fc - 2129850 ns MR4_D 40006004 00000001 - 2129850 ns R r2 00000001 - 2129850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2129870 ns R r2 80000000 - 2129870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2129890 ns R psr 81000200 - 2129890 ns MR4_I 00000238 48054770 - 2129910 ns MR4_I 00000230 07d2684a - 2129930 ns IT 00000230 684a LDR r2,[r1,#4] - 2129950 ns MR4_I 00000234 6008d1fc - 2130010 ns MR4_D 40006004 00000001 - 2130010 ns R r2 00000001 - 2130010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2130030 ns R r2 80000000 - 2130030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2130050 ns R psr 81000200 - 2130050 ns MR4_I 00000238 48054770 - 2130070 ns MR4_I 00000230 07d2684a - 2130090 ns IT 00000230 684a LDR r2,[r1,#4] - 2130110 ns MR4_I 00000234 6008d1fc - 2130170 ns MR4_D 40006004 00000001 - 2130170 ns R r2 00000001 - 2130170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2130190 ns R r2 80000000 - 2130190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2130210 ns R psr 81000200 - 2130210 ns MR4_I 00000238 48054770 - 2130230 ns MR4_I 00000230 07d2684a - 2130250 ns IT 00000230 684a LDR r2,[r1,#4] - 2130270 ns MR4_I 00000234 6008d1fc - 2130330 ns MR4_D 40006004 00000001 - 2130330 ns R r2 00000001 - 2130330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2130350 ns R r2 80000000 - 2130350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2130370 ns R psr 81000200 - 2130370 ns MR4_I 00000238 48054770 - 2130390 ns MR4_I 00000230 07d2684a - 2130410 ns IT 00000230 684a LDR r2,[r1,#4] - 2130430 ns MR4_I 00000234 6008d1fc - 2130490 ns MR4_D 40006004 00000001 - 2130490 ns R r2 00000001 - 2130490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2130510 ns R r2 80000000 - 2130510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2130530 ns R psr 81000200 - 2130530 ns MR4_I 00000238 48054770 - 2130550 ns MR4_I 00000230 07d2684a - 2130570 ns IT 00000230 684a LDR r2,[r1,#4] - 2130590 ns MR4_I 00000234 6008d1fc - 2130650 ns MR4_D 40006004 00000001 - 2130650 ns R r2 00000001 - 2130650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2130670 ns R r2 80000000 - 2130670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2130690 ns R psr 81000200 - 2130690 ns MR4_I 00000238 48054770 - 2130710 ns MR4_I 00000230 07d2684a - 2130730 ns IT 00000230 684a LDR r2,[r1,#4] - 2130750 ns MR4_I 00000234 6008d1fc - 2130810 ns MR4_D 40006004 00000001 - 2130810 ns R r2 00000001 - 2130810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2130830 ns R r2 80000000 - 2130830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2130850 ns R psr 81000200 - 2130850 ns MR4_I 00000238 48054770 - 2130870 ns MR4_I 00000230 07d2684a - 2130890 ns IT 00000230 684a LDR r2,[r1,#4] - 2130910 ns MR4_I 00000234 6008d1fc - 2130970 ns MR4_D 40006004 00000001 - 2130970 ns R r2 00000001 - 2130970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2130990 ns R r2 80000000 - 2130990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2131010 ns R psr 81000200 - 2131010 ns MR4_I 00000238 48054770 - 2131030 ns MR4_I 00000230 07d2684a - 2131050 ns IT 00000230 684a LDR r2,[r1,#4] - 2131070 ns MR4_I 00000234 6008d1fc - 2131130 ns MR4_D 40006004 00000001 - 2131130 ns R r2 00000001 - 2131130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2131150 ns R r2 80000000 - 2131150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2131170 ns R psr 81000200 - 2131170 ns MR4_I 00000238 48054770 - 2131190 ns MR4_I 00000230 07d2684a - 2131210 ns IT 00000230 684a LDR r2,[r1,#4] - 2131230 ns MR4_I 00000234 6008d1fc - 2131290 ns MR4_D 40006004 00000001 - 2131290 ns R r2 00000001 - 2131290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2131310 ns R r2 80000000 - 2131310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2131330 ns R psr 81000200 - 2131330 ns MR4_I 00000238 48054770 - 2131350 ns MR4_I 00000230 07d2684a - 2131370 ns IT 00000230 684a LDR r2,[r1,#4] - 2131390 ns MR4_I 00000234 6008d1fc - 2131450 ns MR4_D 40006004 00000001 - 2131450 ns R r2 00000001 - 2131450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2131470 ns R r2 80000000 - 2131470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2131490 ns R psr 81000200 - 2131490 ns MR4_I 00000238 48054770 - 2131510 ns MR4_I 00000230 07d2684a - 2131530 ns IT 00000230 684a LDR r2,[r1,#4] - 2131550 ns MR4_I 00000234 6008d1fc - 2131610 ns MR4_D 40006004 00000001 - 2131610 ns R r2 00000001 - 2131610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2131630 ns R r2 80000000 - 2131630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2131650 ns R psr 81000200 - 2131650 ns MR4_I 00000238 48054770 - 2131670 ns MR4_I 00000230 07d2684a - 2131690 ns IT 00000230 684a LDR r2,[r1,#4] - 2131710 ns MR4_I 00000234 6008d1fc - 2131770 ns MR4_D 40006004 00000001 - 2131770 ns R r2 00000001 - 2131770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2131790 ns R r2 80000000 - 2131790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2131810 ns R psr 81000200 - 2131810 ns MR4_I 00000238 48054770 - 2131830 ns MR4_I 00000230 07d2684a - 2131850 ns IT 00000230 684a LDR r2,[r1,#4] - 2131870 ns MR4_I 00000234 6008d1fc - 2131930 ns MR4_D 40006004 00000001 - 2131930 ns R r2 00000001 - 2131930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2131950 ns R r2 80000000 - 2131950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2131970 ns R psr 81000200 - 2131970 ns MR4_I 00000238 48054770 - 2131990 ns MR4_I 00000230 07d2684a - 2132010 ns IT 00000230 684a LDR r2,[r1,#4] - 2132030 ns MR4_I 00000234 6008d1fc - 2132090 ns MR4_D 40006004 00000001 - 2132090 ns R r2 00000001 - 2132090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2132110 ns R r2 80000000 - 2132110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2132130 ns R psr 81000200 - 2132130 ns MR4_I 00000238 48054770 - 2132150 ns MR4_I 00000230 07d2684a - 2132170 ns IT 00000230 684a LDR r2,[r1,#4] - 2132190 ns MR4_I 00000234 6008d1fc - 2132250 ns MR4_D 40006004 00000001 - 2132250 ns R r2 00000001 - 2132250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2132270 ns R r2 80000000 - 2132270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2132290 ns R psr 81000200 - 2132290 ns MR4_I 00000238 48054770 - 2132310 ns MR4_I 00000230 07d2684a - 2132330 ns IT 00000230 684a LDR r2,[r1,#4] - 2132350 ns MR4_I 00000234 6008d1fc - 2132410 ns MR4_D 40006004 00000001 - 2132410 ns R r2 00000001 - 2132410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2132430 ns R r2 80000000 - 2132430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2132450 ns R psr 81000200 - 2132450 ns MR4_I 00000238 48054770 - 2132470 ns MR4_I 00000230 07d2684a - 2132490 ns IT 00000230 684a LDR r2,[r1,#4] - 2132510 ns MR4_I 00000234 6008d1fc - 2132570 ns MR4_D 40006004 00000001 - 2132570 ns R r2 00000001 - 2132570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2132590 ns R r2 80000000 - 2132590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2132610 ns R psr 81000200 - 2132610 ns MR4_I 00000238 48054770 - 2132630 ns MR4_I 00000230 07d2684a - 2132650 ns IT 00000230 684a LDR r2,[r1,#4] - 2132670 ns MR4_I 00000234 6008d1fc - 2132730 ns MR4_D 40006004 00000001 - 2132730 ns R r2 00000001 - 2132730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2132750 ns R r2 80000000 - 2132750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2132770 ns R psr 81000200 - 2132770 ns MR4_I 00000238 48054770 - 2132790 ns MR4_I 00000230 07d2684a - 2132810 ns IT 00000230 684a LDR r2,[r1,#4] - 2132830 ns MR4_I 00000234 6008d1fc - 2132890 ns MR4_D 40006004 00000001 - 2132890 ns R r2 00000001 - 2132890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2132910 ns R r2 80000000 - 2132910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2132930 ns R psr 81000200 - 2132930 ns MR4_I 00000238 48054770 - 2132950 ns MR4_I 00000230 07d2684a - 2132970 ns IT 00000230 684a LDR r2,[r1,#4] - 2132990 ns MR4_I 00000234 6008d1fc - 2133050 ns MR4_D 40006004 00000001 - 2133050 ns R r2 00000001 - 2133050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2133070 ns R r2 80000000 - 2133070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2133090 ns R psr 81000200 - 2133090 ns MR4_I 00000238 48054770 - 2133110 ns MR4_I 00000230 07d2684a - 2133130 ns IT 00000230 684a LDR r2,[r1,#4] - 2133150 ns MR4_I 00000234 6008d1fc - 2133210 ns MR4_D 40006004 00000001 - 2133210 ns R r2 00000001 - 2133210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2133230 ns R r2 80000000 - 2133230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2133250 ns R psr 81000200 - 2133250 ns MR4_I 00000238 48054770 - 2133270 ns MR4_I 00000230 07d2684a - 2133290 ns IT 00000230 684a LDR r2,[r1,#4] - 2133310 ns MR4_I 00000234 6008d1fc - 2133370 ns MR4_D 40006004 00000001 - 2133370 ns R r2 00000001 - 2133370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2133390 ns R r2 80000000 - 2133390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2133410 ns R psr 81000200 - 2133410 ns MR4_I 00000238 48054770 - 2133430 ns MR4_I 00000230 07d2684a - 2133450 ns IT 00000230 684a LDR r2,[r1,#4] - 2133470 ns MR4_I 00000234 6008d1fc - 2133530 ns MR4_D 40006004 00000001 - 2133530 ns R r2 00000001 - 2133530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2133550 ns R r2 80000000 - 2133550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2133570 ns R psr 81000200 - 2133570 ns MR4_I 00000238 48054770 - 2133590 ns MR4_I 00000230 07d2684a - 2133610 ns IT 00000230 684a LDR r2,[r1,#4] - 2133630 ns MR4_I 00000234 6008d1fc - 2133690 ns MR4_D 40006004 00000001 - 2133690 ns R r2 00000001 - 2133690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2133710 ns R r2 80000000 - 2133710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2133730 ns R psr 81000200 - 2133730 ns MR4_I 00000238 48054770 - 2133750 ns MR4_I 00000230 07d2684a - 2133770 ns IT 00000230 684a LDR r2,[r1,#4] - 2133790 ns MR4_I 00000234 6008d1fc - 2133850 ns MR4_D 40006004 00000001 - 2133850 ns R r2 00000001 - 2133850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2133870 ns R r2 80000000 - 2133870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2133890 ns R psr 81000200 - 2133890 ns MR4_I 00000238 48054770 - 2133910 ns MR4_I 00000230 07d2684a - 2133930 ns IT 00000230 684a LDR r2,[r1,#4] - 2133950 ns MR4_I 00000234 6008d1fc - 2134010 ns MR4_D 40006004 00000001 - 2134010 ns R r2 00000001 - 2134010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2134030 ns R r2 80000000 - 2134030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2134050 ns R psr 81000200 - 2134050 ns MR4_I 00000238 48054770 - 2134070 ns MR4_I 00000230 07d2684a - 2134090 ns IT 00000230 684a LDR r2,[r1,#4] - 2134110 ns MR4_I 00000234 6008d1fc - 2134170 ns MR4_D 40006004 00000001 - 2134170 ns R r2 00000001 - 2134170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2134190 ns R r2 80000000 - 2134190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2134210 ns R psr 81000200 - 2134210 ns MR4_I 00000238 48054770 - 2134230 ns MR4_I 00000230 07d2684a - 2134250 ns IT 00000230 684a LDR r2,[r1,#4] - 2134270 ns MR4_I 00000234 6008d1fc - 2134330 ns MR4_D 40006004 00000001 - 2134330 ns R r2 00000001 - 2134330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2134350 ns R r2 80000000 - 2134350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2134370 ns R psr 81000200 - 2134370 ns MR4_I 00000238 48054770 - 2134390 ns MR4_I 00000230 07d2684a - 2134410 ns IT 00000230 684a LDR r2,[r1,#4] - 2134430 ns MR4_I 00000234 6008d1fc - 2134490 ns MR4_D 40006004 00000001 - 2134490 ns R r2 00000001 - 2134490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2134510 ns R r2 80000000 - 2134510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2134530 ns R psr 81000200 - 2134530 ns MR4_I 00000238 48054770 - 2134550 ns MR4_I 00000230 07d2684a - 2134570 ns IT 00000230 684a LDR r2,[r1,#4] - 2134590 ns MR4_I 00000234 6008d1fc - 2134650 ns MR4_D 40006004 00000001 - 2134650 ns R r2 00000001 - 2134650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2134670 ns R r2 80000000 - 2134670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2134690 ns R psr 81000200 - 2134690 ns MR4_I 00000238 48054770 - 2134710 ns MR4_I 00000230 07d2684a - 2134730 ns IT 00000230 684a LDR r2,[r1,#4] - 2134750 ns MR4_I 00000234 6008d1fc - 2134810 ns MR4_D 40006004 00000001 - 2134810 ns R r2 00000001 - 2134810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2134830 ns R r2 80000000 - 2134830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2134850 ns R psr 81000200 - 2134850 ns MR4_I 00000238 48054770 - 2134870 ns MR4_I 00000230 07d2684a - 2134890 ns IT 00000230 684a LDR r2,[r1,#4] - 2134910 ns MR4_I 00000234 6008d1fc - 2134970 ns MR4_D 40006004 00000001 - 2134970 ns R r2 00000001 - 2134970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2134990 ns R r2 80000000 - 2134990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2135010 ns R psr 81000200 - 2135010 ns MR4_I 00000238 48054770 - 2135030 ns MR4_I 00000230 07d2684a - 2135050 ns IT 00000230 684a LDR r2,[r1,#4] - 2135070 ns MR4_I 00000234 6008d1fc - 2135130 ns MR4_D 40006004 00000001 - 2135130 ns R r2 00000001 - 2135130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2135150 ns R r2 80000000 - 2135150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2135170 ns R psr 81000200 - 2135170 ns MR4_I 00000238 48054770 - 2135190 ns MR4_I 00000230 07d2684a - 2135210 ns IT 00000230 684a LDR r2,[r1,#4] - 2135230 ns MR4_I 00000234 6008d1fc - 2135290 ns MR4_D 40006004 00000001 - 2135290 ns R r2 00000001 - 2135290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2135310 ns R r2 80000000 - 2135310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2135330 ns R psr 81000200 - 2135330 ns MR4_I 00000238 48054770 - 2135350 ns MR4_I 00000230 07d2684a - 2135370 ns IT 00000230 684a LDR r2,[r1,#4] - 2135390 ns MR4_I 00000234 6008d1fc - 2135450 ns MR4_D 40006004 00000001 - 2135450 ns R r2 00000001 - 2135450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2135470 ns R r2 80000000 - 2135470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2135490 ns R psr 81000200 - 2135490 ns MR4_I 00000238 48054770 - 2135510 ns MR4_I 00000230 07d2684a - 2135530 ns IT 00000230 684a LDR r2,[r1,#4] - 2135550 ns MR4_I 00000234 6008d1fc - 2135610 ns MR4_D 40006004 00000001 - 2135610 ns R r2 00000001 - 2135610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2135630 ns R r2 80000000 - 2135630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2135650 ns R psr 81000200 - 2135650 ns MR4_I 00000238 48054770 - 2135670 ns MR4_I 00000230 07d2684a - 2135690 ns IT 00000230 684a LDR r2,[r1,#4] - 2135710 ns MR4_I 00000234 6008d1fc - 2135770 ns MR4_D 40006004 00000001 - 2135770 ns R r2 00000001 - 2135770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2135790 ns R r2 80000000 - 2135790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2135810 ns R psr 81000200 - 2135810 ns MR4_I 00000238 48054770 - 2135830 ns MR4_I 00000230 07d2684a - 2135850 ns IT 00000230 684a LDR r2,[r1,#4] - 2135870 ns MR4_I 00000234 6008d1fc - 2135930 ns MR4_D 40006004 00000001 - 2135930 ns R r2 00000001 - 2135930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2135950 ns R r2 80000000 - 2135950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2135970 ns R psr 81000200 - 2135970 ns MR4_I 00000238 48054770 - 2135990 ns MR4_I 00000230 07d2684a - 2136010 ns IT 00000230 684a LDR r2,[r1,#4] - 2136030 ns MR4_I 00000234 6008d1fc - 2136090 ns MR4_D 40006004 00000001 - 2136090 ns R r2 00000001 - 2136090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2136110 ns R r2 80000000 - 2136110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2136130 ns R psr 81000200 - 2136130 ns MR4_I 00000238 48054770 - 2136150 ns MR4_I 00000230 07d2684a - 2136170 ns IT 00000230 684a LDR r2,[r1,#4] - 2136190 ns MR4_I 00000234 6008d1fc - 2136250 ns MR4_D 40006004 00000001 - 2136250 ns R r2 00000001 - 2136250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2136270 ns R r2 80000000 - 2136270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2136290 ns R psr 81000200 - 2136290 ns MR4_I 00000238 48054770 - 2136310 ns MR4_I 00000230 07d2684a - 2136330 ns IT 00000230 684a LDR r2,[r1,#4] - 2136350 ns MR4_I 00000234 6008d1fc - 2136410 ns MR4_D 40006004 00000001 - 2136410 ns R r2 00000001 - 2136410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2136430 ns R r2 80000000 - 2136430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2136450 ns R psr 81000200 - 2136450 ns MR4_I 00000238 48054770 - 2136470 ns MR4_I 00000230 07d2684a - 2136490 ns IT 00000230 684a LDR r2,[r1,#4] - 2136510 ns MR4_I 00000234 6008d1fc - 2136570 ns MR4_D 40006004 00000001 - 2136570 ns R r2 00000001 - 2136570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2136590 ns R r2 80000000 - 2136590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2136610 ns R psr 81000200 - 2136610 ns MR4_I 00000238 48054770 - 2136630 ns MR4_I 00000230 07d2684a - 2136650 ns IT 00000230 684a LDR r2,[r1,#4] - 2136670 ns MR4_I 00000234 6008d1fc - 2136730 ns MR4_D 40006004 00000001 - 2136730 ns R r2 00000001 - 2136730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2136750 ns R r2 80000000 - 2136750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2136770 ns R psr 81000200 - 2136770 ns MR4_I 00000238 48054770 - 2136790 ns MR4_I 00000230 07d2684a - 2136810 ns IT 00000230 684a LDR r2,[r1,#4] - 2136830 ns MR4_I 00000234 6008d1fc - 2136890 ns MR4_D 40006004 00000001 - 2136890 ns R r2 00000001 - 2136890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2136910 ns R r2 80000000 - 2136910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2136930 ns R psr 81000200 - 2136930 ns MR4_I 00000238 48054770 - 2136950 ns MR4_I 00000230 07d2684a - 2136970 ns IT 00000230 684a LDR r2,[r1,#4] - 2136990 ns MR4_I 00000234 6008d1fc - 2137050 ns MR4_D 40006004 00000001 - 2137050 ns R r2 00000001 - 2137050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2137070 ns R r2 80000000 - 2137070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2137090 ns R psr 81000200 - 2137090 ns MR4_I 00000238 48054770 - 2137110 ns MR4_I 00000230 07d2684a - 2137130 ns IT 00000230 684a LDR r2,[r1,#4] - 2137150 ns MR4_I 00000234 6008d1fc - 2137210 ns MR4_D 40006004 00000001 - 2137210 ns R r2 00000001 - 2137210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2137230 ns R r2 80000000 - 2137230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2137250 ns R psr 81000200 - 2137250 ns MR4_I 00000238 48054770 - 2137270 ns MR4_I 00000230 07d2684a - 2137290 ns IT 00000230 684a LDR r2,[r1,#4] - 2137310 ns MR4_I 00000234 6008d1fc - 2137370 ns MR4_D 40006004 00000001 - 2137370 ns R r2 00000001 - 2137370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2137390 ns R r2 80000000 - 2137390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2137410 ns R psr 81000200 - 2137410 ns MR4_I 00000238 48054770 - 2137430 ns MR4_I 00000230 07d2684a - 2137450 ns IT 00000230 684a LDR r2,[r1,#4] - 2137470 ns MR4_I 00000234 6008d1fc - 2137530 ns MR4_D 40006004 00000001 - 2137530 ns R r2 00000001 - 2137530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2137550 ns R r2 80000000 - 2137550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2137570 ns R psr 81000200 - 2137570 ns MR4_I 00000238 48054770 - 2137590 ns MR4_I 00000230 07d2684a - 2137610 ns IT 00000230 684a LDR r2,[r1,#4] - 2137630 ns MR4_I 00000234 6008d1fc - 2137690 ns MR4_D 40006004 00000001 - 2137690 ns R r2 00000001 - 2137690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2137710 ns R r2 80000000 - 2137710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2137730 ns R psr 81000200 - 2137730 ns MR4_I 00000238 48054770 - 2137750 ns MR4_I 00000230 07d2684a - 2137770 ns IT 00000230 684a LDR r2,[r1,#4] - 2137790 ns MR4_I 00000234 6008d1fc - 2137850 ns MR4_D 40006004 00000001 - 2137850 ns R r2 00000001 - 2137850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2137870 ns R r2 80000000 - 2137870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2137890 ns R psr 81000200 - 2137890 ns MR4_I 00000238 48054770 - 2137910 ns MR4_I 00000230 07d2684a - 2137930 ns IT 00000230 684a LDR r2,[r1,#4] - 2137950 ns MR4_I 00000234 6008d1fc - 2138010 ns MR4_D 40006004 00000001 - 2138010 ns R r2 00000001 - 2138010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2138030 ns R r2 80000000 - 2138030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2138050 ns R psr 81000200 - 2138050 ns MR4_I 00000238 48054770 - 2138070 ns MR4_I 00000230 07d2684a - 2138090 ns IT 00000230 684a LDR r2,[r1,#4] - 2138110 ns MR4_I 00000234 6008d1fc - 2138170 ns MR4_D 40006004 00000001 - 2138170 ns R r2 00000001 - 2138170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2138190 ns R r2 80000000 - 2138190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2138210 ns R psr 81000200 - 2138210 ns MR4_I 00000238 48054770 - 2138230 ns MR4_I 00000230 07d2684a - 2138250 ns IT 00000230 684a LDR r2,[r1,#4] - 2138270 ns MR4_I 00000234 6008d1fc - 2138330 ns MR4_D 40006004 00000000 - 2138330 ns R r2 00000000 - 2138330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2138350 ns R r2 00000000 - 2138350 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2138370 ns R psr 41000200 - 2138370 ns MR4_I 00000238 48054770 - 2138370 ns IT 00000236 6008 STR r0,[r1,#0] - 2138450 ns MW4_D 40006000 0000006f - 2138450 ns IT 00000238 4770 BX lr - 2138470 ns MR4_I 0000023c 07896841 - 2138490 ns R psr 41000200 - 2138490 ns MR4_I 000001fc b510bd10 - 2138510 ns IT 000001fc bd10 POP {r4,pc} - 2138530 ns MR4_I 00000200 f81bf000 - 2138530 ns R r13 200002e0 (MSP) - 2138550 ns MR4_D 200002d8 00000004 - 2138550 ns R r4 00000004 - 2138570 ns MR4_D 200002dc 0000032d - 2138590 ns R psr 41000200 - 2138610 ns MR4_I 0000032c 46301c64 - 2138630 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 2138650 ns MR4_I 00000330 280047a8 - 2138650 ns R r4 00000005 - 2138650 ns IT 0000032e 4630 MOV r0,r6 - 2138670 ns R psr 01000200 - 2138670 ns R r0 200002f8 - 2138670 ns IT 00000330 47a8 BLX r5 - 2138690 ns MR4_I 00000334 4620d1f8 - 2138710 ns R psr 01000200 - 2138710 ns MR4_I 000002a8 1c4a6901 - 2138710 ns R r14 00000333 - 2138730 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2138750 ns MR4_I 000002ac 78086102 - 2138770 ns MR4_D 20000308 00000185 - 2138770 ns R r1 00000185 - 2138770 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2138790 ns R r2 00000186 - 2138790 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2138810 ns R psr 01000200 - 2138810 ns MR4_I 000002b0 b5004770 - 2138830 ns MW4_D 20000308 00000186 - 2138830 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2138870 ns MR1_D 00000185 6f77206f - 2138870 ns R r0 00000020 - 2138870 ns IT 000002b0 4770 BX lr - 2138890 ns MR4_I 000002b4 9102b08f - 2138910 ns R psr 01000200 - 2138910 ns MR4_I 00000330 280047a8 - 2138930 ns MR4_I 00000334 4620d1f8 - 2138930 ns IT 00000332 2800 CMP r0,#0 - 2138950 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2138970 ns R psr 21000200 - 2138970 ns MR4_I 00000338 b510bdf8 - 2138990 ns MR4_I 00000328 47b89900 - 2139010 ns IT 00000328 9900 LDR r1,[sp,#0] - 2139030 ns MR4_I 0000032c 46301c64 - 2139050 ns MR4_D 200002e0 20000004 - 2139050 ns R r1 20000004 - 2139050 ns IT 0000032a 47b8 BLX r7 - 2139090 ns R psr 21000200 - 2139090 ns MR4_I 000001f4 b2c0b510 - 2139090 ns R r14 0000032d - 2139110 ns IT 000001f4 b510 PUSH {r4,lr} - 2139130 ns MR4_I 000001f8 f819f000 - 2139150 ns MW4_D 200002d8 00000005 - 2139170 ns MW4_D 200002dc 0000032d - 2139170 ns R r13 200002d8 (MSP) - 2139170 ns IT 000001f6 b2c0 UXTB r0,r0 - 2139190 ns R r0 00000020 - 2139190 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 2139210 ns MR4_I 000001fc b510bd10 - 2139230 ns R r14 000001fd - 2139250 ns MR4_I 0000022c 49084770 - 2139270 ns MR4_I 00000230 07d2684a - 2139270 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 2139310 ns MR4_D 00000250 40006000 - 2139310 ns R r1 40006000 - 2139310 ns IT 00000230 684a LDR r2,[r1,#4] - 2139330 ns MR4_I 00000234 6008d1fc - 2139390 ns MR4_D 40006004 00000001 - 2139390 ns R r2 00000001 - 2139390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2139410 ns R r2 80000000 - 2139410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2139430 ns R psr 81000200 - 2139430 ns MR4_I 00000238 48054770 - 2139450 ns MR4_I 00000230 07d2684a - 2139470 ns IT 00000230 684a LDR r2,[r1,#4] - 2139490 ns MR4_I 00000234 6008d1fc - 2139550 ns MR4_D 40006004 00000001 - 2139550 ns R r2 00000001 - 2139550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2139570 ns R r2 80000000 - 2139570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2139590 ns R psr 81000200 - 2139590 ns MR4_I 00000238 48054770 - 2139610 ns MR4_I 00000230 07d2684a - 2139630 ns IT 00000230 684a LDR r2,[r1,#4] - 2139650 ns MR4_I 00000234 6008d1fc - 2139710 ns MR4_D 40006004 00000001 - 2139710 ns R r2 00000001 - 2139710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2139730 ns R r2 80000000 - 2139730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2139750 ns R psr 81000200 - 2139750 ns MR4_I 00000238 48054770 - 2139770 ns MR4_I 00000230 07d2684a - 2139790 ns IT 00000230 684a LDR r2,[r1,#4] - 2139810 ns MR4_I 00000234 6008d1fc - 2139870 ns MR4_D 40006004 00000001 - 2139870 ns R r2 00000001 - 2139870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2139890 ns R r2 80000000 - 2139890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2139910 ns R psr 81000200 - 2139910 ns MR4_I 00000238 48054770 - 2139930 ns MR4_I 00000230 07d2684a - 2139950 ns IT 00000230 684a LDR r2,[r1,#4] - 2139970 ns MR4_I 00000234 6008d1fc - 2140030 ns MR4_D 40006004 00000001 - 2140030 ns R r2 00000001 - 2140030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2140050 ns R r2 80000000 - 2140050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2140070 ns R psr 81000200 - 2140070 ns MR4_I 00000238 48054770 - 2140090 ns MR4_I 00000230 07d2684a - 2140110 ns IT 00000230 684a LDR r2,[r1,#4] - 2140130 ns MR4_I 00000234 6008d1fc - 2140190 ns MR4_D 40006004 00000001 - 2140190 ns R r2 00000001 - 2140190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2140210 ns R r2 80000000 - 2140210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2140230 ns R psr 81000200 - 2140230 ns MR4_I 00000238 48054770 - 2140250 ns MR4_I 00000230 07d2684a - 2140270 ns IT 00000230 684a LDR r2,[r1,#4] - 2140290 ns MR4_I 00000234 6008d1fc - 2140350 ns MR4_D 40006004 00000001 - 2140350 ns R r2 00000001 - 2140350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2140370 ns R r2 80000000 - 2140370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2140390 ns R psr 81000200 - 2140390 ns MR4_I 00000238 48054770 - 2140410 ns MR4_I 00000230 07d2684a - 2140430 ns IT 00000230 684a LDR r2,[r1,#4] - 2140450 ns MR4_I 00000234 6008d1fc - 2140510 ns MR4_D 40006004 00000001 - 2140510 ns R r2 00000001 - 2140510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2140530 ns R r2 80000000 - 2140530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2140550 ns R psr 81000200 - 2140550 ns MR4_I 00000238 48054770 - 2140570 ns MR4_I 00000230 07d2684a - 2140590 ns IT 00000230 684a LDR r2,[r1,#4] - 2140610 ns MR4_I 00000234 6008d1fc - 2140670 ns MR4_D 40006004 00000001 - 2140670 ns R r2 00000001 - 2140670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2140690 ns R r2 80000000 - 2140690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2140710 ns R psr 81000200 - 2140710 ns MR4_I 00000238 48054770 - 2140730 ns MR4_I 00000230 07d2684a - 2140750 ns IT 00000230 684a LDR r2,[r1,#4] - 2140770 ns MR4_I 00000234 6008d1fc - 2140830 ns MR4_D 40006004 00000001 - 2140830 ns R r2 00000001 - 2140830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2140850 ns R r2 80000000 - 2140850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2140870 ns R psr 81000200 - 2140870 ns MR4_I 00000238 48054770 - 2140890 ns MR4_I 00000230 07d2684a - 2140910 ns IT 00000230 684a LDR r2,[r1,#4] - 2140930 ns MR4_I 00000234 6008d1fc - 2140990 ns MR4_D 40006004 00000001 - 2140990 ns R r2 00000001 - 2140990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2141010 ns R r2 80000000 - 2141010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2141030 ns R psr 81000200 - 2141030 ns MR4_I 00000238 48054770 - 2141050 ns MR4_I 00000230 07d2684a - 2141070 ns IT 00000230 684a LDR r2,[r1,#4] - 2141090 ns MR4_I 00000234 6008d1fc - 2141150 ns MR4_D 40006004 00000001 - 2141150 ns R r2 00000001 - 2141150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2141170 ns R r2 80000000 - 2141170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2141190 ns R psr 81000200 - 2141190 ns MR4_I 00000238 48054770 - 2141210 ns MR4_I 00000230 07d2684a - 2141230 ns IT 00000230 684a LDR r2,[r1,#4] - 2141250 ns MR4_I 00000234 6008d1fc - 2141310 ns MR4_D 40006004 00000001 - 2141310 ns R r2 00000001 - 2141310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2141330 ns R r2 80000000 - 2141330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2141350 ns R psr 81000200 - 2141350 ns MR4_I 00000238 48054770 - 2141370 ns MR4_I 00000230 07d2684a - 2141390 ns IT 00000230 684a LDR r2,[r1,#4] - 2141410 ns MR4_I 00000234 6008d1fc - 2141470 ns MR4_D 40006004 00000001 - 2141470 ns R r2 00000001 - 2141470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2141490 ns R r2 80000000 - 2141490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2141510 ns R psr 81000200 - 2141510 ns MR4_I 00000238 48054770 - 2141530 ns MR4_I 00000230 07d2684a - 2141550 ns IT 00000230 684a LDR r2,[r1,#4] - 2141570 ns MR4_I 00000234 6008d1fc - 2141630 ns MR4_D 40006004 00000001 - 2141630 ns R r2 00000001 - 2141630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2141650 ns R r2 80000000 - 2141650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2141670 ns R psr 81000200 - 2141670 ns MR4_I 00000238 48054770 - 2141690 ns MR4_I 00000230 07d2684a - 2141710 ns IT 00000230 684a LDR r2,[r1,#4] - 2141730 ns MR4_I 00000234 6008d1fc - 2141790 ns MR4_D 40006004 00000001 - 2141790 ns R r2 00000001 - 2141790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2141810 ns R r2 80000000 - 2141810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2141830 ns R psr 81000200 - 2141830 ns MR4_I 00000238 48054770 - 2141850 ns MR4_I 00000230 07d2684a - 2141870 ns IT 00000230 684a LDR r2,[r1,#4] - 2141890 ns MR4_I 00000234 6008d1fc - 2141950 ns MR4_D 40006004 00000001 - 2141950 ns R r2 00000001 - 2141950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2141970 ns R r2 80000000 - 2141970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2141990 ns R psr 81000200 - 2141990 ns MR4_I 00000238 48054770 - 2142010 ns MR4_I 00000230 07d2684a - 2142030 ns IT 00000230 684a LDR r2,[r1,#4] - 2142050 ns MR4_I 00000234 6008d1fc - 2142110 ns MR4_D 40006004 00000001 - 2142110 ns R r2 00000001 - 2142110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2142130 ns R r2 80000000 - 2142130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2142150 ns R psr 81000200 - 2142150 ns MR4_I 00000238 48054770 - 2142170 ns MR4_I 00000230 07d2684a - 2142190 ns IT 00000230 684a LDR r2,[r1,#4] - 2142210 ns MR4_I 00000234 6008d1fc - 2142270 ns MR4_D 40006004 00000001 - 2142270 ns R r2 00000001 - 2142270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2142290 ns R r2 80000000 - 2142290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2142310 ns R psr 81000200 - 2142310 ns MR4_I 00000238 48054770 - 2142330 ns MR4_I 00000230 07d2684a - 2142350 ns IT 00000230 684a LDR r2,[r1,#4] - 2142370 ns MR4_I 00000234 6008d1fc - 2142430 ns MR4_D 40006004 00000001 - 2142430 ns R r2 00000001 - 2142430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2142450 ns R r2 80000000 - 2142450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2142470 ns R psr 81000200 - 2142470 ns MR4_I 00000238 48054770 - 2142490 ns MR4_I 00000230 07d2684a - 2142510 ns IT 00000230 684a LDR r2,[r1,#4] - 2142530 ns MR4_I 00000234 6008d1fc - 2142590 ns MR4_D 40006004 00000001 - 2142590 ns R r2 00000001 - 2142590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2142610 ns R r2 80000000 - 2142610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2142630 ns R psr 81000200 - 2142630 ns MR4_I 00000238 48054770 - 2142650 ns MR4_I 00000230 07d2684a - 2142670 ns IT 00000230 684a LDR r2,[r1,#4] - 2142690 ns MR4_I 00000234 6008d1fc - 2142750 ns MR4_D 40006004 00000001 - 2142750 ns R r2 00000001 - 2142750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2142770 ns R r2 80000000 - 2142770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2142790 ns R psr 81000200 - 2142790 ns MR4_I 00000238 48054770 - 2142810 ns MR4_I 00000230 07d2684a - 2142830 ns IT 00000230 684a LDR r2,[r1,#4] - 2142850 ns MR4_I 00000234 6008d1fc - 2142910 ns MR4_D 40006004 00000001 - 2142910 ns R r2 00000001 - 2142910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2142930 ns R r2 80000000 - 2142930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2142950 ns R psr 81000200 - 2142950 ns MR4_I 00000238 48054770 - 2142970 ns MR4_I 00000230 07d2684a - 2142990 ns IT 00000230 684a LDR r2,[r1,#4] - 2143010 ns MR4_I 00000234 6008d1fc - 2143070 ns MR4_D 40006004 00000001 - 2143070 ns R r2 00000001 - 2143070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2143090 ns R r2 80000000 - 2143090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2143110 ns R psr 81000200 - 2143110 ns MR4_I 00000238 48054770 - 2143130 ns MR4_I 00000230 07d2684a - 2143150 ns IT 00000230 684a LDR r2,[r1,#4] - 2143170 ns MR4_I 00000234 6008d1fc - 2143230 ns MR4_D 40006004 00000001 - 2143230 ns R r2 00000001 - 2143230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2143250 ns R r2 80000000 - 2143250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2143270 ns R psr 81000200 - 2143270 ns MR4_I 00000238 48054770 - 2143290 ns MR4_I 00000230 07d2684a - 2143310 ns IT 00000230 684a LDR r2,[r1,#4] - 2143330 ns MR4_I 00000234 6008d1fc - 2143390 ns MR4_D 40006004 00000001 - 2143390 ns R r2 00000001 - 2143390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2143410 ns R r2 80000000 - 2143410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2143430 ns R psr 81000200 - 2143430 ns MR4_I 00000238 48054770 - 2143450 ns MR4_I 00000230 07d2684a - 2143470 ns IT 00000230 684a LDR r2,[r1,#4] - 2143490 ns MR4_I 00000234 6008d1fc - 2143550 ns MR4_D 40006004 00000001 - 2143550 ns R r2 00000001 - 2143550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2143570 ns R r2 80000000 - 2143570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2143590 ns R psr 81000200 - 2143590 ns MR4_I 00000238 48054770 - 2143610 ns MR4_I 00000230 07d2684a - 2143630 ns IT 00000230 684a LDR r2,[r1,#4] - 2143650 ns MR4_I 00000234 6008d1fc - 2143710 ns MR4_D 40006004 00000001 - 2143710 ns R r2 00000001 - 2143710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2143730 ns R r2 80000000 - 2143730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2143750 ns R psr 81000200 - 2143750 ns MR4_I 00000238 48054770 - 2143770 ns MR4_I 00000230 07d2684a - 2143790 ns IT 00000230 684a LDR r2,[r1,#4] - 2143810 ns MR4_I 00000234 6008d1fc - 2143870 ns MR4_D 40006004 00000001 - 2143870 ns R r2 00000001 - 2143870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2143890 ns R r2 80000000 - 2143890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2143910 ns R psr 81000200 - 2143910 ns MR4_I 00000238 48054770 - 2143930 ns MR4_I 00000230 07d2684a - 2143950 ns IT 00000230 684a LDR r2,[r1,#4] - 2143970 ns MR4_I 00000234 6008d1fc - 2144030 ns MR4_D 40006004 00000001 - 2144030 ns R r2 00000001 - 2144030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2144050 ns R r2 80000000 - 2144050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2144070 ns R psr 81000200 - 2144070 ns MR4_I 00000238 48054770 - 2144090 ns MR4_I 00000230 07d2684a - 2144110 ns IT 00000230 684a LDR r2,[r1,#4] - 2144130 ns MR4_I 00000234 6008d1fc - 2144190 ns MR4_D 40006004 00000001 - 2144190 ns R r2 00000001 - 2144190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2144210 ns R r2 80000000 - 2144210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2144230 ns R psr 81000200 - 2144230 ns MR4_I 00000238 48054770 - 2144250 ns MR4_I 00000230 07d2684a - 2144270 ns IT 00000230 684a LDR r2,[r1,#4] - 2144290 ns MR4_I 00000234 6008d1fc - 2144350 ns MR4_D 40006004 00000001 - 2144350 ns R r2 00000001 - 2144350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2144370 ns R r2 80000000 - 2144370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2144390 ns R psr 81000200 - 2144390 ns MR4_I 00000238 48054770 - 2144410 ns MR4_I 00000230 07d2684a - 2144430 ns IT 00000230 684a LDR r2,[r1,#4] - 2144450 ns MR4_I 00000234 6008d1fc - 2144510 ns MR4_D 40006004 00000001 - 2144510 ns R r2 00000001 - 2144510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2144530 ns R r2 80000000 - 2144530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2144550 ns R psr 81000200 - 2144550 ns MR4_I 00000238 48054770 - 2144570 ns MR4_I 00000230 07d2684a - 2144590 ns IT 00000230 684a LDR r2,[r1,#4] - 2144610 ns MR4_I 00000234 6008d1fc - 2144670 ns MR4_D 40006004 00000001 - 2144670 ns R r2 00000001 - 2144670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2144690 ns R r2 80000000 - 2144690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2144710 ns R psr 81000200 - 2144710 ns MR4_I 00000238 48054770 - 2144730 ns MR4_I 00000230 07d2684a - 2144750 ns IT 00000230 684a LDR r2,[r1,#4] - 2144770 ns MR4_I 00000234 6008d1fc - 2144830 ns MR4_D 40006004 00000001 - 2144830 ns R r2 00000001 - 2144830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2144850 ns R r2 80000000 - 2144850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2144870 ns R psr 81000200 - 2144870 ns MR4_I 00000238 48054770 - 2144890 ns MR4_I 00000230 07d2684a - 2144910 ns IT 00000230 684a LDR r2,[r1,#4] - 2144930 ns MR4_I 00000234 6008d1fc - 2144990 ns MR4_D 40006004 00000001 - 2144990 ns R r2 00000001 - 2144990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2145010 ns R r2 80000000 - 2145010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2145030 ns R psr 81000200 - 2145030 ns MR4_I 00000238 48054770 - 2145050 ns MR4_I 00000230 07d2684a - 2145070 ns IT 00000230 684a LDR r2,[r1,#4] - 2145090 ns MR4_I 00000234 6008d1fc - 2145150 ns MR4_D 40006004 00000001 - 2145150 ns R r2 00000001 - 2145150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2145170 ns R r2 80000000 - 2145170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2145190 ns R psr 81000200 - 2145190 ns MR4_I 00000238 48054770 - 2145210 ns MR4_I 00000230 07d2684a - 2145230 ns IT 00000230 684a LDR r2,[r1,#4] - 2145250 ns MR4_I 00000234 6008d1fc - 2145310 ns MR4_D 40006004 00000001 - 2145310 ns R r2 00000001 - 2145310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2145330 ns R r2 80000000 - 2145330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2145350 ns R psr 81000200 - 2145350 ns MR4_I 00000238 48054770 - 2145370 ns MR4_I 00000230 07d2684a - 2145390 ns IT 00000230 684a LDR r2,[r1,#4] - 2145410 ns MR4_I 00000234 6008d1fc - 2145470 ns MR4_D 40006004 00000001 - 2145470 ns R r2 00000001 - 2145470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2145490 ns R r2 80000000 - 2145490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2145510 ns R psr 81000200 - 2145510 ns MR4_I 00000238 48054770 - 2145530 ns MR4_I 00000230 07d2684a - 2145550 ns IT 00000230 684a LDR r2,[r1,#4] - 2145570 ns MR4_I 00000234 6008d1fc - 2145630 ns MR4_D 40006004 00000001 - 2145630 ns R r2 00000001 - 2145630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2145650 ns R r2 80000000 - 2145650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2145670 ns R psr 81000200 - 2145670 ns MR4_I 00000238 48054770 - 2145690 ns MR4_I 00000230 07d2684a - 2145710 ns IT 00000230 684a LDR r2,[r1,#4] - 2145730 ns MR4_I 00000234 6008d1fc - 2145790 ns MR4_D 40006004 00000001 - 2145790 ns R r2 00000001 - 2145790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2145810 ns R r2 80000000 - 2145810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2145830 ns R psr 81000200 - 2145830 ns MR4_I 00000238 48054770 - 2145850 ns MR4_I 00000230 07d2684a - 2145870 ns IT 00000230 684a LDR r2,[r1,#4] - 2145890 ns MR4_I 00000234 6008d1fc - 2145950 ns MR4_D 40006004 00000001 - 2145950 ns R r2 00000001 - 2145950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2145970 ns R r2 80000000 - 2145970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2145990 ns R psr 81000200 - 2145990 ns MR4_I 00000238 48054770 - 2146010 ns MR4_I 00000230 07d2684a - 2146030 ns IT 00000230 684a LDR r2,[r1,#4] - 2146050 ns MR4_I 00000234 6008d1fc - 2146110 ns MR4_D 40006004 00000001 - 2146110 ns R r2 00000001 - 2146110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2146130 ns R r2 80000000 - 2146130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2146150 ns R psr 81000200 - 2146150 ns MR4_I 00000238 48054770 - 2146170 ns MR4_I 00000230 07d2684a - 2146190 ns IT 00000230 684a LDR r2,[r1,#4] - 2146210 ns MR4_I 00000234 6008d1fc - 2146270 ns MR4_D 40006004 00000001 - 2146270 ns R r2 00000001 - 2146270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2146290 ns R r2 80000000 - 2146290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2146310 ns R psr 81000200 - 2146310 ns MR4_I 00000238 48054770 - 2146330 ns MR4_I 00000230 07d2684a - 2146350 ns IT 00000230 684a LDR r2,[r1,#4] - 2146370 ns MR4_I 00000234 6008d1fc - 2146430 ns MR4_D 40006004 00000001 - 2146430 ns R r2 00000001 - 2146430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2146450 ns R r2 80000000 - 2146450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2146470 ns R psr 81000200 - 2146470 ns MR4_I 00000238 48054770 - 2146490 ns MR4_I 00000230 07d2684a - 2146510 ns IT 00000230 684a LDR r2,[r1,#4] - 2146530 ns MR4_I 00000234 6008d1fc - 2146590 ns MR4_D 40006004 00000001 - 2146590 ns R r2 00000001 - 2146590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2146610 ns R r2 80000000 - 2146610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2146630 ns R psr 81000200 - 2146630 ns MR4_I 00000238 48054770 - 2146650 ns MR4_I 00000230 07d2684a - 2146670 ns IT 00000230 684a LDR r2,[r1,#4] - 2146690 ns MR4_I 00000234 6008d1fc - 2146750 ns MR4_D 40006004 00000001 - 2146750 ns R r2 00000001 - 2146750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2146770 ns R r2 80000000 - 2146770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2146790 ns R psr 81000200 - 2146790 ns MR4_I 00000238 48054770 - 2146810 ns MR4_I 00000230 07d2684a - 2146830 ns IT 00000230 684a LDR r2,[r1,#4] - 2146850 ns MR4_I 00000234 6008d1fc - 2146910 ns MR4_D 40006004 00000001 - 2146910 ns R r2 00000001 - 2146910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2146930 ns R r2 80000000 - 2146930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2146950 ns R psr 81000200 - 2146950 ns MR4_I 00000238 48054770 - 2146970 ns MR4_I 00000230 07d2684a - 2146990 ns IT 00000230 684a LDR r2,[r1,#4] - 2147010 ns MR4_I 00000234 6008d1fc - 2147070 ns MR4_D 40006004 00000001 - 2147070 ns R r2 00000001 - 2147070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2147090 ns R r2 80000000 - 2147090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2147110 ns R psr 81000200 - 2147110 ns MR4_I 00000238 48054770 - 2147130 ns MR4_I 00000230 07d2684a - 2147150 ns IT 00000230 684a LDR r2,[r1,#4] - 2147170 ns MR4_I 00000234 6008d1fc - 2147230 ns MR4_D 40006004 00000001 - 2147230 ns R r2 00000001 - 2147230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2147250 ns R r2 80000000 - 2147250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2147270 ns R psr 81000200 - 2147270 ns MR4_I 00000238 48054770 - 2147290 ns MR4_I 00000230 07d2684a - 2147310 ns IT 00000230 684a LDR r2,[r1,#4] - 2147330 ns MR4_I 00000234 6008d1fc - 2147390 ns MR4_D 40006004 00000001 - 2147390 ns R r2 00000001 - 2147390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2147410 ns R r2 80000000 - 2147410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2147430 ns R psr 81000200 - 2147430 ns MR4_I 00000238 48054770 - 2147450 ns MR4_I 00000230 07d2684a - 2147470 ns IT 00000230 684a LDR r2,[r1,#4] - 2147490 ns MR4_I 00000234 6008d1fc - 2147550 ns MR4_D 40006004 00000001 - 2147550 ns R r2 00000001 - 2147550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2147570 ns R r2 80000000 - 2147570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2147590 ns R psr 81000200 - 2147590 ns MR4_I 00000238 48054770 - 2147610 ns MR4_I 00000230 07d2684a - 2147630 ns IT 00000230 684a LDR r2,[r1,#4] - 2147650 ns MR4_I 00000234 6008d1fc - 2147710 ns MR4_D 40006004 00000001 - 2147710 ns R r2 00000001 - 2147710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2147730 ns R r2 80000000 - 2147730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2147750 ns R psr 81000200 - 2147750 ns MR4_I 00000238 48054770 - 2147770 ns MR4_I 00000230 07d2684a - 2147790 ns IT 00000230 684a LDR r2,[r1,#4] - 2147810 ns MR4_I 00000234 6008d1fc - 2147870 ns MR4_D 40006004 00000001 - 2147870 ns R r2 00000001 - 2147870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2147890 ns R r2 80000000 - 2147890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2147910 ns R psr 81000200 - 2147910 ns MR4_I 00000238 48054770 - 2147930 ns MR4_I 00000230 07d2684a - 2147950 ns IT 00000230 684a LDR r2,[r1,#4] - 2147970 ns MR4_I 00000234 6008d1fc - 2148030 ns MR4_D 40006004 00000001 - 2148030 ns R r2 00000001 - 2148030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2148050 ns R r2 80000000 - 2148050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2148070 ns R psr 81000200 - 2148070 ns MR4_I 00000238 48054770 - 2148090 ns MR4_I 00000230 07d2684a - 2148110 ns IT 00000230 684a LDR r2,[r1,#4] - 2148130 ns MR4_I 00000234 6008d1fc - 2148190 ns MR4_D 40006004 00000001 - 2148190 ns R r2 00000001 - 2148190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2148210 ns R r2 80000000 - 2148210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2148230 ns R psr 81000200 - 2148230 ns MR4_I 00000238 48054770 - 2148250 ns MR4_I 00000230 07d2684a - 2148270 ns IT 00000230 684a LDR r2,[r1,#4] - 2148290 ns MR4_I 00000234 6008d1fc - 2148350 ns MR4_D 40006004 00000001 - 2148350 ns R r2 00000001 - 2148350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2148370 ns R r2 80000000 - 2148370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2148390 ns R psr 81000200 - 2148390 ns MR4_I 00000238 48054770 - 2148410 ns MR4_I 00000230 07d2684a - 2148430 ns IT 00000230 684a LDR r2,[r1,#4] - 2148450 ns MR4_I 00000234 6008d1fc - 2148510 ns MR4_D 40006004 00000001 - 2148510 ns R r2 00000001 - 2148510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2148530 ns R r2 80000000 - 2148530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2148550 ns R psr 81000200 - 2148550 ns MR4_I 00000238 48054770 - 2148570 ns MR4_I 00000230 07d2684a - 2148590 ns IT 00000230 684a LDR r2,[r1,#4] - 2148610 ns MR4_I 00000234 6008d1fc - 2148670 ns MR4_D 40006004 00000001 - 2148670 ns R r2 00000001 - 2148670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2148690 ns R r2 80000000 - 2148690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2148710 ns R psr 81000200 - 2148710 ns MR4_I 00000238 48054770 - 2148730 ns MR4_I 00000230 07d2684a - 2148750 ns IT 00000230 684a LDR r2,[r1,#4] - 2148770 ns MR4_I 00000234 6008d1fc - 2148830 ns MR4_D 40006004 00000001 - 2148830 ns R r2 00000001 - 2148830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2148850 ns R r2 80000000 - 2148850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2148870 ns R psr 81000200 - 2148870 ns MR4_I 00000238 48054770 - 2148890 ns MR4_I 00000230 07d2684a - 2148910 ns IT 00000230 684a LDR r2,[r1,#4] - 2148930 ns MR4_I 00000234 6008d1fc - 2148990 ns MR4_D 40006004 00000001 - 2148990 ns R r2 00000001 - 2148990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2149010 ns R r2 80000000 - 2149010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2149030 ns R psr 81000200 - 2149030 ns MR4_I 00000238 48054770 - 2149050 ns MR4_I 00000230 07d2684a - 2149070 ns IT 00000230 684a LDR r2,[r1,#4] - 2149090 ns MR4_I 00000234 6008d1fc - 2149150 ns MR4_D 40006004 00000001 - 2149150 ns R r2 00000001 - 2149150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2149170 ns R r2 80000000 - 2149170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2149190 ns R psr 81000200 - 2149190 ns MR4_I 00000238 48054770 - 2149210 ns MR4_I 00000230 07d2684a - 2149230 ns IT 00000230 684a LDR r2,[r1,#4] - 2149250 ns MR4_I 00000234 6008d1fc - 2149310 ns MR4_D 40006004 00000001 - 2149310 ns R r2 00000001 - 2149310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2149330 ns R r2 80000000 - 2149330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2149350 ns R psr 81000200 - 2149350 ns MR4_I 00000238 48054770 - 2149370 ns MR4_I 00000230 07d2684a - 2149390 ns IT 00000230 684a LDR r2,[r1,#4] - 2149410 ns MR4_I 00000234 6008d1fc - 2149470 ns MR4_D 40006004 00000001 - 2149470 ns R r2 00000001 - 2149470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2149490 ns R r2 80000000 - 2149490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2149510 ns R psr 81000200 - 2149510 ns MR4_I 00000238 48054770 - 2149530 ns MR4_I 00000230 07d2684a - 2149550 ns IT 00000230 684a LDR r2,[r1,#4] - 2149570 ns MR4_I 00000234 6008d1fc - 2149630 ns MR4_D 40006004 00000001 - 2149630 ns R r2 00000001 - 2149630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2149650 ns R r2 80000000 - 2149650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2149670 ns R psr 81000200 - 2149670 ns MR4_I 00000238 48054770 - 2149690 ns MR4_I 00000230 07d2684a - 2149710 ns IT 00000230 684a LDR r2,[r1,#4] - 2149730 ns MR4_I 00000234 6008d1fc - 2149790 ns MR4_D 40006004 00000001 - 2149790 ns R r2 00000001 - 2149790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2149810 ns R r2 80000000 - 2149810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2149830 ns R psr 81000200 - 2149830 ns MR4_I 00000238 48054770 - 2149850 ns MR4_I 00000230 07d2684a - 2149870 ns IT 00000230 684a LDR r2,[r1,#4] - 2149890 ns MR4_I 00000234 6008d1fc - 2149950 ns MR4_D 40006004 00000001 - 2149950 ns R r2 00000001 - 2149950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2149970 ns R r2 80000000 - 2149970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2149990 ns R psr 81000200 - 2149990 ns MR4_I 00000238 48054770 - 2150010 ns MR4_I 00000230 07d2684a - 2150030 ns IT 00000230 684a LDR r2,[r1,#4] - 2150050 ns MR4_I 00000234 6008d1fc - 2150110 ns MR4_D 40006004 00000001 - 2150110 ns R r2 00000001 - 2150110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2150130 ns R r2 80000000 - 2150130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2150150 ns R psr 81000200 - 2150150 ns MR4_I 00000238 48054770 - 2150170 ns MR4_I 00000230 07d2684a - 2150190 ns IT 00000230 684a LDR r2,[r1,#4] - 2150210 ns MR4_I 00000234 6008d1fc - 2150270 ns MR4_D 40006004 00000001 - 2150270 ns R r2 00000001 - 2150270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2150290 ns R r2 80000000 - 2150290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2150310 ns R psr 81000200 - 2150310 ns MR4_I 00000238 48054770 - 2150330 ns MR4_I 00000230 07d2684a - 2150350 ns IT 00000230 684a LDR r2,[r1,#4] - 2150370 ns MR4_I 00000234 6008d1fc - 2150430 ns MR4_D 40006004 00000001 - 2150430 ns R r2 00000001 - 2150430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2150450 ns R r2 80000000 - 2150450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2150470 ns R psr 81000200 - 2150470 ns MR4_I 00000238 48054770 - 2150490 ns MR4_I 00000230 07d2684a - 2150510 ns IT 00000230 684a LDR r2,[r1,#4] - 2150530 ns MR4_I 00000234 6008d1fc - 2150590 ns MR4_D 40006004 00000001 - 2150590 ns R r2 00000001 - 2150590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2150610 ns R r2 80000000 - 2150610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2150630 ns R psr 81000200 - 2150630 ns MR4_I 00000238 48054770 - 2150650 ns MR4_I 00000230 07d2684a - 2150670 ns IT 00000230 684a LDR r2,[r1,#4] - 2150690 ns MR4_I 00000234 6008d1fc - 2150750 ns MR4_D 40006004 00000001 - 2150750 ns R r2 00000001 - 2150750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2150770 ns R r2 80000000 - 2150770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2150790 ns R psr 81000200 - 2150790 ns MR4_I 00000238 48054770 - 2150810 ns MR4_I 00000230 07d2684a - 2150830 ns IT 00000230 684a LDR r2,[r1,#4] - 2150850 ns MR4_I 00000234 6008d1fc - 2150910 ns MR4_D 40006004 00000001 - 2150910 ns R r2 00000001 - 2150910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2150930 ns R r2 80000000 - 2150930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2150950 ns R psr 81000200 - 2150950 ns MR4_I 00000238 48054770 - 2150970 ns MR4_I 00000230 07d2684a - 2150990 ns IT 00000230 684a LDR r2,[r1,#4] - 2151010 ns MR4_I 00000234 6008d1fc - 2151070 ns MR4_D 40006004 00000001 - 2151070 ns R r2 00000001 - 2151070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2151090 ns R r2 80000000 - 2151090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2151110 ns R psr 81000200 - 2151110 ns MR4_I 00000238 48054770 - 2151130 ns MR4_I 00000230 07d2684a - 2151150 ns IT 00000230 684a LDR r2,[r1,#4] - 2151170 ns MR4_I 00000234 6008d1fc - 2151230 ns MR4_D 40006004 00000001 - 2151230 ns R r2 00000001 - 2151230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2151250 ns R r2 80000000 - 2151250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2151270 ns R psr 81000200 - 2151270 ns MR4_I 00000238 48054770 - 2151290 ns MR4_I 00000230 07d2684a - 2151310 ns IT 00000230 684a LDR r2,[r1,#4] - 2151330 ns MR4_I 00000234 6008d1fc - 2151390 ns MR4_D 40006004 00000001 - 2151390 ns R r2 00000001 - 2151390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2151410 ns R r2 80000000 - 2151410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2151430 ns R psr 81000200 - 2151430 ns MR4_I 00000238 48054770 - 2151450 ns MR4_I 00000230 07d2684a - 2151470 ns IT 00000230 684a LDR r2,[r1,#4] - 2151490 ns MR4_I 00000234 6008d1fc - 2151550 ns MR4_D 40006004 00000001 - 2151550 ns R r2 00000001 - 2151550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2151570 ns R r2 80000000 - 2151570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2151590 ns R psr 81000200 - 2151590 ns MR4_I 00000238 48054770 - 2151610 ns MR4_I 00000230 07d2684a - 2151630 ns IT 00000230 684a LDR r2,[r1,#4] - 2151650 ns MR4_I 00000234 6008d1fc - 2151710 ns MR4_D 40006004 00000001 - 2151710 ns R r2 00000001 - 2151710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2151730 ns R r2 80000000 - 2151730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2151750 ns R psr 81000200 - 2151750 ns MR4_I 00000238 48054770 - 2151770 ns MR4_I 00000230 07d2684a - 2151790 ns IT 00000230 684a LDR r2,[r1,#4] - 2151810 ns MR4_I 00000234 6008d1fc - 2151870 ns MR4_D 40006004 00000001 - 2151870 ns R r2 00000001 - 2151870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2151890 ns R r2 80000000 - 2151890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2151910 ns R psr 81000200 - 2151910 ns MR4_I 00000238 48054770 - 2151930 ns MR4_I 00000230 07d2684a - 2151950 ns IT 00000230 684a LDR r2,[r1,#4] - 2151970 ns MR4_I 00000234 6008d1fc - 2152030 ns MR4_D 40006004 00000001 - 2152030 ns R r2 00000001 - 2152030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2152050 ns R r2 80000000 - 2152050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2152070 ns R psr 81000200 - 2152070 ns MR4_I 00000238 48054770 - 2152090 ns MR4_I 00000230 07d2684a - 2152110 ns IT 00000230 684a LDR r2,[r1,#4] - 2152130 ns MR4_I 00000234 6008d1fc - 2152190 ns MR4_D 40006004 00000001 - 2152190 ns R r2 00000001 - 2152190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2152210 ns R r2 80000000 - 2152210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2152230 ns R psr 81000200 - 2152230 ns MR4_I 00000238 48054770 - 2152250 ns MR4_I 00000230 07d2684a - 2152270 ns IT 00000230 684a LDR r2,[r1,#4] - 2152290 ns MR4_I 00000234 6008d1fc - 2152350 ns MR4_D 40006004 00000001 - 2152350 ns R r2 00000001 - 2152350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2152370 ns R r2 80000000 - 2152370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2152390 ns R psr 81000200 - 2152390 ns MR4_I 00000238 48054770 - 2152410 ns MR4_I 00000230 07d2684a - 2152430 ns IT 00000230 684a LDR r2,[r1,#4] - 2152450 ns MR4_I 00000234 6008d1fc - 2152510 ns MR4_D 40006004 00000001 - 2152510 ns R r2 00000001 - 2152510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2152530 ns R r2 80000000 - 2152530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2152550 ns R psr 81000200 - 2152550 ns MR4_I 00000238 48054770 - 2152570 ns MR4_I 00000230 07d2684a - 2152590 ns IT 00000230 684a LDR r2,[r1,#4] - 2152610 ns MR4_I 00000234 6008d1fc - 2152670 ns MR4_D 40006004 00000001 - 2152670 ns R r2 00000001 - 2152670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2152690 ns R r2 80000000 - 2152690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2152710 ns R psr 81000200 - 2152710 ns MR4_I 00000238 48054770 - 2152730 ns MR4_I 00000230 07d2684a - 2152750 ns IT 00000230 684a LDR r2,[r1,#4] - 2152770 ns MR4_I 00000234 6008d1fc - 2152830 ns MR4_D 40006004 00000001 - 2152830 ns R r2 00000001 - 2152830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2152850 ns R r2 80000000 - 2152850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2152870 ns R psr 81000200 - 2152870 ns MR4_I 00000238 48054770 - 2152890 ns MR4_I 00000230 07d2684a - 2152910 ns IT 00000230 684a LDR r2,[r1,#4] - 2152930 ns MR4_I 00000234 6008d1fc - 2152990 ns MR4_D 40006004 00000001 - 2152990 ns R r2 00000001 - 2152990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2153010 ns R r2 80000000 - 2153010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2153030 ns R psr 81000200 - 2153030 ns MR4_I 00000238 48054770 - 2153050 ns MR4_I 00000230 07d2684a - 2153070 ns IT 00000230 684a LDR r2,[r1,#4] - 2153090 ns MR4_I 00000234 6008d1fc - 2153150 ns MR4_D 40006004 00000001 - 2153150 ns R r2 00000001 - 2153150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2153170 ns R r2 80000000 - 2153170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2153190 ns R psr 81000200 - 2153190 ns MR4_I 00000238 48054770 - 2153210 ns MR4_I 00000230 07d2684a - 2153230 ns IT 00000230 684a LDR r2,[r1,#4] - 2153250 ns MR4_I 00000234 6008d1fc - 2153310 ns MR4_D 40006004 00000001 - 2153310 ns R r2 00000001 - 2153310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2153330 ns R r2 80000000 - 2153330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2153350 ns R psr 81000200 - 2153350 ns MR4_I 00000238 48054770 - 2153370 ns MR4_I 00000230 07d2684a - 2153390 ns IT 00000230 684a LDR r2,[r1,#4] - 2153410 ns MR4_I 00000234 6008d1fc - 2153470 ns MR4_D 40006004 00000001 - 2153470 ns R r2 00000001 - 2153470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2153490 ns R r2 80000000 - 2153490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2153510 ns R psr 81000200 - 2153510 ns MR4_I 00000238 48054770 - 2153530 ns MR4_I 00000230 07d2684a - 2153550 ns IT 00000230 684a LDR r2,[r1,#4] - 2153570 ns MR4_I 00000234 6008d1fc - 2153630 ns MR4_D 40006004 00000001 - 2153630 ns R r2 00000001 - 2153630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2153650 ns R r2 80000000 - 2153650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2153670 ns R psr 81000200 - 2153670 ns MR4_I 00000238 48054770 - 2153690 ns MR4_I 00000230 07d2684a - 2153710 ns IT 00000230 684a LDR r2,[r1,#4] - 2153730 ns MR4_I 00000234 6008d1fc - 2153790 ns MR4_D 40006004 00000001 - 2153790 ns R r2 00000001 - 2153790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2153810 ns R r2 80000000 - 2153810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2153830 ns R psr 81000200 - 2153830 ns MR4_I 00000238 48054770 - 2153850 ns MR4_I 00000230 07d2684a - 2153870 ns IT 00000230 684a LDR r2,[r1,#4] - 2153890 ns MR4_I 00000234 6008d1fc - 2153950 ns MR4_D 40006004 00000001 - 2153950 ns R r2 00000001 - 2153950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2153970 ns R r2 80000000 - 2153970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2153990 ns R psr 81000200 - 2153990 ns MR4_I 00000238 48054770 - 2154010 ns MR4_I 00000230 07d2684a - 2154030 ns IT 00000230 684a LDR r2,[r1,#4] - 2154050 ns MR4_I 00000234 6008d1fc - 2154110 ns MR4_D 40006004 00000001 - 2154110 ns R r2 00000001 - 2154110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2154130 ns R r2 80000000 - 2154130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2154150 ns R psr 81000200 - 2154150 ns MR4_I 00000238 48054770 - 2154170 ns MR4_I 00000230 07d2684a - 2154190 ns IT 00000230 684a LDR r2,[r1,#4] - 2154210 ns MR4_I 00000234 6008d1fc - 2154270 ns MR4_D 40006004 00000001 - 2154270 ns R r2 00000001 - 2154270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2154290 ns R r2 80000000 - 2154290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2154310 ns R psr 81000200 - 2154310 ns MR4_I 00000238 48054770 - 2154330 ns MR4_I 00000230 07d2684a - 2154350 ns IT 00000230 684a LDR r2,[r1,#4] - 2154370 ns MR4_I 00000234 6008d1fc - 2154430 ns MR4_D 40006004 00000001 - 2154430 ns R r2 00000001 - 2154430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2154450 ns R r2 80000000 - 2154450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2154470 ns R psr 81000200 - 2154470 ns MR4_I 00000238 48054770 - 2154490 ns MR4_I 00000230 07d2684a - 2154510 ns IT 00000230 684a LDR r2,[r1,#4] - 2154530 ns MR4_I 00000234 6008d1fc - 2154590 ns MR4_D 40006004 00000001 - 2154590 ns R r2 00000001 - 2154590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2154610 ns R r2 80000000 - 2154610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2154630 ns R psr 81000200 - 2154630 ns MR4_I 00000238 48054770 - 2154650 ns MR4_I 00000230 07d2684a - 2154670 ns IT 00000230 684a LDR r2,[r1,#4] - 2154690 ns MR4_I 00000234 6008d1fc - 2154750 ns MR4_D 40006004 00000001 - 2154750 ns R r2 00000001 - 2154750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2154770 ns R r2 80000000 - 2154770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2154790 ns R psr 81000200 - 2154790 ns MR4_I 00000238 48054770 - 2154810 ns MR4_I 00000230 07d2684a - 2154830 ns IT 00000230 684a LDR r2,[r1,#4] - 2154850 ns MR4_I 00000234 6008d1fc - 2154910 ns MR4_D 40006004 00000001 - 2154910 ns R r2 00000001 - 2154910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2154930 ns R r2 80000000 - 2154930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2154950 ns R psr 81000200 - 2154950 ns MR4_I 00000238 48054770 - 2154970 ns MR4_I 00000230 07d2684a - 2154990 ns IT 00000230 684a LDR r2,[r1,#4] - 2155010 ns MR4_I 00000234 6008d1fc - 2155070 ns MR4_D 40006004 00000001 - 2155070 ns R r2 00000001 - 2155070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2155090 ns R r2 80000000 - 2155090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2155110 ns R psr 81000200 - 2155110 ns MR4_I 00000238 48054770 - 2155130 ns MR4_I 00000230 07d2684a - 2155150 ns IT 00000230 684a LDR r2,[r1,#4] - 2155170 ns MR4_I 00000234 6008d1fc - 2155230 ns MR4_D 40006004 00000001 - 2155230 ns R r2 00000001 - 2155230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2155250 ns R r2 80000000 - 2155250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2155270 ns R psr 81000200 - 2155270 ns MR4_I 00000238 48054770 - 2155290 ns MR4_I 00000230 07d2684a - 2155310 ns IT 00000230 684a LDR r2,[r1,#4] - 2155330 ns MR4_I 00000234 6008d1fc - 2155390 ns MR4_D 40006004 00000001 - 2155390 ns R r2 00000001 - 2155390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2155410 ns R r2 80000000 - 2155410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2155430 ns R psr 81000200 - 2155430 ns MR4_I 00000238 48054770 - 2155450 ns MR4_I 00000230 07d2684a - 2155470 ns IT 00000230 684a LDR r2,[r1,#4] - 2155490 ns MR4_I 00000234 6008d1fc - 2155550 ns MR4_D 40006004 00000001 - 2155550 ns R r2 00000001 - 2155550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2155570 ns R r2 80000000 - 2155570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2155590 ns R psr 81000200 - 2155590 ns MR4_I 00000238 48054770 - 2155610 ns MR4_I 00000230 07d2684a - 2155630 ns IT 00000230 684a LDR r2,[r1,#4] - 2155650 ns MR4_I 00000234 6008d1fc - 2155710 ns MR4_D 40006004 00000001 - 2155710 ns R r2 00000001 - 2155710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2155730 ns R r2 80000000 - 2155730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2155750 ns R psr 81000200 - 2155750 ns MR4_I 00000238 48054770 - 2155770 ns MR4_I 00000230 07d2684a - 2155790 ns IT 00000230 684a LDR r2,[r1,#4] - 2155810 ns MR4_I 00000234 6008d1fc - 2155870 ns MR4_D 40006004 00000001 - 2155870 ns R r2 00000001 - 2155870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2155890 ns R r2 80000000 - 2155890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2155910 ns R psr 81000200 - 2155910 ns MR4_I 00000238 48054770 - 2155930 ns MR4_I 00000230 07d2684a - 2155950 ns IT 00000230 684a LDR r2,[r1,#4] - 2155970 ns MR4_I 00000234 6008d1fc - 2156030 ns MR4_D 40006004 00000001 - 2156030 ns R r2 00000001 - 2156030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2156050 ns R r2 80000000 - 2156050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2156070 ns R psr 81000200 - 2156070 ns MR4_I 00000238 48054770 - 2156090 ns MR4_I 00000230 07d2684a - 2156110 ns IT 00000230 684a LDR r2,[r1,#4] - 2156130 ns MR4_I 00000234 6008d1fc - 2156190 ns MR4_D 40006004 00000001 - 2156190 ns R r2 00000001 - 2156190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2156210 ns R r2 80000000 - 2156210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2156230 ns R psr 81000200 - 2156230 ns MR4_I 00000238 48054770 - 2156250 ns MR4_I 00000230 07d2684a - 2156270 ns IT 00000230 684a LDR r2,[r1,#4] - 2156290 ns MR4_I 00000234 6008d1fc - 2156350 ns MR4_D 40006004 00000001 - 2156350 ns R r2 00000001 - 2156350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2156370 ns R r2 80000000 - 2156370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2156390 ns R psr 81000200 - 2156390 ns MR4_I 00000238 48054770 - 2156410 ns MR4_I 00000230 07d2684a - 2156430 ns IT 00000230 684a LDR r2,[r1,#4] - 2156450 ns MR4_I 00000234 6008d1fc - 2156510 ns MR4_D 40006004 00000001 - 2156510 ns R r2 00000001 - 2156510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2156530 ns R r2 80000000 - 2156530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2156550 ns R psr 81000200 - 2156550 ns MR4_I 00000238 48054770 - 2156570 ns MR4_I 00000230 07d2684a - 2156590 ns IT 00000230 684a LDR r2,[r1,#4] - 2156610 ns MR4_I 00000234 6008d1fc - 2156670 ns MR4_D 40006004 00000001 - 2156670 ns R r2 00000001 - 2156670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2156690 ns R r2 80000000 - 2156690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2156710 ns R psr 81000200 - 2156710 ns MR4_I 00000238 48054770 - 2156730 ns MR4_I 00000230 07d2684a - 2156750 ns IT 00000230 684a LDR r2,[r1,#4] - 2156770 ns MR4_I 00000234 6008d1fc - 2156830 ns MR4_D 40006004 00000001 - 2156830 ns R r2 00000001 - 2156830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2156850 ns R r2 80000000 - 2156850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2156870 ns R psr 81000200 - 2156870 ns MR4_I 00000238 48054770 - 2156890 ns MR4_I 00000230 07d2684a - 2156910 ns IT 00000230 684a LDR r2,[r1,#4] - 2156930 ns MR4_I 00000234 6008d1fc - 2156990 ns MR4_D 40006004 00000001 - 2156990 ns R r2 00000001 - 2156990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2157010 ns R r2 80000000 - 2157010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2157030 ns R psr 81000200 - 2157030 ns MR4_I 00000238 48054770 - 2157050 ns MR4_I 00000230 07d2684a - 2157070 ns IT 00000230 684a LDR r2,[r1,#4] - 2157090 ns MR4_I 00000234 6008d1fc - 2157150 ns MR4_D 40006004 00000001 - 2157150 ns R r2 00000001 - 2157150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2157170 ns R r2 80000000 - 2157170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2157190 ns R psr 81000200 - 2157190 ns MR4_I 00000238 48054770 - 2157210 ns MR4_I 00000230 07d2684a - 2157230 ns IT 00000230 684a LDR r2,[r1,#4] - 2157250 ns MR4_I 00000234 6008d1fc - 2157310 ns MR4_D 40006004 00000001 - 2157310 ns R r2 00000001 - 2157310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2157330 ns R r2 80000000 - 2157330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2157350 ns R psr 81000200 - 2157350 ns MR4_I 00000238 48054770 - 2157370 ns MR4_I 00000230 07d2684a - 2157390 ns IT 00000230 684a LDR r2,[r1,#4] - 2157410 ns MR4_I 00000234 6008d1fc - 2157470 ns MR4_D 40006004 00000001 - 2157470 ns R r2 00000001 - 2157470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2157490 ns R r2 80000000 - 2157490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2157510 ns R psr 81000200 - 2157510 ns MR4_I 00000238 48054770 - 2157530 ns MR4_I 00000230 07d2684a - 2157550 ns IT 00000230 684a LDR r2,[r1,#4] - 2157570 ns MR4_I 00000234 6008d1fc - 2157630 ns MR4_D 40006004 00000001 - 2157630 ns R r2 00000001 - 2157630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2157650 ns R r2 80000000 - 2157650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2157670 ns R psr 81000200 - 2157670 ns MR4_I 00000238 48054770 - 2157690 ns MR4_I 00000230 07d2684a - 2157710 ns IT 00000230 684a LDR r2,[r1,#4] - 2157730 ns MR4_I 00000234 6008d1fc - 2157790 ns MR4_D 40006004 00000001 - 2157790 ns R r2 00000001 - 2157790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2157810 ns R r2 80000000 - 2157810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2157830 ns R psr 81000200 - 2157830 ns MR4_I 00000238 48054770 - 2157850 ns MR4_I 00000230 07d2684a - 2157870 ns IT 00000230 684a LDR r2,[r1,#4] - 2157890 ns MR4_I 00000234 6008d1fc - 2157950 ns MR4_D 40006004 00000001 - 2157950 ns R r2 00000001 - 2157950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2157970 ns R r2 80000000 - 2157970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2157990 ns R psr 81000200 - 2157990 ns MR4_I 00000238 48054770 - 2158010 ns MR4_I 00000230 07d2684a - 2158030 ns IT 00000230 684a LDR r2,[r1,#4] - 2158050 ns MR4_I 00000234 6008d1fc - 2158110 ns MR4_D 40006004 00000001 - 2158110 ns R r2 00000001 - 2158110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2158130 ns R r2 80000000 - 2158130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2158150 ns R psr 81000200 - 2158150 ns MR4_I 00000238 48054770 - 2158170 ns MR4_I 00000230 07d2684a - 2158190 ns IT 00000230 684a LDR r2,[r1,#4] - 2158210 ns MR4_I 00000234 6008d1fc - 2158270 ns MR4_D 40006004 00000001 - 2158270 ns R r2 00000001 - 2158270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2158290 ns R r2 80000000 - 2158290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2158310 ns R psr 81000200 - 2158310 ns MR4_I 00000238 48054770 - 2158330 ns MR4_I 00000230 07d2684a - 2158350 ns IT 00000230 684a LDR r2,[r1,#4] - 2158370 ns MR4_I 00000234 6008d1fc - 2158430 ns MR4_D 40006004 00000001 - 2158430 ns R r2 00000001 - 2158430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2158450 ns R r2 80000000 - 2158450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2158470 ns R psr 81000200 - 2158470 ns MR4_I 00000238 48054770 - 2158490 ns MR4_I 00000230 07d2684a - 2158510 ns IT 00000230 684a LDR r2,[r1,#4] - 2158530 ns MR4_I 00000234 6008d1fc - 2158590 ns MR4_D 40006004 00000001 - 2158590 ns R r2 00000001 - 2158590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2158610 ns R r2 80000000 - 2158610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2158630 ns R psr 81000200 - 2158630 ns MR4_I 00000238 48054770 - 2158650 ns MR4_I 00000230 07d2684a - 2158670 ns IT 00000230 684a LDR r2,[r1,#4] - 2158690 ns MR4_I 00000234 6008d1fc - 2158750 ns MR4_D 40006004 00000001 - 2158750 ns R r2 00000001 - 2158750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2158770 ns R r2 80000000 - 2158770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2158790 ns R psr 81000200 - 2158790 ns MR4_I 00000238 48054770 - 2158810 ns MR4_I 00000230 07d2684a - 2158830 ns IT 00000230 684a LDR r2,[r1,#4] - 2158850 ns MR4_I 00000234 6008d1fc - 2158910 ns MR4_D 40006004 00000001 - 2158910 ns R r2 00000001 - 2158910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2158930 ns R r2 80000000 - 2158930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2158950 ns R psr 81000200 - 2158950 ns MR4_I 00000238 48054770 - 2158970 ns MR4_I 00000230 07d2684a - 2158990 ns IT 00000230 684a LDR r2,[r1,#4] - 2159010 ns MR4_I 00000234 6008d1fc - 2159070 ns MR4_D 40006004 00000001 - 2159070 ns R r2 00000001 - 2159070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2159090 ns R r2 80000000 - 2159090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2159110 ns R psr 81000200 - 2159110 ns MR4_I 00000238 48054770 - 2159130 ns MR4_I 00000230 07d2684a - 2159150 ns IT 00000230 684a LDR r2,[r1,#4] - 2159170 ns MR4_I 00000234 6008d1fc - 2159230 ns MR4_D 40006004 00000001 - 2159230 ns R r2 00000001 - 2159230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2159250 ns R r2 80000000 - 2159250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2159270 ns R psr 81000200 - 2159270 ns MR4_I 00000238 48054770 - 2159290 ns MR4_I 00000230 07d2684a - 2159310 ns IT 00000230 684a LDR r2,[r1,#4] - 2159330 ns MR4_I 00000234 6008d1fc - 2159390 ns MR4_D 40006004 00000001 - 2159390 ns R r2 00000001 - 2159390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2159410 ns R r2 80000000 - 2159410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2159430 ns R psr 81000200 - 2159430 ns MR4_I 00000238 48054770 - 2159450 ns MR4_I 00000230 07d2684a - 2159470 ns IT 00000230 684a LDR r2,[r1,#4] - 2159490 ns MR4_I 00000234 6008d1fc - 2159550 ns MR4_D 40006004 00000001 - 2159550 ns R r2 00000001 - 2159550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2159570 ns R r2 80000000 - 2159570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2159590 ns R psr 81000200 - 2159590 ns MR4_I 00000238 48054770 - 2159610 ns MR4_I 00000230 07d2684a - 2159630 ns IT 00000230 684a LDR r2,[r1,#4] - 2159650 ns MR4_I 00000234 6008d1fc - 2159710 ns MR4_D 40006004 00000001 - 2159710 ns R r2 00000001 - 2159710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2159730 ns R r2 80000000 - 2159730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2159750 ns R psr 81000200 - 2159750 ns MR4_I 00000238 48054770 - 2159770 ns MR4_I 00000230 07d2684a - 2159790 ns IT 00000230 684a LDR r2,[r1,#4] - 2159810 ns MR4_I 00000234 6008d1fc - 2159870 ns MR4_D 40006004 00000001 - 2159870 ns R r2 00000001 - 2159870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2159890 ns R r2 80000000 - 2159890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2159910 ns R psr 81000200 - 2159910 ns MR4_I 00000238 48054770 - 2159930 ns MR4_I 00000230 07d2684a - 2159950 ns IT 00000230 684a LDR r2,[r1,#4] - 2159970 ns MR4_I 00000234 6008d1fc - 2160030 ns MR4_D 40006004 00000001 - 2160030 ns R r2 00000001 - 2160030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2160050 ns R r2 80000000 - 2160050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2160070 ns R psr 81000200 - 2160070 ns MR4_I 00000238 48054770 - 2160090 ns MR4_I 00000230 07d2684a - 2160110 ns IT 00000230 684a LDR r2,[r1,#4] - 2160130 ns MR4_I 00000234 6008d1fc - 2160190 ns MR4_D 40006004 00000001 - 2160190 ns R r2 00000001 - 2160190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2160210 ns R r2 80000000 - 2160210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2160230 ns R psr 81000200 - 2160230 ns MR4_I 00000238 48054770 - 2160250 ns MR4_I 00000230 07d2684a - 2160270 ns IT 00000230 684a LDR r2,[r1,#4] - 2160290 ns MR4_I 00000234 6008d1fc - 2160350 ns MR4_D 40006004 00000001 - 2160350 ns R r2 00000001 - 2160350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2160370 ns R r2 80000000 - 2160370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2160390 ns R psr 81000200 - 2160390 ns MR4_I 00000238 48054770 - 2160410 ns MR4_I 00000230 07d2684a - 2160430 ns IT 00000230 684a LDR r2,[r1,#4] - 2160450 ns MR4_I 00000234 6008d1fc - 2160510 ns MR4_D 40006004 00000001 - 2160510 ns R r2 00000001 - 2160510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2160530 ns R r2 80000000 - 2160530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2160550 ns R psr 81000200 - 2160550 ns MR4_I 00000238 48054770 - 2160570 ns MR4_I 00000230 07d2684a - 2160590 ns IT 00000230 684a LDR r2,[r1,#4] - 2160610 ns MR4_I 00000234 6008d1fc - 2160670 ns MR4_D 40006004 00000001 - 2160670 ns R r2 00000001 - 2160670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2160690 ns R r2 80000000 - 2160690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2160710 ns R psr 81000200 - 2160710 ns MR4_I 00000238 48054770 - 2160730 ns MR4_I 00000230 07d2684a - 2160750 ns IT 00000230 684a LDR r2,[r1,#4] - 2160770 ns MR4_I 00000234 6008d1fc - 2160830 ns MR4_D 40006004 00000001 - 2160830 ns R r2 00000001 - 2160830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2160850 ns R r2 80000000 - 2160850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2160870 ns R psr 81000200 - 2160870 ns MR4_I 00000238 48054770 - 2160890 ns MR4_I 00000230 07d2684a - 2160910 ns IT 00000230 684a LDR r2,[r1,#4] - 2160930 ns MR4_I 00000234 6008d1fc - 2160990 ns MR4_D 40006004 00000001 - 2160990 ns R r2 00000001 - 2160990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2161010 ns R r2 80000000 - 2161010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2161030 ns R psr 81000200 - 2161030 ns MR4_I 00000238 48054770 - 2161050 ns MR4_I 00000230 07d2684a - 2161070 ns IT 00000230 684a LDR r2,[r1,#4] - 2161090 ns MR4_I 00000234 6008d1fc - 2161150 ns MR4_D 40006004 00000001 - 2161150 ns R r2 00000001 - 2161150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2161170 ns R r2 80000000 - 2161170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2161190 ns R psr 81000200 - 2161190 ns MR4_I 00000238 48054770 - 2161210 ns MR4_I 00000230 07d2684a - 2161230 ns IT 00000230 684a LDR r2,[r1,#4] - 2161250 ns MR4_I 00000234 6008d1fc - 2161310 ns MR4_D 40006004 00000001 - 2161310 ns R r2 00000001 - 2161310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2161330 ns R r2 80000000 - 2161330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2161350 ns R psr 81000200 - 2161350 ns MR4_I 00000238 48054770 - 2161370 ns MR4_I 00000230 07d2684a - 2161390 ns IT 00000230 684a LDR r2,[r1,#4] - 2161410 ns MR4_I 00000234 6008d1fc - 2161470 ns MR4_D 40006004 00000001 - 2161470 ns R r2 00000001 - 2161470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2161490 ns R r2 80000000 - 2161490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2161510 ns R psr 81000200 - 2161510 ns MR4_I 00000238 48054770 - 2161530 ns MR4_I 00000230 07d2684a - 2161550 ns IT 00000230 684a LDR r2,[r1,#4] - 2161570 ns MR4_I 00000234 6008d1fc - 2161630 ns MR4_D 40006004 00000001 - 2161630 ns R r2 00000001 - 2161630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2161650 ns R r2 80000000 - 2161650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2161670 ns R psr 81000200 - 2161670 ns MR4_I 00000238 48054770 - 2161690 ns MR4_I 00000230 07d2684a - 2161710 ns IT 00000230 684a LDR r2,[r1,#4] - 2161730 ns MR4_I 00000234 6008d1fc - 2161790 ns MR4_D 40006004 00000001 - 2161790 ns R r2 00000001 - 2161790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2161810 ns R r2 80000000 - 2161810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2161830 ns R psr 81000200 - 2161830 ns MR4_I 00000238 48054770 - 2161850 ns MR4_I 00000230 07d2684a - 2161870 ns IT 00000230 684a LDR r2,[r1,#4] - 2161890 ns MR4_I 00000234 6008d1fc - 2161950 ns MR4_D 40006004 00000001 - 2161950 ns R r2 00000001 - 2161950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2161970 ns R r2 80000000 - 2161970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2161990 ns R psr 81000200 - 2161990 ns MR4_I 00000238 48054770 - 2162010 ns MR4_I 00000230 07d2684a - 2162030 ns IT 00000230 684a LDR r2,[r1,#4] - 2162050 ns MR4_I 00000234 6008d1fc - 2162110 ns MR4_D 40006004 00000001 - 2162110 ns R r2 00000001 - 2162110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2162130 ns R r2 80000000 - 2162130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2162150 ns R psr 81000200 - 2162150 ns MR4_I 00000238 48054770 - 2162170 ns MR4_I 00000230 07d2684a - 2162190 ns IT 00000230 684a LDR r2,[r1,#4] - 2162210 ns MR4_I 00000234 6008d1fc - 2162270 ns MR4_D 40006004 00000001 - 2162270 ns R r2 00000001 - 2162270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2162290 ns R r2 80000000 - 2162290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2162310 ns R psr 81000200 - 2162310 ns MR4_I 00000238 48054770 - 2162330 ns MR4_I 00000230 07d2684a - 2162350 ns IT 00000230 684a LDR r2,[r1,#4] - 2162370 ns MR4_I 00000234 6008d1fc - 2162430 ns MR4_D 40006004 00000001 - 2162430 ns R r2 00000001 - 2162430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2162450 ns R r2 80000000 - 2162450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2162470 ns R psr 81000200 - 2162470 ns MR4_I 00000238 48054770 - 2162490 ns MR4_I 00000230 07d2684a - 2162510 ns IT 00000230 684a LDR r2,[r1,#4] - 2162530 ns MR4_I 00000234 6008d1fc - 2162590 ns MR4_D 40006004 00000001 - 2162590 ns R r2 00000001 - 2162590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2162610 ns R r2 80000000 - 2162610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2162630 ns R psr 81000200 - 2162630 ns MR4_I 00000238 48054770 - 2162650 ns MR4_I 00000230 07d2684a - 2162670 ns IT 00000230 684a LDR r2,[r1,#4] - 2162690 ns MR4_I 00000234 6008d1fc - 2162750 ns MR4_D 40006004 00000001 - 2162750 ns R r2 00000001 - 2162750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2162770 ns R r2 80000000 - 2162770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2162790 ns R psr 81000200 - 2162790 ns MR4_I 00000238 48054770 - 2162810 ns MR4_I 00000230 07d2684a - 2162830 ns IT 00000230 684a LDR r2,[r1,#4] - 2162850 ns MR4_I 00000234 6008d1fc - 2162910 ns MR4_D 40006004 00000001 - 2162910 ns R r2 00000001 - 2162910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2162930 ns R r2 80000000 - 2162930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2162950 ns R psr 81000200 - 2162950 ns MR4_I 00000238 48054770 - 2162970 ns MR4_I 00000230 07d2684a - 2162990 ns IT 00000230 684a LDR r2,[r1,#4] - 2163010 ns MR4_I 00000234 6008d1fc - 2163070 ns MR4_D 40006004 00000001 - 2163070 ns R r2 00000001 - 2163070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2163090 ns R r2 80000000 - 2163090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2163110 ns R psr 81000200 - 2163110 ns MR4_I 00000238 48054770 - 2163130 ns MR4_I 00000230 07d2684a - 2163150 ns IT 00000230 684a LDR r2,[r1,#4] - 2163170 ns MR4_I 00000234 6008d1fc - 2163230 ns MR4_D 40006004 00000001 - 2163230 ns R r2 00000001 - 2163230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2163250 ns R r2 80000000 - 2163250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2163270 ns R psr 81000200 - 2163270 ns MR4_I 00000238 48054770 - 2163290 ns MR4_I 00000230 07d2684a - 2163310 ns IT 00000230 684a LDR r2,[r1,#4] - 2163330 ns MR4_I 00000234 6008d1fc - 2163390 ns MR4_D 40006004 00000001 - 2163390 ns R r2 00000001 - 2163390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2163410 ns R r2 80000000 - 2163410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2163430 ns R psr 81000200 - 2163430 ns MR4_I 00000238 48054770 - 2163450 ns MR4_I 00000230 07d2684a - 2163470 ns IT 00000230 684a LDR r2,[r1,#4] - 2163490 ns MR4_I 00000234 6008d1fc - 2163550 ns MR4_D 40006004 00000001 - 2163550 ns R r2 00000001 - 2163550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2163570 ns R r2 80000000 - 2163570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2163590 ns R psr 81000200 - 2163590 ns MR4_I 00000238 48054770 - 2163610 ns MR4_I 00000230 07d2684a - 2163630 ns IT 00000230 684a LDR r2,[r1,#4] - 2163650 ns MR4_I 00000234 6008d1fc - 2163710 ns MR4_D 40006004 00000001 - 2163710 ns R r2 00000001 - 2163710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2163730 ns R r2 80000000 - 2163730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2163750 ns R psr 81000200 - 2163750 ns MR4_I 00000238 48054770 - 2163770 ns MR4_I 00000230 07d2684a - 2163790 ns IT 00000230 684a LDR r2,[r1,#4] - 2163810 ns MR4_I 00000234 6008d1fc - 2163870 ns MR4_D 40006004 00000001 - 2163870 ns R r2 00000001 - 2163870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2163890 ns R r2 80000000 - 2163890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2163910 ns R psr 81000200 - 2163910 ns MR4_I 00000238 48054770 - 2163930 ns MR4_I 00000230 07d2684a - 2163950 ns IT 00000230 684a LDR r2,[r1,#4] - 2163970 ns MR4_I 00000234 6008d1fc - 2164030 ns MR4_D 40006004 00000001 - 2164030 ns R r2 00000001 - 2164030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2164050 ns R r2 80000000 - 2164050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2164070 ns R psr 81000200 - 2164070 ns MR4_I 00000238 48054770 - 2164090 ns MR4_I 00000230 07d2684a - 2164110 ns IT 00000230 684a LDR r2,[r1,#4] - 2164130 ns MR4_I 00000234 6008d1fc - 2164190 ns MR4_D 40006004 00000001 - 2164190 ns R r2 00000001 - 2164190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2164210 ns R r2 80000000 - 2164210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2164230 ns R psr 81000200 - 2164230 ns MR4_I 00000238 48054770 - 2164250 ns MR4_I 00000230 07d2684a - 2164270 ns IT 00000230 684a LDR r2,[r1,#4] - 2164290 ns MR4_I 00000234 6008d1fc - 2164350 ns MR4_D 40006004 00000001 - 2164350 ns R r2 00000001 - 2164350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2164370 ns R r2 80000000 - 2164370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2164390 ns R psr 81000200 - 2164390 ns MR4_I 00000238 48054770 - 2164410 ns MR4_I 00000230 07d2684a - 2164430 ns IT 00000230 684a LDR r2,[r1,#4] - 2164450 ns MR4_I 00000234 6008d1fc - 2164510 ns MR4_D 40006004 00000001 - 2164510 ns R r2 00000001 - 2164510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2164530 ns R r2 80000000 - 2164530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2164550 ns R psr 81000200 - 2164550 ns MR4_I 00000238 48054770 - 2164570 ns MR4_I 00000230 07d2684a - 2164590 ns IT 00000230 684a LDR r2,[r1,#4] - 2164610 ns MR4_I 00000234 6008d1fc - 2164670 ns MR4_D 40006004 00000001 - 2164670 ns R r2 00000001 - 2164670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2164690 ns R r2 80000000 - 2164690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2164710 ns R psr 81000200 - 2164710 ns MR4_I 00000238 48054770 - 2164730 ns MR4_I 00000230 07d2684a - 2164750 ns IT 00000230 684a LDR r2,[r1,#4] - 2164770 ns MR4_I 00000234 6008d1fc - 2164830 ns MR4_D 40006004 00000001 - 2164830 ns R r2 00000001 - 2164830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2164850 ns R r2 80000000 - 2164850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2164870 ns R psr 81000200 - 2164870 ns MR4_I 00000238 48054770 - 2164890 ns MR4_I 00000230 07d2684a - 2164910 ns IT 00000230 684a LDR r2,[r1,#4] - 2164930 ns MR4_I 00000234 6008d1fc - 2164990 ns MR4_D 40006004 00000001 - 2164990 ns R r2 00000001 - 2164990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2165010 ns R r2 80000000 - 2165010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2165030 ns R psr 81000200 - 2165030 ns MR4_I 00000238 48054770 - 2165050 ns MR4_I 00000230 07d2684a - 2165070 ns IT 00000230 684a LDR r2,[r1,#4] - 2165090 ns MR4_I 00000234 6008d1fc - 2165150 ns MR4_D 40006004 00000001 - 2165150 ns R r2 00000001 - 2165150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2165170 ns R r2 80000000 - 2165170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2165190 ns R psr 81000200 - 2165190 ns MR4_I 00000238 48054770 - 2165210 ns MR4_I 00000230 07d2684a - 2165230 ns IT 00000230 684a LDR r2,[r1,#4] - 2165250 ns MR4_I 00000234 6008d1fc - 2165310 ns MR4_D 40006004 00000001 - 2165310 ns R r2 00000001 - 2165310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2165330 ns R r2 80000000 - 2165330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2165350 ns R psr 81000200 - 2165350 ns MR4_I 00000238 48054770 - 2165370 ns MR4_I 00000230 07d2684a - 2165390 ns IT 00000230 684a LDR r2,[r1,#4] - 2165410 ns MR4_I 00000234 6008d1fc - 2165470 ns MR4_D 40006004 00000001 - 2165470 ns R r2 00000001 - 2165470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2165490 ns R r2 80000000 - 2165490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2165510 ns R psr 81000200 - 2165510 ns MR4_I 00000238 48054770 - 2165530 ns MR4_I 00000230 07d2684a - 2165550 ns IT 00000230 684a LDR r2,[r1,#4] - 2165570 ns MR4_I 00000234 6008d1fc - 2165630 ns MR4_D 40006004 00000001 - 2165630 ns R r2 00000001 - 2165630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2165650 ns R r2 80000000 - 2165650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2165670 ns R psr 81000200 - 2165670 ns MR4_I 00000238 48054770 - 2165690 ns MR4_I 00000230 07d2684a - 2165710 ns IT 00000230 684a LDR r2,[r1,#4] - 2165730 ns MR4_I 00000234 6008d1fc - 2165790 ns MR4_D 40006004 00000001 - 2165790 ns R r2 00000001 - 2165790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2165810 ns R r2 80000000 - 2165810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2165830 ns R psr 81000200 - 2165830 ns MR4_I 00000238 48054770 - 2165850 ns MR4_I 00000230 07d2684a - 2165870 ns IT 00000230 684a LDR r2,[r1,#4] - 2165890 ns MR4_I 00000234 6008d1fc - 2165950 ns MR4_D 40006004 00000001 - 2165950 ns R r2 00000001 - 2165950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2165970 ns R r2 80000000 - 2165970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2165990 ns R psr 81000200 - 2165990 ns MR4_I 00000238 48054770 - 2166010 ns MR4_I 00000230 07d2684a - 2166030 ns IT 00000230 684a LDR r2,[r1,#4] - 2166050 ns MR4_I 00000234 6008d1fc - 2166110 ns MR4_D 40006004 00000001 - 2166110 ns R r2 00000001 - 2166110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2166130 ns R r2 80000000 - 2166130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2166150 ns R psr 81000200 - 2166150 ns MR4_I 00000238 48054770 - 2166170 ns MR4_I 00000230 07d2684a - 2166190 ns IT 00000230 684a LDR r2,[r1,#4] - 2166210 ns MR4_I 00000234 6008d1fc - 2166270 ns MR4_D 40006004 00000001 - 2166270 ns R r2 00000001 - 2166270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2166290 ns R r2 80000000 - 2166290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2166310 ns R psr 81000200 - 2166310 ns MR4_I 00000238 48054770 - 2166330 ns MR4_I 00000230 07d2684a - 2166350 ns IT 00000230 684a LDR r2,[r1,#4] - 2166370 ns MR4_I 00000234 6008d1fc - 2166430 ns MR4_D 40006004 00000001 - 2166430 ns R r2 00000001 - 2166430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2166450 ns R r2 80000000 - 2166450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2166470 ns R psr 81000200 - 2166470 ns MR4_I 00000238 48054770 - 2166490 ns MR4_I 00000230 07d2684a - 2166510 ns IT 00000230 684a LDR r2,[r1,#4] - 2166530 ns MR4_I 00000234 6008d1fc - 2166590 ns MR4_D 40006004 00000001 - 2166590 ns R r2 00000001 - 2166590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2166610 ns R r2 80000000 - 2166610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2166630 ns R psr 81000200 - 2166630 ns MR4_I 00000238 48054770 - 2166650 ns MR4_I 00000230 07d2684a - 2166670 ns IT 00000230 684a LDR r2,[r1,#4] - 2166690 ns MR4_I 00000234 6008d1fc - 2166750 ns MR4_D 40006004 00000001 - 2166750 ns R r2 00000001 - 2166750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2166770 ns R r2 80000000 - 2166770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2166790 ns R psr 81000200 - 2166790 ns MR4_I 00000238 48054770 - 2166810 ns MR4_I 00000230 07d2684a - 2166830 ns IT 00000230 684a LDR r2,[r1,#4] - 2166850 ns MR4_I 00000234 6008d1fc - 2166910 ns MR4_D 40006004 00000001 - 2166910 ns R r2 00000001 - 2166910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2166930 ns R r2 80000000 - 2166930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2166950 ns R psr 81000200 - 2166950 ns MR4_I 00000238 48054770 - 2166970 ns MR4_I 00000230 07d2684a - 2166990 ns IT 00000230 684a LDR r2,[r1,#4] - 2167010 ns MR4_I 00000234 6008d1fc - 2167070 ns MR4_D 40006004 00000001 - 2167070 ns R r2 00000001 - 2167070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2167090 ns R r2 80000000 - 2167090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2167110 ns R psr 81000200 - 2167110 ns MR4_I 00000238 48054770 - 2167130 ns MR4_I 00000230 07d2684a - 2167150 ns IT 00000230 684a LDR r2,[r1,#4] - 2167170 ns MR4_I 00000234 6008d1fc - 2167230 ns MR4_D 40006004 00000001 - 2167230 ns R r2 00000001 - 2167230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2167250 ns R r2 80000000 - 2167250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2167270 ns R psr 81000200 - 2167270 ns MR4_I 00000238 48054770 - 2167290 ns MR4_I 00000230 07d2684a - 2167310 ns IT 00000230 684a LDR r2,[r1,#4] - 2167330 ns MR4_I 00000234 6008d1fc - 2167390 ns MR4_D 40006004 00000001 - 2167390 ns R r2 00000001 - 2167390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2167410 ns R r2 80000000 - 2167410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2167430 ns R psr 81000200 - 2167430 ns MR4_I 00000238 48054770 - 2167450 ns MR4_I 00000230 07d2684a - 2167470 ns IT 00000230 684a LDR r2,[r1,#4] - 2167490 ns MR4_I 00000234 6008d1fc - 2167550 ns MR4_D 40006004 00000001 - 2167550 ns R r2 00000001 - 2167550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2167570 ns R r2 80000000 - 2167570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2167590 ns R psr 81000200 - 2167590 ns MR4_I 00000238 48054770 - 2167610 ns MR4_I 00000230 07d2684a - 2167630 ns IT 00000230 684a LDR r2,[r1,#4] - 2167650 ns MR4_I 00000234 6008d1fc - 2167710 ns MR4_D 40006004 00000001 - 2167710 ns R r2 00000001 - 2167710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2167730 ns R r2 80000000 - 2167730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2167750 ns R psr 81000200 - 2167750 ns MR4_I 00000238 48054770 - 2167770 ns MR4_I 00000230 07d2684a - 2167790 ns IT 00000230 684a LDR r2,[r1,#4] - 2167810 ns MR4_I 00000234 6008d1fc - 2167870 ns MR4_D 40006004 00000001 - 2167870 ns R r2 00000001 - 2167870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2167890 ns R r2 80000000 - 2167890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2167910 ns R psr 81000200 - 2167910 ns MR4_I 00000238 48054770 - 2167930 ns MR4_I 00000230 07d2684a - 2167950 ns IT 00000230 684a LDR r2,[r1,#4] - 2167970 ns MR4_I 00000234 6008d1fc - 2168030 ns MR4_D 40006004 00000001 - 2168030 ns R r2 00000001 - 2168030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2168050 ns R r2 80000000 - 2168050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2168070 ns R psr 81000200 - 2168070 ns MR4_I 00000238 48054770 - 2168090 ns MR4_I 00000230 07d2684a - 2168110 ns IT 00000230 684a LDR r2,[r1,#4] - 2168130 ns MR4_I 00000234 6008d1fc - 2168190 ns MR4_D 40006004 00000001 - 2168190 ns R r2 00000001 - 2168190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2168210 ns R r2 80000000 - 2168210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2168230 ns R psr 81000200 - 2168230 ns MR4_I 00000238 48054770 - 2168250 ns MR4_I 00000230 07d2684a - 2168270 ns IT 00000230 684a LDR r2,[r1,#4] - 2168290 ns MR4_I 00000234 6008d1fc - 2168350 ns MR4_D 40006004 00000001 - 2168350 ns R r2 00000001 - 2168350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2168370 ns R r2 80000000 - 2168370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2168390 ns R psr 81000200 - 2168390 ns MR4_I 00000238 48054770 - 2168410 ns MR4_I 00000230 07d2684a - 2168430 ns IT 00000230 684a LDR r2,[r1,#4] - 2168450 ns MR4_I 00000234 6008d1fc - 2168510 ns MR4_D 40006004 00000001 - 2168510 ns R r2 00000001 - 2168510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2168530 ns R r2 80000000 - 2168530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2168550 ns R psr 81000200 - 2168550 ns MR4_I 00000238 48054770 - 2168570 ns MR4_I 00000230 07d2684a - 2168590 ns IT 00000230 684a LDR r2,[r1,#4] - 2168610 ns MR4_I 00000234 6008d1fc - 2168670 ns MR4_D 40006004 00000001 - 2168670 ns R r2 00000001 - 2168670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2168690 ns R r2 80000000 - 2168690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2168710 ns R psr 81000200 - 2168710 ns MR4_I 00000238 48054770 - 2168730 ns MR4_I 00000230 07d2684a - 2168750 ns IT 00000230 684a LDR r2,[r1,#4] - 2168770 ns MR4_I 00000234 6008d1fc - 2168830 ns MR4_D 40006004 00000001 - 2168830 ns R r2 00000001 - 2168830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2168850 ns R r2 80000000 - 2168850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2168870 ns R psr 81000200 - 2168870 ns MR4_I 00000238 48054770 - 2168890 ns MR4_I 00000230 07d2684a - 2168910 ns IT 00000230 684a LDR r2,[r1,#4] - 2168930 ns MR4_I 00000234 6008d1fc - 2168990 ns MR4_D 40006004 00000001 - 2168990 ns R r2 00000001 - 2168990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2169010 ns R r2 80000000 - 2169010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2169030 ns R psr 81000200 - 2169030 ns MR4_I 00000238 48054770 - 2169050 ns MR4_I 00000230 07d2684a - 2169070 ns IT 00000230 684a LDR r2,[r1,#4] - 2169090 ns MR4_I 00000234 6008d1fc - 2169150 ns MR4_D 40006004 00000001 - 2169150 ns R r2 00000001 - 2169150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2169170 ns R r2 80000000 - 2169170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2169190 ns R psr 81000200 - 2169190 ns MR4_I 00000238 48054770 - 2169210 ns MR4_I 00000230 07d2684a - 2169230 ns IT 00000230 684a LDR r2,[r1,#4] - 2169250 ns MR4_I 00000234 6008d1fc - 2169310 ns MR4_D 40006004 00000001 - 2169310 ns R r2 00000001 - 2169310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2169330 ns R r2 80000000 - 2169330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2169350 ns R psr 81000200 - 2169350 ns MR4_I 00000238 48054770 - 2169370 ns MR4_I 00000230 07d2684a - 2169390 ns IT 00000230 684a LDR r2,[r1,#4] - 2169410 ns MR4_I 00000234 6008d1fc - 2169470 ns MR4_D 40006004 00000001 - 2169470 ns R r2 00000001 - 2169470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2169490 ns R r2 80000000 - 2169490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2169510 ns R psr 81000200 - 2169510 ns MR4_I 00000238 48054770 - 2169530 ns MR4_I 00000230 07d2684a - 2169550 ns IT 00000230 684a LDR r2,[r1,#4] - 2169570 ns MR4_I 00000234 6008d1fc - 2169630 ns MR4_D 40006004 00000001 - 2169630 ns R r2 00000001 - 2169630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2169650 ns R r2 80000000 - 2169650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2169670 ns R psr 81000200 - 2169670 ns MR4_I 00000238 48054770 - 2169690 ns MR4_I 00000230 07d2684a - 2169710 ns IT 00000230 684a LDR r2,[r1,#4] - 2169730 ns MR4_I 00000234 6008d1fc - 2169790 ns MR4_D 40006004 00000001 - 2169790 ns R r2 00000001 - 2169790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2169810 ns R r2 80000000 - 2169810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2169830 ns R psr 81000200 - 2169830 ns MR4_I 00000238 48054770 - 2169850 ns MR4_I 00000230 07d2684a - 2169870 ns IT 00000230 684a LDR r2,[r1,#4] - 2169890 ns MR4_I 00000234 6008d1fc - 2169950 ns MR4_D 40006004 00000001 - 2169950 ns R r2 00000001 - 2169950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2169970 ns R r2 80000000 - 2169970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2169990 ns R psr 81000200 - 2169990 ns MR4_I 00000238 48054770 - 2170010 ns MR4_I 00000230 07d2684a - 2170030 ns IT 00000230 684a LDR r2,[r1,#4] - 2170050 ns MR4_I 00000234 6008d1fc - 2170110 ns MR4_D 40006004 00000001 - 2170110 ns R r2 00000001 - 2170110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2170130 ns R r2 80000000 - 2170130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2170150 ns R psr 81000200 - 2170150 ns MR4_I 00000238 48054770 - 2170170 ns MR4_I 00000230 07d2684a - 2170190 ns IT 00000230 684a LDR r2,[r1,#4] - 2170210 ns MR4_I 00000234 6008d1fc - 2170270 ns MR4_D 40006004 00000001 - 2170270 ns R r2 00000001 - 2170270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2170290 ns R r2 80000000 - 2170290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2170310 ns R psr 81000200 - 2170310 ns MR4_I 00000238 48054770 - 2170330 ns MR4_I 00000230 07d2684a - 2170350 ns IT 00000230 684a LDR r2,[r1,#4] - 2170370 ns MR4_I 00000234 6008d1fc - 2170430 ns MR4_D 40006004 00000001 - 2170430 ns R r2 00000001 - 2170430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2170450 ns R r2 80000000 - 2170450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2170470 ns R psr 81000200 - 2170470 ns MR4_I 00000238 48054770 - 2170490 ns MR4_I 00000230 07d2684a - 2170510 ns IT 00000230 684a LDR r2,[r1,#4] - 2170530 ns MR4_I 00000234 6008d1fc - 2170590 ns MR4_D 40006004 00000001 - 2170590 ns R r2 00000001 - 2170590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2170610 ns R r2 80000000 - 2170610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2170630 ns R psr 81000200 - 2170630 ns MR4_I 00000238 48054770 - 2170650 ns MR4_I 00000230 07d2684a - 2170670 ns IT 00000230 684a LDR r2,[r1,#4] - 2170690 ns MR4_I 00000234 6008d1fc - 2170750 ns MR4_D 40006004 00000001 - 2170750 ns R r2 00000001 - 2170750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2170770 ns R r2 80000000 - 2170770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2170790 ns R psr 81000200 - 2170790 ns MR4_I 00000238 48054770 - 2170810 ns MR4_I 00000230 07d2684a - 2170830 ns IT 00000230 684a LDR r2,[r1,#4] - 2170850 ns MR4_I 00000234 6008d1fc - 2170910 ns MR4_D 40006004 00000001 - 2170910 ns R r2 00000001 - 2170910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2170930 ns R r2 80000000 - 2170930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2170950 ns R psr 81000200 - 2170950 ns MR4_I 00000238 48054770 - 2170970 ns MR4_I 00000230 07d2684a - 2170990 ns IT 00000230 684a LDR r2,[r1,#4] - 2171010 ns MR4_I 00000234 6008d1fc - 2171070 ns MR4_D 40006004 00000001 - 2171070 ns R r2 00000001 - 2171070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2171090 ns R r2 80000000 - 2171090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2171110 ns R psr 81000200 - 2171110 ns MR4_I 00000238 48054770 - 2171130 ns MR4_I 00000230 07d2684a - 2171150 ns IT 00000230 684a LDR r2,[r1,#4] - 2171170 ns MR4_I 00000234 6008d1fc - 2171230 ns MR4_D 40006004 00000001 - 2171230 ns R r2 00000001 - 2171230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2171250 ns R r2 80000000 - 2171250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2171270 ns R psr 81000200 - 2171270 ns MR4_I 00000238 48054770 - 2171290 ns MR4_I 00000230 07d2684a - 2171310 ns IT 00000230 684a LDR r2,[r1,#4] - 2171330 ns MR4_I 00000234 6008d1fc - 2171390 ns MR4_D 40006004 00000001 - 2171390 ns R r2 00000001 - 2171390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2171410 ns R r2 80000000 - 2171410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2171430 ns R psr 81000200 - 2171430 ns MR4_I 00000238 48054770 - 2171450 ns MR4_I 00000230 07d2684a - 2171470 ns IT 00000230 684a LDR r2,[r1,#4] - 2171490 ns MR4_I 00000234 6008d1fc - 2171550 ns MR4_D 40006004 00000001 - 2171550 ns R r2 00000001 - 2171550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2171570 ns R r2 80000000 - 2171570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2171590 ns R psr 81000200 - 2171590 ns MR4_I 00000238 48054770 - 2171610 ns MR4_I 00000230 07d2684a - 2171630 ns IT 00000230 684a LDR r2,[r1,#4] - 2171650 ns MR4_I 00000234 6008d1fc - 2171710 ns MR4_D 40006004 00000001 - 2171710 ns R r2 00000001 - 2171710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2171730 ns R r2 80000000 - 2171730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2171750 ns R psr 81000200 - 2171750 ns MR4_I 00000238 48054770 - 2171770 ns MR4_I 00000230 07d2684a - 2171790 ns IT 00000230 684a LDR r2,[r1,#4] - 2171810 ns MR4_I 00000234 6008d1fc - 2171870 ns MR4_D 40006004 00000001 - 2171870 ns R r2 00000001 - 2171870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2171890 ns R r2 80000000 - 2171890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2171910 ns R psr 81000200 - 2171910 ns MR4_I 00000238 48054770 - 2171930 ns MR4_I 00000230 07d2684a - 2171950 ns IT 00000230 684a LDR r2,[r1,#4] - 2171970 ns MR4_I 00000234 6008d1fc - 2172030 ns MR4_D 40006004 00000001 - 2172030 ns R r2 00000001 - 2172030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2172050 ns R r2 80000000 - 2172050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2172070 ns R psr 81000200 - 2172070 ns MR4_I 00000238 48054770 - 2172090 ns MR4_I 00000230 07d2684a - 2172110 ns IT 00000230 684a LDR r2,[r1,#4] - 2172130 ns MR4_I 00000234 6008d1fc - 2172190 ns MR4_D 40006004 00000001 - 2172190 ns R r2 00000001 - 2172190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2172210 ns R r2 80000000 - 2172210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2172230 ns R psr 81000200 - 2172230 ns MR4_I 00000238 48054770 - 2172250 ns MR4_I 00000230 07d2684a - 2172270 ns IT 00000230 684a LDR r2,[r1,#4] - 2172290 ns MR4_I 00000234 6008d1fc - 2172350 ns MR4_D 40006004 00000001 - 2172350 ns R r2 00000001 - 2172350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2172370 ns R r2 80000000 - 2172370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2172390 ns R psr 81000200 - 2172390 ns MR4_I 00000238 48054770 - 2172410 ns MR4_I 00000230 07d2684a - 2172430 ns IT 00000230 684a LDR r2,[r1,#4] - 2172450 ns MR4_I 00000234 6008d1fc - 2172510 ns MR4_D 40006004 00000001 - 2172510 ns R r2 00000001 - 2172510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2172530 ns R r2 80000000 - 2172530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2172550 ns R psr 81000200 - 2172550 ns MR4_I 00000238 48054770 - 2172570 ns MR4_I 00000230 07d2684a - 2172590 ns IT 00000230 684a LDR r2,[r1,#4] - 2172610 ns MR4_I 00000234 6008d1fc - 2172670 ns MR4_D 40006004 00000001 - 2172670 ns R r2 00000001 - 2172670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2172690 ns R r2 80000000 - 2172690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2172710 ns R psr 81000200 - 2172710 ns MR4_I 00000238 48054770 - 2172730 ns MR4_I 00000230 07d2684a - 2172750 ns IT 00000230 684a LDR r2,[r1,#4] - 2172770 ns MR4_I 00000234 6008d1fc - 2172830 ns MR4_D 40006004 00000001 - 2172830 ns R r2 00000001 - 2172830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2172850 ns R r2 80000000 - 2172850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2172870 ns R psr 81000200 - 2172870 ns MR4_I 00000238 48054770 - 2172890 ns MR4_I 00000230 07d2684a - 2172910 ns IT 00000230 684a LDR r2,[r1,#4] - 2172930 ns MR4_I 00000234 6008d1fc - 2172990 ns MR4_D 40006004 00000001 - 2172990 ns R r2 00000001 - 2172990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2173010 ns R r2 80000000 - 2173010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2173030 ns R psr 81000200 - 2173030 ns MR4_I 00000238 48054770 - 2173050 ns MR4_I 00000230 07d2684a - 2173070 ns IT 00000230 684a LDR r2,[r1,#4] - 2173090 ns MR4_I 00000234 6008d1fc - 2173150 ns MR4_D 40006004 00000001 - 2173150 ns R r2 00000001 - 2173150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2173170 ns R r2 80000000 - 2173170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2173190 ns R psr 81000200 - 2173190 ns MR4_I 00000238 48054770 - 2173210 ns MR4_I 00000230 07d2684a - 2173230 ns IT 00000230 684a LDR r2,[r1,#4] - 2173250 ns MR4_I 00000234 6008d1fc - 2173310 ns MR4_D 40006004 00000001 - 2173310 ns R r2 00000001 - 2173310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2173330 ns R r2 80000000 - 2173330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2173350 ns R psr 81000200 - 2173350 ns MR4_I 00000238 48054770 - 2173370 ns MR4_I 00000230 07d2684a - 2173390 ns IT 00000230 684a LDR r2,[r1,#4] - 2173410 ns MR4_I 00000234 6008d1fc - 2173470 ns MR4_D 40006004 00000001 - 2173470 ns R r2 00000001 - 2173470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2173490 ns R r2 80000000 - 2173490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2173510 ns R psr 81000200 - 2173510 ns MR4_I 00000238 48054770 - 2173530 ns MR4_I 00000230 07d2684a - 2173550 ns IT 00000230 684a LDR r2,[r1,#4] - 2173570 ns MR4_I 00000234 6008d1fc - 2173630 ns MR4_D 40006004 00000001 - 2173630 ns R r2 00000001 - 2173630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2173650 ns R r2 80000000 - 2173650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2173670 ns R psr 81000200 - 2173670 ns MR4_I 00000238 48054770 - 2173690 ns MR4_I 00000230 07d2684a - 2173710 ns IT 00000230 684a LDR r2,[r1,#4] - 2173730 ns MR4_I 00000234 6008d1fc - 2173790 ns MR4_D 40006004 00000001 - 2173790 ns R r2 00000001 - 2173790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2173810 ns R r2 80000000 - 2173810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2173830 ns R psr 81000200 - 2173830 ns MR4_I 00000238 48054770 - 2173850 ns MR4_I 00000230 07d2684a - 2173870 ns IT 00000230 684a LDR r2,[r1,#4] - 2173890 ns MR4_I 00000234 6008d1fc - 2173950 ns MR4_D 40006004 00000001 - 2173950 ns R r2 00000001 - 2173950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2173970 ns R r2 80000000 - 2173970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2173990 ns R psr 81000200 - 2173990 ns MR4_I 00000238 48054770 - 2174010 ns MR4_I 00000230 07d2684a - 2174030 ns IT 00000230 684a LDR r2,[r1,#4] - 2174050 ns MR4_I 00000234 6008d1fc - 2174110 ns MR4_D 40006004 00000001 - 2174110 ns R r2 00000001 - 2174110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2174130 ns R r2 80000000 - 2174130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2174150 ns R psr 81000200 - 2174150 ns MR4_I 00000238 48054770 - 2174170 ns MR4_I 00000230 07d2684a - 2174190 ns IT 00000230 684a LDR r2,[r1,#4] - 2174210 ns MR4_I 00000234 6008d1fc - 2174270 ns MR4_D 40006004 00000001 - 2174270 ns R r2 00000001 - 2174270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2174290 ns R r2 80000000 - 2174290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2174310 ns R psr 81000200 - 2174310 ns MR4_I 00000238 48054770 - 2174330 ns MR4_I 00000230 07d2684a - 2174350 ns IT 00000230 684a LDR r2,[r1,#4] - 2174370 ns MR4_I 00000234 6008d1fc - 2174430 ns MR4_D 40006004 00000001 - 2174430 ns R r2 00000001 - 2174430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2174450 ns R r2 80000000 - 2174450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2174470 ns R psr 81000200 - 2174470 ns MR4_I 00000238 48054770 - 2174490 ns MR4_I 00000230 07d2684a - 2174510 ns IT 00000230 684a LDR r2,[r1,#4] - 2174530 ns MR4_I 00000234 6008d1fc - 2174590 ns MR4_D 40006004 00000001 - 2174590 ns R r2 00000001 - 2174590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2174610 ns R r2 80000000 - 2174610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2174630 ns R psr 81000200 - 2174630 ns MR4_I 00000238 48054770 - 2174650 ns MR4_I 00000230 07d2684a - 2174670 ns IT 00000230 684a LDR r2,[r1,#4] - 2174690 ns MR4_I 00000234 6008d1fc - 2174750 ns MR4_D 40006004 00000001 - 2174750 ns R r2 00000001 - 2174750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2174770 ns R r2 80000000 - 2174770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2174790 ns R psr 81000200 - 2174790 ns MR4_I 00000238 48054770 - 2174810 ns MR4_I 00000230 07d2684a - 2174830 ns IT 00000230 684a LDR r2,[r1,#4] - 2174850 ns MR4_I 00000234 6008d1fc - 2174910 ns MR4_D 40006004 00000001 - 2174910 ns R r2 00000001 - 2174910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2174930 ns R r2 80000000 - 2174930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2174950 ns R psr 81000200 - 2174950 ns MR4_I 00000238 48054770 - 2174970 ns MR4_I 00000230 07d2684a - 2174990 ns IT 00000230 684a LDR r2,[r1,#4] - 2175010 ns MR4_I 00000234 6008d1fc - 2175070 ns MR4_D 40006004 00000001 - 2175070 ns R r2 00000001 - 2175070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2175090 ns R r2 80000000 - 2175090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2175110 ns R psr 81000200 - 2175110 ns MR4_I 00000238 48054770 - 2175130 ns MR4_I 00000230 07d2684a - 2175150 ns IT 00000230 684a LDR r2,[r1,#4] - 2175170 ns MR4_I 00000234 6008d1fc - 2175230 ns MR4_D 40006004 00000001 - 2175230 ns R r2 00000001 - 2175230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2175250 ns R r2 80000000 - 2175250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2175270 ns R psr 81000200 - 2175270 ns MR4_I 00000238 48054770 - 2175290 ns MR4_I 00000230 07d2684a - 2175310 ns IT 00000230 684a LDR r2,[r1,#4] - 2175330 ns MR4_I 00000234 6008d1fc - 2175390 ns MR4_D 40006004 00000001 - 2175390 ns R r2 00000001 - 2175390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2175410 ns R r2 80000000 - 2175410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2175430 ns R psr 81000200 - 2175430 ns MR4_I 00000238 48054770 - 2175450 ns MR4_I 00000230 07d2684a - 2175470 ns IT 00000230 684a LDR r2,[r1,#4] - 2175490 ns MR4_I 00000234 6008d1fc - 2175550 ns MR4_D 40006004 00000001 - 2175550 ns R r2 00000001 - 2175550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2175570 ns R r2 80000000 - 2175570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2175590 ns R psr 81000200 - 2175590 ns MR4_I 00000238 48054770 - 2175610 ns MR4_I 00000230 07d2684a - 2175630 ns IT 00000230 684a LDR r2,[r1,#4] - 2175650 ns MR4_I 00000234 6008d1fc - 2175710 ns MR4_D 40006004 00000001 - 2175710 ns R r2 00000001 - 2175710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2175730 ns R r2 80000000 - 2175730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2175750 ns R psr 81000200 - 2175750 ns MR4_I 00000238 48054770 - 2175770 ns MR4_I 00000230 07d2684a - 2175790 ns IT 00000230 684a LDR r2,[r1,#4] - 2175810 ns MR4_I 00000234 6008d1fc - 2175870 ns MR4_D 40006004 00000001 - 2175870 ns R r2 00000001 - 2175870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2175890 ns R r2 80000000 - 2175890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2175910 ns R psr 81000200 - 2175910 ns MR4_I 00000238 48054770 - 2175930 ns MR4_I 00000230 07d2684a - 2175950 ns IT 00000230 684a LDR r2,[r1,#4] - 2175970 ns MR4_I 00000234 6008d1fc - 2176030 ns MR4_D 40006004 00000001 - 2176030 ns R r2 00000001 - 2176030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2176050 ns R r2 80000000 - 2176050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2176070 ns R psr 81000200 - 2176070 ns MR4_I 00000238 48054770 - 2176090 ns MR4_I 00000230 07d2684a - 2176110 ns IT 00000230 684a LDR r2,[r1,#4] - 2176130 ns MR4_I 00000234 6008d1fc - 2176190 ns MR4_D 40006004 00000001 - 2176190 ns R r2 00000001 - 2176190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2176210 ns R r2 80000000 - 2176210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2176230 ns R psr 81000200 - 2176230 ns MR4_I 00000238 48054770 - 2176250 ns MR4_I 00000230 07d2684a - 2176270 ns IT 00000230 684a LDR r2,[r1,#4] - 2176290 ns MR4_I 00000234 6008d1fc - 2176350 ns MR4_D 40006004 00000001 - 2176350 ns R r2 00000001 - 2176350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2176370 ns R r2 80000000 - 2176370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2176390 ns R psr 81000200 - 2176390 ns MR4_I 00000238 48054770 - 2176410 ns MR4_I 00000230 07d2684a - 2176430 ns IT 00000230 684a LDR r2,[r1,#4] - 2176450 ns MR4_I 00000234 6008d1fc - 2176510 ns MR4_D 40006004 00000001 - 2176510 ns R r2 00000001 - 2176510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2176530 ns R r2 80000000 - 2176530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2176550 ns R psr 81000200 - 2176550 ns MR4_I 00000238 48054770 - 2176570 ns MR4_I 00000230 07d2684a - 2176590 ns IT 00000230 684a LDR r2,[r1,#4] - 2176610 ns MR4_I 00000234 6008d1fc - 2176670 ns MR4_D 40006004 00000001 - 2176670 ns R r2 00000001 - 2176670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2176690 ns R r2 80000000 - 2176690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2176710 ns R psr 81000200 - 2176710 ns MR4_I 00000238 48054770 - 2176730 ns MR4_I 00000230 07d2684a - 2176750 ns IT 00000230 684a LDR r2,[r1,#4] - 2176770 ns MR4_I 00000234 6008d1fc - 2176830 ns MR4_D 40006004 00000001 - 2176830 ns R r2 00000001 - 2176830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2176850 ns R r2 80000000 - 2176850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2176870 ns R psr 81000200 - 2176870 ns MR4_I 00000238 48054770 - 2176890 ns MR4_I 00000230 07d2684a - 2176910 ns IT 00000230 684a LDR r2,[r1,#4] - 2176930 ns MR4_I 00000234 6008d1fc - 2176990 ns MR4_D 40006004 00000001 - 2176990 ns R r2 00000001 - 2176990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2177010 ns R r2 80000000 - 2177010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2177030 ns R psr 81000200 - 2177030 ns MR4_I 00000238 48054770 - 2177050 ns MR4_I 00000230 07d2684a - 2177070 ns IT 00000230 684a LDR r2,[r1,#4] - 2177090 ns MR4_I 00000234 6008d1fc - 2177150 ns MR4_D 40006004 00000001 - 2177150 ns R r2 00000001 - 2177150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2177170 ns R r2 80000000 - 2177170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2177190 ns R psr 81000200 - 2177190 ns MR4_I 00000238 48054770 - 2177210 ns MR4_I 00000230 07d2684a - 2177230 ns IT 00000230 684a LDR r2,[r1,#4] - 2177250 ns MR4_I 00000234 6008d1fc - 2177310 ns MR4_D 40006004 00000001 - 2177310 ns R r2 00000001 - 2177310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2177330 ns R r2 80000000 - 2177330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2177350 ns R psr 81000200 - 2177350 ns MR4_I 00000238 48054770 - 2177370 ns MR4_I 00000230 07d2684a - 2177390 ns IT 00000230 684a LDR r2,[r1,#4] - 2177410 ns MR4_I 00000234 6008d1fc - 2177470 ns MR4_D 40006004 00000001 - 2177470 ns R r2 00000001 - 2177470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2177490 ns R r2 80000000 - 2177490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2177510 ns R psr 81000200 - 2177510 ns MR4_I 00000238 48054770 - 2177530 ns MR4_I 00000230 07d2684a - 2177550 ns IT 00000230 684a LDR r2,[r1,#4] - 2177570 ns MR4_I 00000234 6008d1fc - 2177630 ns MR4_D 40006004 00000001 - 2177630 ns R r2 00000001 - 2177630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2177650 ns R r2 80000000 - 2177650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2177670 ns R psr 81000200 - 2177670 ns MR4_I 00000238 48054770 - 2177690 ns MR4_I 00000230 07d2684a - 2177710 ns IT 00000230 684a LDR r2,[r1,#4] - 2177730 ns MR4_I 00000234 6008d1fc - 2177790 ns MR4_D 40006004 00000001 - 2177790 ns R r2 00000001 - 2177790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2177810 ns R r2 80000000 - 2177810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2177830 ns R psr 81000200 - 2177830 ns MR4_I 00000238 48054770 - 2177850 ns MR4_I 00000230 07d2684a - 2177870 ns IT 00000230 684a LDR r2,[r1,#4] - 2177890 ns MR4_I 00000234 6008d1fc - 2177950 ns MR4_D 40006004 00000001 - 2177950 ns R r2 00000001 - 2177950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2177970 ns R r2 80000000 - 2177970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2177990 ns R psr 81000200 - 2177990 ns MR4_I 00000238 48054770 - 2178010 ns MR4_I 00000230 07d2684a - 2178030 ns IT 00000230 684a LDR r2,[r1,#4] - 2178050 ns MR4_I 00000234 6008d1fc - 2178110 ns MR4_D 40006004 00000001 - 2178110 ns R r2 00000001 - 2178110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2178130 ns R r2 80000000 - 2178130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2178150 ns R psr 81000200 - 2178150 ns MR4_I 00000238 48054770 - 2178170 ns MR4_I 00000230 07d2684a - 2178190 ns IT 00000230 684a LDR r2,[r1,#4] - 2178210 ns MR4_I 00000234 6008d1fc - 2178270 ns MR4_D 40006004 00000001 - 2178270 ns R r2 00000001 - 2178270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2178290 ns R r2 80000000 - 2178290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2178310 ns R psr 81000200 - 2178310 ns MR4_I 00000238 48054770 - 2178330 ns MR4_I 00000230 07d2684a - 2178350 ns IT 00000230 684a LDR r2,[r1,#4] - 2178370 ns MR4_I 00000234 6008d1fc - 2178430 ns MR4_D 40006004 00000001 - 2178430 ns R r2 00000001 - 2178430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2178450 ns R r2 80000000 - 2178450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2178470 ns R psr 81000200 - 2178470 ns MR4_I 00000238 48054770 - 2178490 ns MR4_I 00000230 07d2684a - 2178510 ns IT 00000230 684a LDR r2,[r1,#4] - 2178530 ns MR4_I 00000234 6008d1fc - 2178590 ns MR4_D 40006004 00000001 - 2178590 ns R r2 00000001 - 2178590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2178610 ns R r2 80000000 - 2178610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2178630 ns R psr 81000200 - 2178630 ns MR4_I 00000238 48054770 - 2178650 ns MR4_I 00000230 07d2684a - 2178670 ns IT 00000230 684a LDR r2,[r1,#4] - 2178690 ns MR4_I 00000234 6008d1fc - 2178750 ns MR4_D 40006004 00000001 - 2178750 ns R r2 00000001 - 2178750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2178770 ns R r2 80000000 - 2178770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2178790 ns R psr 81000200 - 2178790 ns MR4_I 00000238 48054770 - 2178810 ns MR4_I 00000230 07d2684a - 2178830 ns IT 00000230 684a LDR r2,[r1,#4] - 2178850 ns MR4_I 00000234 6008d1fc - 2178910 ns MR4_D 40006004 00000001 - 2178910 ns R r2 00000001 - 2178910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2178930 ns R r2 80000000 - 2178930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2178950 ns R psr 81000200 - 2178950 ns MR4_I 00000238 48054770 - 2178970 ns MR4_I 00000230 07d2684a - 2178990 ns IT 00000230 684a LDR r2,[r1,#4] - 2179010 ns MR4_I 00000234 6008d1fc - 2179070 ns MR4_D 40006004 00000001 - 2179070 ns R r2 00000001 - 2179070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2179090 ns R r2 80000000 - 2179090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2179110 ns R psr 81000200 - 2179110 ns MR4_I 00000238 48054770 - 2179130 ns MR4_I 00000230 07d2684a - 2179150 ns IT 00000230 684a LDR r2,[r1,#4] - 2179170 ns MR4_I 00000234 6008d1fc - 2179230 ns MR4_D 40006004 00000001 - 2179230 ns R r2 00000001 - 2179230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2179250 ns R r2 80000000 - 2179250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2179270 ns R psr 81000200 - 2179270 ns MR4_I 00000238 48054770 - 2179290 ns MR4_I 00000230 07d2684a - 2179310 ns IT 00000230 684a LDR r2,[r1,#4] - 2179330 ns MR4_I 00000234 6008d1fc - 2179390 ns MR4_D 40006004 00000001 - 2179390 ns R r2 00000001 - 2179390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2179410 ns R r2 80000000 - 2179410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2179430 ns R psr 81000200 - 2179430 ns MR4_I 00000238 48054770 - 2179450 ns MR4_I 00000230 07d2684a - 2179470 ns IT 00000230 684a LDR r2,[r1,#4] - 2179490 ns MR4_I 00000234 6008d1fc - 2179550 ns MR4_D 40006004 00000001 - 2179550 ns R r2 00000001 - 2179550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2179570 ns R r2 80000000 - 2179570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2179590 ns R psr 81000200 - 2179590 ns MR4_I 00000238 48054770 - 2179610 ns MR4_I 00000230 07d2684a - 2179630 ns IT 00000230 684a LDR r2,[r1,#4] - 2179650 ns MR4_I 00000234 6008d1fc - 2179710 ns MR4_D 40006004 00000001 - 2179710 ns R r2 00000001 - 2179710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2179730 ns R r2 80000000 - 2179730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2179750 ns R psr 81000200 - 2179750 ns MR4_I 00000238 48054770 - 2179770 ns MR4_I 00000230 07d2684a - 2179790 ns IT 00000230 684a LDR r2,[r1,#4] - 2179810 ns MR4_I 00000234 6008d1fc - 2179870 ns MR4_D 40006004 00000001 - 2179870 ns R r2 00000001 - 2179870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2179890 ns R r2 80000000 - 2179890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2179910 ns R psr 81000200 - 2179910 ns MR4_I 00000238 48054770 - 2179930 ns MR4_I 00000230 07d2684a - 2179950 ns IT 00000230 684a LDR r2,[r1,#4] - 2179970 ns MR4_I 00000234 6008d1fc - 2180030 ns MR4_D 40006004 00000000 - 2180030 ns R r2 00000000 - 2180030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2180050 ns R r2 00000000 - 2180050 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2180070 ns R psr 41000200 - 2180070 ns MR4_I 00000238 48054770 - 2180070 ns IT 00000236 6008 STR r0,[r1,#0] - 2180150 ns MW4_D 40006000 00000020 - 2180150 ns IT 00000238 4770 BX lr - 2180170 ns MR4_I 0000023c 07896841 - 2180190 ns R psr 41000200 - 2180190 ns MR4_I 000001fc b510bd10 - 2180210 ns IT 000001fc bd10 POP {r4,pc} - 2180230 ns MR4_I 00000200 f81bf000 - 2180230 ns R r13 200002e0 (MSP) - 2180250 ns MR4_D 200002d8 00000005 - 2180250 ns R r4 00000005 - 2180270 ns MR4_D 200002dc 0000032d - 2180290 ns R psr 41000200 - 2180310 ns MR4_I 0000032c 46301c64 - 2180330 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 2180350 ns MR4_I 00000330 280047a8 - 2180350 ns R r4 00000006 - 2180350 ns IT 0000032e 4630 MOV r0,r6 - 2180370 ns R psr 01000200 - 2180370 ns R r0 200002f8 - 2180370 ns IT 00000330 47a8 BLX r5 - 2180390 ns MR4_I 00000334 4620d1f8 - 2180410 ns R psr 01000200 - 2180410 ns MR4_I 000002a8 1c4a6901 - 2180410 ns R r14 00000333 - 2180430 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2180450 ns MR4_I 000002ac 78086102 - 2180470 ns MR4_D 20000308 00000186 - 2180470 ns R r1 00000186 - 2180470 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2180490 ns R r2 00000187 - 2180490 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2180510 ns R psr 01000200 - 2180510 ns MR4_I 000002b0 b5004770 - 2180530 ns MW4_D 20000308 00000187 - 2180530 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2180570 ns MR1_D 00000186 6f77206f - 2180570 ns R r0 00000077 - 2180570 ns IT 000002b0 4770 BX lr - 2180590 ns MR4_I 000002b4 9102b08f - 2180610 ns R psr 01000200 - 2180610 ns MR4_I 00000330 280047a8 - 2180630 ns MR4_I 00000334 4620d1f8 - 2180630 ns IT 00000332 2800 CMP r0,#0 - 2180650 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2180670 ns R psr 21000200 - 2180670 ns MR4_I 00000338 b510bdf8 - 2180690 ns MR4_I 00000328 47b89900 - 2180710 ns IT 00000328 9900 LDR r1,[sp,#0] - 2180730 ns MR4_I 0000032c 46301c64 - 2180750 ns MR4_D 200002e0 20000004 - 2180750 ns R r1 20000004 - 2180750 ns IT 0000032a 47b8 BLX r7 - 2180790 ns R psr 21000200 - 2180790 ns MR4_I 000001f4 b2c0b510 - 2180790 ns R r14 0000032d - 2180810 ns IT 000001f4 b510 PUSH {r4,lr} - 2180830 ns MR4_I 000001f8 f819f000 - 2180850 ns MW4_D 200002d8 00000006 - 2180870 ns MW4_D 200002dc 0000032d - 2180870 ns R r13 200002d8 (MSP) - 2180870 ns IT 000001f6 b2c0 UXTB r0,r0 - 2180890 ns R r0 00000077 - 2180890 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 2180910 ns MR4_I 000001fc b510bd10 - 2180930 ns R r14 000001fd - 2180950 ns MR4_I 0000022c 49084770 - 2180970 ns MR4_I 00000230 07d2684a - 2180970 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 2181010 ns MR4_D 00000250 40006000 - 2181010 ns R r1 40006000 - 2181010 ns IT 00000230 684a LDR r2,[r1,#4] - 2181030 ns MR4_I 00000234 6008d1fc - 2181090 ns MR4_D 40006004 00000001 - 2181090 ns R r2 00000001 - 2181090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2181110 ns R r2 80000000 - 2181110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2181130 ns R psr 81000200 - 2181130 ns MR4_I 00000238 48054770 - 2181150 ns MR4_I 00000230 07d2684a - 2181170 ns IT 00000230 684a LDR r2,[r1,#4] - 2181190 ns MR4_I 00000234 6008d1fc - 2181250 ns MR4_D 40006004 00000001 - 2181250 ns R r2 00000001 - 2181250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2181270 ns R r2 80000000 - 2181270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2181290 ns R psr 81000200 - 2181290 ns MR4_I 00000238 48054770 - 2181310 ns MR4_I 00000230 07d2684a - 2181330 ns IT 00000230 684a LDR r2,[r1,#4] - 2181350 ns MR4_I 00000234 6008d1fc - 2181410 ns MR4_D 40006004 00000001 - 2181410 ns R r2 00000001 - 2181410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2181430 ns R r2 80000000 - 2181430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2181450 ns R psr 81000200 - 2181450 ns MR4_I 00000238 48054770 - 2181470 ns MR4_I 00000230 07d2684a - 2181490 ns IT 00000230 684a LDR r2,[r1,#4] - 2181510 ns MR4_I 00000234 6008d1fc - 2181570 ns MR4_D 40006004 00000001 - 2181570 ns R r2 00000001 - 2181570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2181590 ns R r2 80000000 - 2181590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2181610 ns R psr 81000200 - 2181610 ns MR4_I 00000238 48054770 - 2181630 ns MR4_I 00000230 07d2684a - 2181650 ns IT 00000230 684a LDR r2,[r1,#4] - 2181670 ns MR4_I 00000234 6008d1fc - 2181730 ns MR4_D 40006004 00000001 - 2181730 ns R r2 00000001 - 2181730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2181750 ns R r2 80000000 - 2181750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2181770 ns R psr 81000200 - 2181770 ns MR4_I 00000238 48054770 - 2181790 ns MR4_I 00000230 07d2684a - 2181810 ns IT 00000230 684a LDR r2,[r1,#4] - 2181830 ns MR4_I 00000234 6008d1fc - 2181890 ns MR4_D 40006004 00000001 - 2181890 ns R r2 00000001 - 2181890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2181910 ns R r2 80000000 - 2181910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2181930 ns R psr 81000200 - 2181930 ns MR4_I 00000238 48054770 - 2181950 ns MR4_I 00000230 07d2684a - 2181970 ns IT 00000230 684a LDR r2,[r1,#4] - 2181990 ns MR4_I 00000234 6008d1fc - 2182050 ns MR4_D 40006004 00000001 - 2182050 ns R r2 00000001 - 2182050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2182070 ns R r2 80000000 - 2182070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2182090 ns R psr 81000200 - 2182090 ns MR4_I 00000238 48054770 - 2182110 ns MR4_I 00000230 07d2684a - 2182130 ns IT 00000230 684a LDR r2,[r1,#4] - 2182150 ns MR4_I 00000234 6008d1fc - 2182210 ns MR4_D 40006004 00000001 - 2182210 ns R r2 00000001 - 2182210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2182230 ns R r2 80000000 - 2182230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2182250 ns R psr 81000200 - 2182250 ns MR4_I 00000238 48054770 - 2182270 ns MR4_I 00000230 07d2684a - 2182290 ns IT 00000230 684a LDR r2,[r1,#4] - 2182310 ns MR4_I 00000234 6008d1fc - 2182370 ns MR4_D 40006004 00000001 - 2182370 ns R r2 00000001 - 2182370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2182390 ns R r2 80000000 - 2182390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2182410 ns R psr 81000200 - 2182410 ns MR4_I 00000238 48054770 - 2182430 ns MR4_I 00000230 07d2684a - 2182450 ns IT 00000230 684a LDR r2,[r1,#4] - 2182470 ns MR4_I 00000234 6008d1fc - 2182530 ns MR4_D 40006004 00000001 - 2182530 ns R r2 00000001 - 2182530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2182550 ns R r2 80000000 - 2182550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2182570 ns R psr 81000200 - 2182570 ns MR4_I 00000238 48054770 - 2182590 ns MR4_I 00000230 07d2684a - 2182610 ns IT 00000230 684a LDR r2,[r1,#4] - 2182630 ns MR4_I 00000234 6008d1fc - 2182690 ns MR4_D 40006004 00000001 - 2182690 ns R r2 00000001 - 2182690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2182710 ns R r2 80000000 - 2182710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2182730 ns R psr 81000200 - 2182730 ns MR4_I 00000238 48054770 - 2182750 ns MR4_I 00000230 07d2684a - 2182770 ns IT 00000230 684a LDR r2,[r1,#4] - 2182790 ns MR4_I 00000234 6008d1fc - 2182850 ns MR4_D 40006004 00000001 - 2182850 ns R r2 00000001 - 2182850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2182870 ns R r2 80000000 - 2182870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2182890 ns R psr 81000200 - 2182890 ns MR4_I 00000238 48054770 - 2182910 ns MR4_I 00000230 07d2684a - 2182930 ns IT 00000230 684a LDR r2,[r1,#4] - 2182950 ns MR4_I 00000234 6008d1fc - 2183010 ns MR4_D 40006004 00000001 - 2183010 ns R r2 00000001 - 2183010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2183030 ns R r2 80000000 - 2183030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2183050 ns R psr 81000200 - 2183050 ns MR4_I 00000238 48054770 - 2183070 ns MR4_I 00000230 07d2684a - 2183090 ns IT 00000230 684a LDR r2,[r1,#4] - 2183110 ns MR4_I 00000234 6008d1fc - 2183170 ns MR4_D 40006004 00000001 - 2183170 ns R r2 00000001 - 2183170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2183190 ns R r2 80000000 - 2183190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2183210 ns R psr 81000200 - 2183210 ns MR4_I 00000238 48054770 - 2183230 ns MR4_I 00000230 07d2684a - 2183250 ns IT 00000230 684a LDR r2,[r1,#4] - 2183270 ns MR4_I 00000234 6008d1fc - 2183330 ns MR4_D 40006004 00000001 - 2183330 ns R r2 00000001 - 2183330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2183350 ns R r2 80000000 - 2183350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2183370 ns R psr 81000200 - 2183370 ns MR4_I 00000238 48054770 - 2183390 ns MR4_I 00000230 07d2684a - 2183410 ns IT 00000230 684a LDR r2,[r1,#4] - 2183430 ns MR4_I 00000234 6008d1fc - 2183490 ns MR4_D 40006004 00000001 - 2183490 ns R r2 00000001 - 2183490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2183510 ns R r2 80000000 - 2183510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2183530 ns R psr 81000200 - 2183530 ns MR4_I 00000238 48054770 - 2183550 ns MR4_I 00000230 07d2684a - 2183570 ns IT 00000230 684a LDR r2,[r1,#4] - 2183590 ns MR4_I 00000234 6008d1fc - 2183650 ns MR4_D 40006004 00000001 - 2183650 ns R r2 00000001 - 2183650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2183670 ns R r2 80000000 - 2183670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2183690 ns R psr 81000200 - 2183690 ns MR4_I 00000238 48054770 - 2183710 ns MR4_I 00000230 07d2684a - 2183730 ns IT 00000230 684a LDR r2,[r1,#4] - 2183750 ns MR4_I 00000234 6008d1fc - 2183810 ns MR4_D 40006004 00000001 - 2183810 ns R r2 00000001 - 2183810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2183830 ns R r2 80000000 - 2183830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2183850 ns R psr 81000200 - 2183850 ns MR4_I 00000238 48054770 - 2183870 ns MR4_I 00000230 07d2684a - 2183890 ns IT 00000230 684a LDR r2,[r1,#4] - 2183910 ns MR4_I 00000234 6008d1fc - 2183970 ns MR4_D 40006004 00000001 - 2183970 ns R r2 00000001 - 2183970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2183990 ns R r2 80000000 - 2183990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2184010 ns R psr 81000200 - 2184010 ns MR4_I 00000238 48054770 - 2184030 ns MR4_I 00000230 07d2684a - 2184050 ns IT 00000230 684a LDR r2,[r1,#4] - 2184070 ns MR4_I 00000234 6008d1fc - 2184130 ns MR4_D 40006004 00000001 - 2184130 ns R r2 00000001 - 2184130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2184150 ns R r2 80000000 - 2184150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2184170 ns R psr 81000200 - 2184170 ns MR4_I 00000238 48054770 - 2184190 ns MR4_I 00000230 07d2684a - 2184210 ns IT 00000230 684a LDR r2,[r1,#4] - 2184230 ns MR4_I 00000234 6008d1fc - 2184290 ns MR4_D 40006004 00000001 - 2184290 ns R r2 00000001 - 2184290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2184310 ns R r2 80000000 - 2184310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2184330 ns R psr 81000200 - 2184330 ns MR4_I 00000238 48054770 - 2184350 ns MR4_I 00000230 07d2684a - 2184370 ns IT 00000230 684a LDR r2,[r1,#4] - 2184390 ns MR4_I 00000234 6008d1fc - 2184450 ns MR4_D 40006004 00000001 - 2184450 ns R r2 00000001 - 2184450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2184470 ns R r2 80000000 - 2184470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2184490 ns R psr 81000200 - 2184490 ns MR4_I 00000238 48054770 - 2184510 ns MR4_I 00000230 07d2684a - 2184530 ns IT 00000230 684a LDR r2,[r1,#4] - 2184550 ns MR4_I 00000234 6008d1fc - 2184610 ns MR4_D 40006004 00000001 - 2184610 ns R r2 00000001 - 2184610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2184630 ns R r2 80000000 - 2184630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2184650 ns R psr 81000200 - 2184650 ns MR4_I 00000238 48054770 - 2184670 ns MR4_I 00000230 07d2684a - 2184690 ns IT 00000230 684a LDR r2,[r1,#4] - 2184710 ns MR4_I 00000234 6008d1fc - 2184770 ns MR4_D 40006004 00000001 - 2184770 ns R r2 00000001 - 2184770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2184790 ns R r2 80000000 - 2184790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2184810 ns R psr 81000200 - 2184810 ns MR4_I 00000238 48054770 - 2184830 ns MR4_I 00000230 07d2684a - 2184850 ns IT 00000230 684a LDR r2,[r1,#4] - 2184870 ns MR4_I 00000234 6008d1fc - 2184930 ns MR4_D 40006004 00000001 - 2184930 ns R r2 00000001 - 2184930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2184950 ns R r2 80000000 - 2184950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2184970 ns R psr 81000200 - 2184970 ns MR4_I 00000238 48054770 - 2184990 ns MR4_I 00000230 07d2684a - 2185010 ns IT 00000230 684a LDR r2,[r1,#4] - 2185030 ns MR4_I 00000234 6008d1fc - 2185090 ns MR4_D 40006004 00000001 - 2185090 ns R r2 00000001 - 2185090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2185110 ns R r2 80000000 - 2185110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2185130 ns R psr 81000200 - 2185130 ns MR4_I 00000238 48054770 - 2185150 ns MR4_I 00000230 07d2684a - 2185170 ns IT 00000230 684a LDR r2,[r1,#4] - 2185190 ns MR4_I 00000234 6008d1fc - 2185250 ns MR4_D 40006004 00000001 - 2185250 ns R r2 00000001 - 2185250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2185270 ns R r2 80000000 - 2185270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2185290 ns R psr 81000200 - 2185290 ns MR4_I 00000238 48054770 - 2185310 ns MR4_I 00000230 07d2684a - 2185330 ns IT 00000230 684a LDR r2,[r1,#4] - 2185350 ns MR4_I 00000234 6008d1fc - 2185410 ns MR4_D 40006004 00000001 - 2185410 ns R r2 00000001 - 2185410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2185430 ns R r2 80000000 - 2185430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2185450 ns R psr 81000200 - 2185450 ns MR4_I 00000238 48054770 - 2185470 ns MR4_I 00000230 07d2684a - 2185490 ns IT 00000230 684a LDR r2,[r1,#4] - 2185510 ns MR4_I 00000234 6008d1fc - 2185570 ns MR4_D 40006004 00000001 - 2185570 ns R r2 00000001 - 2185570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2185590 ns R r2 80000000 - 2185590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2185610 ns R psr 81000200 - 2185610 ns MR4_I 00000238 48054770 - 2185630 ns MR4_I 00000230 07d2684a - 2185650 ns IT 00000230 684a LDR r2,[r1,#4] - 2185670 ns MR4_I 00000234 6008d1fc - 2185730 ns MR4_D 40006004 00000001 - 2185730 ns R r2 00000001 - 2185730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2185750 ns R r2 80000000 - 2185750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2185770 ns R psr 81000200 - 2185770 ns MR4_I 00000238 48054770 - 2185790 ns MR4_I 00000230 07d2684a - 2185810 ns IT 00000230 684a LDR r2,[r1,#4] - 2185830 ns MR4_I 00000234 6008d1fc - 2185890 ns MR4_D 40006004 00000001 - 2185890 ns R r2 00000001 - 2185890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2185910 ns R r2 80000000 - 2185910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2185930 ns R psr 81000200 - 2185930 ns MR4_I 00000238 48054770 - 2185950 ns MR4_I 00000230 07d2684a - 2185970 ns IT 00000230 684a LDR r2,[r1,#4] - 2185990 ns MR4_I 00000234 6008d1fc - 2186050 ns MR4_D 40006004 00000001 - 2186050 ns R r2 00000001 - 2186050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2186070 ns R r2 80000000 - 2186070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2186090 ns R psr 81000200 - 2186090 ns MR4_I 00000238 48054770 - 2186110 ns MR4_I 00000230 07d2684a - 2186130 ns IT 00000230 684a LDR r2,[r1,#4] - 2186150 ns MR4_I 00000234 6008d1fc - 2186210 ns MR4_D 40006004 00000001 - 2186210 ns R r2 00000001 - 2186210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2186230 ns R r2 80000000 - 2186230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2186250 ns R psr 81000200 - 2186250 ns MR4_I 00000238 48054770 - 2186270 ns MR4_I 00000230 07d2684a - 2186290 ns IT 00000230 684a LDR r2,[r1,#4] - 2186310 ns MR4_I 00000234 6008d1fc - 2186370 ns MR4_D 40006004 00000001 - 2186370 ns R r2 00000001 - 2186370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2186390 ns R r2 80000000 - 2186390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2186410 ns R psr 81000200 - 2186410 ns MR4_I 00000238 48054770 - 2186430 ns MR4_I 00000230 07d2684a - 2186450 ns IT 00000230 684a LDR r2,[r1,#4] - 2186470 ns MR4_I 00000234 6008d1fc - 2186530 ns MR4_D 40006004 00000001 - 2186530 ns R r2 00000001 - 2186530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2186550 ns R r2 80000000 - 2186550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2186570 ns R psr 81000200 - 2186570 ns MR4_I 00000238 48054770 - 2186590 ns MR4_I 00000230 07d2684a - 2186610 ns IT 00000230 684a LDR r2,[r1,#4] - 2186630 ns MR4_I 00000234 6008d1fc - 2186690 ns MR4_D 40006004 00000001 - 2186690 ns R r2 00000001 - 2186690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2186710 ns R r2 80000000 - 2186710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2186730 ns R psr 81000200 - 2186730 ns MR4_I 00000238 48054770 - 2186750 ns MR4_I 00000230 07d2684a - 2186770 ns IT 00000230 684a LDR r2,[r1,#4] - 2186790 ns MR4_I 00000234 6008d1fc - 2186850 ns MR4_D 40006004 00000001 - 2186850 ns R r2 00000001 - 2186850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2186870 ns R r2 80000000 - 2186870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2186890 ns R psr 81000200 - 2186890 ns MR4_I 00000238 48054770 - 2186910 ns MR4_I 00000230 07d2684a - 2186930 ns IT 00000230 684a LDR r2,[r1,#4] - 2186950 ns MR4_I 00000234 6008d1fc - 2187010 ns MR4_D 40006004 00000001 - 2187010 ns R r2 00000001 - 2187010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2187030 ns R r2 80000000 - 2187030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2187050 ns R psr 81000200 - 2187050 ns MR4_I 00000238 48054770 - 2187070 ns MR4_I 00000230 07d2684a - 2187090 ns IT 00000230 684a LDR r2,[r1,#4] - 2187110 ns MR4_I 00000234 6008d1fc - 2187170 ns MR4_D 40006004 00000001 - 2187170 ns R r2 00000001 - 2187170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2187190 ns R r2 80000000 - 2187190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2187210 ns R psr 81000200 - 2187210 ns MR4_I 00000238 48054770 - 2187230 ns MR4_I 00000230 07d2684a - 2187250 ns IT 00000230 684a LDR r2,[r1,#4] - 2187270 ns MR4_I 00000234 6008d1fc - 2187330 ns MR4_D 40006004 00000001 - 2187330 ns R r2 00000001 - 2187330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2187350 ns R r2 80000000 - 2187350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2187370 ns R psr 81000200 - 2187370 ns MR4_I 00000238 48054770 - 2187390 ns MR4_I 00000230 07d2684a - 2187410 ns IT 00000230 684a LDR r2,[r1,#4] - 2187430 ns MR4_I 00000234 6008d1fc - 2187490 ns MR4_D 40006004 00000001 - 2187490 ns R r2 00000001 - 2187490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2187510 ns R r2 80000000 - 2187510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2187530 ns R psr 81000200 - 2187530 ns MR4_I 00000238 48054770 - 2187550 ns MR4_I 00000230 07d2684a - 2187570 ns IT 00000230 684a LDR r2,[r1,#4] - 2187590 ns MR4_I 00000234 6008d1fc - 2187650 ns MR4_D 40006004 00000001 - 2187650 ns R r2 00000001 - 2187650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2187670 ns R r2 80000000 - 2187670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2187690 ns R psr 81000200 - 2187690 ns MR4_I 00000238 48054770 - 2187710 ns MR4_I 00000230 07d2684a - 2187730 ns IT 00000230 684a LDR r2,[r1,#4] - 2187750 ns MR4_I 00000234 6008d1fc - 2187810 ns MR4_D 40006004 00000001 - 2187810 ns R r2 00000001 - 2187810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2187830 ns R r2 80000000 - 2187830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2187850 ns R psr 81000200 - 2187850 ns MR4_I 00000238 48054770 - 2187870 ns MR4_I 00000230 07d2684a - 2187890 ns IT 00000230 684a LDR r2,[r1,#4] - 2187910 ns MR4_I 00000234 6008d1fc - 2187970 ns MR4_D 40006004 00000001 - 2187970 ns R r2 00000001 - 2187970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2187990 ns R r2 80000000 - 2187990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2188010 ns R psr 81000200 - 2188010 ns MR4_I 00000238 48054770 - 2188030 ns MR4_I 00000230 07d2684a - 2188050 ns IT 00000230 684a LDR r2,[r1,#4] - 2188070 ns MR4_I 00000234 6008d1fc - 2188130 ns MR4_D 40006004 00000001 - 2188130 ns R r2 00000001 - 2188130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2188150 ns R r2 80000000 - 2188150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2188170 ns R psr 81000200 - 2188170 ns MR4_I 00000238 48054770 - 2188190 ns MR4_I 00000230 07d2684a - 2188210 ns IT 00000230 684a LDR r2,[r1,#4] - 2188230 ns MR4_I 00000234 6008d1fc - 2188290 ns MR4_D 40006004 00000001 - 2188290 ns R r2 00000001 - 2188290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2188310 ns R r2 80000000 - 2188310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2188330 ns R psr 81000200 - 2188330 ns MR4_I 00000238 48054770 - 2188350 ns MR4_I 00000230 07d2684a - 2188370 ns IT 00000230 684a LDR r2,[r1,#4] - 2188390 ns MR4_I 00000234 6008d1fc - 2188450 ns MR4_D 40006004 00000001 - 2188450 ns R r2 00000001 - 2188450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2188470 ns R r2 80000000 - 2188470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2188490 ns R psr 81000200 - 2188490 ns MR4_I 00000238 48054770 - 2188510 ns MR4_I 00000230 07d2684a - 2188530 ns IT 00000230 684a LDR r2,[r1,#4] - 2188550 ns MR4_I 00000234 6008d1fc - 2188610 ns MR4_D 40006004 00000001 - 2188610 ns R r2 00000001 - 2188610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2188630 ns R r2 80000000 - 2188630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2188650 ns R psr 81000200 - 2188650 ns MR4_I 00000238 48054770 - 2188670 ns MR4_I 00000230 07d2684a - 2188690 ns IT 00000230 684a LDR r2,[r1,#4] - 2188710 ns MR4_I 00000234 6008d1fc - 2188770 ns MR4_D 40006004 00000001 - 2188770 ns R r2 00000001 - 2188770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2188790 ns R r2 80000000 - 2188790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2188810 ns R psr 81000200 - 2188810 ns MR4_I 00000238 48054770 - 2188830 ns MR4_I 00000230 07d2684a - 2188850 ns IT 00000230 684a LDR r2,[r1,#4] - 2188870 ns MR4_I 00000234 6008d1fc - 2188930 ns MR4_D 40006004 00000001 - 2188930 ns R r2 00000001 - 2188930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2188950 ns R r2 80000000 - 2188950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2188970 ns R psr 81000200 - 2188970 ns MR4_I 00000238 48054770 - 2188990 ns MR4_I 00000230 07d2684a - 2189010 ns IT 00000230 684a LDR r2,[r1,#4] - 2189030 ns MR4_I 00000234 6008d1fc - 2189090 ns MR4_D 40006004 00000001 - 2189090 ns R r2 00000001 - 2189090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2189110 ns R r2 80000000 - 2189110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2189130 ns R psr 81000200 - 2189130 ns MR4_I 00000238 48054770 - 2189150 ns MR4_I 00000230 07d2684a - 2189170 ns IT 00000230 684a LDR r2,[r1,#4] - 2189190 ns MR4_I 00000234 6008d1fc - 2189250 ns MR4_D 40006004 00000001 - 2189250 ns R r2 00000001 - 2189250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2189270 ns R r2 80000000 - 2189270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2189290 ns R psr 81000200 - 2189290 ns MR4_I 00000238 48054770 - 2189310 ns MR4_I 00000230 07d2684a - 2189330 ns IT 00000230 684a LDR r2,[r1,#4] - 2189350 ns MR4_I 00000234 6008d1fc - 2189410 ns MR4_D 40006004 00000001 - 2189410 ns R r2 00000001 - 2189410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2189430 ns R r2 80000000 - 2189430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2189450 ns R psr 81000200 - 2189450 ns MR4_I 00000238 48054770 - 2189470 ns MR4_I 00000230 07d2684a - 2189490 ns IT 00000230 684a LDR r2,[r1,#4] - 2189510 ns MR4_I 00000234 6008d1fc - 2189570 ns MR4_D 40006004 00000001 - 2189570 ns R r2 00000001 - 2189570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2189590 ns R r2 80000000 - 2189590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2189610 ns R psr 81000200 - 2189610 ns MR4_I 00000238 48054770 - 2189630 ns MR4_I 00000230 07d2684a - 2189650 ns IT 00000230 684a LDR r2,[r1,#4] - 2189670 ns MR4_I 00000234 6008d1fc - 2189730 ns MR4_D 40006004 00000001 - 2189730 ns R r2 00000001 - 2189730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2189750 ns R r2 80000000 - 2189750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2189770 ns R psr 81000200 - 2189770 ns MR4_I 00000238 48054770 - 2189790 ns MR4_I 00000230 07d2684a - 2189810 ns IT 00000230 684a LDR r2,[r1,#4] - 2189830 ns MR4_I 00000234 6008d1fc - 2189890 ns MR4_D 40006004 00000001 - 2189890 ns R r2 00000001 - 2189890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2189910 ns R r2 80000000 - 2189910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2189930 ns R psr 81000200 - 2189930 ns MR4_I 00000238 48054770 - 2189950 ns MR4_I 00000230 07d2684a - 2189970 ns IT 00000230 684a LDR r2,[r1,#4] - 2189990 ns MR4_I 00000234 6008d1fc - 2190050 ns MR4_D 40006004 00000001 - 2190050 ns R r2 00000001 - 2190050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2190070 ns R r2 80000000 - 2190070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2190090 ns R psr 81000200 - 2190090 ns MR4_I 00000238 48054770 - 2190110 ns MR4_I 00000230 07d2684a - 2190130 ns IT 00000230 684a LDR r2,[r1,#4] - 2190150 ns MR4_I 00000234 6008d1fc - 2190210 ns MR4_D 40006004 00000001 - 2190210 ns R r2 00000001 - 2190210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2190230 ns R r2 80000000 - 2190230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2190250 ns R psr 81000200 - 2190250 ns MR4_I 00000238 48054770 - 2190270 ns MR4_I 00000230 07d2684a - 2190290 ns IT 00000230 684a LDR r2,[r1,#4] - 2190310 ns MR4_I 00000234 6008d1fc - 2190370 ns MR4_D 40006004 00000001 - 2190370 ns R r2 00000001 - 2190370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2190390 ns R r2 80000000 - 2190390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2190410 ns R psr 81000200 - 2190410 ns MR4_I 00000238 48054770 - 2190430 ns MR4_I 00000230 07d2684a - 2190450 ns IT 00000230 684a LDR r2,[r1,#4] - 2190470 ns MR4_I 00000234 6008d1fc - 2190530 ns MR4_D 40006004 00000001 - 2190530 ns R r2 00000001 - 2190530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2190550 ns R r2 80000000 - 2190550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2190570 ns R psr 81000200 - 2190570 ns MR4_I 00000238 48054770 - 2190590 ns MR4_I 00000230 07d2684a - 2190610 ns IT 00000230 684a LDR r2,[r1,#4] - 2190630 ns MR4_I 00000234 6008d1fc - 2190690 ns MR4_D 40006004 00000001 - 2190690 ns R r2 00000001 - 2190690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2190710 ns R r2 80000000 - 2190710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2190730 ns R psr 81000200 - 2190730 ns MR4_I 00000238 48054770 - 2190750 ns MR4_I 00000230 07d2684a - 2190770 ns IT 00000230 684a LDR r2,[r1,#4] - 2190790 ns MR4_I 00000234 6008d1fc - 2190850 ns MR4_D 40006004 00000001 - 2190850 ns R r2 00000001 - 2190850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2190870 ns R r2 80000000 - 2190870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2190890 ns R psr 81000200 - 2190890 ns MR4_I 00000238 48054770 - 2190910 ns MR4_I 00000230 07d2684a - 2190930 ns IT 00000230 684a LDR r2,[r1,#4] - 2190950 ns MR4_I 00000234 6008d1fc - 2191010 ns MR4_D 40006004 00000001 - 2191010 ns R r2 00000001 - 2191010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2191030 ns R r2 80000000 - 2191030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2191050 ns R psr 81000200 - 2191050 ns MR4_I 00000238 48054770 - 2191070 ns MR4_I 00000230 07d2684a - 2191090 ns IT 00000230 684a LDR r2,[r1,#4] - 2191110 ns MR4_I 00000234 6008d1fc - 2191170 ns MR4_D 40006004 00000001 - 2191170 ns R r2 00000001 - 2191170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2191190 ns R r2 80000000 - 2191190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2191210 ns R psr 81000200 - 2191210 ns MR4_I 00000238 48054770 - 2191230 ns MR4_I 00000230 07d2684a - 2191250 ns IT 00000230 684a LDR r2,[r1,#4] - 2191270 ns MR4_I 00000234 6008d1fc - 2191330 ns MR4_D 40006004 00000001 - 2191330 ns R r2 00000001 - 2191330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2191350 ns R r2 80000000 - 2191350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2191370 ns R psr 81000200 - 2191370 ns MR4_I 00000238 48054770 - 2191390 ns MR4_I 00000230 07d2684a - 2191410 ns IT 00000230 684a LDR r2,[r1,#4] - 2191430 ns MR4_I 00000234 6008d1fc - 2191490 ns MR4_D 40006004 00000001 - 2191490 ns R r2 00000001 - 2191490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2191510 ns R r2 80000000 - 2191510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2191530 ns R psr 81000200 - 2191530 ns MR4_I 00000238 48054770 - 2191550 ns MR4_I 00000230 07d2684a - 2191570 ns IT 00000230 684a LDR r2,[r1,#4] - 2191590 ns MR4_I 00000234 6008d1fc - 2191650 ns MR4_D 40006004 00000001 - 2191650 ns R r2 00000001 - 2191650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2191670 ns R r2 80000000 - 2191670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2191690 ns R psr 81000200 - 2191690 ns MR4_I 00000238 48054770 - 2191710 ns MR4_I 00000230 07d2684a - 2191730 ns IT 00000230 684a LDR r2,[r1,#4] - 2191750 ns MR4_I 00000234 6008d1fc - 2191810 ns MR4_D 40006004 00000001 - 2191810 ns R r2 00000001 - 2191810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2191830 ns R r2 80000000 - 2191830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2191850 ns R psr 81000200 - 2191850 ns MR4_I 00000238 48054770 - 2191870 ns MR4_I 00000230 07d2684a - 2191890 ns IT 00000230 684a LDR r2,[r1,#4] - 2191910 ns MR4_I 00000234 6008d1fc - 2191970 ns MR4_D 40006004 00000001 - 2191970 ns R r2 00000001 - 2191970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2191990 ns R r2 80000000 - 2191990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2192010 ns R psr 81000200 - 2192010 ns MR4_I 00000238 48054770 - 2192030 ns MR4_I 00000230 07d2684a - 2192050 ns IT 00000230 684a LDR r2,[r1,#4] - 2192070 ns MR4_I 00000234 6008d1fc - 2192130 ns MR4_D 40006004 00000001 - 2192130 ns R r2 00000001 - 2192130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2192150 ns R r2 80000000 - 2192150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2192170 ns R psr 81000200 - 2192170 ns MR4_I 00000238 48054770 - 2192190 ns MR4_I 00000230 07d2684a - 2192210 ns IT 00000230 684a LDR r2,[r1,#4] - 2192230 ns MR4_I 00000234 6008d1fc - 2192290 ns MR4_D 40006004 00000001 - 2192290 ns R r2 00000001 - 2192290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2192310 ns R r2 80000000 - 2192310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2192330 ns R psr 81000200 - 2192330 ns MR4_I 00000238 48054770 - 2192350 ns MR4_I 00000230 07d2684a - 2192370 ns IT 00000230 684a LDR r2,[r1,#4] - 2192390 ns MR4_I 00000234 6008d1fc - 2192450 ns MR4_D 40006004 00000001 - 2192450 ns R r2 00000001 - 2192450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2192470 ns R r2 80000000 - 2192470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2192490 ns R psr 81000200 - 2192490 ns MR4_I 00000238 48054770 - 2192510 ns MR4_I 00000230 07d2684a - 2192530 ns IT 00000230 684a LDR r2,[r1,#4] - 2192550 ns MR4_I 00000234 6008d1fc - 2192610 ns MR4_D 40006004 00000001 - 2192610 ns R r2 00000001 - 2192610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2192630 ns R r2 80000000 - 2192630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2192650 ns R psr 81000200 - 2192650 ns MR4_I 00000238 48054770 - 2192670 ns MR4_I 00000230 07d2684a - 2192690 ns IT 00000230 684a LDR r2,[r1,#4] - 2192710 ns MR4_I 00000234 6008d1fc - 2192770 ns MR4_D 40006004 00000001 - 2192770 ns R r2 00000001 - 2192770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2192790 ns R r2 80000000 - 2192790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2192810 ns R psr 81000200 - 2192810 ns MR4_I 00000238 48054770 - 2192830 ns MR4_I 00000230 07d2684a - 2192850 ns IT 00000230 684a LDR r2,[r1,#4] - 2192870 ns MR4_I 00000234 6008d1fc - 2192930 ns MR4_D 40006004 00000001 - 2192930 ns R r2 00000001 - 2192930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2192950 ns R r2 80000000 - 2192950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2192970 ns R psr 81000200 - 2192970 ns MR4_I 00000238 48054770 - 2192990 ns MR4_I 00000230 07d2684a - 2193010 ns IT 00000230 684a LDR r2,[r1,#4] - 2193030 ns MR4_I 00000234 6008d1fc - 2193090 ns MR4_D 40006004 00000001 - 2193090 ns R r2 00000001 - 2193090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2193110 ns R r2 80000000 - 2193110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2193130 ns R psr 81000200 - 2193130 ns MR4_I 00000238 48054770 - 2193150 ns MR4_I 00000230 07d2684a - 2193170 ns IT 00000230 684a LDR r2,[r1,#4] - 2193190 ns MR4_I 00000234 6008d1fc - 2193250 ns MR4_D 40006004 00000001 - 2193250 ns R r2 00000001 - 2193250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2193270 ns R r2 80000000 - 2193270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2193290 ns R psr 81000200 - 2193290 ns MR4_I 00000238 48054770 - 2193310 ns MR4_I 00000230 07d2684a - 2193330 ns IT 00000230 684a LDR r2,[r1,#4] - 2193350 ns MR4_I 00000234 6008d1fc - 2193410 ns MR4_D 40006004 00000001 - 2193410 ns R r2 00000001 - 2193410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2193430 ns R r2 80000000 - 2193430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2193450 ns R psr 81000200 - 2193450 ns MR4_I 00000238 48054770 - 2193470 ns MR4_I 00000230 07d2684a - 2193490 ns IT 00000230 684a LDR r2,[r1,#4] - 2193510 ns MR4_I 00000234 6008d1fc - 2193570 ns MR4_D 40006004 00000001 - 2193570 ns R r2 00000001 - 2193570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2193590 ns R r2 80000000 - 2193590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2193610 ns R psr 81000200 - 2193610 ns MR4_I 00000238 48054770 - 2193630 ns MR4_I 00000230 07d2684a - 2193650 ns IT 00000230 684a LDR r2,[r1,#4] - 2193670 ns MR4_I 00000234 6008d1fc - 2193730 ns MR4_D 40006004 00000001 - 2193730 ns R r2 00000001 - 2193730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2193750 ns R r2 80000000 - 2193750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2193770 ns R psr 81000200 - 2193770 ns MR4_I 00000238 48054770 - 2193790 ns MR4_I 00000230 07d2684a - 2193810 ns IT 00000230 684a LDR r2,[r1,#4] - 2193830 ns MR4_I 00000234 6008d1fc - 2193890 ns MR4_D 40006004 00000001 - 2193890 ns R r2 00000001 - 2193890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2193910 ns R r2 80000000 - 2193910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2193930 ns R psr 81000200 - 2193930 ns MR4_I 00000238 48054770 - 2193950 ns MR4_I 00000230 07d2684a - 2193970 ns IT 00000230 684a LDR r2,[r1,#4] - 2193990 ns MR4_I 00000234 6008d1fc - 2194050 ns MR4_D 40006004 00000001 - 2194050 ns R r2 00000001 - 2194050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2194070 ns R r2 80000000 - 2194070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2194090 ns R psr 81000200 - 2194090 ns MR4_I 00000238 48054770 - 2194110 ns MR4_I 00000230 07d2684a - 2194130 ns IT 00000230 684a LDR r2,[r1,#4] - 2194150 ns MR4_I 00000234 6008d1fc - 2194210 ns MR4_D 40006004 00000001 - 2194210 ns R r2 00000001 - 2194210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2194230 ns R r2 80000000 - 2194230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2194250 ns R psr 81000200 - 2194250 ns MR4_I 00000238 48054770 - 2194270 ns MR4_I 00000230 07d2684a - 2194290 ns IT 00000230 684a LDR r2,[r1,#4] - 2194310 ns MR4_I 00000234 6008d1fc - 2194370 ns MR4_D 40006004 00000001 - 2194370 ns R r2 00000001 - 2194370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2194390 ns R r2 80000000 - 2194390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2194410 ns R psr 81000200 - 2194410 ns MR4_I 00000238 48054770 - 2194430 ns MR4_I 00000230 07d2684a - 2194450 ns IT 00000230 684a LDR r2,[r1,#4] - 2194470 ns MR4_I 00000234 6008d1fc - 2194530 ns MR4_D 40006004 00000001 - 2194530 ns R r2 00000001 - 2194530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2194550 ns R r2 80000000 - 2194550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2194570 ns R psr 81000200 - 2194570 ns MR4_I 00000238 48054770 - 2194590 ns MR4_I 00000230 07d2684a - 2194610 ns IT 00000230 684a LDR r2,[r1,#4] - 2194630 ns MR4_I 00000234 6008d1fc - 2194690 ns MR4_D 40006004 00000001 - 2194690 ns R r2 00000001 - 2194690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2194710 ns R r2 80000000 - 2194710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2194730 ns R psr 81000200 - 2194730 ns MR4_I 00000238 48054770 - 2194750 ns MR4_I 00000230 07d2684a - 2194770 ns IT 00000230 684a LDR r2,[r1,#4] - 2194790 ns MR4_I 00000234 6008d1fc - 2194850 ns MR4_D 40006004 00000001 - 2194850 ns R r2 00000001 - 2194850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2194870 ns R r2 80000000 - 2194870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2194890 ns R psr 81000200 - 2194890 ns MR4_I 00000238 48054770 - 2194910 ns MR4_I 00000230 07d2684a - 2194930 ns IT 00000230 684a LDR r2,[r1,#4] - 2194950 ns MR4_I 00000234 6008d1fc - 2195010 ns MR4_D 40006004 00000001 - 2195010 ns R r2 00000001 - 2195010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2195030 ns R r2 80000000 - 2195030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2195050 ns R psr 81000200 - 2195050 ns MR4_I 00000238 48054770 - 2195070 ns MR4_I 00000230 07d2684a - 2195090 ns IT 00000230 684a LDR r2,[r1,#4] - 2195110 ns MR4_I 00000234 6008d1fc - 2195170 ns MR4_D 40006004 00000001 - 2195170 ns R r2 00000001 - 2195170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2195190 ns R r2 80000000 - 2195190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2195210 ns R psr 81000200 - 2195210 ns MR4_I 00000238 48054770 - 2195230 ns MR4_I 00000230 07d2684a - 2195250 ns IT 00000230 684a LDR r2,[r1,#4] - 2195270 ns MR4_I 00000234 6008d1fc - 2195330 ns MR4_D 40006004 00000001 - 2195330 ns R r2 00000001 - 2195330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2195350 ns R r2 80000000 - 2195350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2195370 ns R psr 81000200 - 2195370 ns MR4_I 00000238 48054770 - 2195390 ns MR4_I 00000230 07d2684a - 2195410 ns IT 00000230 684a LDR r2,[r1,#4] - 2195430 ns MR4_I 00000234 6008d1fc - 2195490 ns MR4_D 40006004 00000001 - 2195490 ns R r2 00000001 - 2195490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2195510 ns R r2 80000000 - 2195510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2195530 ns R psr 81000200 - 2195530 ns MR4_I 00000238 48054770 - 2195550 ns MR4_I 00000230 07d2684a - 2195570 ns IT 00000230 684a LDR r2,[r1,#4] - 2195590 ns MR4_I 00000234 6008d1fc - 2195650 ns MR4_D 40006004 00000001 - 2195650 ns R r2 00000001 - 2195650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2195670 ns R r2 80000000 - 2195670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2195690 ns R psr 81000200 - 2195690 ns MR4_I 00000238 48054770 - 2195710 ns MR4_I 00000230 07d2684a - 2195730 ns IT 00000230 684a LDR r2,[r1,#4] - 2195750 ns MR4_I 00000234 6008d1fc - 2195810 ns MR4_D 40006004 00000001 - 2195810 ns R r2 00000001 - 2195810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2195830 ns R r2 80000000 - 2195830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2195850 ns R psr 81000200 - 2195850 ns MR4_I 00000238 48054770 - 2195870 ns MR4_I 00000230 07d2684a - 2195890 ns IT 00000230 684a LDR r2,[r1,#4] - 2195910 ns MR4_I 00000234 6008d1fc - 2195970 ns MR4_D 40006004 00000001 - 2195970 ns R r2 00000001 - 2195970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2195990 ns R r2 80000000 - 2195990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2196010 ns R psr 81000200 - 2196010 ns MR4_I 00000238 48054770 - 2196030 ns MR4_I 00000230 07d2684a - 2196050 ns IT 00000230 684a LDR r2,[r1,#4] - 2196070 ns MR4_I 00000234 6008d1fc - 2196130 ns MR4_D 40006004 00000001 - 2196130 ns R r2 00000001 - 2196130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2196150 ns R r2 80000000 - 2196150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2196170 ns R psr 81000200 - 2196170 ns MR4_I 00000238 48054770 - 2196190 ns MR4_I 00000230 07d2684a - 2196210 ns IT 00000230 684a LDR r2,[r1,#4] - 2196230 ns MR4_I 00000234 6008d1fc - 2196290 ns MR4_D 40006004 00000001 - 2196290 ns R r2 00000001 - 2196290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2196310 ns R r2 80000000 - 2196310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2196330 ns R psr 81000200 - 2196330 ns MR4_I 00000238 48054770 - 2196350 ns MR4_I 00000230 07d2684a - 2196370 ns IT 00000230 684a LDR r2,[r1,#4] - 2196390 ns MR4_I 00000234 6008d1fc - 2196450 ns MR4_D 40006004 00000001 - 2196450 ns R r2 00000001 - 2196450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2196470 ns R r2 80000000 - 2196470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2196490 ns R psr 81000200 - 2196490 ns MR4_I 00000238 48054770 - 2196510 ns MR4_I 00000230 07d2684a - 2196530 ns IT 00000230 684a LDR r2,[r1,#4] - 2196550 ns MR4_I 00000234 6008d1fc - 2196610 ns MR4_D 40006004 00000001 - 2196610 ns R r2 00000001 - 2196610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2196630 ns R r2 80000000 - 2196630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2196650 ns R psr 81000200 - 2196650 ns MR4_I 00000238 48054770 - 2196670 ns MR4_I 00000230 07d2684a - 2196690 ns IT 00000230 684a LDR r2,[r1,#4] - 2196710 ns MR4_I 00000234 6008d1fc - 2196770 ns MR4_D 40006004 00000001 - 2196770 ns R r2 00000001 - 2196770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2196790 ns R r2 80000000 - 2196790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2196810 ns R psr 81000200 - 2196810 ns MR4_I 00000238 48054770 - 2196830 ns MR4_I 00000230 07d2684a - 2196850 ns IT 00000230 684a LDR r2,[r1,#4] - 2196870 ns MR4_I 00000234 6008d1fc - 2196930 ns MR4_D 40006004 00000001 - 2196930 ns R r2 00000001 - 2196930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2196950 ns R r2 80000000 - 2196950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2196970 ns R psr 81000200 - 2196970 ns MR4_I 00000238 48054770 - 2196990 ns MR4_I 00000230 07d2684a - 2197010 ns IT 00000230 684a LDR r2,[r1,#4] - 2197030 ns MR4_I 00000234 6008d1fc - 2197090 ns MR4_D 40006004 00000001 - 2197090 ns R r2 00000001 - 2197090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2197110 ns R r2 80000000 - 2197110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2197130 ns R psr 81000200 - 2197130 ns MR4_I 00000238 48054770 - 2197150 ns MR4_I 00000230 07d2684a - 2197170 ns IT 00000230 684a LDR r2,[r1,#4] - 2197190 ns MR4_I 00000234 6008d1fc - 2197250 ns MR4_D 40006004 00000001 - 2197250 ns R r2 00000001 - 2197250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2197270 ns R r2 80000000 - 2197270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2197290 ns R psr 81000200 - 2197290 ns MR4_I 00000238 48054770 - 2197310 ns MR4_I 00000230 07d2684a - 2197330 ns IT 00000230 684a LDR r2,[r1,#4] - 2197350 ns MR4_I 00000234 6008d1fc - 2197410 ns MR4_D 40006004 00000001 - 2197410 ns R r2 00000001 - 2197410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2197430 ns R r2 80000000 - 2197430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2197450 ns R psr 81000200 - 2197450 ns MR4_I 00000238 48054770 - 2197470 ns MR4_I 00000230 07d2684a - 2197490 ns IT 00000230 684a LDR r2,[r1,#4] - 2197510 ns MR4_I 00000234 6008d1fc - 2197570 ns MR4_D 40006004 00000001 - 2197570 ns R r2 00000001 - 2197570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2197590 ns R r2 80000000 - 2197590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2197610 ns R psr 81000200 - 2197610 ns MR4_I 00000238 48054770 - 2197630 ns MR4_I 00000230 07d2684a - 2197650 ns IT 00000230 684a LDR r2,[r1,#4] - 2197670 ns MR4_I 00000234 6008d1fc - 2197730 ns MR4_D 40006004 00000001 - 2197730 ns R r2 00000001 - 2197730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2197750 ns R r2 80000000 - 2197750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2197770 ns R psr 81000200 - 2197770 ns MR4_I 00000238 48054770 - 2197790 ns MR4_I 00000230 07d2684a - 2197810 ns IT 00000230 684a LDR r2,[r1,#4] - 2197830 ns MR4_I 00000234 6008d1fc - 2197890 ns MR4_D 40006004 00000001 - 2197890 ns R r2 00000001 - 2197890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2197910 ns R r2 80000000 - 2197910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2197930 ns R psr 81000200 - 2197930 ns MR4_I 00000238 48054770 - 2197950 ns MR4_I 00000230 07d2684a - 2197970 ns IT 00000230 684a LDR r2,[r1,#4] - 2197990 ns MR4_I 00000234 6008d1fc - 2198050 ns MR4_D 40006004 00000001 - 2198050 ns R r2 00000001 - 2198050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2198070 ns R r2 80000000 - 2198070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2198090 ns R psr 81000200 - 2198090 ns MR4_I 00000238 48054770 - 2198110 ns MR4_I 00000230 07d2684a - 2198130 ns IT 00000230 684a LDR r2,[r1,#4] - 2198150 ns MR4_I 00000234 6008d1fc - 2198210 ns MR4_D 40006004 00000001 - 2198210 ns R r2 00000001 - 2198210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2198230 ns R r2 80000000 - 2198230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2198250 ns R psr 81000200 - 2198250 ns MR4_I 00000238 48054770 - 2198270 ns MR4_I 00000230 07d2684a - 2198290 ns IT 00000230 684a LDR r2,[r1,#4] - 2198310 ns MR4_I 00000234 6008d1fc - 2198370 ns MR4_D 40006004 00000001 - 2198370 ns R r2 00000001 - 2198370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2198390 ns R r2 80000000 - 2198390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2198410 ns R psr 81000200 - 2198410 ns MR4_I 00000238 48054770 - 2198430 ns MR4_I 00000230 07d2684a - 2198450 ns IT 00000230 684a LDR r2,[r1,#4] - 2198470 ns MR4_I 00000234 6008d1fc - 2198530 ns MR4_D 40006004 00000001 - 2198530 ns R r2 00000001 - 2198530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2198550 ns R r2 80000000 - 2198550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2198570 ns R psr 81000200 - 2198570 ns MR4_I 00000238 48054770 - 2198590 ns MR4_I 00000230 07d2684a - 2198610 ns IT 00000230 684a LDR r2,[r1,#4] - 2198630 ns MR4_I 00000234 6008d1fc - 2198690 ns MR4_D 40006004 00000001 - 2198690 ns R r2 00000001 - 2198690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2198710 ns R r2 80000000 - 2198710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2198730 ns R psr 81000200 - 2198730 ns MR4_I 00000238 48054770 - 2198750 ns MR4_I 00000230 07d2684a - 2198770 ns IT 00000230 684a LDR r2,[r1,#4] - 2198790 ns MR4_I 00000234 6008d1fc - 2198850 ns MR4_D 40006004 00000001 - 2198850 ns R r2 00000001 - 2198850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2198870 ns R r2 80000000 - 2198870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2198890 ns R psr 81000200 - 2198890 ns MR4_I 00000238 48054770 - 2198910 ns MR4_I 00000230 07d2684a - 2198930 ns IT 00000230 684a LDR r2,[r1,#4] - 2198950 ns MR4_I 00000234 6008d1fc - 2199010 ns MR4_D 40006004 00000001 - 2199010 ns R r2 00000001 - 2199010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2199030 ns R r2 80000000 - 2199030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2199050 ns R psr 81000200 - 2199050 ns MR4_I 00000238 48054770 - 2199070 ns MR4_I 00000230 07d2684a - 2199090 ns IT 00000230 684a LDR r2,[r1,#4] - 2199110 ns MR4_I 00000234 6008d1fc - 2199170 ns MR4_D 40006004 00000001 - 2199170 ns R r2 00000001 - 2199170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2199190 ns R r2 80000000 - 2199190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2199210 ns R psr 81000200 - 2199210 ns MR4_I 00000238 48054770 - 2199230 ns MR4_I 00000230 07d2684a - 2199250 ns IT 00000230 684a LDR r2,[r1,#4] - 2199270 ns MR4_I 00000234 6008d1fc - 2199330 ns MR4_D 40006004 00000001 - 2199330 ns R r2 00000001 - 2199330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2199350 ns R r2 80000000 - 2199350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2199370 ns R psr 81000200 - 2199370 ns MR4_I 00000238 48054770 - 2199390 ns MR4_I 00000230 07d2684a - 2199410 ns IT 00000230 684a LDR r2,[r1,#4] - 2199430 ns MR4_I 00000234 6008d1fc - 2199490 ns MR4_D 40006004 00000001 - 2199490 ns R r2 00000001 - 2199490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2199510 ns R r2 80000000 - 2199510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2199530 ns R psr 81000200 - 2199530 ns MR4_I 00000238 48054770 - 2199550 ns MR4_I 00000230 07d2684a - 2199570 ns IT 00000230 684a LDR r2,[r1,#4] - 2199590 ns MR4_I 00000234 6008d1fc - 2199650 ns MR4_D 40006004 00000001 - 2199650 ns R r2 00000001 - 2199650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2199670 ns R r2 80000000 - 2199670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2199690 ns R psr 81000200 - 2199690 ns MR4_I 00000238 48054770 - 2199710 ns MR4_I 00000230 07d2684a - 2199730 ns IT 00000230 684a LDR r2,[r1,#4] - 2199750 ns MR4_I 00000234 6008d1fc - 2199810 ns MR4_D 40006004 00000001 - 2199810 ns R r2 00000001 - 2199810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2199830 ns R r2 80000000 - 2199830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2199850 ns R psr 81000200 - 2199850 ns MR4_I 00000238 48054770 - 2199870 ns MR4_I 00000230 07d2684a - 2199890 ns IT 00000230 684a LDR r2,[r1,#4] - 2199910 ns MR4_I 00000234 6008d1fc - 2199970 ns MR4_D 40006004 00000001 - 2199970 ns R r2 00000001 - 2199970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2199990 ns R r2 80000000 - 2199990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2200010 ns R psr 81000200 - 2200010 ns MR4_I 00000238 48054770 - 2200030 ns MR4_I 00000230 07d2684a - 2200050 ns IT 00000230 684a LDR r2,[r1,#4] - 2200070 ns MR4_I 00000234 6008d1fc - 2200130 ns MR4_D 40006004 00000001 - 2200130 ns R r2 00000001 - 2200130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2200150 ns R r2 80000000 - 2200150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2200170 ns R psr 81000200 - 2200170 ns MR4_I 00000238 48054770 - 2200190 ns MR4_I 00000230 07d2684a - 2200210 ns IT 00000230 684a LDR r2,[r1,#4] - 2200230 ns MR4_I 00000234 6008d1fc - 2200290 ns MR4_D 40006004 00000001 - 2200290 ns R r2 00000001 - 2200290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2200310 ns R r2 80000000 - 2200310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2200330 ns R psr 81000200 - 2200330 ns MR4_I 00000238 48054770 - 2200350 ns MR4_I 00000230 07d2684a - 2200370 ns IT 00000230 684a LDR r2,[r1,#4] - 2200390 ns MR4_I 00000234 6008d1fc - 2200450 ns MR4_D 40006004 00000001 - 2200450 ns R r2 00000001 - 2200450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2200470 ns R r2 80000000 - 2200470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2200490 ns R psr 81000200 - 2200490 ns MR4_I 00000238 48054770 - 2200510 ns MR4_I 00000230 07d2684a - 2200530 ns IT 00000230 684a LDR r2,[r1,#4] - 2200550 ns MR4_I 00000234 6008d1fc - 2200610 ns MR4_D 40006004 00000001 - 2200610 ns R r2 00000001 - 2200610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2200630 ns R r2 80000000 - 2200630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2200650 ns R psr 81000200 - 2200650 ns MR4_I 00000238 48054770 - 2200670 ns MR4_I 00000230 07d2684a - 2200690 ns IT 00000230 684a LDR r2,[r1,#4] - 2200710 ns MR4_I 00000234 6008d1fc - 2200770 ns MR4_D 40006004 00000001 - 2200770 ns R r2 00000001 - 2200770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2200790 ns R r2 80000000 - 2200790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2200810 ns R psr 81000200 - 2200810 ns MR4_I 00000238 48054770 - 2200830 ns MR4_I 00000230 07d2684a - 2200850 ns IT 00000230 684a LDR r2,[r1,#4] - 2200870 ns MR4_I 00000234 6008d1fc - 2200930 ns MR4_D 40006004 00000001 - 2200930 ns R r2 00000001 - 2200930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2200950 ns R r2 80000000 - 2200950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2200970 ns R psr 81000200 - 2200970 ns MR4_I 00000238 48054770 - 2200990 ns MR4_I 00000230 07d2684a - 2201010 ns IT 00000230 684a LDR r2,[r1,#4] - 2201030 ns MR4_I 00000234 6008d1fc - 2201090 ns MR4_D 40006004 00000001 - 2201090 ns R r2 00000001 - 2201090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2201110 ns R r2 80000000 - 2201110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2201130 ns R psr 81000200 - 2201130 ns MR4_I 00000238 48054770 - 2201150 ns MR4_I 00000230 07d2684a - 2201170 ns IT 00000230 684a LDR r2,[r1,#4] - 2201190 ns MR4_I 00000234 6008d1fc - 2201250 ns MR4_D 40006004 00000001 - 2201250 ns R r2 00000001 - 2201250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2201270 ns R r2 80000000 - 2201270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2201290 ns R psr 81000200 - 2201290 ns MR4_I 00000238 48054770 - 2201310 ns MR4_I 00000230 07d2684a - 2201330 ns IT 00000230 684a LDR r2,[r1,#4] - 2201350 ns MR4_I 00000234 6008d1fc - 2201410 ns MR4_D 40006004 00000001 - 2201410 ns R r2 00000001 - 2201410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2201430 ns R r2 80000000 - 2201430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2201450 ns R psr 81000200 - 2201450 ns MR4_I 00000238 48054770 - 2201470 ns MR4_I 00000230 07d2684a - 2201490 ns IT 00000230 684a LDR r2,[r1,#4] - 2201510 ns MR4_I 00000234 6008d1fc - 2201570 ns MR4_D 40006004 00000001 - 2201570 ns R r2 00000001 - 2201570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2201590 ns R r2 80000000 - 2201590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2201610 ns R psr 81000200 - 2201610 ns MR4_I 00000238 48054770 - 2201630 ns MR4_I 00000230 07d2684a - 2201650 ns IT 00000230 684a LDR r2,[r1,#4] - 2201670 ns MR4_I 00000234 6008d1fc - 2201730 ns MR4_D 40006004 00000001 - 2201730 ns R r2 00000001 - 2201730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2201750 ns R r2 80000000 - 2201750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2201770 ns R psr 81000200 - 2201770 ns MR4_I 00000238 48054770 - 2201790 ns MR4_I 00000230 07d2684a - 2201810 ns IT 00000230 684a LDR r2,[r1,#4] - 2201830 ns MR4_I 00000234 6008d1fc - 2201890 ns MR4_D 40006004 00000001 - 2201890 ns R r2 00000001 - 2201890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2201910 ns R r2 80000000 - 2201910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2201930 ns R psr 81000200 - 2201930 ns MR4_I 00000238 48054770 - 2201950 ns MR4_I 00000230 07d2684a - 2201970 ns IT 00000230 684a LDR r2,[r1,#4] - 2201990 ns MR4_I 00000234 6008d1fc - 2202050 ns MR4_D 40006004 00000001 - 2202050 ns R r2 00000001 - 2202050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2202070 ns R r2 80000000 - 2202070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2202090 ns R psr 81000200 - 2202090 ns MR4_I 00000238 48054770 - 2202110 ns MR4_I 00000230 07d2684a - 2202130 ns IT 00000230 684a LDR r2,[r1,#4] - 2202150 ns MR4_I 00000234 6008d1fc - 2202210 ns MR4_D 40006004 00000001 - 2202210 ns R r2 00000001 - 2202210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2202230 ns R r2 80000000 - 2202230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2202250 ns R psr 81000200 - 2202250 ns MR4_I 00000238 48054770 - 2202270 ns MR4_I 00000230 07d2684a - 2202290 ns IT 00000230 684a LDR r2,[r1,#4] - 2202310 ns MR4_I 00000234 6008d1fc - 2202370 ns MR4_D 40006004 00000001 - 2202370 ns R r2 00000001 - 2202370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2202390 ns R r2 80000000 - 2202390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2202410 ns R psr 81000200 - 2202410 ns MR4_I 00000238 48054770 - 2202430 ns MR4_I 00000230 07d2684a - 2202450 ns IT 00000230 684a LDR r2,[r1,#4] - 2202470 ns MR4_I 00000234 6008d1fc - 2202530 ns MR4_D 40006004 00000001 - 2202530 ns R r2 00000001 - 2202530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2202550 ns R r2 80000000 - 2202550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2202570 ns R psr 81000200 - 2202570 ns MR4_I 00000238 48054770 - 2202590 ns MR4_I 00000230 07d2684a - 2202610 ns IT 00000230 684a LDR r2,[r1,#4] - 2202630 ns MR4_I 00000234 6008d1fc - 2202690 ns MR4_D 40006004 00000001 - 2202690 ns R r2 00000001 - 2202690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2202710 ns R r2 80000000 - 2202710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2202730 ns R psr 81000200 - 2202730 ns MR4_I 00000238 48054770 - 2202750 ns MR4_I 00000230 07d2684a - 2202770 ns IT 00000230 684a LDR r2,[r1,#4] - 2202790 ns MR4_I 00000234 6008d1fc - 2202850 ns MR4_D 40006004 00000001 - 2202850 ns R r2 00000001 - 2202850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2202870 ns R r2 80000000 - 2202870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2202890 ns R psr 81000200 - 2202890 ns MR4_I 00000238 48054770 - 2202910 ns MR4_I 00000230 07d2684a - 2202930 ns IT 00000230 684a LDR r2,[r1,#4] - 2202950 ns MR4_I 00000234 6008d1fc - 2203010 ns MR4_D 40006004 00000001 - 2203010 ns R r2 00000001 - 2203010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2203030 ns R r2 80000000 - 2203030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2203050 ns R psr 81000200 - 2203050 ns MR4_I 00000238 48054770 - 2203070 ns MR4_I 00000230 07d2684a - 2203090 ns IT 00000230 684a LDR r2,[r1,#4] - 2203110 ns MR4_I 00000234 6008d1fc - 2203170 ns MR4_D 40006004 00000001 - 2203170 ns R r2 00000001 - 2203170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2203190 ns R r2 80000000 - 2203190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2203210 ns R psr 81000200 - 2203210 ns MR4_I 00000238 48054770 - 2203230 ns MR4_I 00000230 07d2684a - 2203250 ns IT 00000230 684a LDR r2,[r1,#4] - 2203270 ns MR4_I 00000234 6008d1fc - 2203330 ns MR4_D 40006004 00000001 - 2203330 ns R r2 00000001 - 2203330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2203350 ns R r2 80000000 - 2203350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2203370 ns R psr 81000200 - 2203370 ns MR4_I 00000238 48054770 - 2203390 ns MR4_I 00000230 07d2684a - 2203410 ns IT 00000230 684a LDR r2,[r1,#4] - 2203430 ns MR4_I 00000234 6008d1fc - 2203490 ns MR4_D 40006004 00000001 - 2203490 ns R r2 00000001 - 2203490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2203510 ns R r2 80000000 - 2203510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2203530 ns R psr 81000200 - 2203530 ns MR4_I 00000238 48054770 - 2203550 ns MR4_I 00000230 07d2684a - 2203570 ns IT 00000230 684a LDR r2,[r1,#4] - 2203590 ns MR4_I 00000234 6008d1fc - 2203650 ns MR4_D 40006004 00000001 - 2203650 ns R r2 00000001 - 2203650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2203670 ns R r2 80000000 - 2203670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2203690 ns R psr 81000200 - 2203690 ns MR4_I 00000238 48054770 - 2203710 ns MR4_I 00000230 07d2684a - 2203730 ns IT 00000230 684a LDR r2,[r1,#4] - 2203750 ns MR4_I 00000234 6008d1fc - 2203810 ns MR4_D 40006004 00000001 - 2203810 ns R r2 00000001 - 2203810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2203830 ns R r2 80000000 - 2203830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2203850 ns R psr 81000200 - 2203850 ns MR4_I 00000238 48054770 - 2203870 ns MR4_I 00000230 07d2684a - 2203890 ns IT 00000230 684a LDR r2,[r1,#4] - 2203910 ns MR4_I 00000234 6008d1fc - 2203970 ns MR4_D 40006004 00000001 - 2203970 ns R r2 00000001 - 2203970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2203990 ns R r2 80000000 - 2203990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2204010 ns R psr 81000200 - 2204010 ns MR4_I 00000238 48054770 - 2204030 ns MR4_I 00000230 07d2684a - 2204050 ns IT 00000230 684a LDR r2,[r1,#4] - 2204070 ns MR4_I 00000234 6008d1fc - 2204130 ns MR4_D 40006004 00000001 - 2204130 ns R r2 00000001 - 2204130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2204150 ns R r2 80000000 - 2204150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2204170 ns R psr 81000200 - 2204170 ns MR4_I 00000238 48054770 - 2204190 ns MR4_I 00000230 07d2684a - 2204210 ns IT 00000230 684a LDR r2,[r1,#4] - 2204230 ns MR4_I 00000234 6008d1fc - 2204290 ns MR4_D 40006004 00000001 - 2204290 ns R r2 00000001 - 2204290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2204310 ns R r2 80000000 - 2204310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2204330 ns R psr 81000200 - 2204330 ns MR4_I 00000238 48054770 - 2204350 ns MR4_I 00000230 07d2684a - 2204370 ns IT 00000230 684a LDR r2,[r1,#4] - 2204390 ns MR4_I 00000234 6008d1fc - 2204450 ns MR4_D 40006004 00000001 - 2204450 ns R r2 00000001 - 2204450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2204470 ns R r2 80000000 - 2204470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2204490 ns R psr 81000200 - 2204490 ns MR4_I 00000238 48054770 - 2204510 ns MR4_I 00000230 07d2684a - 2204530 ns IT 00000230 684a LDR r2,[r1,#4] - 2204550 ns MR4_I 00000234 6008d1fc - 2204610 ns MR4_D 40006004 00000001 - 2204610 ns R r2 00000001 - 2204610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2204630 ns R r2 80000000 - 2204630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2204650 ns R psr 81000200 - 2204650 ns MR4_I 00000238 48054770 - 2204670 ns MR4_I 00000230 07d2684a - 2204690 ns IT 00000230 684a LDR r2,[r1,#4] - 2204710 ns MR4_I 00000234 6008d1fc - 2204770 ns MR4_D 40006004 00000001 - 2204770 ns R r2 00000001 - 2204770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2204790 ns R r2 80000000 - 2204790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2204810 ns R psr 81000200 - 2204810 ns MR4_I 00000238 48054770 - 2204830 ns MR4_I 00000230 07d2684a - 2204850 ns IT 00000230 684a LDR r2,[r1,#4] - 2204870 ns MR4_I 00000234 6008d1fc - 2204930 ns MR4_D 40006004 00000001 - 2204930 ns R r2 00000001 - 2204930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2204950 ns R r2 80000000 - 2204950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2204970 ns R psr 81000200 - 2204970 ns MR4_I 00000238 48054770 - 2204990 ns MR4_I 00000230 07d2684a - 2205010 ns IT 00000230 684a LDR r2,[r1,#4] - 2205030 ns MR4_I 00000234 6008d1fc - 2205090 ns MR4_D 40006004 00000001 - 2205090 ns R r2 00000001 - 2205090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2205110 ns R r2 80000000 - 2205110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2205130 ns R psr 81000200 - 2205130 ns MR4_I 00000238 48054770 - 2205150 ns MR4_I 00000230 07d2684a - 2205170 ns IT 00000230 684a LDR r2,[r1,#4] - 2205190 ns MR4_I 00000234 6008d1fc - 2205250 ns MR4_D 40006004 00000001 - 2205250 ns R r2 00000001 - 2205250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2205270 ns R r2 80000000 - 2205270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2205290 ns R psr 81000200 - 2205290 ns MR4_I 00000238 48054770 - 2205310 ns MR4_I 00000230 07d2684a - 2205330 ns IT 00000230 684a LDR r2,[r1,#4] - 2205350 ns MR4_I 00000234 6008d1fc - 2205410 ns MR4_D 40006004 00000001 - 2205410 ns R r2 00000001 - 2205410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2205430 ns R r2 80000000 - 2205430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2205450 ns R psr 81000200 - 2205450 ns MR4_I 00000238 48054770 - 2205470 ns MR4_I 00000230 07d2684a - 2205490 ns IT 00000230 684a LDR r2,[r1,#4] - 2205510 ns MR4_I 00000234 6008d1fc - 2205570 ns MR4_D 40006004 00000001 - 2205570 ns R r2 00000001 - 2205570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2205590 ns R r2 80000000 - 2205590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2205610 ns R psr 81000200 - 2205610 ns MR4_I 00000238 48054770 - 2205630 ns MR4_I 00000230 07d2684a - 2205650 ns IT 00000230 684a LDR r2,[r1,#4] - 2205670 ns MR4_I 00000234 6008d1fc - 2205730 ns MR4_D 40006004 00000001 - 2205730 ns R r2 00000001 - 2205730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2205750 ns R r2 80000000 - 2205750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2205770 ns R psr 81000200 - 2205770 ns MR4_I 00000238 48054770 - 2205790 ns MR4_I 00000230 07d2684a - 2205810 ns IT 00000230 684a LDR r2,[r1,#4] - 2205830 ns MR4_I 00000234 6008d1fc - 2205890 ns MR4_D 40006004 00000001 - 2205890 ns R r2 00000001 - 2205890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2205910 ns R r2 80000000 - 2205910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2205930 ns R psr 81000200 - 2205930 ns MR4_I 00000238 48054770 - 2205950 ns MR4_I 00000230 07d2684a - 2205970 ns IT 00000230 684a LDR r2,[r1,#4] - 2205990 ns MR4_I 00000234 6008d1fc - 2206050 ns MR4_D 40006004 00000001 - 2206050 ns R r2 00000001 - 2206050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2206070 ns R r2 80000000 - 2206070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2206090 ns R psr 81000200 - 2206090 ns MR4_I 00000238 48054770 - 2206110 ns MR4_I 00000230 07d2684a - 2206130 ns IT 00000230 684a LDR r2,[r1,#4] - 2206150 ns MR4_I 00000234 6008d1fc - 2206210 ns MR4_D 40006004 00000001 - 2206210 ns R r2 00000001 - 2206210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2206230 ns R r2 80000000 - 2206230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2206250 ns R psr 81000200 - 2206250 ns MR4_I 00000238 48054770 - 2206270 ns MR4_I 00000230 07d2684a - 2206290 ns IT 00000230 684a LDR r2,[r1,#4] - 2206310 ns MR4_I 00000234 6008d1fc - 2206370 ns MR4_D 40006004 00000001 - 2206370 ns R r2 00000001 - 2206370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2206390 ns R r2 80000000 - 2206390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2206410 ns R psr 81000200 - 2206410 ns MR4_I 00000238 48054770 - 2206430 ns MR4_I 00000230 07d2684a - 2206450 ns IT 00000230 684a LDR r2,[r1,#4] - 2206470 ns MR4_I 00000234 6008d1fc - 2206530 ns MR4_D 40006004 00000001 - 2206530 ns R r2 00000001 - 2206530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2206550 ns R r2 80000000 - 2206550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2206570 ns R psr 81000200 - 2206570 ns MR4_I 00000238 48054770 - 2206590 ns MR4_I 00000230 07d2684a - 2206610 ns IT 00000230 684a LDR r2,[r1,#4] - 2206630 ns MR4_I 00000234 6008d1fc - 2206690 ns MR4_D 40006004 00000001 - 2206690 ns R r2 00000001 - 2206690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2206710 ns R r2 80000000 - 2206710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2206730 ns R psr 81000200 - 2206730 ns MR4_I 00000238 48054770 - 2206750 ns MR4_I 00000230 07d2684a - 2206770 ns IT 00000230 684a LDR r2,[r1,#4] - 2206790 ns MR4_I 00000234 6008d1fc - 2206850 ns MR4_D 40006004 00000001 - 2206850 ns R r2 00000001 - 2206850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2206870 ns R r2 80000000 - 2206870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2206890 ns R psr 81000200 - 2206890 ns MR4_I 00000238 48054770 - 2206910 ns MR4_I 00000230 07d2684a - 2206930 ns IT 00000230 684a LDR r2,[r1,#4] - 2206950 ns MR4_I 00000234 6008d1fc - 2207010 ns MR4_D 40006004 00000001 - 2207010 ns R r2 00000001 - 2207010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2207030 ns R r2 80000000 - 2207030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2207050 ns R psr 81000200 - 2207050 ns MR4_I 00000238 48054770 - 2207070 ns MR4_I 00000230 07d2684a - 2207090 ns IT 00000230 684a LDR r2,[r1,#4] - 2207110 ns MR4_I 00000234 6008d1fc - 2207170 ns MR4_D 40006004 00000001 - 2207170 ns R r2 00000001 - 2207170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2207190 ns R r2 80000000 - 2207190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2207210 ns R psr 81000200 - 2207210 ns MR4_I 00000238 48054770 - 2207230 ns MR4_I 00000230 07d2684a - 2207250 ns IT 00000230 684a LDR r2,[r1,#4] - 2207270 ns MR4_I 00000234 6008d1fc - 2207330 ns MR4_D 40006004 00000001 - 2207330 ns R r2 00000001 - 2207330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2207350 ns R r2 80000000 - 2207350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2207370 ns R psr 81000200 - 2207370 ns MR4_I 00000238 48054770 - 2207390 ns MR4_I 00000230 07d2684a - 2207410 ns IT 00000230 684a LDR r2,[r1,#4] - 2207430 ns MR4_I 00000234 6008d1fc - 2207490 ns MR4_D 40006004 00000001 - 2207490 ns R r2 00000001 - 2207490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2207510 ns R r2 80000000 - 2207510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2207530 ns R psr 81000200 - 2207530 ns MR4_I 00000238 48054770 - 2207550 ns MR4_I 00000230 07d2684a - 2207570 ns IT 00000230 684a LDR r2,[r1,#4] - 2207590 ns MR4_I 00000234 6008d1fc - 2207650 ns MR4_D 40006004 00000001 - 2207650 ns R r2 00000001 - 2207650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2207670 ns R r2 80000000 - 2207670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2207690 ns R psr 81000200 - 2207690 ns MR4_I 00000238 48054770 - 2207710 ns MR4_I 00000230 07d2684a - 2207730 ns IT 00000230 684a LDR r2,[r1,#4] - 2207750 ns MR4_I 00000234 6008d1fc - 2207810 ns MR4_D 40006004 00000001 - 2207810 ns R r2 00000001 - 2207810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2207830 ns R r2 80000000 - 2207830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2207850 ns R psr 81000200 - 2207850 ns MR4_I 00000238 48054770 - 2207870 ns MR4_I 00000230 07d2684a - 2207890 ns IT 00000230 684a LDR r2,[r1,#4] - 2207910 ns MR4_I 00000234 6008d1fc - 2207970 ns MR4_D 40006004 00000001 - 2207970 ns R r2 00000001 - 2207970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2207990 ns R r2 80000000 - 2207990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2208010 ns R psr 81000200 - 2208010 ns MR4_I 00000238 48054770 - 2208030 ns MR4_I 00000230 07d2684a - 2208050 ns IT 00000230 684a LDR r2,[r1,#4] - 2208070 ns MR4_I 00000234 6008d1fc - 2208130 ns MR4_D 40006004 00000001 - 2208130 ns R r2 00000001 - 2208130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2208150 ns R r2 80000000 - 2208150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2208170 ns R psr 81000200 - 2208170 ns MR4_I 00000238 48054770 - 2208190 ns MR4_I 00000230 07d2684a - 2208210 ns IT 00000230 684a LDR r2,[r1,#4] - 2208230 ns MR4_I 00000234 6008d1fc - 2208290 ns MR4_D 40006004 00000001 - 2208290 ns R r2 00000001 - 2208290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2208310 ns R r2 80000000 - 2208310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2208330 ns R psr 81000200 - 2208330 ns MR4_I 00000238 48054770 - 2208350 ns MR4_I 00000230 07d2684a - 2208370 ns IT 00000230 684a LDR r2,[r1,#4] - 2208390 ns MR4_I 00000234 6008d1fc - 2208450 ns MR4_D 40006004 00000001 - 2208450 ns R r2 00000001 - 2208450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2208470 ns R r2 80000000 - 2208470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2208490 ns R psr 81000200 - 2208490 ns MR4_I 00000238 48054770 - 2208510 ns MR4_I 00000230 07d2684a - 2208530 ns IT 00000230 684a LDR r2,[r1,#4] - 2208550 ns MR4_I 00000234 6008d1fc - 2208610 ns MR4_D 40006004 00000001 - 2208610 ns R r2 00000001 - 2208610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2208630 ns R r2 80000000 - 2208630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2208650 ns R psr 81000200 - 2208650 ns MR4_I 00000238 48054770 - 2208670 ns MR4_I 00000230 07d2684a - 2208690 ns IT 00000230 684a LDR r2,[r1,#4] - 2208710 ns MR4_I 00000234 6008d1fc - 2208770 ns MR4_D 40006004 00000001 - 2208770 ns R r2 00000001 - 2208770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2208790 ns R r2 80000000 - 2208790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2208810 ns R psr 81000200 - 2208810 ns MR4_I 00000238 48054770 - 2208830 ns MR4_I 00000230 07d2684a - 2208850 ns IT 00000230 684a LDR r2,[r1,#4] - 2208870 ns MR4_I 00000234 6008d1fc - 2208930 ns MR4_D 40006004 00000001 - 2208930 ns R r2 00000001 - 2208930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2208950 ns R r2 80000000 - 2208950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2208970 ns R psr 81000200 - 2208970 ns MR4_I 00000238 48054770 - 2208990 ns MR4_I 00000230 07d2684a - 2209010 ns IT 00000230 684a LDR r2,[r1,#4] - 2209030 ns MR4_I 00000234 6008d1fc - 2209090 ns MR4_D 40006004 00000001 - 2209090 ns R r2 00000001 - 2209090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2209110 ns R r2 80000000 - 2209110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2209130 ns R psr 81000200 - 2209130 ns MR4_I 00000238 48054770 - 2209150 ns MR4_I 00000230 07d2684a - 2209170 ns IT 00000230 684a LDR r2,[r1,#4] - 2209190 ns MR4_I 00000234 6008d1fc - 2209250 ns MR4_D 40006004 00000001 - 2209250 ns R r2 00000001 - 2209250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2209270 ns R r2 80000000 - 2209270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2209290 ns R psr 81000200 - 2209290 ns MR4_I 00000238 48054770 - 2209310 ns MR4_I 00000230 07d2684a - 2209330 ns IT 00000230 684a LDR r2,[r1,#4] - 2209350 ns MR4_I 00000234 6008d1fc - 2209410 ns MR4_D 40006004 00000001 - 2209410 ns R r2 00000001 - 2209410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2209430 ns R r2 80000000 - 2209430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2209450 ns R psr 81000200 - 2209450 ns MR4_I 00000238 48054770 - 2209470 ns MR4_I 00000230 07d2684a - 2209490 ns IT 00000230 684a LDR r2,[r1,#4] - 2209510 ns MR4_I 00000234 6008d1fc - 2209570 ns MR4_D 40006004 00000001 - 2209570 ns R r2 00000001 - 2209570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2209590 ns R r2 80000000 - 2209590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2209610 ns R psr 81000200 - 2209610 ns MR4_I 00000238 48054770 - 2209630 ns MR4_I 00000230 07d2684a - 2209650 ns IT 00000230 684a LDR r2,[r1,#4] - 2209670 ns MR4_I 00000234 6008d1fc - 2209730 ns MR4_D 40006004 00000001 - 2209730 ns R r2 00000001 - 2209730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2209750 ns R r2 80000000 - 2209750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2209770 ns R psr 81000200 - 2209770 ns MR4_I 00000238 48054770 - 2209790 ns MR4_I 00000230 07d2684a - 2209810 ns IT 00000230 684a LDR r2,[r1,#4] - 2209830 ns MR4_I 00000234 6008d1fc - 2209890 ns MR4_D 40006004 00000001 - 2209890 ns R r2 00000001 - 2209890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2209910 ns R r2 80000000 - 2209910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2209930 ns R psr 81000200 - 2209930 ns MR4_I 00000238 48054770 - 2209950 ns MR4_I 00000230 07d2684a - 2209970 ns IT 00000230 684a LDR r2,[r1,#4] - 2209990 ns MR4_I 00000234 6008d1fc - 2210050 ns MR4_D 40006004 00000001 - 2210050 ns R r2 00000001 - 2210050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2210070 ns R r2 80000000 - 2210070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2210090 ns R psr 81000200 - 2210090 ns MR4_I 00000238 48054770 - 2210110 ns MR4_I 00000230 07d2684a - 2210130 ns IT 00000230 684a LDR r2,[r1,#4] - 2210150 ns MR4_I 00000234 6008d1fc - 2210210 ns MR4_D 40006004 00000001 - 2210210 ns R r2 00000001 - 2210210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2210230 ns R r2 80000000 - 2210230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2210250 ns R psr 81000200 - 2210250 ns MR4_I 00000238 48054770 - 2210270 ns MR4_I 00000230 07d2684a - 2210290 ns IT 00000230 684a LDR r2,[r1,#4] - 2210310 ns MR4_I 00000234 6008d1fc - 2210370 ns MR4_D 40006004 00000001 - 2210370 ns R r2 00000001 - 2210370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2210390 ns R r2 80000000 - 2210390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2210410 ns R psr 81000200 - 2210410 ns MR4_I 00000238 48054770 - 2210430 ns MR4_I 00000230 07d2684a - 2210450 ns IT 00000230 684a LDR r2,[r1,#4] - 2210470 ns MR4_I 00000234 6008d1fc - 2210530 ns MR4_D 40006004 00000001 - 2210530 ns R r2 00000001 - 2210530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2210550 ns R r2 80000000 - 2210550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2210570 ns R psr 81000200 - 2210570 ns MR4_I 00000238 48054770 - 2210590 ns MR4_I 00000230 07d2684a - 2210610 ns IT 00000230 684a LDR r2,[r1,#4] - 2210630 ns MR4_I 00000234 6008d1fc - 2210690 ns MR4_D 40006004 00000001 - 2210690 ns R r2 00000001 - 2210690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2210710 ns R r2 80000000 - 2210710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2210730 ns R psr 81000200 - 2210730 ns MR4_I 00000238 48054770 - 2210750 ns MR4_I 00000230 07d2684a - 2210770 ns IT 00000230 684a LDR r2,[r1,#4] - 2210790 ns MR4_I 00000234 6008d1fc - 2210850 ns MR4_D 40006004 00000001 - 2210850 ns R r2 00000001 - 2210850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2210870 ns R r2 80000000 - 2210870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2210890 ns R psr 81000200 - 2210890 ns MR4_I 00000238 48054770 - 2210910 ns MR4_I 00000230 07d2684a - 2210930 ns IT 00000230 684a LDR r2,[r1,#4] - 2210950 ns MR4_I 00000234 6008d1fc - 2211010 ns MR4_D 40006004 00000001 - 2211010 ns R r2 00000001 - 2211010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2211030 ns R r2 80000000 - 2211030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2211050 ns R psr 81000200 - 2211050 ns MR4_I 00000238 48054770 - 2211070 ns MR4_I 00000230 07d2684a - 2211090 ns IT 00000230 684a LDR r2,[r1,#4] - 2211110 ns MR4_I 00000234 6008d1fc - 2211170 ns MR4_D 40006004 00000001 - 2211170 ns R r2 00000001 - 2211170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2211190 ns R r2 80000000 - 2211190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2211210 ns R psr 81000200 - 2211210 ns MR4_I 00000238 48054770 - 2211230 ns MR4_I 00000230 07d2684a - 2211250 ns IT 00000230 684a LDR r2,[r1,#4] - 2211270 ns MR4_I 00000234 6008d1fc - 2211330 ns MR4_D 40006004 00000001 - 2211330 ns R r2 00000001 - 2211330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2211350 ns R r2 80000000 - 2211350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2211370 ns R psr 81000200 - 2211370 ns MR4_I 00000238 48054770 - 2211390 ns MR4_I 00000230 07d2684a - 2211410 ns IT 00000230 684a LDR r2,[r1,#4] - 2211430 ns MR4_I 00000234 6008d1fc - 2211490 ns MR4_D 40006004 00000001 - 2211490 ns R r2 00000001 - 2211490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2211510 ns R r2 80000000 - 2211510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2211530 ns R psr 81000200 - 2211530 ns MR4_I 00000238 48054770 - 2211550 ns MR4_I 00000230 07d2684a - 2211570 ns IT 00000230 684a LDR r2,[r1,#4] - 2211590 ns MR4_I 00000234 6008d1fc - 2211650 ns MR4_D 40006004 00000001 - 2211650 ns R r2 00000001 - 2211650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2211670 ns R r2 80000000 - 2211670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2211690 ns R psr 81000200 - 2211690 ns MR4_I 00000238 48054770 - 2211710 ns MR4_I 00000230 07d2684a - 2211730 ns IT 00000230 684a LDR r2,[r1,#4] - 2211750 ns MR4_I 00000234 6008d1fc - 2211810 ns MR4_D 40006004 00000001 - 2211810 ns R r2 00000001 - 2211810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2211830 ns R r2 80000000 - 2211830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2211850 ns R psr 81000200 - 2211850 ns MR4_I 00000238 48054770 - 2211870 ns MR4_I 00000230 07d2684a - 2211890 ns IT 00000230 684a LDR r2,[r1,#4] - 2211910 ns MR4_I 00000234 6008d1fc - 2211970 ns MR4_D 40006004 00000001 - 2211970 ns R r2 00000001 - 2211970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2211990 ns R r2 80000000 - 2211990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2212010 ns R psr 81000200 - 2212010 ns MR4_I 00000238 48054770 - 2212030 ns MR4_I 00000230 07d2684a - 2212050 ns IT 00000230 684a LDR r2,[r1,#4] - 2212070 ns MR4_I 00000234 6008d1fc - 2212130 ns MR4_D 40006004 00000001 - 2212130 ns R r2 00000001 - 2212130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2212150 ns R r2 80000000 - 2212150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2212170 ns R psr 81000200 - 2212170 ns MR4_I 00000238 48054770 - 2212190 ns MR4_I 00000230 07d2684a - 2212210 ns IT 00000230 684a LDR r2,[r1,#4] - 2212230 ns MR4_I 00000234 6008d1fc - 2212290 ns MR4_D 40006004 00000001 - 2212290 ns R r2 00000001 - 2212290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2212310 ns R r2 80000000 - 2212310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2212330 ns R psr 81000200 - 2212330 ns MR4_I 00000238 48054770 - 2212350 ns MR4_I 00000230 07d2684a - 2212370 ns IT 00000230 684a LDR r2,[r1,#4] - 2212390 ns MR4_I 00000234 6008d1fc - 2212450 ns MR4_D 40006004 00000001 - 2212450 ns R r2 00000001 - 2212450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2212470 ns R r2 80000000 - 2212470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2212490 ns R psr 81000200 - 2212490 ns MR4_I 00000238 48054770 - 2212510 ns MR4_I 00000230 07d2684a - 2212530 ns IT 00000230 684a LDR r2,[r1,#4] - 2212550 ns MR4_I 00000234 6008d1fc - 2212610 ns MR4_D 40006004 00000001 - 2212610 ns R r2 00000001 - 2212610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2212630 ns R r2 80000000 - 2212630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2212650 ns R psr 81000200 - 2212650 ns MR4_I 00000238 48054770 - 2212670 ns MR4_I 00000230 07d2684a - 2212690 ns IT 00000230 684a LDR r2,[r1,#4] - 2212710 ns MR4_I 00000234 6008d1fc - 2212770 ns MR4_D 40006004 00000001 - 2212770 ns R r2 00000001 - 2212770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2212790 ns R r2 80000000 - 2212790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2212810 ns R psr 81000200 - 2212810 ns MR4_I 00000238 48054770 - 2212830 ns MR4_I 00000230 07d2684a - 2212850 ns IT 00000230 684a LDR r2,[r1,#4] - 2212870 ns MR4_I 00000234 6008d1fc - 2212930 ns MR4_D 40006004 00000001 - 2212930 ns R r2 00000001 - 2212930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2212950 ns R r2 80000000 - 2212950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2212970 ns R psr 81000200 - 2212970 ns MR4_I 00000238 48054770 - 2212990 ns MR4_I 00000230 07d2684a - 2213010 ns IT 00000230 684a LDR r2,[r1,#4] - 2213030 ns MR4_I 00000234 6008d1fc - 2213090 ns MR4_D 40006004 00000001 - 2213090 ns R r2 00000001 - 2213090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2213110 ns R r2 80000000 - 2213110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2213130 ns R psr 81000200 - 2213130 ns MR4_I 00000238 48054770 - 2213150 ns MR4_I 00000230 07d2684a - 2213170 ns IT 00000230 684a LDR r2,[r1,#4] - 2213190 ns MR4_I 00000234 6008d1fc - 2213250 ns MR4_D 40006004 00000001 - 2213250 ns R r2 00000001 - 2213250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2213270 ns R r2 80000000 - 2213270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2213290 ns R psr 81000200 - 2213290 ns MR4_I 00000238 48054770 - 2213310 ns MR4_I 00000230 07d2684a - 2213330 ns IT 00000230 684a LDR r2,[r1,#4] - 2213350 ns MR4_I 00000234 6008d1fc - 2213410 ns MR4_D 40006004 00000001 - 2213410 ns R r2 00000001 - 2213410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2213430 ns R r2 80000000 - 2213430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2213450 ns R psr 81000200 - 2213450 ns MR4_I 00000238 48054770 - 2213470 ns MR4_I 00000230 07d2684a - 2213490 ns IT 00000230 684a LDR r2,[r1,#4] - 2213510 ns MR4_I 00000234 6008d1fc - 2213570 ns MR4_D 40006004 00000001 - 2213570 ns R r2 00000001 - 2213570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2213590 ns R r2 80000000 - 2213590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2213610 ns R psr 81000200 - 2213610 ns MR4_I 00000238 48054770 - 2213630 ns MR4_I 00000230 07d2684a - 2213650 ns IT 00000230 684a LDR r2,[r1,#4] - 2213670 ns MR4_I 00000234 6008d1fc - 2213730 ns MR4_D 40006004 00000001 - 2213730 ns R r2 00000001 - 2213730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2213750 ns R r2 80000000 - 2213750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2213770 ns R psr 81000200 - 2213770 ns MR4_I 00000238 48054770 - 2213790 ns MR4_I 00000230 07d2684a - 2213810 ns IT 00000230 684a LDR r2,[r1,#4] - 2213830 ns MR4_I 00000234 6008d1fc - 2213890 ns MR4_D 40006004 00000001 - 2213890 ns R r2 00000001 - 2213890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2213910 ns R r2 80000000 - 2213910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2213930 ns R psr 81000200 - 2213930 ns MR4_I 00000238 48054770 - 2213950 ns MR4_I 00000230 07d2684a - 2213970 ns IT 00000230 684a LDR r2,[r1,#4] - 2213990 ns MR4_I 00000234 6008d1fc - 2214050 ns MR4_D 40006004 00000001 - 2214050 ns R r2 00000001 - 2214050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2214070 ns R r2 80000000 - 2214070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2214090 ns R psr 81000200 - 2214090 ns MR4_I 00000238 48054770 - 2214110 ns MR4_I 00000230 07d2684a - 2214130 ns IT 00000230 684a LDR r2,[r1,#4] - 2214150 ns MR4_I 00000234 6008d1fc - 2214210 ns MR4_D 40006004 00000001 - 2214210 ns R r2 00000001 - 2214210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2214230 ns R r2 80000000 - 2214230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2214250 ns R psr 81000200 - 2214250 ns MR4_I 00000238 48054770 - 2214270 ns MR4_I 00000230 07d2684a - 2214290 ns IT 00000230 684a LDR r2,[r1,#4] - 2214310 ns MR4_I 00000234 6008d1fc - 2214370 ns MR4_D 40006004 00000001 - 2214370 ns R r2 00000001 - 2214370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2214390 ns R r2 80000000 - 2214390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2214410 ns R psr 81000200 - 2214410 ns MR4_I 00000238 48054770 - 2214430 ns MR4_I 00000230 07d2684a - 2214450 ns IT 00000230 684a LDR r2,[r1,#4] - 2214470 ns MR4_I 00000234 6008d1fc - 2214530 ns MR4_D 40006004 00000001 - 2214530 ns R r2 00000001 - 2214530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2214550 ns R r2 80000000 - 2214550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2214570 ns R psr 81000200 - 2214570 ns MR4_I 00000238 48054770 - 2214590 ns MR4_I 00000230 07d2684a - 2214610 ns IT 00000230 684a LDR r2,[r1,#4] - 2214630 ns MR4_I 00000234 6008d1fc - 2214690 ns MR4_D 40006004 00000001 - 2214690 ns R r2 00000001 - 2214690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2214710 ns R r2 80000000 - 2214710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2214730 ns R psr 81000200 - 2214730 ns MR4_I 00000238 48054770 - 2214750 ns MR4_I 00000230 07d2684a - 2214770 ns IT 00000230 684a LDR r2,[r1,#4] - 2214790 ns MR4_I 00000234 6008d1fc - 2214850 ns MR4_D 40006004 00000001 - 2214850 ns R r2 00000001 - 2214850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2214870 ns R r2 80000000 - 2214870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2214890 ns R psr 81000200 - 2214890 ns MR4_I 00000238 48054770 - 2214910 ns MR4_I 00000230 07d2684a - 2214930 ns IT 00000230 684a LDR r2,[r1,#4] - 2214950 ns MR4_I 00000234 6008d1fc - 2215010 ns MR4_D 40006004 00000001 - 2215010 ns R r2 00000001 - 2215010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2215030 ns R r2 80000000 - 2215030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2215050 ns R psr 81000200 - 2215050 ns MR4_I 00000238 48054770 - 2215070 ns MR4_I 00000230 07d2684a - 2215090 ns IT 00000230 684a LDR r2,[r1,#4] - 2215110 ns MR4_I 00000234 6008d1fc - 2215170 ns MR4_D 40006004 00000001 - 2215170 ns R r2 00000001 - 2215170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2215190 ns R r2 80000000 - 2215190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2215210 ns R psr 81000200 - 2215210 ns MR4_I 00000238 48054770 - 2215230 ns MR4_I 00000230 07d2684a - 2215250 ns IT 00000230 684a LDR r2,[r1,#4] - 2215270 ns MR4_I 00000234 6008d1fc - 2215330 ns MR4_D 40006004 00000001 - 2215330 ns R r2 00000001 - 2215330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2215350 ns R r2 80000000 - 2215350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2215370 ns R psr 81000200 - 2215370 ns MR4_I 00000238 48054770 - 2215390 ns MR4_I 00000230 07d2684a - 2215410 ns IT 00000230 684a LDR r2,[r1,#4] - 2215430 ns MR4_I 00000234 6008d1fc - 2215490 ns MR4_D 40006004 00000001 - 2215490 ns R r2 00000001 - 2215490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2215510 ns R r2 80000000 - 2215510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2215530 ns R psr 81000200 - 2215530 ns MR4_I 00000238 48054770 - 2215550 ns MR4_I 00000230 07d2684a - 2215570 ns IT 00000230 684a LDR r2,[r1,#4] - 2215590 ns MR4_I 00000234 6008d1fc - 2215650 ns MR4_D 40006004 00000001 - 2215650 ns R r2 00000001 - 2215650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2215670 ns R r2 80000000 - 2215670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2215690 ns R psr 81000200 - 2215690 ns MR4_I 00000238 48054770 - 2215710 ns MR4_I 00000230 07d2684a - 2215730 ns IT 00000230 684a LDR r2,[r1,#4] - 2215750 ns MR4_I 00000234 6008d1fc - 2215810 ns MR4_D 40006004 00000001 - 2215810 ns R r2 00000001 - 2215810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2215830 ns R r2 80000000 - 2215830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2215850 ns R psr 81000200 - 2215850 ns MR4_I 00000238 48054770 - 2215870 ns MR4_I 00000230 07d2684a - 2215890 ns IT 00000230 684a LDR r2,[r1,#4] - 2215910 ns MR4_I 00000234 6008d1fc - 2215970 ns MR4_D 40006004 00000001 - 2215970 ns R r2 00000001 - 2215970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2215990 ns R r2 80000000 - 2215990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2216010 ns R psr 81000200 - 2216010 ns MR4_I 00000238 48054770 - 2216030 ns MR4_I 00000230 07d2684a - 2216050 ns IT 00000230 684a LDR r2,[r1,#4] - 2216070 ns MR4_I 00000234 6008d1fc - 2216130 ns MR4_D 40006004 00000001 - 2216130 ns R r2 00000001 - 2216130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2216150 ns R r2 80000000 - 2216150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2216170 ns R psr 81000200 - 2216170 ns MR4_I 00000238 48054770 - 2216190 ns MR4_I 00000230 07d2684a - 2216210 ns IT 00000230 684a LDR r2,[r1,#4] - 2216230 ns MR4_I 00000234 6008d1fc - 2216290 ns MR4_D 40006004 00000001 - 2216290 ns R r2 00000001 - 2216290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2216310 ns R r2 80000000 - 2216310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2216330 ns R psr 81000200 - 2216330 ns MR4_I 00000238 48054770 - 2216350 ns MR4_I 00000230 07d2684a - 2216370 ns IT 00000230 684a LDR r2,[r1,#4] - 2216390 ns MR4_I 00000234 6008d1fc - 2216450 ns MR4_D 40006004 00000001 - 2216450 ns R r2 00000001 - 2216450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2216470 ns R r2 80000000 - 2216470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2216490 ns R psr 81000200 - 2216490 ns MR4_I 00000238 48054770 - 2216510 ns MR4_I 00000230 07d2684a - 2216530 ns IT 00000230 684a LDR r2,[r1,#4] - 2216550 ns MR4_I 00000234 6008d1fc - 2216610 ns MR4_D 40006004 00000001 - 2216610 ns R r2 00000001 - 2216610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2216630 ns R r2 80000000 - 2216630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2216650 ns R psr 81000200 - 2216650 ns MR4_I 00000238 48054770 - 2216670 ns MR4_I 00000230 07d2684a - 2216690 ns IT 00000230 684a LDR r2,[r1,#4] - 2216710 ns MR4_I 00000234 6008d1fc - 2216770 ns MR4_D 40006004 00000001 - 2216770 ns R r2 00000001 - 2216770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2216790 ns R r2 80000000 - 2216790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2216810 ns R psr 81000200 - 2216810 ns MR4_I 00000238 48054770 - 2216830 ns MR4_I 00000230 07d2684a - 2216850 ns IT 00000230 684a LDR r2,[r1,#4] - 2216870 ns MR4_I 00000234 6008d1fc - 2216930 ns MR4_D 40006004 00000001 - 2216930 ns R r2 00000001 - 2216930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2216950 ns R r2 80000000 - 2216950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2216970 ns R psr 81000200 - 2216970 ns MR4_I 00000238 48054770 - 2216990 ns MR4_I 00000230 07d2684a - 2217010 ns IT 00000230 684a LDR r2,[r1,#4] - 2217030 ns MR4_I 00000234 6008d1fc - 2217090 ns MR4_D 40006004 00000001 - 2217090 ns R r2 00000001 - 2217090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2217110 ns R r2 80000000 - 2217110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2217130 ns R psr 81000200 - 2217130 ns MR4_I 00000238 48054770 - 2217150 ns MR4_I 00000230 07d2684a - 2217170 ns IT 00000230 684a LDR r2,[r1,#4] - 2217190 ns MR4_I 00000234 6008d1fc - 2217250 ns MR4_D 40006004 00000001 - 2217250 ns R r2 00000001 - 2217250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2217270 ns R r2 80000000 - 2217270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2217290 ns R psr 81000200 - 2217290 ns MR4_I 00000238 48054770 - 2217310 ns MR4_I 00000230 07d2684a - 2217330 ns IT 00000230 684a LDR r2,[r1,#4] - 2217350 ns MR4_I 00000234 6008d1fc - 2217410 ns MR4_D 40006004 00000001 - 2217410 ns R r2 00000001 - 2217410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2217430 ns R r2 80000000 - 2217430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2217450 ns R psr 81000200 - 2217450 ns MR4_I 00000238 48054770 - 2217470 ns MR4_I 00000230 07d2684a - 2217490 ns IT 00000230 684a LDR r2,[r1,#4] - 2217510 ns MR4_I 00000234 6008d1fc - 2217570 ns MR4_D 40006004 00000001 - 2217570 ns R r2 00000001 - 2217570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2217590 ns R r2 80000000 - 2217590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2217610 ns R psr 81000200 - 2217610 ns MR4_I 00000238 48054770 - 2217630 ns MR4_I 00000230 07d2684a - 2217650 ns IT 00000230 684a LDR r2,[r1,#4] - 2217670 ns MR4_I 00000234 6008d1fc - 2217730 ns MR4_D 40006004 00000001 - 2217730 ns R r2 00000001 - 2217730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2217750 ns R r2 80000000 - 2217750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2217770 ns R psr 81000200 - 2217770 ns MR4_I 00000238 48054770 - 2217790 ns MR4_I 00000230 07d2684a - 2217810 ns IT 00000230 684a LDR r2,[r1,#4] - 2217830 ns MR4_I 00000234 6008d1fc - 2217890 ns MR4_D 40006004 00000001 - 2217890 ns R r2 00000001 - 2217890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2217910 ns R r2 80000000 - 2217910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2217930 ns R psr 81000200 - 2217930 ns MR4_I 00000238 48054770 - 2217950 ns MR4_I 00000230 07d2684a - 2217970 ns IT 00000230 684a LDR r2,[r1,#4] - 2217990 ns MR4_I 00000234 6008d1fc - 2218050 ns MR4_D 40006004 00000001 - 2218050 ns R r2 00000001 - 2218050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2218070 ns R r2 80000000 - 2218070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2218090 ns R psr 81000200 - 2218090 ns MR4_I 00000238 48054770 - 2218110 ns MR4_I 00000230 07d2684a - 2218130 ns IT 00000230 684a LDR r2,[r1,#4] - 2218150 ns MR4_I 00000234 6008d1fc - 2218210 ns MR4_D 40006004 00000001 - 2218210 ns R r2 00000001 - 2218210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2218230 ns R r2 80000000 - 2218230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2218250 ns R psr 81000200 - 2218250 ns MR4_I 00000238 48054770 - 2218270 ns MR4_I 00000230 07d2684a - 2218290 ns IT 00000230 684a LDR r2,[r1,#4] - 2218310 ns MR4_I 00000234 6008d1fc - 2218370 ns MR4_D 40006004 00000001 - 2218370 ns R r2 00000001 - 2218370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2218390 ns R r2 80000000 - 2218390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2218410 ns R psr 81000200 - 2218410 ns MR4_I 00000238 48054770 - 2218430 ns MR4_I 00000230 07d2684a - 2218450 ns IT 00000230 684a LDR r2,[r1,#4] - 2218470 ns MR4_I 00000234 6008d1fc - 2218530 ns MR4_D 40006004 00000001 - 2218530 ns R r2 00000001 - 2218530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2218550 ns R r2 80000000 - 2218550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2218570 ns R psr 81000200 - 2218570 ns MR4_I 00000238 48054770 - 2218590 ns MR4_I 00000230 07d2684a - 2218610 ns IT 00000230 684a LDR r2,[r1,#4] - 2218630 ns MR4_I 00000234 6008d1fc - 2218690 ns MR4_D 40006004 00000001 - 2218690 ns R r2 00000001 - 2218690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2218710 ns R r2 80000000 - 2218710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2218730 ns R psr 81000200 - 2218730 ns MR4_I 00000238 48054770 - 2218750 ns MR4_I 00000230 07d2684a - 2218770 ns IT 00000230 684a LDR r2,[r1,#4] - 2218790 ns MR4_I 00000234 6008d1fc - 2218850 ns MR4_D 40006004 00000001 - 2218850 ns R r2 00000001 - 2218850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2218870 ns R r2 80000000 - 2218870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2218890 ns R psr 81000200 - 2218890 ns MR4_I 00000238 48054770 - 2218910 ns MR4_I 00000230 07d2684a - 2218930 ns IT 00000230 684a LDR r2,[r1,#4] - 2218950 ns MR4_I 00000234 6008d1fc - 2219010 ns MR4_D 40006004 00000001 - 2219010 ns R r2 00000001 - 2219010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2219030 ns R r2 80000000 - 2219030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2219050 ns R psr 81000200 - 2219050 ns MR4_I 00000238 48054770 - 2219070 ns MR4_I 00000230 07d2684a - 2219090 ns IT 00000230 684a LDR r2,[r1,#4] - 2219110 ns MR4_I 00000234 6008d1fc - 2219170 ns MR4_D 40006004 00000001 - 2219170 ns R r2 00000001 - 2219170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2219190 ns R r2 80000000 - 2219190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2219210 ns R psr 81000200 - 2219210 ns MR4_I 00000238 48054770 - 2219230 ns MR4_I 00000230 07d2684a - 2219250 ns IT 00000230 684a LDR r2,[r1,#4] - 2219270 ns MR4_I 00000234 6008d1fc - 2219330 ns MR4_D 40006004 00000001 - 2219330 ns R r2 00000001 - 2219330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2219350 ns R r2 80000000 - 2219350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2219370 ns R psr 81000200 - 2219370 ns MR4_I 00000238 48054770 - 2219390 ns MR4_I 00000230 07d2684a - 2219410 ns IT 00000230 684a LDR r2,[r1,#4] - 2219430 ns MR4_I 00000234 6008d1fc - 2219490 ns MR4_D 40006004 00000001 - 2219490 ns R r2 00000001 - 2219490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2219510 ns R r2 80000000 - 2219510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2219530 ns R psr 81000200 - 2219530 ns MR4_I 00000238 48054770 - 2219550 ns MR4_I 00000230 07d2684a - 2219570 ns IT 00000230 684a LDR r2,[r1,#4] - 2219590 ns MR4_I 00000234 6008d1fc - 2219650 ns MR4_D 40006004 00000001 - 2219650 ns R r2 00000001 - 2219650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2219670 ns R r2 80000000 - 2219670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2219690 ns R psr 81000200 - 2219690 ns MR4_I 00000238 48054770 - 2219710 ns MR4_I 00000230 07d2684a - 2219730 ns IT 00000230 684a LDR r2,[r1,#4] - 2219750 ns MR4_I 00000234 6008d1fc - 2219810 ns MR4_D 40006004 00000001 - 2219810 ns R r2 00000001 - 2219810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2219830 ns R r2 80000000 - 2219830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2219850 ns R psr 81000200 - 2219850 ns MR4_I 00000238 48054770 - 2219870 ns MR4_I 00000230 07d2684a - 2219890 ns IT 00000230 684a LDR r2,[r1,#4] - 2219910 ns MR4_I 00000234 6008d1fc - 2219970 ns MR4_D 40006004 00000001 - 2219970 ns R r2 00000001 - 2219970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2219990 ns R r2 80000000 - 2219990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2220010 ns R psr 81000200 - 2220010 ns MR4_I 00000238 48054770 - 2220030 ns MR4_I 00000230 07d2684a - 2220050 ns IT 00000230 684a LDR r2,[r1,#4] - 2220070 ns MR4_I 00000234 6008d1fc - 2220130 ns MR4_D 40006004 00000001 - 2220130 ns R r2 00000001 - 2220130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2220150 ns R r2 80000000 - 2220150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2220170 ns R psr 81000200 - 2220170 ns MR4_I 00000238 48054770 - 2220190 ns MR4_I 00000230 07d2684a - 2220210 ns IT 00000230 684a LDR r2,[r1,#4] - 2220230 ns MR4_I 00000234 6008d1fc - 2220290 ns MR4_D 40006004 00000001 - 2220290 ns R r2 00000001 - 2220290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2220310 ns R r2 80000000 - 2220310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2220330 ns R psr 81000200 - 2220330 ns MR4_I 00000238 48054770 - 2220350 ns MR4_I 00000230 07d2684a - 2220370 ns IT 00000230 684a LDR r2,[r1,#4] - 2220390 ns MR4_I 00000234 6008d1fc - 2220450 ns MR4_D 40006004 00000001 - 2220450 ns R r2 00000001 - 2220450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2220470 ns R r2 80000000 - 2220470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2220490 ns R psr 81000200 - 2220490 ns MR4_I 00000238 48054770 - 2220510 ns MR4_I 00000230 07d2684a - 2220530 ns IT 00000230 684a LDR r2,[r1,#4] - 2220550 ns MR4_I 00000234 6008d1fc - 2220610 ns MR4_D 40006004 00000001 - 2220610 ns R r2 00000001 - 2220610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2220630 ns R r2 80000000 - 2220630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2220650 ns R psr 81000200 - 2220650 ns MR4_I 00000238 48054770 - 2220670 ns MR4_I 00000230 07d2684a - 2220690 ns IT 00000230 684a LDR r2,[r1,#4] - 2220710 ns MR4_I 00000234 6008d1fc - 2220770 ns MR4_D 40006004 00000001 - 2220770 ns R r2 00000001 - 2220770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2220790 ns R r2 80000000 - 2220790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2220810 ns R psr 81000200 - 2220810 ns MR4_I 00000238 48054770 - 2220830 ns MR4_I 00000230 07d2684a - 2220850 ns IT 00000230 684a LDR r2,[r1,#4] - 2220870 ns MR4_I 00000234 6008d1fc - 2220930 ns MR4_D 40006004 00000001 - 2220930 ns R r2 00000001 - 2220930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2220950 ns R r2 80000000 - 2220950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2220970 ns R psr 81000200 - 2220970 ns MR4_I 00000238 48054770 - 2220990 ns MR4_I 00000230 07d2684a - 2221010 ns IT 00000230 684a LDR r2,[r1,#4] - 2221030 ns MR4_I 00000234 6008d1fc - 2221090 ns MR4_D 40006004 00000001 - 2221090 ns R r2 00000001 - 2221090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2221110 ns R r2 80000000 - 2221110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2221130 ns R psr 81000200 - 2221130 ns MR4_I 00000238 48054770 - 2221150 ns MR4_I 00000230 07d2684a - 2221170 ns IT 00000230 684a LDR r2,[r1,#4] - 2221190 ns MR4_I 00000234 6008d1fc - 2221250 ns MR4_D 40006004 00000001 - 2221250 ns R r2 00000001 - 2221250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2221270 ns R r2 80000000 - 2221270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2221290 ns R psr 81000200 - 2221290 ns MR4_I 00000238 48054770 - 2221310 ns MR4_I 00000230 07d2684a - 2221330 ns IT 00000230 684a LDR r2,[r1,#4] - 2221350 ns MR4_I 00000234 6008d1fc - 2221410 ns MR4_D 40006004 00000001 - 2221410 ns R r2 00000001 - 2221410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2221430 ns R r2 80000000 - 2221430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2221450 ns R psr 81000200 - 2221450 ns MR4_I 00000238 48054770 - 2221470 ns MR4_I 00000230 07d2684a - 2221490 ns IT 00000230 684a LDR r2,[r1,#4] - 2221510 ns MR4_I 00000234 6008d1fc - 2221570 ns MR4_D 40006004 00000000 - 2221570 ns R r2 00000000 - 2221570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2221590 ns R r2 00000000 - 2221590 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2221610 ns R psr 41000200 - 2221610 ns MR4_I 00000238 48054770 - 2221610 ns IT 00000236 6008 STR r0,[r1,#0] - 2221690 ns MW4_D 40006000 00000077 - 2221690 ns IT 00000238 4770 BX lr - 2221710 ns MR4_I 0000023c 07896841 - 2221730 ns R psr 41000200 - 2221730 ns MR4_I 000001fc b510bd10 - 2221750 ns IT 000001fc bd10 POP {r4,pc} - 2221770 ns MR4_I 00000200 f81bf000 - 2221770 ns R r13 200002e0 (MSP) - 2221790 ns MR4_D 200002d8 00000006 - 2221790 ns R r4 00000006 - 2221810 ns MR4_D 200002dc 0000032d - 2221830 ns R psr 41000200 - 2221850 ns MR4_I 0000032c 46301c64 - 2221870 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 2221890 ns MR4_I 00000330 280047a8 - 2221890 ns R r4 00000007 - 2221890 ns IT 0000032e 4630 MOV r0,r6 - 2221910 ns R psr 01000200 - 2221910 ns R r0 200002f8 - 2221910 ns IT 00000330 47a8 BLX r5 - 2221930 ns MR4_I 00000334 4620d1f8 - 2221950 ns R psr 01000200 - 2221950 ns MR4_I 000002a8 1c4a6901 - 2221950 ns R r14 00000333 - 2221970 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2221990 ns MR4_I 000002ac 78086102 - 2222010 ns MR4_D 20000308 00000187 - 2222010 ns R r1 00000187 - 2222010 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2222030 ns R r2 00000188 - 2222030 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2222050 ns R psr 01000200 - 2222050 ns MR4_I 000002b0 b5004770 - 2222070 ns MW4_D 20000308 00000188 - 2222070 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2222110 ns MR1_D 00000187 6f77206f - 2222110 ns R r0 0000006f - 2222110 ns IT 000002b0 4770 BX lr - 2222130 ns MR4_I 000002b4 9102b08f - 2222150 ns R psr 01000200 - 2222150 ns MR4_I 00000330 280047a8 - 2222170 ns MR4_I 00000334 4620d1f8 - 2222170 ns IT 00000332 2800 CMP r0,#0 - 2222190 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2222210 ns R psr 21000200 - 2222210 ns MR4_I 00000338 b510bdf8 - 2222230 ns MR4_I 00000328 47b89900 - 2222250 ns IT 00000328 9900 LDR r1,[sp,#0] - 2222270 ns MR4_I 0000032c 46301c64 - 2222290 ns MR4_D 200002e0 20000004 - 2222290 ns R r1 20000004 - 2222290 ns IT 0000032a 47b8 BLX r7 - 2222330 ns R psr 21000200 - 2222330 ns MR4_I 000001f4 b2c0b510 - 2222330 ns R r14 0000032d - 2222350 ns IT 000001f4 b510 PUSH {r4,lr} - 2222370 ns MR4_I 000001f8 f819f000 - 2222390 ns MW4_D 200002d8 00000007 - 2222410 ns MW4_D 200002dc 0000032d - 2222410 ns R r13 200002d8 (MSP) - 2222410 ns IT 000001f6 b2c0 UXTB r0,r0 - 2222430 ns R r0 0000006f - 2222430 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 2222450 ns MR4_I 000001fc b510bd10 - 2222470 ns R r14 000001fd - 2222490 ns MR4_I 0000022c 49084770 - 2222510 ns MR4_I 00000230 07d2684a - 2222510 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 2222550 ns MR4_D 00000250 40006000 - 2222550 ns R r1 40006000 - 2222550 ns IT 00000230 684a LDR r2,[r1,#4] - 2222570 ns MR4_I 00000234 6008d1fc - 2222630 ns MR4_D 40006004 00000001 - 2222630 ns R r2 00000001 - 2222630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2222650 ns R r2 80000000 - 2222650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2222670 ns R psr 81000200 - 2222670 ns MR4_I 00000238 48054770 - 2222690 ns MR4_I 00000230 07d2684a - 2222710 ns IT 00000230 684a LDR r2,[r1,#4] - 2222730 ns MR4_I 00000234 6008d1fc - 2222790 ns MR4_D 40006004 00000001 - 2222790 ns R r2 00000001 - 2222790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2222810 ns R r2 80000000 - 2222810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2222830 ns R psr 81000200 - 2222830 ns MR4_I 00000238 48054770 - 2222850 ns MR4_I 00000230 07d2684a - 2222870 ns IT 00000230 684a LDR r2,[r1,#4] - 2222890 ns MR4_I 00000234 6008d1fc - 2222950 ns MR4_D 40006004 00000001 - 2222950 ns R r2 00000001 - 2222950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2222970 ns R r2 80000000 - 2222970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2222990 ns R psr 81000200 - 2222990 ns MR4_I 00000238 48054770 - 2223010 ns MR4_I 00000230 07d2684a - 2223030 ns IT 00000230 684a LDR r2,[r1,#4] - 2223050 ns MR4_I 00000234 6008d1fc - 2223110 ns MR4_D 40006004 00000001 - 2223110 ns R r2 00000001 - 2223110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2223130 ns R r2 80000000 - 2223130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2223150 ns R psr 81000200 - 2223150 ns MR4_I 00000238 48054770 - 2223170 ns MR4_I 00000230 07d2684a - 2223190 ns IT 00000230 684a LDR r2,[r1,#4] - 2223210 ns MR4_I 00000234 6008d1fc - 2223270 ns MR4_D 40006004 00000001 - 2223270 ns R r2 00000001 - 2223270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2223290 ns R r2 80000000 - 2223290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2223310 ns R psr 81000200 - 2223310 ns MR4_I 00000238 48054770 - 2223330 ns MR4_I 00000230 07d2684a - 2223350 ns IT 00000230 684a LDR r2,[r1,#4] - 2223370 ns MR4_I 00000234 6008d1fc - 2223430 ns MR4_D 40006004 00000001 - 2223430 ns R r2 00000001 - 2223430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2223450 ns R r2 80000000 - 2223450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2223470 ns R psr 81000200 - 2223470 ns MR4_I 00000238 48054770 - 2223490 ns MR4_I 00000230 07d2684a - 2223510 ns IT 00000230 684a LDR r2,[r1,#4] - 2223530 ns MR4_I 00000234 6008d1fc - 2223590 ns MR4_D 40006004 00000001 - 2223590 ns R r2 00000001 - 2223590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2223610 ns R r2 80000000 - 2223610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2223630 ns R psr 81000200 - 2223630 ns MR4_I 00000238 48054770 - 2223650 ns MR4_I 00000230 07d2684a - 2223670 ns IT 00000230 684a LDR r2,[r1,#4] - 2223690 ns MR4_I 00000234 6008d1fc - 2223750 ns MR4_D 40006004 00000001 - 2223750 ns R r2 00000001 - 2223750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2223770 ns R r2 80000000 - 2223770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2223790 ns R psr 81000200 - 2223790 ns MR4_I 00000238 48054770 - 2223810 ns MR4_I 00000230 07d2684a - 2223830 ns IT 00000230 684a LDR r2,[r1,#4] - 2223850 ns MR4_I 00000234 6008d1fc - 2223910 ns MR4_D 40006004 00000001 - 2223910 ns R r2 00000001 - 2223910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2223930 ns R r2 80000000 - 2223930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2223950 ns R psr 81000200 - 2223950 ns MR4_I 00000238 48054770 - 2223970 ns MR4_I 00000230 07d2684a - 2223990 ns IT 00000230 684a LDR r2,[r1,#4] - 2224010 ns MR4_I 00000234 6008d1fc - 2224070 ns MR4_D 40006004 00000001 - 2224070 ns R r2 00000001 - 2224070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2224090 ns R r2 80000000 - 2224090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2224110 ns R psr 81000200 - 2224110 ns MR4_I 00000238 48054770 - 2224130 ns MR4_I 00000230 07d2684a - 2224150 ns IT 00000230 684a LDR r2,[r1,#4] - 2224170 ns MR4_I 00000234 6008d1fc - 2224230 ns MR4_D 40006004 00000001 - 2224230 ns R r2 00000001 - 2224230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2224250 ns R r2 80000000 - 2224250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2224270 ns R psr 81000200 - 2224270 ns MR4_I 00000238 48054770 - 2224290 ns MR4_I 00000230 07d2684a - 2224310 ns IT 00000230 684a LDR r2,[r1,#4] - 2224330 ns MR4_I 00000234 6008d1fc - 2224390 ns MR4_D 40006004 00000001 - 2224390 ns R r2 00000001 - 2224390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2224410 ns R r2 80000000 - 2224410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2224430 ns R psr 81000200 - 2224430 ns MR4_I 00000238 48054770 - 2224450 ns MR4_I 00000230 07d2684a - 2224470 ns IT 00000230 684a LDR r2,[r1,#4] - 2224490 ns MR4_I 00000234 6008d1fc - 2224550 ns MR4_D 40006004 00000001 - 2224550 ns R r2 00000001 - 2224550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2224570 ns R r2 80000000 - 2224570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2224590 ns R psr 81000200 - 2224590 ns MR4_I 00000238 48054770 - 2224610 ns MR4_I 00000230 07d2684a - 2224630 ns IT 00000230 684a LDR r2,[r1,#4] - 2224650 ns MR4_I 00000234 6008d1fc - 2224710 ns MR4_D 40006004 00000001 - 2224710 ns R r2 00000001 - 2224710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2224730 ns R r2 80000000 - 2224730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2224750 ns R psr 81000200 - 2224750 ns MR4_I 00000238 48054770 - 2224770 ns MR4_I 00000230 07d2684a - 2224790 ns IT 00000230 684a LDR r2,[r1,#4] - 2224810 ns MR4_I 00000234 6008d1fc - 2224870 ns MR4_D 40006004 00000001 - 2224870 ns R r2 00000001 - 2224870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2224890 ns R r2 80000000 - 2224890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2224910 ns R psr 81000200 - 2224910 ns MR4_I 00000238 48054770 - 2224930 ns MR4_I 00000230 07d2684a - 2224950 ns IT 00000230 684a LDR r2,[r1,#4] - 2224970 ns MR4_I 00000234 6008d1fc - 2225030 ns MR4_D 40006004 00000001 - 2225030 ns R r2 00000001 - 2225030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2225050 ns R r2 80000000 - 2225050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2225070 ns R psr 81000200 - 2225070 ns MR4_I 00000238 48054770 - 2225090 ns MR4_I 00000230 07d2684a - 2225110 ns IT 00000230 684a LDR r2,[r1,#4] - 2225130 ns MR4_I 00000234 6008d1fc - 2225190 ns MR4_D 40006004 00000001 - 2225190 ns R r2 00000001 - 2225190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2225210 ns R r2 80000000 - 2225210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2225230 ns R psr 81000200 - 2225230 ns MR4_I 00000238 48054770 - 2225250 ns MR4_I 00000230 07d2684a - 2225270 ns IT 00000230 684a LDR r2,[r1,#4] - 2225290 ns MR4_I 00000234 6008d1fc - 2225350 ns MR4_D 40006004 00000001 - 2225350 ns R r2 00000001 - 2225350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2225370 ns R r2 80000000 - 2225370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2225390 ns R psr 81000200 - 2225390 ns MR4_I 00000238 48054770 - 2225410 ns MR4_I 00000230 07d2684a - 2225430 ns IT 00000230 684a LDR r2,[r1,#4] - 2225450 ns MR4_I 00000234 6008d1fc - 2225510 ns MR4_D 40006004 00000001 - 2225510 ns R r2 00000001 - 2225510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2225530 ns R r2 80000000 - 2225530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2225550 ns R psr 81000200 - 2225550 ns MR4_I 00000238 48054770 - 2225570 ns MR4_I 00000230 07d2684a - 2225590 ns IT 00000230 684a LDR r2,[r1,#4] - 2225610 ns MR4_I 00000234 6008d1fc - 2225670 ns MR4_D 40006004 00000001 - 2225670 ns R r2 00000001 - 2225670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2225690 ns R r2 80000000 - 2225690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2225710 ns R psr 81000200 - 2225710 ns MR4_I 00000238 48054770 - 2225730 ns MR4_I 00000230 07d2684a - 2225750 ns IT 00000230 684a LDR r2,[r1,#4] - 2225770 ns MR4_I 00000234 6008d1fc - 2225830 ns MR4_D 40006004 00000001 - 2225830 ns R r2 00000001 - 2225830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2225850 ns R r2 80000000 - 2225850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2225870 ns R psr 81000200 - 2225870 ns MR4_I 00000238 48054770 - 2225890 ns MR4_I 00000230 07d2684a - 2225910 ns IT 00000230 684a LDR r2,[r1,#4] - 2225930 ns MR4_I 00000234 6008d1fc - 2225990 ns MR4_D 40006004 00000001 - 2225990 ns R r2 00000001 - 2225990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2226010 ns R r2 80000000 - 2226010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2226030 ns R psr 81000200 - 2226030 ns MR4_I 00000238 48054770 - 2226050 ns MR4_I 00000230 07d2684a - 2226070 ns IT 00000230 684a LDR r2,[r1,#4] - 2226090 ns MR4_I 00000234 6008d1fc - 2226150 ns MR4_D 40006004 00000001 - 2226150 ns R r2 00000001 - 2226150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2226170 ns R r2 80000000 - 2226170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2226190 ns R psr 81000200 - 2226190 ns MR4_I 00000238 48054770 - 2226210 ns MR4_I 00000230 07d2684a - 2226230 ns IT 00000230 684a LDR r2,[r1,#4] - 2226250 ns MR4_I 00000234 6008d1fc - 2226310 ns MR4_D 40006004 00000001 - 2226310 ns R r2 00000001 - 2226310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2226330 ns R r2 80000000 - 2226330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2226350 ns R psr 81000200 - 2226350 ns MR4_I 00000238 48054770 - 2226370 ns MR4_I 00000230 07d2684a - 2226390 ns IT 00000230 684a LDR r2,[r1,#4] - 2226410 ns MR4_I 00000234 6008d1fc - 2226470 ns MR4_D 40006004 00000001 - 2226470 ns R r2 00000001 - 2226470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2226490 ns R r2 80000000 - 2226490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2226510 ns R psr 81000200 - 2226510 ns MR4_I 00000238 48054770 - 2226530 ns MR4_I 00000230 07d2684a - 2226550 ns IT 00000230 684a LDR r2,[r1,#4] - 2226570 ns MR4_I 00000234 6008d1fc - 2226630 ns MR4_D 40006004 00000001 - 2226630 ns R r2 00000001 - 2226630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2226650 ns R r2 80000000 - 2226650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2226670 ns R psr 81000200 - 2226670 ns MR4_I 00000238 48054770 - 2226690 ns MR4_I 00000230 07d2684a - 2226710 ns IT 00000230 684a LDR r2,[r1,#4] - 2226730 ns MR4_I 00000234 6008d1fc - 2226790 ns MR4_D 40006004 00000001 - 2226790 ns R r2 00000001 - 2226790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2226810 ns R r2 80000000 - 2226810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2226830 ns R psr 81000200 - 2226830 ns MR4_I 00000238 48054770 - 2226850 ns MR4_I 00000230 07d2684a - 2226870 ns IT 00000230 684a LDR r2,[r1,#4] - 2226890 ns MR4_I 00000234 6008d1fc - 2226950 ns MR4_D 40006004 00000001 - 2226950 ns R r2 00000001 - 2226950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2226970 ns R r2 80000000 - 2226970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2226990 ns R psr 81000200 - 2226990 ns MR4_I 00000238 48054770 - 2227010 ns MR4_I 00000230 07d2684a - 2227030 ns IT 00000230 684a LDR r2,[r1,#4] - 2227050 ns MR4_I 00000234 6008d1fc - 2227110 ns MR4_D 40006004 00000001 - 2227110 ns R r2 00000001 - 2227110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2227130 ns R r2 80000000 - 2227130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2227150 ns R psr 81000200 - 2227150 ns MR4_I 00000238 48054770 - 2227170 ns MR4_I 00000230 07d2684a - 2227190 ns IT 00000230 684a LDR r2,[r1,#4] - 2227210 ns MR4_I 00000234 6008d1fc - 2227270 ns MR4_D 40006004 00000001 - 2227270 ns R r2 00000001 - 2227270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2227290 ns R r2 80000000 - 2227290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2227310 ns R psr 81000200 - 2227310 ns MR4_I 00000238 48054770 - 2227330 ns MR4_I 00000230 07d2684a - 2227350 ns IT 00000230 684a LDR r2,[r1,#4] - 2227370 ns MR4_I 00000234 6008d1fc - 2227430 ns MR4_D 40006004 00000001 - 2227430 ns R r2 00000001 - 2227430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2227450 ns R r2 80000000 - 2227450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2227470 ns R psr 81000200 - 2227470 ns MR4_I 00000238 48054770 - 2227490 ns MR4_I 00000230 07d2684a - 2227510 ns IT 00000230 684a LDR r2,[r1,#4] - 2227530 ns MR4_I 00000234 6008d1fc - 2227590 ns MR4_D 40006004 00000001 - 2227590 ns R r2 00000001 - 2227590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2227610 ns R r2 80000000 - 2227610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2227630 ns R psr 81000200 - 2227630 ns MR4_I 00000238 48054770 - 2227650 ns MR4_I 00000230 07d2684a - 2227670 ns IT 00000230 684a LDR r2,[r1,#4] - 2227690 ns MR4_I 00000234 6008d1fc - 2227750 ns MR4_D 40006004 00000001 - 2227750 ns R r2 00000001 - 2227750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2227770 ns R r2 80000000 - 2227770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2227790 ns R psr 81000200 - 2227790 ns MR4_I 00000238 48054770 - 2227810 ns MR4_I 00000230 07d2684a - 2227830 ns IT 00000230 684a LDR r2,[r1,#4] - 2227850 ns MR4_I 00000234 6008d1fc - 2227910 ns MR4_D 40006004 00000001 - 2227910 ns R r2 00000001 - 2227910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2227930 ns R r2 80000000 - 2227930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2227950 ns R psr 81000200 - 2227950 ns MR4_I 00000238 48054770 - 2227970 ns MR4_I 00000230 07d2684a - 2227990 ns IT 00000230 684a LDR r2,[r1,#4] - 2228010 ns MR4_I 00000234 6008d1fc - 2228070 ns MR4_D 40006004 00000001 - 2228070 ns R r2 00000001 - 2228070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2228090 ns R r2 80000000 - 2228090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2228110 ns R psr 81000200 - 2228110 ns MR4_I 00000238 48054770 - 2228130 ns MR4_I 00000230 07d2684a - 2228150 ns IT 00000230 684a LDR r2,[r1,#4] - 2228170 ns MR4_I 00000234 6008d1fc - 2228230 ns MR4_D 40006004 00000001 - 2228230 ns R r2 00000001 - 2228230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2228250 ns R r2 80000000 - 2228250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2228270 ns R psr 81000200 - 2228270 ns MR4_I 00000238 48054770 - 2228290 ns MR4_I 00000230 07d2684a - 2228310 ns IT 00000230 684a LDR r2,[r1,#4] - 2228330 ns MR4_I 00000234 6008d1fc - 2228390 ns MR4_D 40006004 00000001 - 2228390 ns R r2 00000001 - 2228390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2228410 ns R r2 80000000 - 2228410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2228430 ns R psr 81000200 - 2228430 ns MR4_I 00000238 48054770 - 2228450 ns MR4_I 00000230 07d2684a - 2228470 ns IT 00000230 684a LDR r2,[r1,#4] - 2228490 ns MR4_I 00000234 6008d1fc - 2228550 ns MR4_D 40006004 00000001 - 2228550 ns R r2 00000001 - 2228550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2228570 ns R r2 80000000 - 2228570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2228590 ns R psr 81000200 - 2228590 ns MR4_I 00000238 48054770 - 2228610 ns MR4_I 00000230 07d2684a - 2228630 ns IT 00000230 684a LDR r2,[r1,#4] - 2228650 ns MR4_I 00000234 6008d1fc - 2228710 ns MR4_D 40006004 00000001 - 2228710 ns R r2 00000001 - 2228710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2228730 ns R r2 80000000 - 2228730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2228750 ns R psr 81000200 - 2228750 ns MR4_I 00000238 48054770 - 2228770 ns MR4_I 00000230 07d2684a - 2228790 ns IT 00000230 684a LDR r2,[r1,#4] - 2228810 ns MR4_I 00000234 6008d1fc - 2228870 ns MR4_D 40006004 00000001 - 2228870 ns R r2 00000001 - 2228870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2228890 ns R r2 80000000 - 2228890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2228910 ns R psr 81000200 - 2228910 ns MR4_I 00000238 48054770 - 2228930 ns MR4_I 00000230 07d2684a - 2228950 ns IT 00000230 684a LDR r2,[r1,#4] - 2228970 ns MR4_I 00000234 6008d1fc - 2229030 ns MR4_D 40006004 00000001 - 2229030 ns R r2 00000001 - 2229030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2229050 ns R r2 80000000 - 2229050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2229070 ns R psr 81000200 - 2229070 ns MR4_I 00000238 48054770 - 2229090 ns MR4_I 00000230 07d2684a - 2229110 ns IT 00000230 684a LDR r2,[r1,#4] - 2229130 ns MR4_I 00000234 6008d1fc - 2229190 ns MR4_D 40006004 00000001 - 2229190 ns R r2 00000001 - 2229190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2229210 ns R r2 80000000 - 2229210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2229230 ns R psr 81000200 - 2229230 ns MR4_I 00000238 48054770 - 2229250 ns MR4_I 00000230 07d2684a - 2229270 ns IT 00000230 684a LDR r2,[r1,#4] - 2229290 ns MR4_I 00000234 6008d1fc - 2229350 ns MR4_D 40006004 00000001 - 2229350 ns R r2 00000001 - 2229350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2229370 ns R r2 80000000 - 2229370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2229390 ns R psr 81000200 - 2229390 ns MR4_I 00000238 48054770 - 2229410 ns MR4_I 00000230 07d2684a - 2229430 ns IT 00000230 684a LDR r2,[r1,#4] - 2229450 ns MR4_I 00000234 6008d1fc - 2229510 ns MR4_D 40006004 00000001 - 2229510 ns R r2 00000001 - 2229510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2229530 ns R r2 80000000 - 2229530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2229550 ns R psr 81000200 - 2229550 ns MR4_I 00000238 48054770 - 2229570 ns MR4_I 00000230 07d2684a - 2229590 ns IT 00000230 684a LDR r2,[r1,#4] - 2229610 ns MR4_I 00000234 6008d1fc - 2229670 ns MR4_D 40006004 00000001 - 2229670 ns R r2 00000001 - 2229670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2229690 ns R r2 80000000 - 2229690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2229710 ns R psr 81000200 - 2229710 ns MR4_I 00000238 48054770 - 2229730 ns MR4_I 00000230 07d2684a - 2229750 ns IT 00000230 684a LDR r2,[r1,#4] - 2229770 ns MR4_I 00000234 6008d1fc - 2229830 ns MR4_D 40006004 00000001 - 2229830 ns R r2 00000001 - 2229830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2229850 ns R r2 80000000 - 2229850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2229870 ns R psr 81000200 - 2229870 ns MR4_I 00000238 48054770 - 2229890 ns MR4_I 00000230 07d2684a - 2229910 ns IT 00000230 684a LDR r2,[r1,#4] - 2229930 ns MR4_I 00000234 6008d1fc - 2229990 ns MR4_D 40006004 00000001 - 2229990 ns R r2 00000001 - 2229990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2230010 ns R r2 80000000 - 2230010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2230030 ns R psr 81000200 - 2230030 ns MR4_I 00000238 48054770 - 2230050 ns MR4_I 00000230 07d2684a - 2230070 ns IT 00000230 684a LDR r2,[r1,#4] - 2230090 ns MR4_I 00000234 6008d1fc - 2230150 ns MR4_D 40006004 00000001 - 2230150 ns R r2 00000001 - 2230150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2230170 ns R r2 80000000 - 2230170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2230190 ns R psr 81000200 - 2230190 ns MR4_I 00000238 48054770 - 2230210 ns MR4_I 00000230 07d2684a - 2230230 ns IT 00000230 684a LDR r2,[r1,#4] - 2230250 ns MR4_I 00000234 6008d1fc - 2230310 ns MR4_D 40006004 00000001 - 2230310 ns R r2 00000001 - 2230310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2230330 ns R r2 80000000 - 2230330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2230350 ns R psr 81000200 - 2230350 ns MR4_I 00000238 48054770 - 2230370 ns MR4_I 00000230 07d2684a - 2230390 ns IT 00000230 684a LDR r2,[r1,#4] - 2230410 ns MR4_I 00000234 6008d1fc - 2230470 ns MR4_D 40006004 00000001 - 2230470 ns R r2 00000001 - 2230470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2230490 ns R r2 80000000 - 2230490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2230510 ns R psr 81000200 - 2230510 ns MR4_I 00000238 48054770 - 2230530 ns MR4_I 00000230 07d2684a - 2230550 ns IT 00000230 684a LDR r2,[r1,#4] - 2230570 ns MR4_I 00000234 6008d1fc - 2230630 ns MR4_D 40006004 00000001 - 2230630 ns R r2 00000001 - 2230630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2230650 ns R r2 80000000 - 2230650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2230670 ns R psr 81000200 - 2230670 ns MR4_I 00000238 48054770 - 2230690 ns MR4_I 00000230 07d2684a - 2230710 ns IT 00000230 684a LDR r2,[r1,#4] - 2230730 ns MR4_I 00000234 6008d1fc - 2230790 ns MR4_D 40006004 00000001 - 2230790 ns R r2 00000001 - 2230790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2230810 ns R r2 80000000 - 2230810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2230830 ns R psr 81000200 - 2230830 ns MR4_I 00000238 48054770 - 2230850 ns MR4_I 00000230 07d2684a - 2230870 ns IT 00000230 684a LDR r2,[r1,#4] - 2230890 ns MR4_I 00000234 6008d1fc - 2230950 ns MR4_D 40006004 00000001 - 2230950 ns R r2 00000001 - 2230950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2230970 ns R r2 80000000 - 2230970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2230990 ns R psr 81000200 - 2230990 ns MR4_I 00000238 48054770 - 2231010 ns MR4_I 00000230 07d2684a - 2231030 ns IT 00000230 684a LDR r2,[r1,#4] - 2231050 ns MR4_I 00000234 6008d1fc - 2231110 ns MR4_D 40006004 00000001 - 2231110 ns R r2 00000001 - 2231110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2231130 ns R r2 80000000 - 2231130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2231150 ns R psr 81000200 - 2231150 ns MR4_I 00000238 48054770 - 2231170 ns MR4_I 00000230 07d2684a - 2231190 ns IT 00000230 684a LDR r2,[r1,#4] - 2231210 ns MR4_I 00000234 6008d1fc - 2231270 ns MR4_D 40006004 00000001 - 2231270 ns R r2 00000001 - 2231270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2231290 ns R r2 80000000 - 2231290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2231310 ns R psr 81000200 - 2231310 ns MR4_I 00000238 48054770 - 2231330 ns MR4_I 00000230 07d2684a - 2231350 ns IT 00000230 684a LDR r2,[r1,#4] - 2231370 ns MR4_I 00000234 6008d1fc - 2231430 ns MR4_D 40006004 00000001 - 2231430 ns R r2 00000001 - 2231430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2231450 ns R r2 80000000 - 2231450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2231470 ns R psr 81000200 - 2231470 ns MR4_I 00000238 48054770 - 2231490 ns MR4_I 00000230 07d2684a - 2231510 ns IT 00000230 684a LDR r2,[r1,#4] - 2231530 ns MR4_I 00000234 6008d1fc - 2231590 ns MR4_D 40006004 00000001 - 2231590 ns R r2 00000001 - 2231590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2231610 ns R r2 80000000 - 2231610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2231630 ns R psr 81000200 - 2231630 ns MR4_I 00000238 48054770 - 2231650 ns MR4_I 00000230 07d2684a - 2231670 ns IT 00000230 684a LDR r2,[r1,#4] - 2231690 ns MR4_I 00000234 6008d1fc - 2231750 ns MR4_D 40006004 00000001 - 2231750 ns R r2 00000001 - 2231750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2231770 ns R r2 80000000 - 2231770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2231790 ns R psr 81000200 - 2231790 ns MR4_I 00000238 48054770 - 2231810 ns MR4_I 00000230 07d2684a - 2231830 ns IT 00000230 684a LDR r2,[r1,#4] - 2231850 ns MR4_I 00000234 6008d1fc - 2231910 ns MR4_D 40006004 00000001 - 2231910 ns R r2 00000001 - 2231910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2231930 ns R r2 80000000 - 2231930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2231950 ns R psr 81000200 - 2231950 ns MR4_I 00000238 48054770 - 2231970 ns MR4_I 00000230 07d2684a - 2231990 ns IT 00000230 684a LDR r2,[r1,#4] - 2232010 ns MR4_I 00000234 6008d1fc - 2232070 ns MR4_D 40006004 00000001 - 2232070 ns R r2 00000001 - 2232070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2232090 ns R r2 80000000 - 2232090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2232110 ns R psr 81000200 - 2232110 ns MR4_I 00000238 48054770 - 2232130 ns MR4_I 00000230 07d2684a - 2232150 ns IT 00000230 684a LDR r2,[r1,#4] - 2232170 ns MR4_I 00000234 6008d1fc - 2232230 ns MR4_D 40006004 00000001 - 2232230 ns R r2 00000001 - 2232230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2232250 ns R r2 80000000 - 2232250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2232270 ns R psr 81000200 - 2232270 ns MR4_I 00000238 48054770 - 2232290 ns MR4_I 00000230 07d2684a - 2232310 ns IT 00000230 684a LDR r2,[r1,#4] - 2232330 ns MR4_I 00000234 6008d1fc - 2232390 ns MR4_D 40006004 00000001 - 2232390 ns R r2 00000001 - 2232390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2232410 ns R r2 80000000 - 2232410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2232430 ns R psr 81000200 - 2232430 ns MR4_I 00000238 48054770 - 2232450 ns MR4_I 00000230 07d2684a - 2232470 ns IT 00000230 684a LDR r2,[r1,#4] - 2232490 ns MR4_I 00000234 6008d1fc - 2232550 ns MR4_D 40006004 00000001 - 2232550 ns R r2 00000001 - 2232550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2232570 ns R r2 80000000 - 2232570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2232590 ns R psr 81000200 - 2232590 ns MR4_I 00000238 48054770 - 2232610 ns MR4_I 00000230 07d2684a - 2232630 ns IT 00000230 684a LDR r2,[r1,#4] - 2232650 ns MR4_I 00000234 6008d1fc - 2232710 ns MR4_D 40006004 00000001 - 2232710 ns R r2 00000001 - 2232710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2232730 ns R r2 80000000 - 2232730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2232750 ns R psr 81000200 - 2232750 ns MR4_I 00000238 48054770 - 2232770 ns MR4_I 00000230 07d2684a - 2232790 ns IT 00000230 684a LDR r2,[r1,#4] - 2232810 ns MR4_I 00000234 6008d1fc - 2232870 ns MR4_D 40006004 00000001 - 2232870 ns R r2 00000001 - 2232870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2232890 ns R r2 80000000 - 2232890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2232910 ns R psr 81000200 - 2232910 ns MR4_I 00000238 48054770 - 2232930 ns MR4_I 00000230 07d2684a - 2232950 ns IT 00000230 684a LDR r2,[r1,#4] - 2232970 ns MR4_I 00000234 6008d1fc - 2233030 ns MR4_D 40006004 00000001 - 2233030 ns R r2 00000001 - 2233030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2233050 ns R r2 80000000 - 2233050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2233070 ns R psr 81000200 - 2233070 ns MR4_I 00000238 48054770 - 2233090 ns MR4_I 00000230 07d2684a - 2233110 ns IT 00000230 684a LDR r2,[r1,#4] - 2233130 ns MR4_I 00000234 6008d1fc - 2233190 ns MR4_D 40006004 00000001 - 2233190 ns R r2 00000001 - 2233190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2233210 ns R r2 80000000 - 2233210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2233230 ns R psr 81000200 - 2233230 ns MR4_I 00000238 48054770 - 2233250 ns MR4_I 00000230 07d2684a - 2233270 ns IT 00000230 684a LDR r2,[r1,#4] - 2233290 ns MR4_I 00000234 6008d1fc - 2233350 ns MR4_D 40006004 00000001 - 2233350 ns R r2 00000001 - 2233350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2233370 ns R r2 80000000 - 2233370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2233390 ns R psr 81000200 - 2233390 ns MR4_I 00000238 48054770 - 2233410 ns MR4_I 00000230 07d2684a - 2233430 ns IT 00000230 684a LDR r2,[r1,#4] - 2233450 ns MR4_I 00000234 6008d1fc - 2233510 ns MR4_D 40006004 00000001 - 2233510 ns R r2 00000001 - 2233510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2233530 ns R r2 80000000 - 2233530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2233550 ns R psr 81000200 - 2233550 ns MR4_I 00000238 48054770 - 2233570 ns MR4_I 00000230 07d2684a - 2233590 ns IT 00000230 684a LDR r2,[r1,#4] - 2233610 ns MR4_I 00000234 6008d1fc - 2233670 ns MR4_D 40006004 00000001 - 2233670 ns R r2 00000001 - 2233670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2233690 ns R r2 80000000 - 2233690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2233710 ns R psr 81000200 - 2233710 ns MR4_I 00000238 48054770 - 2233730 ns MR4_I 00000230 07d2684a - 2233750 ns IT 00000230 684a LDR r2,[r1,#4] - 2233770 ns MR4_I 00000234 6008d1fc - 2233830 ns MR4_D 40006004 00000001 - 2233830 ns R r2 00000001 - 2233830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2233850 ns R r2 80000000 - 2233850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2233870 ns R psr 81000200 - 2233870 ns MR4_I 00000238 48054770 - 2233890 ns MR4_I 00000230 07d2684a - 2233910 ns IT 00000230 684a LDR r2,[r1,#4] - 2233930 ns MR4_I 00000234 6008d1fc - 2233990 ns MR4_D 40006004 00000001 - 2233990 ns R r2 00000001 - 2233990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2234010 ns R r2 80000000 - 2234010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2234030 ns R psr 81000200 - 2234030 ns MR4_I 00000238 48054770 - 2234050 ns MR4_I 00000230 07d2684a - 2234070 ns IT 00000230 684a LDR r2,[r1,#4] - 2234090 ns MR4_I 00000234 6008d1fc - 2234150 ns MR4_D 40006004 00000001 - 2234150 ns R r2 00000001 - 2234150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2234170 ns R r2 80000000 - 2234170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2234190 ns R psr 81000200 - 2234190 ns MR4_I 00000238 48054770 - 2234210 ns MR4_I 00000230 07d2684a - 2234230 ns IT 00000230 684a LDR r2,[r1,#4] - 2234250 ns MR4_I 00000234 6008d1fc - 2234310 ns MR4_D 40006004 00000001 - 2234310 ns R r2 00000001 - 2234310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2234330 ns R r2 80000000 - 2234330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2234350 ns R psr 81000200 - 2234350 ns MR4_I 00000238 48054770 - 2234370 ns MR4_I 00000230 07d2684a - 2234390 ns IT 00000230 684a LDR r2,[r1,#4] - 2234410 ns MR4_I 00000234 6008d1fc - 2234470 ns MR4_D 40006004 00000001 - 2234470 ns R r2 00000001 - 2234470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2234490 ns R r2 80000000 - 2234490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2234510 ns R psr 81000200 - 2234510 ns MR4_I 00000238 48054770 - 2234530 ns MR4_I 00000230 07d2684a - 2234550 ns IT 00000230 684a LDR r2,[r1,#4] - 2234570 ns MR4_I 00000234 6008d1fc - 2234630 ns MR4_D 40006004 00000001 - 2234630 ns R r2 00000001 - 2234630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2234650 ns R r2 80000000 - 2234650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2234670 ns R psr 81000200 - 2234670 ns MR4_I 00000238 48054770 - 2234690 ns MR4_I 00000230 07d2684a - 2234710 ns IT 00000230 684a LDR r2,[r1,#4] - 2234730 ns MR4_I 00000234 6008d1fc - 2234790 ns MR4_D 40006004 00000001 - 2234790 ns R r2 00000001 - 2234790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2234810 ns R r2 80000000 - 2234810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2234830 ns R psr 81000200 - 2234830 ns MR4_I 00000238 48054770 - 2234850 ns MR4_I 00000230 07d2684a - 2234870 ns IT 00000230 684a LDR r2,[r1,#4] - 2234890 ns MR4_I 00000234 6008d1fc - 2234950 ns MR4_D 40006004 00000001 - 2234950 ns R r2 00000001 - 2234950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2234970 ns R r2 80000000 - 2234970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2234990 ns R psr 81000200 - 2234990 ns MR4_I 00000238 48054770 - 2235010 ns MR4_I 00000230 07d2684a - 2235030 ns IT 00000230 684a LDR r2,[r1,#4] - 2235050 ns MR4_I 00000234 6008d1fc - 2235110 ns MR4_D 40006004 00000001 - 2235110 ns R r2 00000001 - 2235110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2235130 ns R r2 80000000 - 2235130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2235150 ns R psr 81000200 - 2235150 ns MR4_I 00000238 48054770 - 2235170 ns MR4_I 00000230 07d2684a - 2235190 ns IT 00000230 684a LDR r2,[r1,#4] - 2235210 ns MR4_I 00000234 6008d1fc - 2235270 ns MR4_D 40006004 00000001 - 2235270 ns R r2 00000001 - 2235270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2235290 ns R r2 80000000 - 2235290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2235310 ns R psr 81000200 - 2235310 ns MR4_I 00000238 48054770 - 2235330 ns MR4_I 00000230 07d2684a - 2235350 ns IT 00000230 684a LDR r2,[r1,#4] - 2235370 ns MR4_I 00000234 6008d1fc - 2235430 ns MR4_D 40006004 00000001 - 2235430 ns R r2 00000001 - 2235430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2235450 ns R r2 80000000 - 2235450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2235470 ns R psr 81000200 - 2235470 ns MR4_I 00000238 48054770 - 2235490 ns MR4_I 00000230 07d2684a - 2235510 ns IT 00000230 684a LDR r2,[r1,#4] - 2235530 ns MR4_I 00000234 6008d1fc - 2235590 ns MR4_D 40006004 00000001 - 2235590 ns R r2 00000001 - 2235590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2235610 ns R r2 80000000 - 2235610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2235630 ns R psr 81000200 - 2235630 ns MR4_I 00000238 48054770 - 2235650 ns MR4_I 00000230 07d2684a - 2235670 ns IT 00000230 684a LDR r2,[r1,#4] - 2235690 ns MR4_I 00000234 6008d1fc - 2235750 ns MR4_D 40006004 00000001 - 2235750 ns R r2 00000001 - 2235750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2235770 ns R r2 80000000 - 2235770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2235790 ns R psr 81000200 - 2235790 ns MR4_I 00000238 48054770 - 2235810 ns MR4_I 00000230 07d2684a - 2235830 ns IT 00000230 684a LDR r2,[r1,#4] - 2235850 ns MR4_I 00000234 6008d1fc - 2235910 ns MR4_D 40006004 00000001 - 2235910 ns R r2 00000001 - 2235910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2235930 ns R r2 80000000 - 2235930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2235950 ns R psr 81000200 - 2235950 ns MR4_I 00000238 48054770 - 2235970 ns MR4_I 00000230 07d2684a - 2235990 ns IT 00000230 684a LDR r2,[r1,#4] - 2236010 ns MR4_I 00000234 6008d1fc - 2236070 ns MR4_D 40006004 00000001 - 2236070 ns R r2 00000001 - 2236070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2236090 ns R r2 80000000 - 2236090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2236110 ns R psr 81000200 - 2236110 ns MR4_I 00000238 48054770 - 2236130 ns MR4_I 00000230 07d2684a - 2236150 ns IT 00000230 684a LDR r2,[r1,#4] - 2236170 ns MR4_I 00000234 6008d1fc - 2236230 ns MR4_D 40006004 00000001 - 2236230 ns R r2 00000001 - 2236230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2236250 ns R r2 80000000 - 2236250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2236270 ns R psr 81000200 - 2236270 ns MR4_I 00000238 48054770 - 2236290 ns MR4_I 00000230 07d2684a - 2236310 ns IT 00000230 684a LDR r2,[r1,#4] - 2236330 ns MR4_I 00000234 6008d1fc - 2236390 ns MR4_D 40006004 00000001 - 2236390 ns R r2 00000001 - 2236390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2236410 ns R r2 80000000 - 2236410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2236430 ns R psr 81000200 - 2236430 ns MR4_I 00000238 48054770 - 2236450 ns MR4_I 00000230 07d2684a - 2236470 ns IT 00000230 684a LDR r2,[r1,#4] - 2236490 ns MR4_I 00000234 6008d1fc - 2236550 ns MR4_D 40006004 00000001 - 2236550 ns R r2 00000001 - 2236550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2236570 ns R r2 80000000 - 2236570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2236590 ns R psr 81000200 - 2236590 ns MR4_I 00000238 48054770 - 2236610 ns MR4_I 00000230 07d2684a - 2236630 ns IT 00000230 684a LDR r2,[r1,#4] - 2236650 ns MR4_I 00000234 6008d1fc - 2236710 ns MR4_D 40006004 00000001 - 2236710 ns R r2 00000001 - 2236710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2236730 ns R r2 80000000 - 2236730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2236750 ns R psr 81000200 - 2236750 ns MR4_I 00000238 48054770 - 2236770 ns MR4_I 00000230 07d2684a - 2236790 ns IT 00000230 684a LDR r2,[r1,#4] - 2236810 ns MR4_I 00000234 6008d1fc - 2236870 ns MR4_D 40006004 00000001 - 2236870 ns R r2 00000001 - 2236870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2236890 ns R r2 80000000 - 2236890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2236910 ns R psr 81000200 - 2236910 ns MR4_I 00000238 48054770 - 2236930 ns MR4_I 00000230 07d2684a - 2236950 ns IT 00000230 684a LDR r2,[r1,#4] - 2236970 ns MR4_I 00000234 6008d1fc - 2237030 ns MR4_D 40006004 00000001 - 2237030 ns R r2 00000001 - 2237030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2237050 ns R r2 80000000 - 2237050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2237070 ns R psr 81000200 - 2237070 ns MR4_I 00000238 48054770 - 2237090 ns MR4_I 00000230 07d2684a - 2237110 ns IT 00000230 684a LDR r2,[r1,#4] - 2237130 ns MR4_I 00000234 6008d1fc - 2237190 ns MR4_D 40006004 00000001 - 2237190 ns R r2 00000001 - 2237190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2237210 ns R r2 80000000 - 2237210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2237230 ns R psr 81000200 - 2237230 ns MR4_I 00000238 48054770 - 2237250 ns MR4_I 00000230 07d2684a - 2237270 ns IT 00000230 684a LDR r2,[r1,#4] - 2237290 ns MR4_I 00000234 6008d1fc - 2237350 ns MR4_D 40006004 00000001 - 2237350 ns R r2 00000001 - 2237350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2237370 ns R r2 80000000 - 2237370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2237390 ns R psr 81000200 - 2237390 ns MR4_I 00000238 48054770 - 2237410 ns MR4_I 00000230 07d2684a - 2237430 ns IT 00000230 684a LDR r2,[r1,#4] - 2237450 ns MR4_I 00000234 6008d1fc - 2237510 ns MR4_D 40006004 00000001 - 2237510 ns R r2 00000001 - 2237510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2237530 ns R r2 80000000 - 2237530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2237550 ns R psr 81000200 - 2237550 ns MR4_I 00000238 48054770 - 2237570 ns MR4_I 00000230 07d2684a - 2237590 ns IT 00000230 684a LDR r2,[r1,#4] - 2237610 ns MR4_I 00000234 6008d1fc - 2237670 ns MR4_D 40006004 00000001 - 2237670 ns R r2 00000001 - 2237670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2237690 ns R r2 80000000 - 2237690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2237710 ns R psr 81000200 - 2237710 ns MR4_I 00000238 48054770 - 2237730 ns MR4_I 00000230 07d2684a - 2237750 ns IT 00000230 684a LDR r2,[r1,#4] - 2237770 ns MR4_I 00000234 6008d1fc - 2237830 ns MR4_D 40006004 00000001 - 2237830 ns R r2 00000001 - 2237830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2237850 ns R r2 80000000 - 2237850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2237870 ns R psr 81000200 - 2237870 ns MR4_I 00000238 48054770 - 2237890 ns MR4_I 00000230 07d2684a - 2237910 ns IT 00000230 684a LDR r2,[r1,#4] - 2237930 ns MR4_I 00000234 6008d1fc - 2237990 ns MR4_D 40006004 00000001 - 2237990 ns R r2 00000001 - 2237990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2238010 ns R r2 80000000 - 2238010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2238030 ns R psr 81000200 - 2238030 ns MR4_I 00000238 48054770 - 2238050 ns MR4_I 00000230 07d2684a - 2238070 ns IT 00000230 684a LDR r2,[r1,#4] - 2238090 ns MR4_I 00000234 6008d1fc - 2238150 ns MR4_D 40006004 00000001 - 2238150 ns R r2 00000001 - 2238150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2238170 ns R r2 80000000 - 2238170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2238190 ns R psr 81000200 - 2238190 ns MR4_I 00000238 48054770 - 2238210 ns MR4_I 00000230 07d2684a - 2238230 ns IT 00000230 684a LDR r2,[r1,#4] - 2238250 ns MR4_I 00000234 6008d1fc - 2238310 ns MR4_D 40006004 00000001 - 2238310 ns R r2 00000001 - 2238310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2238330 ns R r2 80000000 - 2238330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2238350 ns R psr 81000200 - 2238350 ns MR4_I 00000238 48054770 - 2238370 ns MR4_I 00000230 07d2684a - 2238390 ns IT 00000230 684a LDR r2,[r1,#4] - 2238410 ns MR4_I 00000234 6008d1fc - 2238470 ns MR4_D 40006004 00000001 - 2238470 ns R r2 00000001 - 2238470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2238490 ns R r2 80000000 - 2238490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2238510 ns R psr 81000200 - 2238510 ns MR4_I 00000238 48054770 - 2238530 ns MR4_I 00000230 07d2684a - 2238550 ns IT 00000230 684a LDR r2,[r1,#4] - 2238570 ns MR4_I 00000234 6008d1fc - 2238630 ns MR4_D 40006004 00000001 - 2238630 ns R r2 00000001 - 2238630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2238650 ns R r2 80000000 - 2238650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2238670 ns R psr 81000200 - 2238670 ns MR4_I 00000238 48054770 - 2238690 ns MR4_I 00000230 07d2684a - 2238710 ns IT 00000230 684a LDR r2,[r1,#4] - 2238730 ns MR4_I 00000234 6008d1fc - 2238790 ns MR4_D 40006004 00000001 - 2238790 ns R r2 00000001 - 2238790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2238810 ns R r2 80000000 - 2238810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2238830 ns R psr 81000200 - 2238830 ns MR4_I 00000238 48054770 - 2238850 ns MR4_I 00000230 07d2684a - 2238870 ns IT 00000230 684a LDR r2,[r1,#4] - 2238890 ns MR4_I 00000234 6008d1fc - 2238950 ns MR4_D 40006004 00000001 - 2238950 ns R r2 00000001 - 2238950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2238970 ns R r2 80000000 - 2238970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2238990 ns R psr 81000200 - 2238990 ns MR4_I 00000238 48054770 - 2239010 ns MR4_I 00000230 07d2684a - 2239030 ns IT 00000230 684a LDR r2,[r1,#4] - 2239050 ns MR4_I 00000234 6008d1fc - 2239110 ns MR4_D 40006004 00000001 - 2239110 ns R r2 00000001 - 2239110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2239130 ns R r2 80000000 - 2239130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2239150 ns R psr 81000200 - 2239150 ns MR4_I 00000238 48054770 - 2239170 ns MR4_I 00000230 07d2684a - 2239190 ns IT 00000230 684a LDR r2,[r1,#4] - 2239210 ns MR4_I 00000234 6008d1fc - 2239270 ns MR4_D 40006004 00000001 - 2239270 ns R r2 00000001 - 2239270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2239290 ns R r2 80000000 - 2239290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2239310 ns R psr 81000200 - 2239310 ns MR4_I 00000238 48054770 - 2239330 ns MR4_I 00000230 07d2684a - 2239350 ns IT 00000230 684a LDR r2,[r1,#4] - 2239370 ns MR4_I 00000234 6008d1fc - 2239430 ns MR4_D 40006004 00000001 - 2239430 ns R r2 00000001 - 2239430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2239450 ns R r2 80000000 - 2239450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2239470 ns R psr 81000200 - 2239470 ns MR4_I 00000238 48054770 - 2239490 ns MR4_I 00000230 07d2684a - 2239510 ns IT 00000230 684a LDR r2,[r1,#4] - 2239530 ns MR4_I 00000234 6008d1fc - 2239590 ns MR4_D 40006004 00000001 - 2239590 ns R r2 00000001 - 2239590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2239610 ns R r2 80000000 - 2239610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2239630 ns R psr 81000200 - 2239630 ns MR4_I 00000238 48054770 - 2239650 ns MR4_I 00000230 07d2684a - 2239670 ns IT 00000230 684a LDR r2,[r1,#4] - 2239690 ns MR4_I 00000234 6008d1fc - 2239750 ns MR4_D 40006004 00000001 - 2239750 ns R r2 00000001 - 2239750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2239770 ns R r2 80000000 - 2239770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2239790 ns R psr 81000200 - 2239790 ns MR4_I 00000238 48054770 - 2239810 ns MR4_I 00000230 07d2684a - 2239830 ns IT 00000230 684a LDR r2,[r1,#4] - 2239850 ns MR4_I 00000234 6008d1fc - 2239910 ns MR4_D 40006004 00000001 - 2239910 ns R r2 00000001 - 2239910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2239930 ns R r2 80000000 - 2239930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2239950 ns R psr 81000200 - 2239950 ns MR4_I 00000238 48054770 - 2239970 ns MR4_I 00000230 07d2684a - 2239990 ns IT 00000230 684a LDR r2,[r1,#4] - 2240010 ns MR4_I 00000234 6008d1fc - 2240070 ns MR4_D 40006004 00000001 - 2240070 ns R r2 00000001 - 2240070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2240090 ns R r2 80000000 - 2240090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2240110 ns R psr 81000200 - 2240110 ns MR4_I 00000238 48054770 - 2240130 ns MR4_I 00000230 07d2684a - 2240150 ns IT 00000230 684a LDR r2,[r1,#4] - 2240170 ns MR4_I 00000234 6008d1fc - 2240230 ns MR4_D 40006004 00000001 - 2240230 ns R r2 00000001 - 2240230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2240250 ns R r2 80000000 - 2240250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2240270 ns R psr 81000200 - 2240270 ns MR4_I 00000238 48054770 - 2240290 ns MR4_I 00000230 07d2684a - 2240310 ns IT 00000230 684a LDR r2,[r1,#4] - 2240330 ns MR4_I 00000234 6008d1fc - 2240390 ns MR4_D 40006004 00000001 - 2240390 ns R r2 00000001 - 2240390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2240410 ns R r2 80000000 - 2240410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2240430 ns R psr 81000200 - 2240430 ns MR4_I 00000238 48054770 - 2240450 ns MR4_I 00000230 07d2684a - 2240470 ns IT 00000230 684a LDR r2,[r1,#4] - 2240490 ns MR4_I 00000234 6008d1fc - 2240550 ns MR4_D 40006004 00000001 - 2240550 ns R r2 00000001 - 2240550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2240570 ns R r2 80000000 - 2240570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2240590 ns R psr 81000200 - 2240590 ns MR4_I 00000238 48054770 - 2240610 ns MR4_I 00000230 07d2684a - 2240630 ns IT 00000230 684a LDR r2,[r1,#4] - 2240650 ns MR4_I 00000234 6008d1fc - 2240710 ns MR4_D 40006004 00000001 - 2240710 ns R r2 00000001 - 2240710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2240730 ns R r2 80000000 - 2240730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2240750 ns R psr 81000200 - 2240750 ns MR4_I 00000238 48054770 - 2240770 ns MR4_I 00000230 07d2684a - 2240790 ns IT 00000230 684a LDR r2,[r1,#4] - 2240810 ns MR4_I 00000234 6008d1fc - 2240870 ns MR4_D 40006004 00000001 - 2240870 ns R r2 00000001 - 2240870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2240890 ns R r2 80000000 - 2240890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2240910 ns R psr 81000200 - 2240910 ns MR4_I 00000238 48054770 - 2240930 ns MR4_I 00000230 07d2684a - 2240950 ns IT 00000230 684a LDR r2,[r1,#4] - 2240970 ns MR4_I 00000234 6008d1fc - 2241030 ns MR4_D 40006004 00000001 - 2241030 ns R r2 00000001 - 2241030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2241050 ns R r2 80000000 - 2241050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2241070 ns R psr 81000200 - 2241070 ns MR4_I 00000238 48054770 - 2241090 ns MR4_I 00000230 07d2684a - 2241110 ns IT 00000230 684a LDR r2,[r1,#4] - 2241130 ns MR4_I 00000234 6008d1fc - 2241190 ns MR4_D 40006004 00000001 - 2241190 ns R r2 00000001 - 2241190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2241210 ns R r2 80000000 - 2241210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2241230 ns R psr 81000200 - 2241230 ns MR4_I 00000238 48054770 - 2241250 ns MR4_I 00000230 07d2684a - 2241270 ns IT 00000230 684a LDR r2,[r1,#4] - 2241290 ns MR4_I 00000234 6008d1fc - 2241350 ns MR4_D 40006004 00000001 - 2241350 ns R r2 00000001 - 2241350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2241370 ns R r2 80000000 - 2241370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2241390 ns R psr 81000200 - 2241390 ns MR4_I 00000238 48054770 - 2241410 ns MR4_I 00000230 07d2684a - 2241430 ns IT 00000230 684a LDR r2,[r1,#4] - 2241450 ns MR4_I 00000234 6008d1fc - 2241510 ns MR4_D 40006004 00000001 - 2241510 ns R r2 00000001 - 2241510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2241530 ns R r2 80000000 - 2241530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2241550 ns R psr 81000200 - 2241550 ns MR4_I 00000238 48054770 - 2241570 ns MR4_I 00000230 07d2684a - 2241590 ns IT 00000230 684a LDR r2,[r1,#4] - 2241610 ns MR4_I 00000234 6008d1fc - 2241670 ns MR4_D 40006004 00000001 - 2241670 ns R r2 00000001 - 2241670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2241690 ns R r2 80000000 - 2241690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2241710 ns R psr 81000200 - 2241710 ns MR4_I 00000238 48054770 - 2241730 ns MR4_I 00000230 07d2684a - 2241750 ns IT 00000230 684a LDR r2,[r1,#4] - 2241770 ns MR4_I 00000234 6008d1fc - 2241830 ns MR4_D 40006004 00000001 - 2241830 ns R r2 00000001 - 2241830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2241850 ns R r2 80000000 - 2241850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2241870 ns R psr 81000200 - 2241870 ns MR4_I 00000238 48054770 - 2241890 ns MR4_I 00000230 07d2684a - 2241910 ns IT 00000230 684a LDR r2,[r1,#4] - 2241930 ns MR4_I 00000234 6008d1fc - 2241990 ns MR4_D 40006004 00000001 - 2241990 ns R r2 00000001 - 2241990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2242010 ns R r2 80000000 - 2242010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2242030 ns R psr 81000200 - 2242030 ns MR4_I 00000238 48054770 - 2242050 ns MR4_I 00000230 07d2684a - 2242070 ns IT 00000230 684a LDR r2,[r1,#4] - 2242090 ns MR4_I 00000234 6008d1fc - 2242150 ns MR4_D 40006004 00000001 - 2242150 ns R r2 00000001 - 2242150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2242170 ns R r2 80000000 - 2242170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2242190 ns R psr 81000200 - 2242190 ns MR4_I 00000238 48054770 - 2242210 ns MR4_I 00000230 07d2684a - 2242230 ns IT 00000230 684a LDR r2,[r1,#4] - 2242250 ns MR4_I 00000234 6008d1fc - 2242310 ns MR4_D 40006004 00000001 - 2242310 ns R r2 00000001 - 2242310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2242330 ns R r2 80000000 - 2242330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2242350 ns R psr 81000200 - 2242350 ns MR4_I 00000238 48054770 - 2242370 ns MR4_I 00000230 07d2684a - 2242390 ns IT 00000230 684a LDR r2,[r1,#4] - 2242410 ns MR4_I 00000234 6008d1fc - 2242470 ns MR4_D 40006004 00000001 - 2242470 ns R r2 00000001 - 2242470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2242490 ns R r2 80000000 - 2242490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2242510 ns R psr 81000200 - 2242510 ns MR4_I 00000238 48054770 - 2242530 ns MR4_I 00000230 07d2684a - 2242550 ns IT 00000230 684a LDR r2,[r1,#4] - 2242570 ns MR4_I 00000234 6008d1fc - 2242630 ns MR4_D 40006004 00000001 - 2242630 ns R r2 00000001 - 2242630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2242650 ns R r2 80000000 - 2242650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2242670 ns R psr 81000200 - 2242670 ns MR4_I 00000238 48054770 - 2242690 ns MR4_I 00000230 07d2684a - 2242710 ns IT 00000230 684a LDR r2,[r1,#4] - 2242730 ns MR4_I 00000234 6008d1fc - 2242790 ns MR4_D 40006004 00000001 - 2242790 ns R r2 00000001 - 2242790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2242810 ns R r2 80000000 - 2242810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2242830 ns R psr 81000200 - 2242830 ns MR4_I 00000238 48054770 - 2242850 ns MR4_I 00000230 07d2684a - 2242870 ns IT 00000230 684a LDR r2,[r1,#4] - 2242890 ns MR4_I 00000234 6008d1fc - 2242950 ns MR4_D 40006004 00000001 - 2242950 ns R r2 00000001 - 2242950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2242970 ns R r2 80000000 - 2242970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2242990 ns R psr 81000200 - 2242990 ns MR4_I 00000238 48054770 - 2243010 ns MR4_I 00000230 07d2684a - 2243030 ns IT 00000230 684a LDR r2,[r1,#4] - 2243050 ns MR4_I 00000234 6008d1fc - 2243110 ns MR4_D 40006004 00000001 - 2243110 ns R r2 00000001 - 2243110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2243130 ns R r2 80000000 - 2243130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2243150 ns R psr 81000200 - 2243150 ns MR4_I 00000238 48054770 - 2243170 ns MR4_I 00000230 07d2684a - 2243190 ns IT 00000230 684a LDR r2,[r1,#4] - 2243210 ns MR4_I 00000234 6008d1fc - 2243270 ns MR4_D 40006004 00000001 - 2243270 ns R r2 00000001 - 2243270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2243290 ns R r2 80000000 - 2243290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2243310 ns R psr 81000200 - 2243310 ns MR4_I 00000238 48054770 - 2243330 ns MR4_I 00000230 07d2684a - 2243350 ns IT 00000230 684a LDR r2,[r1,#4] - 2243370 ns MR4_I 00000234 6008d1fc - 2243430 ns MR4_D 40006004 00000001 - 2243430 ns R r2 00000001 - 2243430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2243450 ns R r2 80000000 - 2243450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2243470 ns R psr 81000200 - 2243470 ns MR4_I 00000238 48054770 - 2243490 ns MR4_I 00000230 07d2684a - 2243510 ns IT 00000230 684a LDR r2,[r1,#4] - 2243530 ns MR4_I 00000234 6008d1fc - 2243590 ns MR4_D 40006004 00000001 - 2243590 ns R r2 00000001 - 2243590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2243610 ns R r2 80000000 - 2243610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2243630 ns R psr 81000200 - 2243630 ns MR4_I 00000238 48054770 - 2243650 ns MR4_I 00000230 07d2684a - 2243670 ns IT 00000230 684a LDR r2,[r1,#4] - 2243690 ns MR4_I 00000234 6008d1fc - 2243750 ns MR4_D 40006004 00000001 - 2243750 ns R r2 00000001 - 2243750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2243770 ns R r2 80000000 - 2243770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2243790 ns R psr 81000200 - 2243790 ns MR4_I 00000238 48054770 - 2243810 ns MR4_I 00000230 07d2684a - 2243830 ns IT 00000230 684a LDR r2,[r1,#4] - 2243850 ns MR4_I 00000234 6008d1fc - 2243910 ns MR4_D 40006004 00000001 - 2243910 ns R r2 00000001 - 2243910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2243930 ns R r2 80000000 - 2243930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2243950 ns R psr 81000200 - 2243950 ns MR4_I 00000238 48054770 - 2243970 ns MR4_I 00000230 07d2684a - 2243990 ns IT 00000230 684a LDR r2,[r1,#4] - 2244010 ns MR4_I 00000234 6008d1fc - 2244070 ns MR4_D 40006004 00000001 - 2244070 ns R r2 00000001 - 2244070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2244090 ns R r2 80000000 - 2244090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2244110 ns R psr 81000200 - 2244110 ns MR4_I 00000238 48054770 - 2244130 ns MR4_I 00000230 07d2684a - 2244150 ns IT 00000230 684a LDR r2,[r1,#4] - 2244170 ns MR4_I 00000234 6008d1fc - 2244230 ns MR4_D 40006004 00000001 - 2244230 ns R r2 00000001 - 2244230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2244250 ns R r2 80000000 - 2244250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2244270 ns R psr 81000200 - 2244270 ns MR4_I 00000238 48054770 - 2244290 ns MR4_I 00000230 07d2684a - 2244310 ns IT 00000230 684a LDR r2,[r1,#4] - 2244330 ns MR4_I 00000234 6008d1fc - 2244390 ns MR4_D 40006004 00000001 - 2244390 ns R r2 00000001 - 2244390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2244410 ns R r2 80000000 - 2244410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2244430 ns R psr 81000200 - 2244430 ns MR4_I 00000238 48054770 - 2244450 ns MR4_I 00000230 07d2684a - 2244470 ns IT 00000230 684a LDR r2,[r1,#4] - 2244490 ns MR4_I 00000234 6008d1fc - 2244550 ns MR4_D 40006004 00000001 - 2244550 ns R r2 00000001 - 2244550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2244570 ns R r2 80000000 - 2244570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2244590 ns R psr 81000200 - 2244590 ns MR4_I 00000238 48054770 - 2244610 ns MR4_I 00000230 07d2684a - 2244630 ns IT 00000230 684a LDR r2,[r1,#4] - 2244650 ns MR4_I 00000234 6008d1fc - 2244710 ns MR4_D 40006004 00000001 - 2244710 ns R r2 00000001 - 2244710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2244730 ns R r2 80000000 - 2244730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2244750 ns R psr 81000200 - 2244750 ns MR4_I 00000238 48054770 - 2244770 ns MR4_I 00000230 07d2684a - 2244790 ns IT 00000230 684a LDR r2,[r1,#4] - 2244810 ns MR4_I 00000234 6008d1fc - 2244870 ns MR4_D 40006004 00000001 - 2244870 ns R r2 00000001 - 2244870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2244890 ns R r2 80000000 - 2244890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2244910 ns R psr 81000200 - 2244910 ns MR4_I 00000238 48054770 - 2244930 ns MR4_I 00000230 07d2684a - 2244950 ns IT 00000230 684a LDR r2,[r1,#4] - 2244970 ns MR4_I 00000234 6008d1fc - 2245030 ns MR4_D 40006004 00000001 - 2245030 ns R r2 00000001 - 2245030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2245050 ns R r2 80000000 - 2245050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2245070 ns R psr 81000200 - 2245070 ns MR4_I 00000238 48054770 - 2245090 ns MR4_I 00000230 07d2684a - 2245110 ns IT 00000230 684a LDR r2,[r1,#4] - 2245130 ns MR4_I 00000234 6008d1fc - 2245190 ns MR4_D 40006004 00000001 - 2245190 ns R r2 00000001 - 2245190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2245210 ns R r2 80000000 - 2245210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2245230 ns R psr 81000200 - 2245230 ns MR4_I 00000238 48054770 - 2245250 ns MR4_I 00000230 07d2684a - 2245270 ns IT 00000230 684a LDR r2,[r1,#4] - 2245290 ns MR4_I 00000234 6008d1fc - 2245350 ns MR4_D 40006004 00000001 - 2245350 ns R r2 00000001 - 2245350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2245370 ns R r2 80000000 - 2245370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2245390 ns R psr 81000200 - 2245390 ns MR4_I 00000238 48054770 - 2245410 ns MR4_I 00000230 07d2684a - 2245430 ns IT 00000230 684a LDR r2,[r1,#4] - 2245450 ns MR4_I 00000234 6008d1fc - 2245510 ns MR4_D 40006004 00000001 - 2245510 ns R r2 00000001 - 2245510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2245530 ns R r2 80000000 - 2245530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2245550 ns R psr 81000200 - 2245550 ns MR4_I 00000238 48054770 - 2245570 ns MR4_I 00000230 07d2684a - 2245590 ns IT 00000230 684a LDR r2,[r1,#4] - 2245610 ns MR4_I 00000234 6008d1fc - 2245670 ns MR4_D 40006004 00000001 - 2245670 ns R r2 00000001 - 2245670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2245690 ns R r2 80000000 - 2245690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2245710 ns R psr 81000200 - 2245710 ns MR4_I 00000238 48054770 - 2245730 ns MR4_I 00000230 07d2684a - 2245750 ns IT 00000230 684a LDR r2,[r1,#4] - 2245770 ns MR4_I 00000234 6008d1fc - 2245830 ns MR4_D 40006004 00000001 - 2245830 ns R r2 00000001 - 2245830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2245850 ns R r2 80000000 - 2245850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2245870 ns R psr 81000200 - 2245870 ns MR4_I 00000238 48054770 - 2245890 ns MR4_I 00000230 07d2684a - 2245910 ns IT 00000230 684a LDR r2,[r1,#4] - 2245930 ns MR4_I 00000234 6008d1fc - 2245990 ns MR4_D 40006004 00000001 - 2245990 ns R r2 00000001 - 2245990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2246010 ns R r2 80000000 - 2246010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2246030 ns R psr 81000200 - 2246030 ns MR4_I 00000238 48054770 - 2246050 ns MR4_I 00000230 07d2684a - 2246070 ns IT 00000230 684a LDR r2,[r1,#4] - 2246090 ns MR4_I 00000234 6008d1fc - 2246150 ns MR4_D 40006004 00000001 - 2246150 ns R r2 00000001 - 2246150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2246170 ns R r2 80000000 - 2246170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2246190 ns R psr 81000200 - 2246190 ns MR4_I 00000238 48054770 - 2246210 ns MR4_I 00000230 07d2684a - 2246230 ns IT 00000230 684a LDR r2,[r1,#4] - 2246250 ns MR4_I 00000234 6008d1fc - 2246310 ns MR4_D 40006004 00000001 - 2246310 ns R r2 00000001 - 2246310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2246330 ns R r2 80000000 - 2246330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2246350 ns R psr 81000200 - 2246350 ns MR4_I 00000238 48054770 - 2246370 ns MR4_I 00000230 07d2684a - 2246390 ns IT 00000230 684a LDR r2,[r1,#4] - 2246410 ns MR4_I 00000234 6008d1fc - 2246470 ns MR4_D 40006004 00000001 - 2246470 ns R r2 00000001 - 2246470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2246490 ns R r2 80000000 - 2246490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2246510 ns R psr 81000200 - 2246510 ns MR4_I 00000238 48054770 - 2246530 ns MR4_I 00000230 07d2684a - 2246550 ns IT 00000230 684a LDR r2,[r1,#4] - 2246570 ns MR4_I 00000234 6008d1fc - 2246630 ns MR4_D 40006004 00000001 - 2246630 ns R r2 00000001 - 2246630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2246650 ns R r2 80000000 - 2246650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2246670 ns R psr 81000200 - 2246670 ns MR4_I 00000238 48054770 - 2246690 ns MR4_I 00000230 07d2684a - 2246710 ns IT 00000230 684a LDR r2,[r1,#4] - 2246730 ns MR4_I 00000234 6008d1fc - 2246790 ns MR4_D 40006004 00000001 - 2246790 ns R r2 00000001 - 2246790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2246810 ns R r2 80000000 - 2246810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2246830 ns R psr 81000200 - 2246830 ns MR4_I 00000238 48054770 - 2246850 ns MR4_I 00000230 07d2684a - 2246870 ns IT 00000230 684a LDR r2,[r1,#4] - 2246890 ns MR4_I 00000234 6008d1fc - 2246950 ns MR4_D 40006004 00000001 - 2246950 ns R r2 00000001 - 2246950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2246970 ns R r2 80000000 - 2246970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2246990 ns R psr 81000200 - 2246990 ns MR4_I 00000238 48054770 - 2247010 ns MR4_I 00000230 07d2684a - 2247030 ns IT 00000230 684a LDR r2,[r1,#4] - 2247050 ns MR4_I 00000234 6008d1fc - 2247110 ns MR4_D 40006004 00000001 - 2247110 ns R r2 00000001 - 2247110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2247130 ns R r2 80000000 - 2247130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2247150 ns R psr 81000200 - 2247150 ns MR4_I 00000238 48054770 - 2247170 ns MR4_I 00000230 07d2684a - 2247190 ns IT 00000230 684a LDR r2,[r1,#4] - 2247210 ns MR4_I 00000234 6008d1fc - 2247270 ns MR4_D 40006004 00000001 - 2247270 ns R r2 00000001 - 2247270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2247290 ns R r2 80000000 - 2247290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2247310 ns R psr 81000200 - 2247310 ns MR4_I 00000238 48054770 - 2247330 ns MR4_I 00000230 07d2684a - 2247350 ns IT 00000230 684a LDR r2,[r1,#4] - 2247370 ns MR4_I 00000234 6008d1fc - 2247430 ns MR4_D 40006004 00000001 - 2247430 ns R r2 00000001 - 2247430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2247450 ns R r2 80000000 - 2247450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2247470 ns R psr 81000200 - 2247470 ns MR4_I 00000238 48054770 - 2247490 ns MR4_I 00000230 07d2684a - 2247510 ns IT 00000230 684a LDR r2,[r1,#4] - 2247530 ns MR4_I 00000234 6008d1fc - 2247590 ns MR4_D 40006004 00000001 - 2247590 ns R r2 00000001 - 2247590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2247610 ns R r2 80000000 - 2247610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2247630 ns R psr 81000200 - 2247630 ns MR4_I 00000238 48054770 - 2247650 ns MR4_I 00000230 07d2684a - 2247670 ns IT 00000230 684a LDR r2,[r1,#4] - 2247690 ns MR4_I 00000234 6008d1fc - 2247750 ns MR4_D 40006004 00000001 - 2247750 ns R r2 00000001 - 2247750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2247770 ns R r2 80000000 - 2247770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2247790 ns R psr 81000200 - 2247790 ns MR4_I 00000238 48054770 - 2247810 ns MR4_I 00000230 07d2684a - 2247830 ns IT 00000230 684a LDR r2,[r1,#4] - 2247850 ns MR4_I 00000234 6008d1fc - 2247910 ns MR4_D 40006004 00000001 - 2247910 ns R r2 00000001 - 2247910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2247930 ns R r2 80000000 - 2247930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2247950 ns R psr 81000200 - 2247950 ns MR4_I 00000238 48054770 - 2247970 ns MR4_I 00000230 07d2684a - 2247990 ns IT 00000230 684a LDR r2,[r1,#4] - 2248010 ns MR4_I 00000234 6008d1fc - 2248070 ns MR4_D 40006004 00000001 - 2248070 ns R r2 00000001 - 2248070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2248090 ns R r2 80000000 - 2248090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2248110 ns R psr 81000200 - 2248110 ns MR4_I 00000238 48054770 - 2248130 ns MR4_I 00000230 07d2684a - 2248150 ns IT 00000230 684a LDR r2,[r1,#4] - 2248170 ns MR4_I 00000234 6008d1fc - 2248230 ns MR4_D 40006004 00000001 - 2248230 ns R r2 00000001 - 2248230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2248250 ns R r2 80000000 - 2248250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2248270 ns R psr 81000200 - 2248270 ns MR4_I 00000238 48054770 - 2248290 ns MR4_I 00000230 07d2684a - 2248310 ns IT 00000230 684a LDR r2,[r1,#4] - 2248330 ns MR4_I 00000234 6008d1fc - 2248390 ns MR4_D 40006004 00000001 - 2248390 ns R r2 00000001 - 2248390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2248410 ns R r2 80000000 - 2248410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2248430 ns R psr 81000200 - 2248430 ns MR4_I 00000238 48054770 - 2248450 ns MR4_I 00000230 07d2684a - 2248470 ns IT 00000230 684a LDR r2,[r1,#4] - 2248490 ns MR4_I 00000234 6008d1fc - 2248550 ns MR4_D 40006004 00000001 - 2248550 ns R r2 00000001 - 2248550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2248570 ns R r2 80000000 - 2248570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2248590 ns R psr 81000200 - 2248590 ns MR4_I 00000238 48054770 - 2248610 ns MR4_I 00000230 07d2684a - 2248630 ns IT 00000230 684a LDR r2,[r1,#4] - 2248650 ns MR4_I 00000234 6008d1fc - 2248710 ns MR4_D 40006004 00000001 - 2248710 ns R r2 00000001 - 2248710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2248730 ns R r2 80000000 - 2248730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2248750 ns R psr 81000200 - 2248750 ns MR4_I 00000238 48054770 - 2248770 ns MR4_I 00000230 07d2684a - 2248790 ns IT 00000230 684a LDR r2,[r1,#4] - 2248810 ns MR4_I 00000234 6008d1fc - 2248870 ns MR4_D 40006004 00000001 - 2248870 ns R r2 00000001 - 2248870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2248890 ns R r2 80000000 - 2248890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2248910 ns R psr 81000200 - 2248910 ns MR4_I 00000238 48054770 - 2248930 ns MR4_I 00000230 07d2684a - 2248950 ns IT 00000230 684a LDR r2,[r1,#4] - 2248970 ns MR4_I 00000234 6008d1fc - 2249030 ns MR4_D 40006004 00000001 - 2249030 ns R r2 00000001 - 2249030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2249050 ns R r2 80000000 - 2249050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2249070 ns R psr 81000200 - 2249070 ns MR4_I 00000238 48054770 - 2249090 ns MR4_I 00000230 07d2684a - 2249110 ns IT 00000230 684a LDR r2,[r1,#4] - 2249130 ns MR4_I 00000234 6008d1fc - 2249190 ns MR4_D 40006004 00000001 - 2249190 ns R r2 00000001 - 2249190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2249210 ns R r2 80000000 - 2249210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2249230 ns R psr 81000200 - 2249230 ns MR4_I 00000238 48054770 - 2249250 ns MR4_I 00000230 07d2684a - 2249270 ns IT 00000230 684a LDR r2,[r1,#4] - 2249290 ns MR4_I 00000234 6008d1fc - 2249350 ns MR4_D 40006004 00000001 - 2249350 ns R r2 00000001 - 2249350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2249370 ns R r2 80000000 - 2249370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2249390 ns R psr 81000200 - 2249390 ns MR4_I 00000238 48054770 - 2249410 ns MR4_I 00000230 07d2684a - 2249430 ns IT 00000230 684a LDR r2,[r1,#4] - 2249450 ns MR4_I 00000234 6008d1fc - 2249510 ns MR4_D 40006004 00000001 - 2249510 ns R r2 00000001 - 2249510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2249530 ns R r2 80000000 - 2249530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2249550 ns R psr 81000200 - 2249550 ns MR4_I 00000238 48054770 - 2249570 ns MR4_I 00000230 07d2684a - 2249590 ns IT 00000230 684a LDR r2,[r1,#4] - 2249610 ns MR4_I 00000234 6008d1fc - 2249670 ns MR4_D 40006004 00000001 - 2249670 ns R r2 00000001 - 2249670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2249690 ns R r2 80000000 - 2249690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2249710 ns R psr 81000200 - 2249710 ns MR4_I 00000238 48054770 - 2249730 ns MR4_I 00000230 07d2684a - 2249750 ns IT 00000230 684a LDR r2,[r1,#4] - 2249770 ns MR4_I 00000234 6008d1fc - 2249830 ns MR4_D 40006004 00000001 - 2249830 ns R r2 00000001 - 2249830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2249850 ns R r2 80000000 - 2249850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2249870 ns R psr 81000200 - 2249870 ns MR4_I 00000238 48054770 - 2249890 ns MR4_I 00000230 07d2684a - 2249910 ns IT 00000230 684a LDR r2,[r1,#4] - 2249930 ns MR4_I 00000234 6008d1fc - 2249990 ns MR4_D 40006004 00000001 - 2249990 ns R r2 00000001 - 2249990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2250010 ns R r2 80000000 - 2250010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2250030 ns R psr 81000200 - 2250030 ns MR4_I 00000238 48054770 - 2250050 ns MR4_I 00000230 07d2684a - 2250070 ns IT 00000230 684a LDR r2,[r1,#4] - 2250090 ns MR4_I 00000234 6008d1fc - 2250150 ns MR4_D 40006004 00000001 - 2250150 ns R r2 00000001 - 2250150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2250170 ns R r2 80000000 - 2250170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2250190 ns R psr 81000200 - 2250190 ns MR4_I 00000238 48054770 - 2250210 ns MR4_I 00000230 07d2684a - 2250230 ns IT 00000230 684a LDR r2,[r1,#4] - 2250250 ns MR4_I 00000234 6008d1fc - 2250310 ns MR4_D 40006004 00000001 - 2250310 ns R r2 00000001 - 2250310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2250330 ns R r2 80000000 - 2250330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2250350 ns R psr 81000200 - 2250350 ns MR4_I 00000238 48054770 - 2250370 ns MR4_I 00000230 07d2684a - 2250390 ns IT 00000230 684a LDR r2,[r1,#4] - 2250410 ns MR4_I 00000234 6008d1fc - 2250470 ns MR4_D 40006004 00000001 - 2250470 ns R r2 00000001 - 2250470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2250490 ns R r2 80000000 - 2250490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2250510 ns R psr 81000200 - 2250510 ns MR4_I 00000238 48054770 - 2250530 ns MR4_I 00000230 07d2684a - 2250550 ns IT 00000230 684a LDR r2,[r1,#4] - 2250570 ns MR4_I 00000234 6008d1fc - 2250630 ns MR4_D 40006004 00000001 - 2250630 ns R r2 00000001 - 2250630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2250650 ns R r2 80000000 - 2250650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2250670 ns R psr 81000200 - 2250670 ns MR4_I 00000238 48054770 - 2250690 ns MR4_I 00000230 07d2684a - 2250710 ns IT 00000230 684a LDR r2,[r1,#4] - 2250730 ns MR4_I 00000234 6008d1fc - 2250790 ns MR4_D 40006004 00000001 - 2250790 ns R r2 00000001 - 2250790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2250810 ns R r2 80000000 - 2250810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2250830 ns R psr 81000200 - 2250830 ns MR4_I 00000238 48054770 - 2250850 ns MR4_I 00000230 07d2684a - 2250870 ns IT 00000230 684a LDR r2,[r1,#4] - 2250890 ns MR4_I 00000234 6008d1fc - 2250950 ns MR4_D 40006004 00000001 - 2250950 ns R r2 00000001 - 2250950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2250970 ns R r2 80000000 - 2250970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2250990 ns R psr 81000200 - 2250990 ns MR4_I 00000238 48054770 - 2251010 ns MR4_I 00000230 07d2684a - 2251030 ns IT 00000230 684a LDR r2,[r1,#4] - 2251050 ns MR4_I 00000234 6008d1fc - 2251110 ns MR4_D 40006004 00000001 - 2251110 ns R r2 00000001 - 2251110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2251130 ns R r2 80000000 - 2251130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2251150 ns R psr 81000200 - 2251150 ns MR4_I 00000238 48054770 - 2251170 ns MR4_I 00000230 07d2684a - 2251190 ns IT 00000230 684a LDR r2,[r1,#4] - 2251210 ns MR4_I 00000234 6008d1fc - 2251270 ns MR4_D 40006004 00000001 - 2251270 ns R r2 00000001 - 2251270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2251290 ns R r2 80000000 - 2251290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2251310 ns R psr 81000200 - 2251310 ns MR4_I 00000238 48054770 - 2251330 ns MR4_I 00000230 07d2684a - 2251350 ns IT 00000230 684a LDR r2,[r1,#4] - 2251370 ns MR4_I 00000234 6008d1fc - 2251430 ns MR4_D 40006004 00000001 - 2251430 ns R r2 00000001 - 2251430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2251450 ns R r2 80000000 - 2251450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2251470 ns R psr 81000200 - 2251470 ns MR4_I 00000238 48054770 - 2251490 ns MR4_I 00000230 07d2684a - 2251510 ns IT 00000230 684a LDR r2,[r1,#4] - 2251530 ns MR4_I 00000234 6008d1fc - 2251590 ns MR4_D 40006004 00000001 - 2251590 ns R r2 00000001 - 2251590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2251610 ns R r2 80000000 - 2251610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2251630 ns R psr 81000200 - 2251630 ns MR4_I 00000238 48054770 - 2251650 ns MR4_I 00000230 07d2684a - 2251670 ns IT 00000230 684a LDR r2,[r1,#4] - 2251690 ns MR4_I 00000234 6008d1fc - 2251750 ns MR4_D 40006004 00000001 - 2251750 ns R r2 00000001 - 2251750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2251770 ns R r2 80000000 - 2251770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2251790 ns R psr 81000200 - 2251790 ns MR4_I 00000238 48054770 - 2251810 ns MR4_I 00000230 07d2684a - 2251830 ns IT 00000230 684a LDR r2,[r1,#4] - 2251850 ns MR4_I 00000234 6008d1fc - 2251910 ns MR4_D 40006004 00000001 - 2251910 ns R r2 00000001 - 2251910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2251930 ns R r2 80000000 - 2251930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2251950 ns R psr 81000200 - 2251950 ns MR4_I 00000238 48054770 - 2251970 ns MR4_I 00000230 07d2684a - 2251990 ns IT 00000230 684a LDR r2,[r1,#4] - 2252010 ns MR4_I 00000234 6008d1fc - 2252070 ns MR4_D 40006004 00000001 - 2252070 ns R r2 00000001 - 2252070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2252090 ns R r2 80000000 - 2252090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2252110 ns R psr 81000200 - 2252110 ns MR4_I 00000238 48054770 - 2252130 ns MR4_I 00000230 07d2684a - 2252150 ns IT 00000230 684a LDR r2,[r1,#4] - 2252170 ns MR4_I 00000234 6008d1fc - 2252230 ns MR4_D 40006004 00000001 - 2252230 ns R r2 00000001 - 2252230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2252250 ns R r2 80000000 - 2252250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2252270 ns R psr 81000200 - 2252270 ns MR4_I 00000238 48054770 - 2252290 ns MR4_I 00000230 07d2684a - 2252310 ns IT 00000230 684a LDR r2,[r1,#4] - 2252330 ns MR4_I 00000234 6008d1fc - 2252390 ns MR4_D 40006004 00000001 - 2252390 ns R r2 00000001 - 2252390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2252410 ns R r2 80000000 - 2252410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2252430 ns R psr 81000200 - 2252430 ns MR4_I 00000238 48054770 - 2252450 ns MR4_I 00000230 07d2684a - 2252470 ns IT 00000230 684a LDR r2,[r1,#4] - 2252490 ns MR4_I 00000234 6008d1fc - 2252550 ns MR4_D 40006004 00000001 - 2252550 ns R r2 00000001 - 2252550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2252570 ns R r2 80000000 - 2252570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2252590 ns R psr 81000200 - 2252590 ns MR4_I 00000238 48054770 - 2252610 ns MR4_I 00000230 07d2684a - 2252630 ns IT 00000230 684a LDR r2,[r1,#4] - 2252650 ns MR4_I 00000234 6008d1fc - 2252710 ns MR4_D 40006004 00000001 - 2252710 ns R r2 00000001 - 2252710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2252730 ns R r2 80000000 - 2252730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2252750 ns R psr 81000200 - 2252750 ns MR4_I 00000238 48054770 - 2252770 ns MR4_I 00000230 07d2684a - 2252790 ns IT 00000230 684a LDR r2,[r1,#4] - 2252810 ns MR4_I 00000234 6008d1fc - 2252870 ns MR4_D 40006004 00000001 - 2252870 ns R r2 00000001 - 2252870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2252890 ns R r2 80000000 - 2252890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2252910 ns R psr 81000200 - 2252910 ns MR4_I 00000238 48054770 - 2252930 ns MR4_I 00000230 07d2684a - 2252950 ns IT 00000230 684a LDR r2,[r1,#4] - 2252970 ns MR4_I 00000234 6008d1fc - 2253030 ns MR4_D 40006004 00000001 - 2253030 ns R r2 00000001 - 2253030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2253050 ns R r2 80000000 - 2253050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2253070 ns R psr 81000200 - 2253070 ns MR4_I 00000238 48054770 - 2253090 ns MR4_I 00000230 07d2684a - 2253110 ns IT 00000230 684a LDR r2,[r1,#4] - 2253130 ns MR4_I 00000234 6008d1fc - 2253190 ns MR4_D 40006004 00000001 - 2253190 ns R r2 00000001 - 2253190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2253210 ns R r2 80000000 - 2253210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2253230 ns R psr 81000200 - 2253230 ns MR4_I 00000238 48054770 - 2253250 ns MR4_I 00000230 07d2684a - 2253270 ns IT 00000230 684a LDR r2,[r1,#4] - 2253290 ns MR4_I 00000234 6008d1fc - 2253350 ns MR4_D 40006004 00000001 - 2253350 ns R r2 00000001 - 2253350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2253370 ns R r2 80000000 - 2253370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2253390 ns R psr 81000200 - 2253390 ns MR4_I 00000238 48054770 - 2253410 ns MR4_I 00000230 07d2684a - 2253430 ns IT 00000230 684a LDR r2,[r1,#4] - 2253450 ns MR4_I 00000234 6008d1fc - 2253510 ns MR4_D 40006004 00000001 - 2253510 ns R r2 00000001 - 2253510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2253530 ns R r2 80000000 - 2253530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2253550 ns R psr 81000200 - 2253550 ns MR4_I 00000238 48054770 - 2253570 ns MR4_I 00000230 07d2684a - 2253590 ns IT 00000230 684a LDR r2,[r1,#4] - 2253610 ns MR4_I 00000234 6008d1fc - 2253670 ns MR4_D 40006004 00000001 - 2253670 ns R r2 00000001 - 2253670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2253690 ns R r2 80000000 - 2253690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2253710 ns R psr 81000200 - 2253710 ns MR4_I 00000238 48054770 - 2253730 ns MR4_I 00000230 07d2684a - 2253750 ns IT 00000230 684a LDR r2,[r1,#4] - 2253770 ns MR4_I 00000234 6008d1fc - 2253830 ns MR4_D 40006004 00000001 - 2253830 ns R r2 00000001 - 2253830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2253850 ns R r2 80000000 - 2253850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2253870 ns R psr 81000200 - 2253870 ns MR4_I 00000238 48054770 - 2253890 ns MR4_I 00000230 07d2684a - 2253910 ns IT 00000230 684a LDR r2,[r1,#4] - 2253930 ns MR4_I 00000234 6008d1fc - 2253990 ns MR4_D 40006004 00000001 - 2253990 ns R r2 00000001 - 2253990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2254010 ns R r2 80000000 - 2254010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2254030 ns R psr 81000200 - 2254030 ns MR4_I 00000238 48054770 - 2254050 ns MR4_I 00000230 07d2684a - 2254070 ns IT 00000230 684a LDR r2,[r1,#4] - 2254090 ns MR4_I 00000234 6008d1fc - 2254150 ns MR4_D 40006004 00000001 - 2254150 ns R r2 00000001 - 2254150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2254170 ns R r2 80000000 - 2254170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2254190 ns R psr 81000200 - 2254190 ns MR4_I 00000238 48054770 - 2254210 ns MR4_I 00000230 07d2684a - 2254230 ns IT 00000230 684a LDR r2,[r1,#4] - 2254250 ns MR4_I 00000234 6008d1fc - 2254310 ns MR4_D 40006004 00000001 - 2254310 ns R r2 00000001 - 2254310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2254330 ns R r2 80000000 - 2254330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2254350 ns R psr 81000200 - 2254350 ns MR4_I 00000238 48054770 - 2254370 ns MR4_I 00000230 07d2684a - 2254390 ns IT 00000230 684a LDR r2,[r1,#4] - 2254410 ns MR4_I 00000234 6008d1fc - 2254470 ns MR4_D 40006004 00000001 - 2254470 ns R r2 00000001 - 2254470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2254490 ns R r2 80000000 - 2254490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2254510 ns R psr 81000200 - 2254510 ns MR4_I 00000238 48054770 - 2254530 ns MR4_I 00000230 07d2684a - 2254550 ns IT 00000230 684a LDR r2,[r1,#4] - 2254570 ns MR4_I 00000234 6008d1fc - 2254630 ns MR4_D 40006004 00000001 - 2254630 ns R r2 00000001 - 2254630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2254650 ns R r2 80000000 - 2254650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2254670 ns R psr 81000200 - 2254670 ns MR4_I 00000238 48054770 - 2254690 ns MR4_I 00000230 07d2684a - 2254710 ns IT 00000230 684a LDR r2,[r1,#4] - 2254730 ns MR4_I 00000234 6008d1fc - 2254790 ns MR4_D 40006004 00000001 - 2254790 ns R r2 00000001 - 2254790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2254810 ns R r2 80000000 - 2254810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2254830 ns R psr 81000200 - 2254830 ns MR4_I 00000238 48054770 - 2254850 ns MR4_I 00000230 07d2684a - 2254870 ns IT 00000230 684a LDR r2,[r1,#4] - 2254890 ns MR4_I 00000234 6008d1fc - 2254950 ns MR4_D 40006004 00000001 - 2254950 ns R r2 00000001 - 2254950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2254970 ns R r2 80000000 - 2254970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2254990 ns R psr 81000200 - 2254990 ns MR4_I 00000238 48054770 - 2255010 ns MR4_I 00000230 07d2684a - 2255030 ns IT 00000230 684a LDR r2,[r1,#4] - 2255050 ns MR4_I 00000234 6008d1fc - 2255110 ns MR4_D 40006004 00000001 - 2255110 ns R r2 00000001 - 2255110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2255130 ns R r2 80000000 - 2255130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2255150 ns R psr 81000200 - 2255150 ns MR4_I 00000238 48054770 - 2255170 ns MR4_I 00000230 07d2684a - 2255190 ns IT 00000230 684a LDR r2,[r1,#4] - 2255210 ns MR4_I 00000234 6008d1fc - 2255270 ns MR4_D 40006004 00000001 - 2255270 ns R r2 00000001 - 2255270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2255290 ns R r2 80000000 - 2255290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2255310 ns R psr 81000200 - 2255310 ns MR4_I 00000238 48054770 - 2255330 ns MR4_I 00000230 07d2684a - 2255350 ns IT 00000230 684a LDR r2,[r1,#4] - 2255370 ns MR4_I 00000234 6008d1fc - 2255430 ns MR4_D 40006004 00000001 - 2255430 ns R r2 00000001 - 2255430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2255450 ns R r2 80000000 - 2255450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2255470 ns R psr 81000200 - 2255470 ns MR4_I 00000238 48054770 - 2255490 ns MR4_I 00000230 07d2684a - 2255510 ns IT 00000230 684a LDR r2,[r1,#4] - 2255530 ns MR4_I 00000234 6008d1fc - 2255590 ns MR4_D 40006004 00000001 - 2255590 ns R r2 00000001 - 2255590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2255610 ns R r2 80000000 - 2255610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2255630 ns R psr 81000200 - 2255630 ns MR4_I 00000238 48054770 - 2255650 ns MR4_I 00000230 07d2684a - 2255670 ns IT 00000230 684a LDR r2,[r1,#4] - 2255690 ns MR4_I 00000234 6008d1fc - 2255750 ns MR4_D 40006004 00000001 - 2255750 ns R r2 00000001 - 2255750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2255770 ns R r2 80000000 - 2255770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2255790 ns R psr 81000200 - 2255790 ns MR4_I 00000238 48054770 - 2255810 ns MR4_I 00000230 07d2684a - 2255830 ns IT 00000230 684a LDR r2,[r1,#4] - 2255850 ns MR4_I 00000234 6008d1fc - 2255910 ns MR4_D 40006004 00000001 - 2255910 ns R r2 00000001 - 2255910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2255930 ns R r2 80000000 - 2255930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2255950 ns R psr 81000200 - 2255950 ns MR4_I 00000238 48054770 - 2255970 ns MR4_I 00000230 07d2684a - 2255990 ns IT 00000230 684a LDR r2,[r1,#4] - 2256010 ns MR4_I 00000234 6008d1fc - 2256070 ns MR4_D 40006004 00000001 - 2256070 ns R r2 00000001 - 2256070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2256090 ns R r2 80000000 - 2256090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2256110 ns R psr 81000200 - 2256110 ns MR4_I 00000238 48054770 - 2256130 ns MR4_I 00000230 07d2684a - 2256150 ns IT 00000230 684a LDR r2,[r1,#4] - 2256170 ns MR4_I 00000234 6008d1fc - 2256230 ns MR4_D 40006004 00000001 - 2256230 ns R r2 00000001 - 2256230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2256250 ns R r2 80000000 - 2256250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2256270 ns R psr 81000200 - 2256270 ns MR4_I 00000238 48054770 - 2256290 ns MR4_I 00000230 07d2684a - 2256310 ns IT 00000230 684a LDR r2,[r1,#4] - 2256330 ns MR4_I 00000234 6008d1fc - 2256390 ns MR4_D 40006004 00000001 - 2256390 ns R r2 00000001 - 2256390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2256410 ns R r2 80000000 - 2256410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2256430 ns R psr 81000200 - 2256430 ns MR4_I 00000238 48054770 - 2256450 ns MR4_I 00000230 07d2684a - 2256470 ns IT 00000230 684a LDR r2,[r1,#4] - 2256490 ns MR4_I 00000234 6008d1fc - 2256550 ns MR4_D 40006004 00000001 - 2256550 ns R r2 00000001 - 2256550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2256570 ns R r2 80000000 - 2256570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2256590 ns R psr 81000200 - 2256590 ns MR4_I 00000238 48054770 - 2256610 ns MR4_I 00000230 07d2684a - 2256630 ns IT 00000230 684a LDR r2,[r1,#4] - 2256650 ns MR4_I 00000234 6008d1fc - 2256710 ns MR4_D 40006004 00000001 - 2256710 ns R r2 00000001 - 2256710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2256730 ns R r2 80000000 - 2256730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2256750 ns R psr 81000200 - 2256750 ns MR4_I 00000238 48054770 - 2256770 ns MR4_I 00000230 07d2684a - 2256790 ns IT 00000230 684a LDR r2,[r1,#4] - 2256810 ns MR4_I 00000234 6008d1fc - 2256870 ns MR4_D 40006004 00000001 - 2256870 ns R r2 00000001 - 2256870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2256890 ns R r2 80000000 - 2256890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2256910 ns R psr 81000200 - 2256910 ns MR4_I 00000238 48054770 - 2256930 ns MR4_I 00000230 07d2684a - 2256950 ns IT 00000230 684a LDR r2,[r1,#4] - 2256970 ns MR4_I 00000234 6008d1fc - 2257030 ns MR4_D 40006004 00000001 - 2257030 ns R r2 00000001 - 2257030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2257050 ns R r2 80000000 - 2257050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2257070 ns R psr 81000200 - 2257070 ns MR4_I 00000238 48054770 - 2257090 ns MR4_I 00000230 07d2684a - 2257110 ns IT 00000230 684a LDR r2,[r1,#4] - 2257130 ns MR4_I 00000234 6008d1fc - 2257190 ns MR4_D 40006004 00000001 - 2257190 ns R r2 00000001 - 2257190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2257210 ns R r2 80000000 - 2257210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2257230 ns R psr 81000200 - 2257230 ns MR4_I 00000238 48054770 - 2257250 ns MR4_I 00000230 07d2684a - 2257270 ns IT 00000230 684a LDR r2,[r1,#4] - 2257290 ns MR4_I 00000234 6008d1fc - 2257350 ns MR4_D 40006004 00000001 - 2257350 ns R r2 00000001 - 2257350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2257370 ns R r2 80000000 - 2257370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2257390 ns R psr 81000200 - 2257390 ns MR4_I 00000238 48054770 - 2257410 ns MR4_I 00000230 07d2684a - 2257430 ns IT 00000230 684a LDR r2,[r1,#4] - 2257450 ns MR4_I 00000234 6008d1fc - 2257510 ns MR4_D 40006004 00000001 - 2257510 ns R r2 00000001 - 2257510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2257530 ns R r2 80000000 - 2257530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2257550 ns R psr 81000200 - 2257550 ns MR4_I 00000238 48054770 - 2257570 ns MR4_I 00000230 07d2684a - 2257590 ns IT 00000230 684a LDR r2,[r1,#4] - 2257610 ns MR4_I 00000234 6008d1fc - 2257670 ns MR4_D 40006004 00000001 - 2257670 ns R r2 00000001 - 2257670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2257690 ns R r2 80000000 - 2257690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2257710 ns R psr 81000200 - 2257710 ns MR4_I 00000238 48054770 - 2257730 ns MR4_I 00000230 07d2684a - 2257750 ns IT 00000230 684a LDR r2,[r1,#4] - 2257770 ns MR4_I 00000234 6008d1fc - 2257830 ns MR4_D 40006004 00000001 - 2257830 ns R r2 00000001 - 2257830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2257850 ns R r2 80000000 - 2257850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2257870 ns R psr 81000200 - 2257870 ns MR4_I 00000238 48054770 - 2257890 ns MR4_I 00000230 07d2684a - 2257910 ns IT 00000230 684a LDR r2,[r1,#4] - 2257930 ns MR4_I 00000234 6008d1fc - 2257990 ns MR4_D 40006004 00000001 - 2257990 ns R r2 00000001 - 2257990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2258010 ns R r2 80000000 - 2258010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2258030 ns R psr 81000200 - 2258030 ns MR4_I 00000238 48054770 - 2258050 ns MR4_I 00000230 07d2684a - 2258070 ns IT 00000230 684a LDR r2,[r1,#4] - 2258090 ns MR4_I 00000234 6008d1fc - 2258150 ns MR4_D 40006004 00000001 - 2258150 ns R r2 00000001 - 2258150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2258170 ns R r2 80000000 - 2258170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2258190 ns R psr 81000200 - 2258190 ns MR4_I 00000238 48054770 - 2258210 ns MR4_I 00000230 07d2684a - 2258230 ns IT 00000230 684a LDR r2,[r1,#4] - 2258250 ns MR4_I 00000234 6008d1fc - 2258310 ns MR4_D 40006004 00000001 - 2258310 ns R r2 00000001 - 2258310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2258330 ns R r2 80000000 - 2258330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2258350 ns R psr 81000200 - 2258350 ns MR4_I 00000238 48054770 - 2258370 ns MR4_I 00000230 07d2684a - 2258390 ns IT 00000230 684a LDR r2,[r1,#4] - 2258410 ns MR4_I 00000234 6008d1fc - 2258470 ns MR4_D 40006004 00000001 - 2258470 ns R r2 00000001 - 2258470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2258490 ns R r2 80000000 - 2258490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2258510 ns R psr 81000200 - 2258510 ns MR4_I 00000238 48054770 - 2258530 ns MR4_I 00000230 07d2684a - 2258550 ns IT 00000230 684a LDR r2,[r1,#4] - 2258570 ns MR4_I 00000234 6008d1fc - 2258630 ns MR4_D 40006004 00000001 - 2258630 ns R r2 00000001 - 2258630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2258650 ns R r2 80000000 - 2258650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2258670 ns R psr 81000200 - 2258670 ns MR4_I 00000238 48054770 - 2258690 ns MR4_I 00000230 07d2684a - 2258710 ns IT 00000230 684a LDR r2,[r1,#4] - 2258730 ns MR4_I 00000234 6008d1fc - 2258790 ns MR4_D 40006004 00000001 - 2258790 ns R r2 00000001 - 2258790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2258810 ns R r2 80000000 - 2258810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2258830 ns R psr 81000200 - 2258830 ns MR4_I 00000238 48054770 - 2258850 ns MR4_I 00000230 07d2684a - 2258870 ns IT 00000230 684a LDR r2,[r1,#4] - 2258890 ns MR4_I 00000234 6008d1fc - 2258950 ns MR4_D 40006004 00000001 - 2258950 ns R r2 00000001 - 2258950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2258970 ns R r2 80000000 - 2258970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2258990 ns R psr 81000200 - 2258990 ns MR4_I 00000238 48054770 - 2259010 ns MR4_I 00000230 07d2684a - 2259030 ns IT 00000230 684a LDR r2,[r1,#4] - 2259050 ns MR4_I 00000234 6008d1fc - 2259110 ns MR4_D 40006004 00000001 - 2259110 ns R r2 00000001 - 2259110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2259130 ns R r2 80000000 - 2259130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2259150 ns R psr 81000200 - 2259150 ns MR4_I 00000238 48054770 - 2259170 ns MR4_I 00000230 07d2684a - 2259190 ns IT 00000230 684a LDR r2,[r1,#4] - 2259210 ns MR4_I 00000234 6008d1fc - 2259270 ns MR4_D 40006004 00000001 - 2259270 ns R r2 00000001 - 2259270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2259290 ns R r2 80000000 - 2259290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2259310 ns R psr 81000200 - 2259310 ns MR4_I 00000238 48054770 - 2259330 ns MR4_I 00000230 07d2684a - 2259350 ns IT 00000230 684a LDR r2,[r1,#4] - 2259370 ns MR4_I 00000234 6008d1fc - 2259430 ns MR4_D 40006004 00000001 - 2259430 ns R r2 00000001 - 2259430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2259450 ns R r2 80000000 - 2259450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2259470 ns R psr 81000200 - 2259470 ns MR4_I 00000238 48054770 - 2259490 ns MR4_I 00000230 07d2684a - 2259510 ns IT 00000230 684a LDR r2,[r1,#4] - 2259530 ns MR4_I 00000234 6008d1fc - 2259590 ns MR4_D 40006004 00000001 - 2259590 ns R r2 00000001 - 2259590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2259610 ns R r2 80000000 - 2259610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2259630 ns R psr 81000200 - 2259630 ns MR4_I 00000238 48054770 - 2259650 ns MR4_I 00000230 07d2684a - 2259670 ns IT 00000230 684a LDR r2,[r1,#4] - 2259690 ns MR4_I 00000234 6008d1fc - 2259750 ns MR4_D 40006004 00000001 - 2259750 ns R r2 00000001 - 2259750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2259770 ns R r2 80000000 - 2259770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2259790 ns R psr 81000200 - 2259790 ns MR4_I 00000238 48054770 - 2259810 ns MR4_I 00000230 07d2684a - 2259830 ns IT 00000230 684a LDR r2,[r1,#4] - 2259850 ns MR4_I 00000234 6008d1fc - 2259910 ns MR4_D 40006004 00000001 - 2259910 ns R r2 00000001 - 2259910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2259930 ns R r2 80000000 - 2259930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2259950 ns R psr 81000200 - 2259950 ns MR4_I 00000238 48054770 - 2259970 ns MR4_I 00000230 07d2684a - 2259990 ns IT 00000230 684a LDR r2,[r1,#4] - 2260010 ns MR4_I 00000234 6008d1fc - 2260070 ns MR4_D 40006004 00000001 - 2260070 ns R r2 00000001 - 2260070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2260090 ns R r2 80000000 - 2260090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2260110 ns R psr 81000200 - 2260110 ns MR4_I 00000238 48054770 - 2260130 ns MR4_I 00000230 07d2684a - 2260150 ns IT 00000230 684a LDR r2,[r1,#4] - 2260170 ns MR4_I 00000234 6008d1fc - 2260230 ns MR4_D 40006004 00000001 - 2260230 ns R r2 00000001 - 2260230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2260250 ns R r2 80000000 - 2260250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2260270 ns R psr 81000200 - 2260270 ns MR4_I 00000238 48054770 - 2260290 ns MR4_I 00000230 07d2684a - 2260310 ns IT 00000230 684a LDR r2,[r1,#4] - 2260330 ns MR4_I 00000234 6008d1fc - 2260390 ns MR4_D 40006004 00000001 - 2260390 ns R r2 00000001 - 2260390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2260410 ns R r2 80000000 - 2260410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2260430 ns R psr 81000200 - 2260430 ns MR4_I 00000238 48054770 - 2260450 ns MR4_I 00000230 07d2684a - 2260470 ns IT 00000230 684a LDR r2,[r1,#4] - 2260490 ns MR4_I 00000234 6008d1fc - 2260550 ns MR4_D 40006004 00000001 - 2260550 ns R r2 00000001 - 2260550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2260570 ns R r2 80000000 - 2260570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2260590 ns R psr 81000200 - 2260590 ns MR4_I 00000238 48054770 - 2260610 ns MR4_I 00000230 07d2684a - 2260630 ns IT 00000230 684a LDR r2,[r1,#4] - 2260650 ns MR4_I 00000234 6008d1fc - 2260710 ns MR4_D 40006004 00000001 - 2260710 ns R r2 00000001 - 2260710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2260730 ns R r2 80000000 - 2260730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2260750 ns R psr 81000200 - 2260750 ns MR4_I 00000238 48054770 - 2260770 ns MR4_I 00000230 07d2684a - 2260790 ns IT 00000230 684a LDR r2,[r1,#4] - 2260810 ns MR4_I 00000234 6008d1fc - 2260870 ns MR4_D 40006004 00000001 - 2260870 ns R r2 00000001 - 2260870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2260890 ns R r2 80000000 - 2260890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2260910 ns R psr 81000200 - 2260910 ns MR4_I 00000238 48054770 - 2260930 ns MR4_I 00000230 07d2684a - 2260950 ns IT 00000230 684a LDR r2,[r1,#4] - 2260970 ns MR4_I 00000234 6008d1fc - 2261030 ns MR4_D 40006004 00000001 - 2261030 ns R r2 00000001 - 2261030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2261050 ns R r2 80000000 - 2261050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2261070 ns R psr 81000200 - 2261070 ns MR4_I 00000238 48054770 - 2261090 ns MR4_I 00000230 07d2684a - 2261110 ns IT 00000230 684a LDR r2,[r1,#4] - 2261130 ns MR4_I 00000234 6008d1fc - 2261190 ns MR4_D 40006004 00000001 - 2261190 ns R r2 00000001 - 2261190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2261210 ns R r2 80000000 - 2261210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2261230 ns R psr 81000200 - 2261230 ns MR4_I 00000238 48054770 - 2261250 ns MR4_I 00000230 07d2684a - 2261270 ns IT 00000230 684a LDR r2,[r1,#4] - 2261290 ns MR4_I 00000234 6008d1fc - 2261350 ns MR4_D 40006004 00000001 - 2261350 ns R r2 00000001 - 2261350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2261370 ns R r2 80000000 - 2261370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2261390 ns R psr 81000200 - 2261390 ns MR4_I 00000238 48054770 - 2261410 ns MR4_I 00000230 07d2684a - 2261430 ns IT 00000230 684a LDR r2,[r1,#4] - 2261450 ns MR4_I 00000234 6008d1fc - 2261510 ns MR4_D 40006004 00000001 - 2261510 ns R r2 00000001 - 2261510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2261530 ns R r2 80000000 - 2261530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2261550 ns R psr 81000200 - 2261550 ns MR4_I 00000238 48054770 - 2261570 ns MR4_I 00000230 07d2684a - 2261590 ns IT 00000230 684a LDR r2,[r1,#4] - 2261610 ns MR4_I 00000234 6008d1fc - 2261670 ns MR4_D 40006004 00000001 - 2261670 ns R r2 00000001 - 2261670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2261690 ns R r2 80000000 - 2261690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2261710 ns R psr 81000200 - 2261710 ns MR4_I 00000238 48054770 - 2261730 ns MR4_I 00000230 07d2684a - 2261750 ns IT 00000230 684a LDR r2,[r1,#4] - 2261770 ns MR4_I 00000234 6008d1fc - 2261830 ns MR4_D 40006004 00000001 - 2261830 ns R r2 00000001 - 2261830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2261850 ns R r2 80000000 - 2261850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2261870 ns R psr 81000200 - 2261870 ns MR4_I 00000238 48054770 - 2261890 ns MR4_I 00000230 07d2684a - 2261910 ns IT 00000230 684a LDR r2,[r1,#4] - 2261930 ns MR4_I 00000234 6008d1fc - 2261990 ns MR4_D 40006004 00000001 - 2261990 ns R r2 00000001 - 2261990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2262010 ns R r2 80000000 - 2262010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2262030 ns R psr 81000200 - 2262030 ns MR4_I 00000238 48054770 - 2262050 ns MR4_I 00000230 07d2684a - 2262070 ns IT 00000230 684a LDR r2,[r1,#4] - 2262090 ns MR4_I 00000234 6008d1fc - 2262150 ns MR4_D 40006004 00000001 - 2262150 ns R r2 00000001 - 2262150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2262170 ns R r2 80000000 - 2262170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2262190 ns R psr 81000200 - 2262190 ns MR4_I 00000238 48054770 - 2262210 ns MR4_I 00000230 07d2684a - 2262230 ns IT 00000230 684a LDR r2,[r1,#4] - 2262250 ns MR4_I 00000234 6008d1fc - 2262310 ns MR4_D 40006004 00000001 - 2262310 ns R r2 00000001 - 2262310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2262330 ns R r2 80000000 - 2262330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2262350 ns R psr 81000200 - 2262350 ns MR4_I 00000238 48054770 - 2262370 ns MR4_I 00000230 07d2684a - 2262390 ns IT 00000230 684a LDR r2,[r1,#4] - 2262410 ns MR4_I 00000234 6008d1fc - 2262470 ns MR4_D 40006004 00000001 - 2262470 ns R r2 00000001 - 2262470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2262490 ns R r2 80000000 - 2262490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2262510 ns R psr 81000200 - 2262510 ns MR4_I 00000238 48054770 - 2262530 ns MR4_I 00000230 07d2684a - 2262550 ns IT 00000230 684a LDR r2,[r1,#4] - 2262570 ns MR4_I 00000234 6008d1fc - 2262630 ns MR4_D 40006004 00000001 - 2262630 ns R r2 00000001 - 2262630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2262650 ns R r2 80000000 - 2262650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2262670 ns R psr 81000200 - 2262670 ns MR4_I 00000238 48054770 - 2262690 ns MR4_I 00000230 07d2684a - 2262710 ns IT 00000230 684a LDR r2,[r1,#4] - 2262730 ns MR4_I 00000234 6008d1fc - 2262790 ns MR4_D 40006004 00000001 - 2262790 ns R r2 00000001 - 2262790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2262810 ns R r2 80000000 - 2262810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2262830 ns R psr 81000200 - 2262830 ns MR4_I 00000238 48054770 - 2262850 ns MR4_I 00000230 07d2684a - 2262870 ns IT 00000230 684a LDR r2,[r1,#4] - 2262890 ns MR4_I 00000234 6008d1fc - 2262950 ns MR4_D 40006004 00000001 - 2262950 ns R r2 00000001 - 2262950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2262970 ns R r2 80000000 - 2262970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2262990 ns R psr 81000200 - 2262990 ns MR4_I 00000238 48054770 - 2263010 ns MR4_I 00000230 07d2684a - 2263030 ns IT 00000230 684a LDR r2,[r1,#4] - 2263050 ns MR4_I 00000234 6008d1fc - 2263110 ns MR4_D 40006004 00000000 - 2263110 ns R r2 00000000 - 2263110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2263130 ns R r2 00000000 - 2263130 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2263150 ns R psr 41000200 - 2263150 ns MR4_I 00000238 48054770 - 2263150 ns IT 00000236 6008 STR r0,[r1,#0] - 2263230 ns MW4_D 40006000 0000006f - 2263230 ns IT 00000238 4770 BX lr - 2263250 ns MR4_I 0000023c 07896841 - 2263270 ns R psr 41000200 - 2263270 ns MR4_I 000001fc b510bd10 - 2263290 ns IT 000001fc bd10 POP {r4,pc} - 2263310 ns MR4_I 00000200 f81bf000 - 2263310 ns R r13 200002e0 (MSP) - 2263330 ns MR4_D 200002d8 00000007 - 2263330 ns R r4 00000007 - 2263350 ns MR4_D 200002dc 0000032d - 2263370 ns R psr 41000200 - 2263390 ns MR4_I 0000032c 46301c64 - 2263410 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 2263430 ns MR4_I 00000330 280047a8 - 2263430 ns R r4 00000008 - 2263430 ns IT 0000032e 4630 MOV r0,r6 - 2263450 ns R psr 01000200 - 2263450 ns R r0 200002f8 - 2263450 ns IT 00000330 47a8 BLX r5 - 2263470 ns MR4_I 00000334 4620d1f8 - 2263490 ns R psr 01000200 - 2263490 ns MR4_I 000002a8 1c4a6901 - 2263490 ns R r14 00000333 - 2263510 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2263530 ns MR4_I 000002ac 78086102 - 2263550 ns MR4_D 20000308 00000188 - 2263550 ns R r1 00000188 - 2263550 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2263570 ns R r2 00000189 - 2263570 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2263590 ns R psr 01000200 - 2263590 ns MR4_I 000002b0 b5004770 - 2263610 ns MW4_D 20000308 00000189 - 2263610 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2263650 ns MR1_D 00000188 0a646c72 - 2263650 ns R r0 00000072 - 2263650 ns IT 000002b0 4770 BX lr - 2263670 ns MR4_I 000002b4 9102b08f - 2263690 ns R psr 01000200 - 2263690 ns MR4_I 00000330 280047a8 - 2263710 ns MR4_I 00000334 4620d1f8 - 2263710 ns IT 00000332 2800 CMP r0,#0 - 2263730 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2263750 ns R psr 21000200 - 2263750 ns MR4_I 00000338 b510bdf8 - 2263770 ns MR4_I 00000328 47b89900 - 2263790 ns IT 00000328 9900 LDR r1,[sp,#0] - 2263810 ns MR4_I 0000032c 46301c64 - 2263830 ns MR4_D 200002e0 20000004 - 2263830 ns R r1 20000004 - 2263830 ns IT 0000032a 47b8 BLX r7 - 2263870 ns R psr 21000200 - 2263870 ns MR4_I 000001f4 b2c0b510 - 2263870 ns R r14 0000032d - 2263890 ns IT 000001f4 b510 PUSH {r4,lr} - 2263910 ns MR4_I 000001f8 f819f000 - 2263930 ns MW4_D 200002d8 00000008 - 2263950 ns MW4_D 200002dc 0000032d - 2263950 ns R r13 200002d8 (MSP) - 2263950 ns IT 000001f6 b2c0 UXTB r0,r0 - 2263970 ns R r0 00000072 - 2263970 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 2263990 ns MR4_I 000001fc b510bd10 - 2264010 ns R r14 000001fd - 2264030 ns MR4_I 0000022c 49084770 - 2264050 ns MR4_I 00000230 07d2684a - 2264050 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 2264090 ns MR4_D 00000250 40006000 - 2264090 ns R r1 40006000 - 2264090 ns IT 00000230 684a LDR r2,[r1,#4] - 2264110 ns MR4_I 00000234 6008d1fc - 2264170 ns MR4_D 40006004 00000001 - 2264170 ns R r2 00000001 - 2264170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2264190 ns R r2 80000000 - 2264190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2264210 ns R psr 81000200 - 2264210 ns MR4_I 00000238 48054770 - 2264230 ns MR4_I 00000230 07d2684a - 2264250 ns IT 00000230 684a LDR r2,[r1,#4] - 2264270 ns MR4_I 00000234 6008d1fc - 2264330 ns MR4_D 40006004 00000001 - 2264330 ns R r2 00000001 - 2264330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2264350 ns R r2 80000000 - 2264350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2264370 ns R psr 81000200 - 2264370 ns MR4_I 00000238 48054770 - 2264390 ns MR4_I 00000230 07d2684a - 2264410 ns IT 00000230 684a LDR r2,[r1,#4] - 2264430 ns MR4_I 00000234 6008d1fc - 2264490 ns MR4_D 40006004 00000001 - 2264490 ns R r2 00000001 - 2264490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2264510 ns R r2 80000000 - 2264510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2264530 ns R psr 81000200 - 2264530 ns MR4_I 00000238 48054770 - 2264550 ns MR4_I 00000230 07d2684a - 2264570 ns IT 00000230 684a LDR r2,[r1,#4] - 2264590 ns MR4_I 00000234 6008d1fc - 2264650 ns MR4_D 40006004 00000001 - 2264650 ns R r2 00000001 - 2264650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2264670 ns R r2 80000000 - 2264670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2264690 ns R psr 81000200 - 2264690 ns MR4_I 00000238 48054770 - 2264710 ns MR4_I 00000230 07d2684a - 2264730 ns IT 00000230 684a LDR r2,[r1,#4] - 2264750 ns MR4_I 00000234 6008d1fc - 2264810 ns MR4_D 40006004 00000001 - 2264810 ns R r2 00000001 - 2264810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2264830 ns R r2 80000000 - 2264830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2264850 ns R psr 81000200 - 2264850 ns MR4_I 00000238 48054770 - 2264870 ns MR4_I 00000230 07d2684a - 2264890 ns IT 00000230 684a LDR r2,[r1,#4] - 2264910 ns MR4_I 00000234 6008d1fc - 2264970 ns MR4_D 40006004 00000001 - 2264970 ns R r2 00000001 - 2264970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2264990 ns R r2 80000000 - 2264990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2265010 ns R psr 81000200 - 2265010 ns MR4_I 00000238 48054770 - 2265030 ns MR4_I 00000230 07d2684a - 2265050 ns IT 00000230 684a LDR r2,[r1,#4] - 2265070 ns MR4_I 00000234 6008d1fc - 2265130 ns MR4_D 40006004 00000001 - 2265130 ns R r2 00000001 - 2265130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2265150 ns R r2 80000000 - 2265150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2265170 ns R psr 81000200 - 2265170 ns MR4_I 00000238 48054770 - 2265190 ns MR4_I 00000230 07d2684a - 2265210 ns IT 00000230 684a LDR r2,[r1,#4] - 2265230 ns MR4_I 00000234 6008d1fc - 2265290 ns MR4_D 40006004 00000001 - 2265290 ns R r2 00000001 - 2265290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2265310 ns R r2 80000000 - 2265310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2265330 ns R psr 81000200 - 2265330 ns MR4_I 00000238 48054770 - 2265350 ns MR4_I 00000230 07d2684a - 2265370 ns IT 00000230 684a LDR r2,[r1,#4] - 2265390 ns MR4_I 00000234 6008d1fc - 2265450 ns MR4_D 40006004 00000001 - 2265450 ns R r2 00000001 - 2265450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2265470 ns R r2 80000000 - 2265470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2265490 ns R psr 81000200 - 2265490 ns MR4_I 00000238 48054770 - 2265510 ns MR4_I 00000230 07d2684a - 2265530 ns IT 00000230 684a LDR r2,[r1,#4] - 2265550 ns MR4_I 00000234 6008d1fc - 2265610 ns MR4_D 40006004 00000001 - 2265610 ns R r2 00000001 - 2265610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2265630 ns R r2 80000000 - 2265630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2265650 ns R psr 81000200 - 2265650 ns MR4_I 00000238 48054770 - 2265670 ns MR4_I 00000230 07d2684a - 2265690 ns IT 00000230 684a LDR r2,[r1,#4] - 2265710 ns MR4_I 00000234 6008d1fc - 2265770 ns MR4_D 40006004 00000001 - 2265770 ns R r2 00000001 - 2265770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2265790 ns R r2 80000000 - 2265790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2265810 ns R psr 81000200 - 2265810 ns MR4_I 00000238 48054770 - 2265830 ns MR4_I 00000230 07d2684a - 2265850 ns IT 00000230 684a LDR r2,[r1,#4] - 2265870 ns MR4_I 00000234 6008d1fc - 2265930 ns MR4_D 40006004 00000001 - 2265930 ns R r2 00000001 - 2265930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2265950 ns R r2 80000000 - 2265950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2265970 ns R psr 81000200 - 2265970 ns MR4_I 00000238 48054770 - 2265990 ns MR4_I 00000230 07d2684a - 2266010 ns IT 00000230 684a LDR r2,[r1,#4] - 2266030 ns MR4_I 00000234 6008d1fc - 2266090 ns MR4_D 40006004 00000001 - 2266090 ns R r2 00000001 - 2266090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2266110 ns R r2 80000000 - 2266110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2266130 ns R psr 81000200 - 2266130 ns MR4_I 00000238 48054770 - 2266150 ns MR4_I 00000230 07d2684a - 2266170 ns IT 00000230 684a LDR r2,[r1,#4] - 2266190 ns MR4_I 00000234 6008d1fc - 2266250 ns MR4_D 40006004 00000001 - 2266250 ns R r2 00000001 - 2266250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2266270 ns R r2 80000000 - 2266270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2266290 ns R psr 81000200 - 2266290 ns MR4_I 00000238 48054770 - 2266310 ns MR4_I 00000230 07d2684a - 2266330 ns IT 00000230 684a LDR r2,[r1,#4] - 2266350 ns MR4_I 00000234 6008d1fc - 2266410 ns MR4_D 40006004 00000001 - 2266410 ns R r2 00000001 - 2266410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2266430 ns R r2 80000000 - 2266430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2266450 ns R psr 81000200 - 2266450 ns MR4_I 00000238 48054770 - 2266470 ns MR4_I 00000230 07d2684a - 2266490 ns IT 00000230 684a LDR r2,[r1,#4] - 2266510 ns MR4_I 00000234 6008d1fc - 2266570 ns MR4_D 40006004 00000001 - 2266570 ns R r2 00000001 - 2266570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2266590 ns R r2 80000000 - 2266590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2266610 ns R psr 81000200 - 2266610 ns MR4_I 00000238 48054770 - 2266630 ns MR4_I 00000230 07d2684a - 2266650 ns IT 00000230 684a LDR r2,[r1,#4] - 2266670 ns MR4_I 00000234 6008d1fc - 2266730 ns MR4_D 40006004 00000001 - 2266730 ns R r2 00000001 - 2266730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2266750 ns R r2 80000000 - 2266750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2266770 ns R psr 81000200 - 2266770 ns MR4_I 00000238 48054770 - 2266790 ns MR4_I 00000230 07d2684a - 2266810 ns IT 00000230 684a LDR r2,[r1,#4] - 2266830 ns MR4_I 00000234 6008d1fc - 2266890 ns MR4_D 40006004 00000001 - 2266890 ns R r2 00000001 - 2266890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2266910 ns R r2 80000000 - 2266910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2266930 ns R psr 81000200 - 2266930 ns MR4_I 00000238 48054770 - 2266950 ns MR4_I 00000230 07d2684a - 2266970 ns IT 00000230 684a LDR r2,[r1,#4] - 2266990 ns MR4_I 00000234 6008d1fc - 2267050 ns MR4_D 40006004 00000001 - 2267050 ns R r2 00000001 - 2267050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2267070 ns R r2 80000000 - 2267070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2267090 ns R psr 81000200 - 2267090 ns MR4_I 00000238 48054770 - 2267110 ns MR4_I 00000230 07d2684a - 2267130 ns IT 00000230 684a LDR r2,[r1,#4] - 2267150 ns MR4_I 00000234 6008d1fc - 2267210 ns MR4_D 40006004 00000001 - 2267210 ns R r2 00000001 - 2267210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2267230 ns R r2 80000000 - 2267230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2267250 ns R psr 81000200 - 2267250 ns MR4_I 00000238 48054770 - 2267270 ns MR4_I 00000230 07d2684a - 2267290 ns IT 00000230 684a LDR r2,[r1,#4] - 2267310 ns MR4_I 00000234 6008d1fc - 2267370 ns MR4_D 40006004 00000001 - 2267370 ns R r2 00000001 - 2267370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2267390 ns R r2 80000000 - 2267390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2267410 ns R psr 81000200 - 2267410 ns MR4_I 00000238 48054770 - 2267430 ns MR4_I 00000230 07d2684a - 2267450 ns IT 00000230 684a LDR r2,[r1,#4] - 2267470 ns MR4_I 00000234 6008d1fc - 2267530 ns MR4_D 40006004 00000001 - 2267530 ns R r2 00000001 - 2267530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2267550 ns R r2 80000000 - 2267550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2267570 ns R psr 81000200 - 2267570 ns MR4_I 00000238 48054770 - 2267590 ns MR4_I 00000230 07d2684a - 2267610 ns IT 00000230 684a LDR r2,[r1,#4] - 2267630 ns MR4_I 00000234 6008d1fc - 2267690 ns MR4_D 40006004 00000001 - 2267690 ns R r2 00000001 - 2267690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2267710 ns R r2 80000000 - 2267710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2267730 ns R psr 81000200 - 2267730 ns MR4_I 00000238 48054770 - 2267750 ns MR4_I 00000230 07d2684a - 2267770 ns IT 00000230 684a LDR r2,[r1,#4] - 2267790 ns MR4_I 00000234 6008d1fc - 2267850 ns MR4_D 40006004 00000001 - 2267850 ns R r2 00000001 - 2267850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2267870 ns R r2 80000000 - 2267870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2267890 ns R psr 81000200 - 2267890 ns MR4_I 00000238 48054770 - 2267910 ns MR4_I 00000230 07d2684a - 2267930 ns IT 00000230 684a LDR r2,[r1,#4] - 2267950 ns MR4_I 00000234 6008d1fc - 2268010 ns MR4_D 40006004 00000001 - 2268010 ns R r2 00000001 - 2268010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2268030 ns R r2 80000000 - 2268030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2268050 ns R psr 81000200 - 2268050 ns MR4_I 00000238 48054770 - 2268070 ns MR4_I 00000230 07d2684a - 2268090 ns IT 00000230 684a LDR r2,[r1,#4] - 2268110 ns MR4_I 00000234 6008d1fc - 2268170 ns MR4_D 40006004 00000001 - 2268170 ns R r2 00000001 - 2268170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2268190 ns R r2 80000000 - 2268190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2268210 ns R psr 81000200 - 2268210 ns MR4_I 00000238 48054770 - 2268230 ns MR4_I 00000230 07d2684a - 2268250 ns IT 00000230 684a LDR r2,[r1,#4] - 2268270 ns MR4_I 00000234 6008d1fc - 2268330 ns MR4_D 40006004 00000001 - 2268330 ns R r2 00000001 - 2268330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2268350 ns R r2 80000000 - 2268350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2268370 ns R psr 81000200 - 2268370 ns MR4_I 00000238 48054770 - 2268390 ns MR4_I 00000230 07d2684a - 2268410 ns IT 00000230 684a LDR r2,[r1,#4] - 2268430 ns MR4_I 00000234 6008d1fc - 2268490 ns MR4_D 40006004 00000001 - 2268490 ns R r2 00000001 - 2268490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2268510 ns R r2 80000000 - 2268510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2268530 ns R psr 81000200 - 2268530 ns MR4_I 00000238 48054770 - 2268550 ns MR4_I 00000230 07d2684a - 2268570 ns IT 00000230 684a LDR r2,[r1,#4] - 2268590 ns MR4_I 00000234 6008d1fc - 2268650 ns MR4_D 40006004 00000001 - 2268650 ns R r2 00000001 - 2268650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2268670 ns R r2 80000000 - 2268670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2268690 ns R psr 81000200 - 2268690 ns MR4_I 00000238 48054770 - 2268710 ns MR4_I 00000230 07d2684a - 2268730 ns IT 00000230 684a LDR r2,[r1,#4] - 2268750 ns MR4_I 00000234 6008d1fc - 2268810 ns MR4_D 40006004 00000001 - 2268810 ns R r2 00000001 - 2268810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2268830 ns R r2 80000000 - 2268830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2268850 ns R psr 81000200 - 2268850 ns MR4_I 00000238 48054770 - 2268870 ns MR4_I 00000230 07d2684a - 2268890 ns IT 00000230 684a LDR r2,[r1,#4] - 2268910 ns MR4_I 00000234 6008d1fc - 2268970 ns MR4_D 40006004 00000001 - 2268970 ns R r2 00000001 - 2268970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2268990 ns R r2 80000000 - 2268990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2269010 ns R psr 81000200 - 2269010 ns MR4_I 00000238 48054770 - 2269030 ns MR4_I 00000230 07d2684a - 2269050 ns IT 00000230 684a LDR r2,[r1,#4] - 2269070 ns MR4_I 00000234 6008d1fc - 2269130 ns MR4_D 40006004 00000001 - 2269130 ns R r2 00000001 - 2269130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2269150 ns R r2 80000000 - 2269150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2269170 ns R psr 81000200 - 2269170 ns MR4_I 00000238 48054770 - 2269190 ns MR4_I 00000230 07d2684a - 2269210 ns IT 00000230 684a LDR r2,[r1,#4] - 2269230 ns MR4_I 00000234 6008d1fc - 2269290 ns MR4_D 40006004 00000001 - 2269290 ns R r2 00000001 - 2269290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2269310 ns R r2 80000000 - 2269310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2269330 ns R psr 81000200 - 2269330 ns MR4_I 00000238 48054770 - 2269350 ns MR4_I 00000230 07d2684a - 2269370 ns IT 00000230 684a LDR r2,[r1,#4] - 2269390 ns MR4_I 00000234 6008d1fc - 2269450 ns MR4_D 40006004 00000001 - 2269450 ns R r2 00000001 - 2269450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2269470 ns R r2 80000000 - 2269470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2269490 ns R psr 81000200 - 2269490 ns MR4_I 00000238 48054770 - 2269510 ns MR4_I 00000230 07d2684a - 2269530 ns IT 00000230 684a LDR r2,[r1,#4] - 2269550 ns MR4_I 00000234 6008d1fc - 2269610 ns MR4_D 40006004 00000001 - 2269610 ns R r2 00000001 - 2269610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2269630 ns R r2 80000000 - 2269630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2269650 ns R psr 81000200 - 2269650 ns MR4_I 00000238 48054770 - 2269670 ns MR4_I 00000230 07d2684a - 2269690 ns IT 00000230 684a LDR r2,[r1,#4] - 2269710 ns MR4_I 00000234 6008d1fc - 2269770 ns MR4_D 40006004 00000001 - 2269770 ns R r2 00000001 - 2269770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2269790 ns R r2 80000000 - 2269790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2269810 ns R psr 81000200 - 2269810 ns MR4_I 00000238 48054770 - 2269830 ns MR4_I 00000230 07d2684a - 2269850 ns IT 00000230 684a LDR r2,[r1,#4] - 2269870 ns MR4_I 00000234 6008d1fc - 2269930 ns MR4_D 40006004 00000001 - 2269930 ns R r2 00000001 - 2269930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2269950 ns R r2 80000000 - 2269950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2269970 ns R psr 81000200 - 2269970 ns MR4_I 00000238 48054770 - 2269990 ns MR4_I 00000230 07d2684a - 2270010 ns IT 00000230 684a LDR r2,[r1,#4] - 2270030 ns MR4_I 00000234 6008d1fc - 2270090 ns MR4_D 40006004 00000001 - 2270090 ns R r2 00000001 - 2270090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2270110 ns R r2 80000000 - 2270110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2270130 ns R psr 81000200 - 2270130 ns MR4_I 00000238 48054770 - 2270150 ns MR4_I 00000230 07d2684a - 2270170 ns IT 00000230 684a LDR r2,[r1,#4] - 2270190 ns MR4_I 00000234 6008d1fc - 2270250 ns MR4_D 40006004 00000001 - 2270250 ns R r2 00000001 - 2270250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2270270 ns R r2 80000000 - 2270270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2270290 ns R psr 81000200 - 2270290 ns MR4_I 00000238 48054770 - 2270310 ns MR4_I 00000230 07d2684a - 2270330 ns IT 00000230 684a LDR r2,[r1,#4] - 2270350 ns MR4_I 00000234 6008d1fc - 2270410 ns MR4_D 40006004 00000001 - 2270410 ns R r2 00000001 - 2270410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2270430 ns R r2 80000000 - 2270430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2270450 ns R psr 81000200 - 2270450 ns MR4_I 00000238 48054770 - 2270470 ns MR4_I 00000230 07d2684a - 2270490 ns IT 00000230 684a LDR r2,[r1,#4] - 2270510 ns MR4_I 00000234 6008d1fc - 2270570 ns MR4_D 40006004 00000001 - 2270570 ns R r2 00000001 - 2270570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2270590 ns R r2 80000000 - 2270590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2270610 ns R psr 81000200 - 2270610 ns MR4_I 00000238 48054770 - 2270630 ns MR4_I 00000230 07d2684a - 2270650 ns IT 00000230 684a LDR r2,[r1,#4] - 2270670 ns MR4_I 00000234 6008d1fc - 2270730 ns MR4_D 40006004 00000001 - 2270730 ns R r2 00000001 - 2270730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2270750 ns R r2 80000000 - 2270750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2270770 ns R psr 81000200 - 2270770 ns MR4_I 00000238 48054770 - 2270790 ns MR4_I 00000230 07d2684a - 2270810 ns IT 00000230 684a LDR r2,[r1,#4] - 2270830 ns MR4_I 00000234 6008d1fc - 2270890 ns MR4_D 40006004 00000001 - 2270890 ns R r2 00000001 - 2270890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2270910 ns R r2 80000000 - 2270910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2270930 ns R psr 81000200 - 2270930 ns MR4_I 00000238 48054770 - 2270950 ns MR4_I 00000230 07d2684a - 2270970 ns IT 00000230 684a LDR r2,[r1,#4] - 2270990 ns MR4_I 00000234 6008d1fc - 2271050 ns MR4_D 40006004 00000001 - 2271050 ns R r2 00000001 - 2271050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2271070 ns R r2 80000000 - 2271070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2271090 ns R psr 81000200 - 2271090 ns MR4_I 00000238 48054770 - 2271110 ns MR4_I 00000230 07d2684a - 2271130 ns IT 00000230 684a LDR r2,[r1,#4] - 2271150 ns MR4_I 00000234 6008d1fc - 2271210 ns MR4_D 40006004 00000001 - 2271210 ns R r2 00000001 - 2271210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2271230 ns R r2 80000000 - 2271230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2271250 ns R psr 81000200 - 2271250 ns MR4_I 00000238 48054770 - 2271270 ns MR4_I 00000230 07d2684a - 2271290 ns IT 00000230 684a LDR r2,[r1,#4] - 2271310 ns MR4_I 00000234 6008d1fc - 2271370 ns MR4_D 40006004 00000001 - 2271370 ns R r2 00000001 - 2271370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2271390 ns R r2 80000000 - 2271390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2271410 ns R psr 81000200 - 2271410 ns MR4_I 00000238 48054770 - 2271430 ns MR4_I 00000230 07d2684a - 2271450 ns IT 00000230 684a LDR r2,[r1,#4] - 2271470 ns MR4_I 00000234 6008d1fc - 2271530 ns MR4_D 40006004 00000001 - 2271530 ns R r2 00000001 - 2271530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2271550 ns R r2 80000000 - 2271550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2271570 ns R psr 81000200 - 2271570 ns MR4_I 00000238 48054770 - 2271590 ns MR4_I 00000230 07d2684a - 2271610 ns IT 00000230 684a LDR r2,[r1,#4] - 2271630 ns MR4_I 00000234 6008d1fc - 2271690 ns MR4_D 40006004 00000001 - 2271690 ns R r2 00000001 - 2271690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2271710 ns R r2 80000000 - 2271710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2271730 ns R psr 81000200 - 2271730 ns MR4_I 00000238 48054770 - 2271750 ns MR4_I 00000230 07d2684a - 2271770 ns IT 00000230 684a LDR r2,[r1,#4] - 2271790 ns MR4_I 00000234 6008d1fc - 2271850 ns MR4_D 40006004 00000001 - 2271850 ns R r2 00000001 - 2271850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2271870 ns R r2 80000000 - 2271870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2271890 ns R psr 81000200 - 2271890 ns MR4_I 00000238 48054770 - 2271910 ns MR4_I 00000230 07d2684a - 2271930 ns IT 00000230 684a LDR r2,[r1,#4] - 2271950 ns MR4_I 00000234 6008d1fc - 2272010 ns MR4_D 40006004 00000001 - 2272010 ns R r2 00000001 - 2272010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2272030 ns R r2 80000000 - 2272030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2272050 ns R psr 81000200 - 2272050 ns MR4_I 00000238 48054770 - 2272070 ns MR4_I 00000230 07d2684a - 2272090 ns IT 00000230 684a LDR r2,[r1,#4] - 2272110 ns MR4_I 00000234 6008d1fc - 2272170 ns MR4_D 40006004 00000001 - 2272170 ns R r2 00000001 - 2272170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2272190 ns R r2 80000000 - 2272190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2272210 ns R psr 81000200 - 2272210 ns MR4_I 00000238 48054770 - 2272230 ns MR4_I 00000230 07d2684a - 2272250 ns IT 00000230 684a LDR r2,[r1,#4] - 2272270 ns MR4_I 00000234 6008d1fc - 2272330 ns MR4_D 40006004 00000001 - 2272330 ns R r2 00000001 - 2272330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2272350 ns R r2 80000000 - 2272350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2272370 ns R psr 81000200 - 2272370 ns MR4_I 00000238 48054770 - 2272390 ns MR4_I 00000230 07d2684a - 2272410 ns IT 00000230 684a LDR r2,[r1,#4] - 2272430 ns MR4_I 00000234 6008d1fc - 2272490 ns MR4_D 40006004 00000001 - 2272490 ns R r2 00000001 - 2272490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2272510 ns R r2 80000000 - 2272510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2272530 ns R psr 81000200 - 2272530 ns MR4_I 00000238 48054770 - 2272550 ns MR4_I 00000230 07d2684a - 2272570 ns IT 00000230 684a LDR r2,[r1,#4] - 2272590 ns MR4_I 00000234 6008d1fc - 2272650 ns MR4_D 40006004 00000001 - 2272650 ns R r2 00000001 - 2272650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2272670 ns R r2 80000000 - 2272670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2272690 ns R psr 81000200 - 2272690 ns MR4_I 00000238 48054770 - 2272710 ns MR4_I 00000230 07d2684a - 2272730 ns IT 00000230 684a LDR r2,[r1,#4] - 2272750 ns MR4_I 00000234 6008d1fc - 2272810 ns MR4_D 40006004 00000001 - 2272810 ns R r2 00000001 - 2272810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2272830 ns R r2 80000000 - 2272830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2272850 ns R psr 81000200 - 2272850 ns MR4_I 00000238 48054770 - 2272870 ns MR4_I 00000230 07d2684a - 2272890 ns IT 00000230 684a LDR r2,[r1,#4] - 2272910 ns MR4_I 00000234 6008d1fc - 2272970 ns MR4_D 40006004 00000001 - 2272970 ns R r2 00000001 - 2272970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2272990 ns R r2 80000000 - 2272990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2273010 ns R psr 81000200 - 2273010 ns MR4_I 00000238 48054770 - 2273030 ns MR4_I 00000230 07d2684a - 2273050 ns IT 00000230 684a LDR r2,[r1,#4] - 2273070 ns MR4_I 00000234 6008d1fc - 2273130 ns MR4_D 40006004 00000001 - 2273130 ns R r2 00000001 - 2273130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2273150 ns R r2 80000000 - 2273150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2273170 ns R psr 81000200 - 2273170 ns MR4_I 00000238 48054770 - 2273190 ns MR4_I 00000230 07d2684a - 2273210 ns IT 00000230 684a LDR r2,[r1,#4] - 2273230 ns MR4_I 00000234 6008d1fc - 2273290 ns MR4_D 40006004 00000001 - 2273290 ns R r2 00000001 - 2273290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2273310 ns R r2 80000000 - 2273310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2273330 ns R psr 81000200 - 2273330 ns MR4_I 00000238 48054770 - 2273350 ns MR4_I 00000230 07d2684a - 2273370 ns IT 00000230 684a LDR r2,[r1,#4] - 2273390 ns MR4_I 00000234 6008d1fc - 2273450 ns MR4_D 40006004 00000001 - 2273450 ns R r2 00000001 - 2273450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2273470 ns R r2 80000000 - 2273470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2273490 ns R psr 81000200 - 2273490 ns MR4_I 00000238 48054770 - 2273510 ns MR4_I 00000230 07d2684a - 2273530 ns IT 00000230 684a LDR r2,[r1,#4] - 2273550 ns MR4_I 00000234 6008d1fc - 2273610 ns MR4_D 40006004 00000001 - 2273610 ns R r2 00000001 - 2273610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2273630 ns R r2 80000000 - 2273630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2273650 ns R psr 81000200 - 2273650 ns MR4_I 00000238 48054770 - 2273670 ns MR4_I 00000230 07d2684a - 2273690 ns IT 00000230 684a LDR r2,[r1,#4] - 2273710 ns MR4_I 00000234 6008d1fc - 2273770 ns MR4_D 40006004 00000001 - 2273770 ns R r2 00000001 - 2273770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2273790 ns R r2 80000000 - 2273790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2273810 ns R psr 81000200 - 2273810 ns MR4_I 00000238 48054770 - 2273830 ns MR4_I 00000230 07d2684a - 2273850 ns IT 00000230 684a LDR r2,[r1,#4] - 2273870 ns MR4_I 00000234 6008d1fc - 2273930 ns MR4_D 40006004 00000001 - 2273930 ns R r2 00000001 - 2273930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2273950 ns R r2 80000000 - 2273950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2273970 ns R psr 81000200 - 2273970 ns MR4_I 00000238 48054770 - 2273990 ns MR4_I 00000230 07d2684a - 2274010 ns IT 00000230 684a LDR r2,[r1,#4] - 2274030 ns MR4_I 00000234 6008d1fc - 2274090 ns MR4_D 40006004 00000001 - 2274090 ns R r2 00000001 - 2274090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2274110 ns R r2 80000000 - 2274110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2274130 ns R psr 81000200 - 2274130 ns MR4_I 00000238 48054770 - 2274150 ns MR4_I 00000230 07d2684a - 2274170 ns IT 00000230 684a LDR r2,[r1,#4] - 2274190 ns MR4_I 00000234 6008d1fc - 2274250 ns MR4_D 40006004 00000001 - 2274250 ns R r2 00000001 - 2274250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2274270 ns R r2 80000000 - 2274270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2274290 ns R psr 81000200 - 2274290 ns MR4_I 00000238 48054770 - 2274310 ns MR4_I 00000230 07d2684a - 2274330 ns IT 00000230 684a LDR r2,[r1,#4] - 2274350 ns MR4_I 00000234 6008d1fc - 2274410 ns MR4_D 40006004 00000001 - 2274410 ns R r2 00000001 - 2274410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2274430 ns R r2 80000000 - 2274430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2274450 ns R psr 81000200 - 2274450 ns MR4_I 00000238 48054770 - 2274470 ns MR4_I 00000230 07d2684a - 2274490 ns IT 00000230 684a LDR r2,[r1,#4] - 2274510 ns MR4_I 00000234 6008d1fc - 2274570 ns MR4_D 40006004 00000001 - 2274570 ns R r2 00000001 - 2274570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2274590 ns R r2 80000000 - 2274590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2274610 ns R psr 81000200 - 2274610 ns MR4_I 00000238 48054770 - 2274630 ns MR4_I 00000230 07d2684a - 2274650 ns IT 00000230 684a LDR r2,[r1,#4] - 2274670 ns MR4_I 00000234 6008d1fc - 2274730 ns MR4_D 40006004 00000001 - 2274730 ns R r2 00000001 - 2274730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2274750 ns R r2 80000000 - 2274750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2274770 ns R psr 81000200 - 2274770 ns MR4_I 00000238 48054770 - 2274790 ns MR4_I 00000230 07d2684a - 2274810 ns IT 00000230 684a LDR r2,[r1,#4] - 2274830 ns MR4_I 00000234 6008d1fc - 2274890 ns MR4_D 40006004 00000001 - 2274890 ns R r2 00000001 - 2274890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2274910 ns R r2 80000000 - 2274910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2274930 ns R psr 81000200 - 2274930 ns MR4_I 00000238 48054770 - 2274950 ns MR4_I 00000230 07d2684a - 2274970 ns IT 00000230 684a LDR r2,[r1,#4] - 2274990 ns MR4_I 00000234 6008d1fc - 2275050 ns MR4_D 40006004 00000001 - 2275050 ns R r2 00000001 - 2275050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2275070 ns R r2 80000000 - 2275070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2275090 ns R psr 81000200 - 2275090 ns MR4_I 00000238 48054770 - 2275110 ns MR4_I 00000230 07d2684a - 2275130 ns IT 00000230 684a LDR r2,[r1,#4] - 2275150 ns MR4_I 00000234 6008d1fc - 2275210 ns MR4_D 40006004 00000001 - 2275210 ns R r2 00000001 - 2275210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2275230 ns R r2 80000000 - 2275230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2275250 ns R psr 81000200 - 2275250 ns MR4_I 00000238 48054770 - 2275270 ns MR4_I 00000230 07d2684a - 2275290 ns IT 00000230 684a LDR r2,[r1,#4] - 2275310 ns MR4_I 00000234 6008d1fc - 2275370 ns MR4_D 40006004 00000001 - 2275370 ns R r2 00000001 - 2275370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2275390 ns R r2 80000000 - 2275390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2275410 ns R psr 81000200 - 2275410 ns MR4_I 00000238 48054770 - 2275430 ns MR4_I 00000230 07d2684a - 2275450 ns IT 00000230 684a LDR r2,[r1,#4] - 2275470 ns MR4_I 00000234 6008d1fc - 2275530 ns MR4_D 40006004 00000001 - 2275530 ns R r2 00000001 - 2275530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2275550 ns R r2 80000000 - 2275550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2275570 ns R psr 81000200 - 2275570 ns MR4_I 00000238 48054770 - 2275590 ns MR4_I 00000230 07d2684a - 2275610 ns IT 00000230 684a LDR r2,[r1,#4] - 2275630 ns MR4_I 00000234 6008d1fc - 2275690 ns MR4_D 40006004 00000001 - 2275690 ns R r2 00000001 - 2275690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2275710 ns R r2 80000000 - 2275710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2275730 ns R psr 81000200 - 2275730 ns MR4_I 00000238 48054770 - 2275750 ns MR4_I 00000230 07d2684a - 2275770 ns IT 00000230 684a LDR r2,[r1,#4] - 2275790 ns MR4_I 00000234 6008d1fc - 2275850 ns MR4_D 40006004 00000001 - 2275850 ns R r2 00000001 - 2275850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2275870 ns R r2 80000000 - 2275870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2275890 ns R psr 81000200 - 2275890 ns MR4_I 00000238 48054770 - 2275910 ns MR4_I 00000230 07d2684a - 2275930 ns IT 00000230 684a LDR r2,[r1,#4] - 2275950 ns MR4_I 00000234 6008d1fc - 2276010 ns MR4_D 40006004 00000001 - 2276010 ns R r2 00000001 - 2276010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2276030 ns R r2 80000000 - 2276030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2276050 ns R psr 81000200 - 2276050 ns MR4_I 00000238 48054770 - 2276070 ns MR4_I 00000230 07d2684a - 2276090 ns IT 00000230 684a LDR r2,[r1,#4] - 2276110 ns MR4_I 00000234 6008d1fc - 2276170 ns MR4_D 40006004 00000001 - 2276170 ns R r2 00000001 - 2276170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2276190 ns R r2 80000000 - 2276190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2276210 ns R psr 81000200 - 2276210 ns MR4_I 00000238 48054770 - 2276230 ns MR4_I 00000230 07d2684a - 2276250 ns IT 00000230 684a LDR r2,[r1,#4] - 2276270 ns MR4_I 00000234 6008d1fc - 2276330 ns MR4_D 40006004 00000001 - 2276330 ns R r2 00000001 - 2276330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2276350 ns R r2 80000000 - 2276350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2276370 ns R psr 81000200 - 2276370 ns MR4_I 00000238 48054770 - 2276390 ns MR4_I 00000230 07d2684a - 2276410 ns IT 00000230 684a LDR r2,[r1,#4] - 2276430 ns MR4_I 00000234 6008d1fc - 2276490 ns MR4_D 40006004 00000001 - 2276490 ns R r2 00000001 - 2276490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2276510 ns R r2 80000000 - 2276510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2276530 ns R psr 81000200 - 2276530 ns MR4_I 00000238 48054770 - 2276550 ns MR4_I 00000230 07d2684a - 2276570 ns IT 00000230 684a LDR r2,[r1,#4] - 2276590 ns MR4_I 00000234 6008d1fc - 2276650 ns MR4_D 40006004 00000001 - 2276650 ns R r2 00000001 - 2276650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2276670 ns R r2 80000000 - 2276670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2276690 ns R psr 81000200 - 2276690 ns MR4_I 00000238 48054770 - 2276710 ns MR4_I 00000230 07d2684a - 2276730 ns IT 00000230 684a LDR r2,[r1,#4] - 2276750 ns MR4_I 00000234 6008d1fc - 2276810 ns MR4_D 40006004 00000001 - 2276810 ns R r2 00000001 - 2276810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2276830 ns R r2 80000000 - 2276830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2276850 ns R psr 81000200 - 2276850 ns MR4_I 00000238 48054770 - 2276870 ns MR4_I 00000230 07d2684a - 2276890 ns IT 00000230 684a LDR r2,[r1,#4] - 2276910 ns MR4_I 00000234 6008d1fc - 2276970 ns MR4_D 40006004 00000001 - 2276970 ns R r2 00000001 - 2276970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2276990 ns R r2 80000000 - 2276990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2277010 ns R psr 81000200 - 2277010 ns MR4_I 00000238 48054770 - 2277030 ns MR4_I 00000230 07d2684a - 2277050 ns IT 00000230 684a LDR r2,[r1,#4] - 2277070 ns MR4_I 00000234 6008d1fc - 2277130 ns MR4_D 40006004 00000001 - 2277130 ns R r2 00000001 - 2277130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2277150 ns R r2 80000000 - 2277150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2277170 ns R psr 81000200 - 2277170 ns MR4_I 00000238 48054770 - 2277190 ns MR4_I 00000230 07d2684a - 2277210 ns IT 00000230 684a LDR r2,[r1,#4] - 2277230 ns MR4_I 00000234 6008d1fc - 2277290 ns MR4_D 40006004 00000001 - 2277290 ns R r2 00000001 - 2277290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2277310 ns R r2 80000000 - 2277310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2277330 ns R psr 81000200 - 2277330 ns MR4_I 00000238 48054770 - 2277350 ns MR4_I 00000230 07d2684a - 2277370 ns IT 00000230 684a LDR r2,[r1,#4] - 2277390 ns MR4_I 00000234 6008d1fc - 2277450 ns MR4_D 40006004 00000001 - 2277450 ns R r2 00000001 - 2277450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2277470 ns R r2 80000000 - 2277470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2277490 ns R psr 81000200 - 2277490 ns MR4_I 00000238 48054770 - 2277510 ns MR4_I 00000230 07d2684a - 2277530 ns IT 00000230 684a LDR r2,[r1,#4] - 2277550 ns MR4_I 00000234 6008d1fc - 2277610 ns MR4_D 40006004 00000001 - 2277610 ns R r2 00000001 - 2277610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2277630 ns R r2 80000000 - 2277630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2277650 ns R psr 81000200 - 2277650 ns MR4_I 00000238 48054770 - 2277670 ns MR4_I 00000230 07d2684a - 2277690 ns IT 00000230 684a LDR r2,[r1,#4] - 2277710 ns MR4_I 00000234 6008d1fc - 2277770 ns MR4_D 40006004 00000001 - 2277770 ns R r2 00000001 - 2277770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2277790 ns R r2 80000000 - 2277790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2277810 ns R psr 81000200 - 2277810 ns MR4_I 00000238 48054770 - 2277830 ns MR4_I 00000230 07d2684a - 2277850 ns IT 00000230 684a LDR r2,[r1,#4] - 2277870 ns MR4_I 00000234 6008d1fc - 2277930 ns MR4_D 40006004 00000001 - 2277930 ns R r2 00000001 - 2277930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2277950 ns R r2 80000000 - 2277950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2277970 ns R psr 81000200 - 2277970 ns MR4_I 00000238 48054770 - 2277990 ns MR4_I 00000230 07d2684a - 2278010 ns IT 00000230 684a LDR r2,[r1,#4] - 2278030 ns MR4_I 00000234 6008d1fc - 2278090 ns MR4_D 40006004 00000001 - 2278090 ns R r2 00000001 - 2278090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2278110 ns R r2 80000000 - 2278110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2278130 ns R psr 81000200 - 2278130 ns MR4_I 00000238 48054770 - 2278150 ns MR4_I 00000230 07d2684a - 2278170 ns IT 00000230 684a LDR r2,[r1,#4] - 2278190 ns MR4_I 00000234 6008d1fc - 2278250 ns MR4_D 40006004 00000001 - 2278250 ns R r2 00000001 - 2278250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2278270 ns R r2 80000000 - 2278270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2278290 ns R psr 81000200 - 2278290 ns MR4_I 00000238 48054770 - 2278310 ns MR4_I 00000230 07d2684a - 2278330 ns IT 00000230 684a LDR r2,[r1,#4] - 2278350 ns MR4_I 00000234 6008d1fc - 2278410 ns MR4_D 40006004 00000001 - 2278410 ns R r2 00000001 - 2278410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2278430 ns R r2 80000000 - 2278430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2278450 ns R psr 81000200 - 2278450 ns MR4_I 00000238 48054770 - 2278470 ns MR4_I 00000230 07d2684a - 2278490 ns IT 00000230 684a LDR r2,[r1,#4] - 2278510 ns MR4_I 00000234 6008d1fc - 2278570 ns MR4_D 40006004 00000001 - 2278570 ns R r2 00000001 - 2278570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2278590 ns R r2 80000000 - 2278590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2278610 ns R psr 81000200 - 2278610 ns MR4_I 00000238 48054770 - 2278630 ns MR4_I 00000230 07d2684a - 2278650 ns IT 00000230 684a LDR r2,[r1,#4] - 2278670 ns MR4_I 00000234 6008d1fc - 2278730 ns MR4_D 40006004 00000001 - 2278730 ns R r2 00000001 - 2278730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2278750 ns R r2 80000000 - 2278750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2278770 ns R psr 81000200 - 2278770 ns MR4_I 00000238 48054770 - 2278790 ns MR4_I 00000230 07d2684a - 2278810 ns IT 00000230 684a LDR r2,[r1,#4] - 2278830 ns MR4_I 00000234 6008d1fc - 2278890 ns MR4_D 40006004 00000001 - 2278890 ns R r2 00000001 - 2278890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2278910 ns R r2 80000000 - 2278910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2278930 ns R psr 81000200 - 2278930 ns MR4_I 00000238 48054770 - 2278950 ns MR4_I 00000230 07d2684a - 2278970 ns IT 00000230 684a LDR r2,[r1,#4] - 2278990 ns MR4_I 00000234 6008d1fc - 2279050 ns MR4_D 40006004 00000001 - 2279050 ns R r2 00000001 - 2279050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2279070 ns R r2 80000000 - 2279070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2279090 ns R psr 81000200 - 2279090 ns MR4_I 00000238 48054770 - 2279110 ns MR4_I 00000230 07d2684a - 2279130 ns IT 00000230 684a LDR r2,[r1,#4] - 2279150 ns MR4_I 00000234 6008d1fc - 2279210 ns MR4_D 40006004 00000001 - 2279210 ns R r2 00000001 - 2279210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2279230 ns R r2 80000000 - 2279230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2279250 ns R psr 81000200 - 2279250 ns MR4_I 00000238 48054770 - 2279270 ns MR4_I 00000230 07d2684a - 2279290 ns IT 00000230 684a LDR r2,[r1,#4] - 2279310 ns MR4_I 00000234 6008d1fc - 2279370 ns MR4_D 40006004 00000001 - 2279370 ns R r2 00000001 - 2279370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2279390 ns R r2 80000000 - 2279390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2279410 ns R psr 81000200 - 2279410 ns MR4_I 00000238 48054770 - 2279430 ns MR4_I 00000230 07d2684a - 2279450 ns IT 00000230 684a LDR r2,[r1,#4] - 2279470 ns MR4_I 00000234 6008d1fc - 2279530 ns MR4_D 40006004 00000001 - 2279530 ns R r2 00000001 - 2279530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2279550 ns R r2 80000000 - 2279550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2279570 ns R psr 81000200 - 2279570 ns MR4_I 00000238 48054770 - 2279590 ns MR4_I 00000230 07d2684a - 2279610 ns IT 00000230 684a LDR r2,[r1,#4] - 2279630 ns MR4_I 00000234 6008d1fc - 2279690 ns MR4_D 40006004 00000001 - 2279690 ns R r2 00000001 - 2279690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2279710 ns R r2 80000000 - 2279710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2279730 ns R psr 81000200 - 2279730 ns MR4_I 00000238 48054770 - 2279750 ns MR4_I 00000230 07d2684a - 2279770 ns IT 00000230 684a LDR r2,[r1,#4] - 2279790 ns MR4_I 00000234 6008d1fc - 2279850 ns MR4_D 40006004 00000001 - 2279850 ns R r2 00000001 - 2279850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2279870 ns R r2 80000000 - 2279870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2279890 ns R psr 81000200 - 2279890 ns MR4_I 00000238 48054770 - 2279910 ns MR4_I 00000230 07d2684a - 2279930 ns IT 00000230 684a LDR r2,[r1,#4] - 2279950 ns MR4_I 00000234 6008d1fc - 2280010 ns MR4_D 40006004 00000001 - 2280010 ns R r2 00000001 - 2280010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2280030 ns R r2 80000000 - 2280030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2280050 ns R psr 81000200 - 2280050 ns MR4_I 00000238 48054770 - 2280070 ns MR4_I 00000230 07d2684a - 2280090 ns IT 00000230 684a LDR r2,[r1,#4] - 2280110 ns MR4_I 00000234 6008d1fc - 2280170 ns MR4_D 40006004 00000001 - 2280170 ns R r2 00000001 - 2280170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2280190 ns R r2 80000000 - 2280190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2280210 ns R psr 81000200 - 2280210 ns MR4_I 00000238 48054770 - 2280230 ns MR4_I 00000230 07d2684a - 2280250 ns IT 00000230 684a LDR r2,[r1,#4] - 2280270 ns MR4_I 00000234 6008d1fc - 2280330 ns MR4_D 40006004 00000001 - 2280330 ns R r2 00000001 - 2280330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2280350 ns R r2 80000000 - 2280350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2280370 ns R psr 81000200 - 2280370 ns MR4_I 00000238 48054770 - 2280390 ns MR4_I 00000230 07d2684a - 2280410 ns IT 00000230 684a LDR r2,[r1,#4] - 2280430 ns MR4_I 00000234 6008d1fc - 2280490 ns MR4_D 40006004 00000001 - 2280490 ns R r2 00000001 - 2280490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2280510 ns R r2 80000000 - 2280510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2280530 ns R psr 81000200 - 2280530 ns MR4_I 00000238 48054770 - 2280550 ns MR4_I 00000230 07d2684a - 2280570 ns IT 00000230 684a LDR r2,[r1,#4] - 2280590 ns MR4_I 00000234 6008d1fc - 2280650 ns MR4_D 40006004 00000001 - 2280650 ns R r2 00000001 - 2280650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2280670 ns R r2 80000000 - 2280670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2280690 ns R psr 81000200 - 2280690 ns MR4_I 00000238 48054770 - 2280710 ns MR4_I 00000230 07d2684a - 2280730 ns IT 00000230 684a LDR r2,[r1,#4] - 2280750 ns MR4_I 00000234 6008d1fc - 2280810 ns MR4_D 40006004 00000001 - 2280810 ns R r2 00000001 - 2280810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2280830 ns R r2 80000000 - 2280830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2280850 ns R psr 81000200 - 2280850 ns MR4_I 00000238 48054770 - 2280870 ns MR4_I 00000230 07d2684a - 2280890 ns IT 00000230 684a LDR r2,[r1,#4] - 2280910 ns MR4_I 00000234 6008d1fc - 2280970 ns MR4_D 40006004 00000001 - 2280970 ns R r2 00000001 - 2280970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2280990 ns R r2 80000000 - 2280990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2281010 ns R psr 81000200 - 2281010 ns MR4_I 00000238 48054770 - 2281030 ns MR4_I 00000230 07d2684a - 2281050 ns IT 00000230 684a LDR r2,[r1,#4] - 2281070 ns MR4_I 00000234 6008d1fc - 2281130 ns MR4_D 40006004 00000001 - 2281130 ns R r2 00000001 - 2281130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2281150 ns R r2 80000000 - 2281150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2281170 ns R psr 81000200 - 2281170 ns MR4_I 00000238 48054770 - 2281190 ns MR4_I 00000230 07d2684a - 2281210 ns IT 00000230 684a LDR r2,[r1,#4] - 2281230 ns MR4_I 00000234 6008d1fc - 2281290 ns MR4_D 40006004 00000001 - 2281290 ns R r2 00000001 - 2281290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2281310 ns R r2 80000000 - 2281310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2281330 ns R psr 81000200 - 2281330 ns MR4_I 00000238 48054770 - 2281350 ns MR4_I 00000230 07d2684a - 2281370 ns IT 00000230 684a LDR r2,[r1,#4] - 2281390 ns MR4_I 00000234 6008d1fc - 2281450 ns MR4_D 40006004 00000001 - 2281450 ns R r2 00000001 - 2281450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2281470 ns R r2 80000000 - 2281470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2281490 ns R psr 81000200 - 2281490 ns MR4_I 00000238 48054770 - 2281510 ns MR4_I 00000230 07d2684a - 2281530 ns IT 00000230 684a LDR r2,[r1,#4] - 2281550 ns MR4_I 00000234 6008d1fc - 2281610 ns MR4_D 40006004 00000001 - 2281610 ns R r2 00000001 - 2281610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2281630 ns R r2 80000000 - 2281630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2281650 ns R psr 81000200 - 2281650 ns MR4_I 00000238 48054770 - 2281670 ns MR4_I 00000230 07d2684a - 2281690 ns IT 00000230 684a LDR r2,[r1,#4] - 2281710 ns MR4_I 00000234 6008d1fc - 2281770 ns MR4_D 40006004 00000001 - 2281770 ns R r2 00000001 - 2281770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2281790 ns R r2 80000000 - 2281790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2281810 ns R psr 81000200 - 2281810 ns MR4_I 00000238 48054770 - 2281830 ns MR4_I 00000230 07d2684a - 2281850 ns IT 00000230 684a LDR r2,[r1,#4] - 2281870 ns MR4_I 00000234 6008d1fc - 2281930 ns MR4_D 40006004 00000001 - 2281930 ns R r2 00000001 - 2281930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2281950 ns R r2 80000000 - 2281950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2281970 ns R psr 81000200 - 2281970 ns MR4_I 00000238 48054770 - 2281990 ns MR4_I 00000230 07d2684a - 2282010 ns IT 00000230 684a LDR r2,[r1,#4] - 2282030 ns MR4_I 00000234 6008d1fc - 2282090 ns MR4_D 40006004 00000001 - 2282090 ns R r2 00000001 - 2282090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2282110 ns R r2 80000000 - 2282110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2282130 ns R psr 81000200 - 2282130 ns MR4_I 00000238 48054770 - 2282150 ns MR4_I 00000230 07d2684a - 2282170 ns IT 00000230 684a LDR r2,[r1,#4] - 2282190 ns MR4_I 00000234 6008d1fc - 2282250 ns MR4_D 40006004 00000001 - 2282250 ns R r2 00000001 - 2282250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2282270 ns R r2 80000000 - 2282270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2282290 ns R psr 81000200 - 2282290 ns MR4_I 00000238 48054770 - 2282310 ns MR4_I 00000230 07d2684a - 2282330 ns IT 00000230 684a LDR r2,[r1,#4] - 2282350 ns MR4_I 00000234 6008d1fc - 2282410 ns MR4_D 40006004 00000001 - 2282410 ns R r2 00000001 - 2282410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2282430 ns R r2 80000000 - 2282430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2282450 ns R psr 81000200 - 2282450 ns MR4_I 00000238 48054770 - 2282470 ns MR4_I 00000230 07d2684a - 2282490 ns IT 00000230 684a LDR r2,[r1,#4] - 2282510 ns MR4_I 00000234 6008d1fc - 2282570 ns MR4_D 40006004 00000001 - 2282570 ns R r2 00000001 - 2282570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2282590 ns R r2 80000000 - 2282590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2282610 ns R psr 81000200 - 2282610 ns MR4_I 00000238 48054770 - 2282630 ns MR4_I 00000230 07d2684a - 2282650 ns IT 00000230 684a LDR r2,[r1,#4] - 2282670 ns MR4_I 00000234 6008d1fc - 2282730 ns MR4_D 40006004 00000001 - 2282730 ns R r2 00000001 - 2282730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2282750 ns R r2 80000000 - 2282750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2282770 ns R psr 81000200 - 2282770 ns MR4_I 00000238 48054770 - 2282790 ns MR4_I 00000230 07d2684a - 2282810 ns IT 00000230 684a LDR r2,[r1,#4] - 2282830 ns MR4_I 00000234 6008d1fc - 2282890 ns MR4_D 40006004 00000001 - 2282890 ns R r2 00000001 - 2282890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2282910 ns R r2 80000000 - 2282910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2282930 ns R psr 81000200 - 2282930 ns MR4_I 00000238 48054770 - 2282950 ns MR4_I 00000230 07d2684a - 2282970 ns IT 00000230 684a LDR r2,[r1,#4] - 2282990 ns MR4_I 00000234 6008d1fc - 2283050 ns MR4_D 40006004 00000001 - 2283050 ns R r2 00000001 - 2283050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2283070 ns R r2 80000000 - 2283070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2283090 ns R psr 81000200 - 2283090 ns MR4_I 00000238 48054770 - 2283110 ns MR4_I 00000230 07d2684a - 2283130 ns IT 00000230 684a LDR r2,[r1,#4] - 2283150 ns MR4_I 00000234 6008d1fc - 2283210 ns MR4_D 40006004 00000001 - 2283210 ns R r2 00000001 - 2283210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2283230 ns R r2 80000000 - 2283230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2283250 ns R psr 81000200 - 2283250 ns MR4_I 00000238 48054770 - 2283270 ns MR4_I 00000230 07d2684a - 2283290 ns IT 00000230 684a LDR r2,[r1,#4] - 2283310 ns MR4_I 00000234 6008d1fc - 2283370 ns MR4_D 40006004 00000001 - 2283370 ns R r2 00000001 - 2283370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2283390 ns R r2 80000000 - 2283390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2283410 ns R psr 81000200 - 2283410 ns MR4_I 00000238 48054770 - 2283430 ns MR4_I 00000230 07d2684a - 2283450 ns IT 00000230 684a LDR r2,[r1,#4] - 2283470 ns MR4_I 00000234 6008d1fc - 2283530 ns MR4_D 40006004 00000001 - 2283530 ns R r2 00000001 - 2283530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2283550 ns R r2 80000000 - 2283550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2283570 ns R psr 81000200 - 2283570 ns MR4_I 00000238 48054770 - 2283590 ns MR4_I 00000230 07d2684a - 2283610 ns IT 00000230 684a LDR r2,[r1,#4] - 2283630 ns MR4_I 00000234 6008d1fc - 2283690 ns MR4_D 40006004 00000001 - 2283690 ns R r2 00000001 - 2283690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2283710 ns R r2 80000000 - 2283710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2283730 ns R psr 81000200 - 2283730 ns MR4_I 00000238 48054770 - 2283750 ns MR4_I 00000230 07d2684a - 2283770 ns IT 00000230 684a LDR r2,[r1,#4] - 2283790 ns MR4_I 00000234 6008d1fc - 2283850 ns MR4_D 40006004 00000001 - 2283850 ns R r2 00000001 - 2283850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2283870 ns R r2 80000000 - 2283870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2283890 ns R psr 81000200 - 2283890 ns MR4_I 00000238 48054770 - 2283910 ns MR4_I 00000230 07d2684a - 2283930 ns IT 00000230 684a LDR r2,[r1,#4] - 2283950 ns MR4_I 00000234 6008d1fc - 2284010 ns MR4_D 40006004 00000001 - 2284010 ns R r2 00000001 - 2284010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2284030 ns R r2 80000000 - 2284030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2284050 ns R psr 81000200 - 2284050 ns MR4_I 00000238 48054770 - 2284070 ns MR4_I 00000230 07d2684a - 2284090 ns IT 00000230 684a LDR r2,[r1,#4] - 2284110 ns MR4_I 00000234 6008d1fc - 2284170 ns MR4_D 40006004 00000001 - 2284170 ns R r2 00000001 - 2284170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2284190 ns R r2 80000000 - 2284190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2284210 ns R psr 81000200 - 2284210 ns MR4_I 00000238 48054770 - 2284230 ns MR4_I 00000230 07d2684a - 2284250 ns IT 00000230 684a LDR r2,[r1,#4] - 2284270 ns MR4_I 00000234 6008d1fc - 2284330 ns MR4_D 40006004 00000001 - 2284330 ns R r2 00000001 - 2284330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2284350 ns R r2 80000000 - 2284350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2284370 ns R psr 81000200 - 2284370 ns MR4_I 00000238 48054770 - 2284390 ns MR4_I 00000230 07d2684a - 2284410 ns IT 00000230 684a LDR r2,[r1,#4] - 2284430 ns MR4_I 00000234 6008d1fc - 2284490 ns MR4_D 40006004 00000001 - 2284490 ns R r2 00000001 - 2284490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2284510 ns R r2 80000000 - 2284510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2284530 ns R psr 81000200 - 2284530 ns MR4_I 00000238 48054770 - 2284550 ns MR4_I 00000230 07d2684a - 2284570 ns IT 00000230 684a LDR r2,[r1,#4] - 2284590 ns MR4_I 00000234 6008d1fc - 2284650 ns MR4_D 40006004 00000001 - 2284650 ns R r2 00000001 - 2284650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2284670 ns R r2 80000000 - 2284670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2284690 ns R psr 81000200 - 2284690 ns MR4_I 00000238 48054770 - 2284710 ns MR4_I 00000230 07d2684a - 2284730 ns IT 00000230 684a LDR r2,[r1,#4] - 2284750 ns MR4_I 00000234 6008d1fc - 2284810 ns MR4_D 40006004 00000001 - 2284810 ns R r2 00000001 - 2284810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2284830 ns R r2 80000000 - 2284830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2284850 ns R psr 81000200 - 2284850 ns MR4_I 00000238 48054770 - 2284870 ns MR4_I 00000230 07d2684a - 2284890 ns IT 00000230 684a LDR r2,[r1,#4] - 2284910 ns MR4_I 00000234 6008d1fc - 2284970 ns MR4_D 40006004 00000001 - 2284970 ns R r2 00000001 - 2284970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2284990 ns R r2 80000000 - 2284990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2285010 ns R psr 81000200 - 2285010 ns MR4_I 00000238 48054770 - 2285030 ns MR4_I 00000230 07d2684a - 2285050 ns IT 00000230 684a LDR r2,[r1,#4] - 2285070 ns MR4_I 00000234 6008d1fc - 2285130 ns MR4_D 40006004 00000001 - 2285130 ns R r2 00000001 - 2285130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2285150 ns R r2 80000000 - 2285150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2285170 ns R psr 81000200 - 2285170 ns MR4_I 00000238 48054770 - 2285190 ns MR4_I 00000230 07d2684a - 2285210 ns IT 00000230 684a LDR r2,[r1,#4] - 2285230 ns MR4_I 00000234 6008d1fc - 2285290 ns MR4_D 40006004 00000001 - 2285290 ns R r2 00000001 - 2285290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2285310 ns R r2 80000000 - 2285310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2285330 ns R psr 81000200 - 2285330 ns MR4_I 00000238 48054770 - 2285350 ns MR4_I 00000230 07d2684a - 2285370 ns IT 00000230 684a LDR r2,[r1,#4] - 2285390 ns MR4_I 00000234 6008d1fc - 2285450 ns MR4_D 40006004 00000001 - 2285450 ns R r2 00000001 - 2285450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2285470 ns R r2 80000000 - 2285470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2285490 ns R psr 81000200 - 2285490 ns MR4_I 00000238 48054770 - 2285510 ns MR4_I 00000230 07d2684a - 2285530 ns IT 00000230 684a LDR r2,[r1,#4] - 2285550 ns MR4_I 00000234 6008d1fc - 2285610 ns MR4_D 40006004 00000001 - 2285610 ns R r2 00000001 - 2285610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2285630 ns R r2 80000000 - 2285630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2285650 ns R psr 81000200 - 2285650 ns MR4_I 00000238 48054770 - 2285670 ns MR4_I 00000230 07d2684a - 2285690 ns IT 00000230 684a LDR r2,[r1,#4] - 2285710 ns MR4_I 00000234 6008d1fc - 2285770 ns MR4_D 40006004 00000001 - 2285770 ns R r2 00000001 - 2285770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2285790 ns R r2 80000000 - 2285790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2285810 ns R psr 81000200 - 2285810 ns MR4_I 00000238 48054770 - 2285830 ns MR4_I 00000230 07d2684a - 2285850 ns IT 00000230 684a LDR r2,[r1,#4] - 2285870 ns MR4_I 00000234 6008d1fc - 2285930 ns MR4_D 40006004 00000001 - 2285930 ns R r2 00000001 - 2285930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2285950 ns R r2 80000000 - 2285950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2285970 ns R psr 81000200 - 2285970 ns MR4_I 00000238 48054770 - 2285990 ns MR4_I 00000230 07d2684a - 2286010 ns IT 00000230 684a LDR r2,[r1,#4] - 2286030 ns MR4_I 00000234 6008d1fc - 2286090 ns MR4_D 40006004 00000001 - 2286090 ns R r2 00000001 - 2286090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2286110 ns R r2 80000000 - 2286110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2286130 ns R psr 81000200 - 2286130 ns MR4_I 00000238 48054770 - 2286150 ns MR4_I 00000230 07d2684a - 2286170 ns IT 00000230 684a LDR r2,[r1,#4] - 2286190 ns MR4_I 00000234 6008d1fc - 2286250 ns MR4_D 40006004 00000001 - 2286250 ns R r2 00000001 - 2286250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2286270 ns R r2 80000000 - 2286270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2286290 ns R psr 81000200 - 2286290 ns MR4_I 00000238 48054770 - 2286310 ns MR4_I 00000230 07d2684a - 2286330 ns IT 00000230 684a LDR r2,[r1,#4] - 2286350 ns MR4_I 00000234 6008d1fc - 2286410 ns MR4_D 40006004 00000001 - 2286410 ns R r2 00000001 - 2286410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2286430 ns R r2 80000000 - 2286430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2286450 ns R psr 81000200 - 2286450 ns MR4_I 00000238 48054770 - 2286470 ns MR4_I 00000230 07d2684a - 2286490 ns IT 00000230 684a LDR r2,[r1,#4] - 2286510 ns MR4_I 00000234 6008d1fc - 2286570 ns MR4_D 40006004 00000001 - 2286570 ns R r2 00000001 - 2286570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2286590 ns R r2 80000000 - 2286590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2286610 ns R psr 81000200 - 2286610 ns MR4_I 00000238 48054770 - 2286630 ns MR4_I 00000230 07d2684a - 2286650 ns IT 00000230 684a LDR r2,[r1,#4] - 2286670 ns MR4_I 00000234 6008d1fc - 2286730 ns MR4_D 40006004 00000001 - 2286730 ns R r2 00000001 - 2286730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2286750 ns R r2 80000000 - 2286750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2286770 ns R psr 81000200 - 2286770 ns MR4_I 00000238 48054770 - 2286790 ns MR4_I 00000230 07d2684a - 2286810 ns IT 00000230 684a LDR r2,[r1,#4] - 2286830 ns MR4_I 00000234 6008d1fc - 2286890 ns MR4_D 40006004 00000001 - 2286890 ns R r2 00000001 - 2286890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2286910 ns R r2 80000000 - 2286910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2286930 ns R psr 81000200 - 2286930 ns MR4_I 00000238 48054770 - 2286950 ns MR4_I 00000230 07d2684a - 2286970 ns IT 00000230 684a LDR r2,[r1,#4] - 2286990 ns MR4_I 00000234 6008d1fc - 2287050 ns MR4_D 40006004 00000001 - 2287050 ns R r2 00000001 - 2287050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2287070 ns R r2 80000000 - 2287070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2287090 ns R psr 81000200 - 2287090 ns MR4_I 00000238 48054770 - 2287110 ns MR4_I 00000230 07d2684a - 2287130 ns IT 00000230 684a LDR r2,[r1,#4] - 2287150 ns MR4_I 00000234 6008d1fc - 2287210 ns MR4_D 40006004 00000001 - 2287210 ns R r2 00000001 - 2287210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2287230 ns R r2 80000000 - 2287230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2287250 ns R psr 81000200 - 2287250 ns MR4_I 00000238 48054770 - 2287270 ns MR4_I 00000230 07d2684a - 2287290 ns IT 00000230 684a LDR r2,[r1,#4] - 2287310 ns MR4_I 00000234 6008d1fc - 2287370 ns MR4_D 40006004 00000001 - 2287370 ns R r2 00000001 - 2287370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2287390 ns R r2 80000000 - 2287390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2287410 ns R psr 81000200 - 2287410 ns MR4_I 00000238 48054770 - 2287430 ns MR4_I 00000230 07d2684a - 2287450 ns IT 00000230 684a LDR r2,[r1,#4] - 2287470 ns MR4_I 00000234 6008d1fc - 2287530 ns MR4_D 40006004 00000001 - 2287530 ns R r2 00000001 - 2287530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2287550 ns R r2 80000000 - 2287550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2287570 ns R psr 81000200 - 2287570 ns MR4_I 00000238 48054770 - 2287590 ns MR4_I 00000230 07d2684a - 2287610 ns IT 00000230 684a LDR r2,[r1,#4] - 2287630 ns MR4_I 00000234 6008d1fc - 2287690 ns MR4_D 40006004 00000001 - 2287690 ns R r2 00000001 - 2287690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2287710 ns R r2 80000000 - 2287710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2287730 ns R psr 81000200 - 2287730 ns MR4_I 00000238 48054770 - 2287750 ns MR4_I 00000230 07d2684a - 2287770 ns IT 00000230 684a LDR r2,[r1,#4] - 2287790 ns MR4_I 00000234 6008d1fc - 2287850 ns MR4_D 40006004 00000001 - 2287850 ns R r2 00000001 - 2287850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2287870 ns R r2 80000000 - 2287870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2287890 ns R psr 81000200 - 2287890 ns MR4_I 00000238 48054770 - 2287910 ns MR4_I 00000230 07d2684a - 2287930 ns IT 00000230 684a LDR r2,[r1,#4] - 2287950 ns MR4_I 00000234 6008d1fc - 2288010 ns MR4_D 40006004 00000001 - 2288010 ns R r2 00000001 - 2288010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2288030 ns R r2 80000000 - 2288030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2288050 ns R psr 81000200 - 2288050 ns MR4_I 00000238 48054770 - 2288070 ns MR4_I 00000230 07d2684a - 2288090 ns IT 00000230 684a LDR r2,[r1,#4] - 2288110 ns MR4_I 00000234 6008d1fc - 2288170 ns MR4_D 40006004 00000001 - 2288170 ns R r2 00000001 - 2288170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2288190 ns R r2 80000000 - 2288190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2288210 ns R psr 81000200 - 2288210 ns MR4_I 00000238 48054770 - 2288230 ns MR4_I 00000230 07d2684a - 2288250 ns IT 00000230 684a LDR r2,[r1,#4] - 2288270 ns MR4_I 00000234 6008d1fc - 2288330 ns MR4_D 40006004 00000001 - 2288330 ns R r2 00000001 - 2288330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2288350 ns R r2 80000000 - 2288350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2288370 ns R psr 81000200 - 2288370 ns MR4_I 00000238 48054770 - 2288390 ns MR4_I 00000230 07d2684a - 2288410 ns IT 00000230 684a LDR r2,[r1,#4] - 2288430 ns MR4_I 00000234 6008d1fc - 2288490 ns MR4_D 40006004 00000001 - 2288490 ns R r2 00000001 - 2288490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2288510 ns R r2 80000000 - 2288510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2288530 ns R psr 81000200 - 2288530 ns MR4_I 00000238 48054770 - 2288550 ns MR4_I 00000230 07d2684a - 2288570 ns IT 00000230 684a LDR r2,[r1,#4] - 2288590 ns MR4_I 00000234 6008d1fc - 2288650 ns MR4_D 40006004 00000001 - 2288650 ns R r2 00000001 - 2288650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2288670 ns R r2 80000000 - 2288670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2288690 ns R psr 81000200 - 2288690 ns MR4_I 00000238 48054770 - 2288710 ns MR4_I 00000230 07d2684a - 2288730 ns IT 00000230 684a LDR r2,[r1,#4] - 2288750 ns MR4_I 00000234 6008d1fc - 2288810 ns MR4_D 40006004 00000001 - 2288810 ns R r2 00000001 - 2288810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2288830 ns R r2 80000000 - 2288830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2288850 ns R psr 81000200 - 2288850 ns MR4_I 00000238 48054770 - 2288870 ns MR4_I 00000230 07d2684a - 2288890 ns IT 00000230 684a LDR r2,[r1,#4] - 2288910 ns MR4_I 00000234 6008d1fc - 2288970 ns MR4_D 40006004 00000001 - 2288970 ns R r2 00000001 - 2288970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2288990 ns R r2 80000000 - 2288990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2289010 ns R psr 81000200 - 2289010 ns MR4_I 00000238 48054770 - 2289030 ns MR4_I 00000230 07d2684a - 2289050 ns IT 00000230 684a LDR r2,[r1,#4] - 2289070 ns MR4_I 00000234 6008d1fc - 2289130 ns MR4_D 40006004 00000001 - 2289130 ns R r2 00000001 - 2289130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2289150 ns R r2 80000000 - 2289150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2289170 ns R psr 81000200 - 2289170 ns MR4_I 00000238 48054770 - 2289190 ns MR4_I 00000230 07d2684a - 2289210 ns IT 00000230 684a LDR r2,[r1,#4] - 2289230 ns MR4_I 00000234 6008d1fc - 2289290 ns MR4_D 40006004 00000001 - 2289290 ns R r2 00000001 - 2289290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2289310 ns R r2 80000000 - 2289310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2289330 ns R psr 81000200 - 2289330 ns MR4_I 00000238 48054770 - 2289350 ns MR4_I 00000230 07d2684a - 2289370 ns IT 00000230 684a LDR r2,[r1,#4] - 2289390 ns MR4_I 00000234 6008d1fc - 2289450 ns MR4_D 40006004 00000001 - 2289450 ns R r2 00000001 - 2289450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2289470 ns R r2 80000000 - 2289470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2289490 ns R psr 81000200 - 2289490 ns MR4_I 00000238 48054770 - 2289510 ns MR4_I 00000230 07d2684a - 2289530 ns IT 00000230 684a LDR r2,[r1,#4] - 2289550 ns MR4_I 00000234 6008d1fc - 2289610 ns MR4_D 40006004 00000001 - 2289610 ns R r2 00000001 - 2289610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2289630 ns R r2 80000000 - 2289630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2289650 ns R psr 81000200 - 2289650 ns MR4_I 00000238 48054770 - 2289670 ns MR4_I 00000230 07d2684a - 2289690 ns IT 00000230 684a LDR r2,[r1,#4] - 2289710 ns MR4_I 00000234 6008d1fc - 2289770 ns MR4_D 40006004 00000001 - 2289770 ns R r2 00000001 - 2289770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2289790 ns R r2 80000000 - 2289790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2289810 ns R psr 81000200 - 2289810 ns MR4_I 00000238 48054770 - 2289830 ns MR4_I 00000230 07d2684a - 2289850 ns IT 00000230 684a LDR r2,[r1,#4] - 2289870 ns MR4_I 00000234 6008d1fc - 2289930 ns MR4_D 40006004 00000001 - 2289930 ns R r2 00000001 - 2289930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2289950 ns R r2 80000000 - 2289950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2289970 ns R psr 81000200 - 2289970 ns MR4_I 00000238 48054770 - 2289990 ns MR4_I 00000230 07d2684a - 2290010 ns IT 00000230 684a LDR r2,[r1,#4] - 2290030 ns MR4_I 00000234 6008d1fc - 2290090 ns MR4_D 40006004 00000001 - 2290090 ns R r2 00000001 - 2290090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2290110 ns R r2 80000000 - 2290110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2290130 ns R psr 81000200 - 2290130 ns MR4_I 00000238 48054770 - 2290150 ns MR4_I 00000230 07d2684a - 2290170 ns IT 00000230 684a LDR r2,[r1,#4] - 2290190 ns MR4_I 00000234 6008d1fc - 2290250 ns MR4_D 40006004 00000001 - 2290250 ns R r2 00000001 - 2290250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2290270 ns R r2 80000000 - 2290270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2290290 ns R psr 81000200 - 2290290 ns MR4_I 00000238 48054770 - 2290310 ns MR4_I 00000230 07d2684a - 2290330 ns IT 00000230 684a LDR r2,[r1,#4] - 2290350 ns MR4_I 00000234 6008d1fc - 2290410 ns MR4_D 40006004 00000001 - 2290410 ns R r2 00000001 - 2290410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2290430 ns R r2 80000000 - 2290430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2290450 ns R psr 81000200 - 2290450 ns MR4_I 00000238 48054770 - 2290470 ns MR4_I 00000230 07d2684a - 2290490 ns IT 00000230 684a LDR r2,[r1,#4] - 2290510 ns MR4_I 00000234 6008d1fc - 2290570 ns MR4_D 40006004 00000001 - 2290570 ns R r2 00000001 - 2290570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2290590 ns R r2 80000000 - 2290590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2290610 ns R psr 81000200 - 2290610 ns MR4_I 00000238 48054770 - 2290630 ns MR4_I 00000230 07d2684a - 2290650 ns IT 00000230 684a LDR r2,[r1,#4] - 2290670 ns MR4_I 00000234 6008d1fc - 2290730 ns MR4_D 40006004 00000001 - 2290730 ns R r2 00000001 - 2290730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2290750 ns R r2 80000000 - 2290750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2290770 ns R psr 81000200 - 2290770 ns MR4_I 00000238 48054770 - 2290790 ns MR4_I 00000230 07d2684a - 2290810 ns IT 00000230 684a LDR r2,[r1,#4] - 2290830 ns MR4_I 00000234 6008d1fc - 2290890 ns MR4_D 40006004 00000001 - 2290890 ns R r2 00000001 - 2290890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2290910 ns R r2 80000000 - 2290910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2290930 ns R psr 81000200 - 2290930 ns MR4_I 00000238 48054770 - 2290950 ns MR4_I 00000230 07d2684a - 2290970 ns IT 00000230 684a LDR r2,[r1,#4] - 2290990 ns MR4_I 00000234 6008d1fc - 2291050 ns MR4_D 40006004 00000001 - 2291050 ns R r2 00000001 - 2291050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2291070 ns R r2 80000000 - 2291070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2291090 ns R psr 81000200 - 2291090 ns MR4_I 00000238 48054770 - 2291110 ns MR4_I 00000230 07d2684a - 2291130 ns IT 00000230 684a LDR r2,[r1,#4] - 2291150 ns MR4_I 00000234 6008d1fc - 2291210 ns MR4_D 40006004 00000001 - 2291210 ns R r2 00000001 - 2291210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2291230 ns R r2 80000000 - 2291230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2291250 ns R psr 81000200 - 2291250 ns MR4_I 00000238 48054770 - 2291270 ns MR4_I 00000230 07d2684a - 2291290 ns IT 00000230 684a LDR r2,[r1,#4] - 2291310 ns MR4_I 00000234 6008d1fc - 2291370 ns MR4_D 40006004 00000001 - 2291370 ns R r2 00000001 - 2291370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2291390 ns R r2 80000000 - 2291390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2291410 ns R psr 81000200 - 2291410 ns MR4_I 00000238 48054770 - 2291430 ns MR4_I 00000230 07d2684a - 2291450 ns IT 00000230 684a LDR r2,[r1,#4] - 2291470 ns MR4_I 00000234 6008d1fc - 2291530 ns MR4_D 40006004 00000001 - 2291530 ns R r2 00000001 - 2291530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2291550 ns R r2 80000000 - 2291550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2291570 ns R psr 81000200 - 2291570 ns MR4_I 00000238 48054770 - 2291590 ns MR4_I 00000230 07d2684a - 2291610 ns IT 00000230 684a LDR r2,[r1,#4] - 2291630 ns MR4_I 00000234 6008d1fc - 2291690 ns MR4_D 40006004 00000001 - 2291690 ns R r2 00000001 - 2291690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2291710 ns R r2 80000000 - 2291710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2291730 ns R psr 81000200 - 2291730 ns MR4_I 00000238 48054770 - 2291750 ns MR4_I 00000230 07d2684a - 2291770 ns IT 00000230 684a LDR r2,[r1,#4] - 2291790 ns MR4_I 00000234 6008d1fc - 2291850 ns MR4_D 40006004 00000001 - 2291850 ns R r2 00000001 - 2291850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2291870 ns R r2 80000000 - 2291870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2291890 ns R psr 81000200 - 2291890 ns MR4_I 00000238 48054770 - 2291910 ns MR4_I 00000230 07d2684a - 2291930 ns IT 00000230 684a LDR r2,[r1,#4] - 2291950 ns MR4_I 00000234 6008d1fc - 2292010 ns MR4_D 40006004 00000001 - 2292010 ns R r2 00000001 - 2292010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2292030 ns R r2 80000000 - 2292030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2292050 ns R psr 81000200 - 2292050 ns MR4_I 00000238 48054770 - 2292070 ns MR4_I 00000230 07d2684a - 2292090 ns IT 00000230 684a LDR r2,[r1,#4] - 2292110 ns MR4_I 00000234 6008d1fc - 2292170 ns MR4_D 40006004 00000001 - 2292170 ns R r2 00000001 - 2292170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2292190 ns R r2 80000000 - 2292190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2292210 ns R psr 81000200 - 2292210 ns MR4_I 00000238 48054770 - 2292230 ns MR4_I 00000230 07d2684a - 2292250 ns IT 00000230 684a LDR r2,[r1,#4] - 2292270 ns MR4_I 00000234 6008d1fc - 2292330 ns MR4_D 40006004 00000001 - 2292330 ns R r2 00000001 - 2292330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2292350 ns R r2 80000000 - 2292350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2292370 ns R psr 81000200 - 2292370 ns MR4_I 00000238 48054770 - 2292390 ns MR4_I 00000230 07d2684a - 2292410 ns IT 00000230 684a LDR r2,[r1,#4] - 2292430 ns MR4_I 00000234 6008d1fc - 2292490 ns MR4_D 40006004 00000001 - 2292490 ns R r2 00000001 - 2292490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2292510 ns R r2 80000000 - 2292510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2292530 ns R psr 81000200 - 2292530 ns MR4_I 00000238 48054770 - 2292550 ns MR4_I 00000230 07d2684a - 2292570 ns IT 00000230 684a LDR r2,[r1,#4] - 2292590 ns MR4_I 00000234 6008d1fc - 2292650 ns MR4_D 40006004 00000001 - 2292650 ns R r2 00000001 - 2292650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2292670 ns R r2 80000000 - 2292670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2292690 ns R psr 81000200 - 2292690 ns MR4_I 00000238 48054770 - 2292710 ns MR4_I 00000230 07d2684a - 2292730 ns IT 00000230 684a LDR r2,[r1,#4] - 2292750 ns MR4_I 00000234 6008d1fc - 2292810 ns MR4_D 40006004 00000001 - 2292810 ns R r2 00000001 - 2292810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2292830 ns R r2 80000000 - 2292830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2292850 ns R psr 81000200 - 2292850 ns MR4_I 00000238 48054770 - 2292870 ns MR4_I 00000230 07d2684a - 2292890 ns IT 00000230 684a LDR r2,[r1,#4] - 2292910 ns MR4_I 00000234 6008d1fc - 2292970 ns MR4_D 40006004 00000001 - 2292970 ns R r2 00000001 - 2292970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2292990 ns R r2 80000000 - 2292990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2293010 ns R psr 81000200 - 2293010 ns MR4_I 00000238 48054770 - 2293030 ns MR4_I 00000230 07d2684a - 2293050 ns IT 00000230 684a LDR r2,[r1,#4] - 2293070 ns MR4_I 00000234 6008d1fc - 2293130 ns MR4_D 40006004 00000001 - 2293130 ns R r2 00000001 - 2293130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2293150 ns R r2 80000000 - 2293150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2293170 ns R psr 81000200 - 2293170 ns MR4_I 00000238 48054770 - 2293190 ns MR4_I 00000230 07d2684a - 2293210 ns IT 00000230 684a LDR r2,[r1,#4] - 2293230 ns MR4_I 00000234 6008d1fc - 2293290 ns MR4_D 40006004 00000001 - 2293290 ns R r2 00000001 - 2293290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2293310 ns R r2 80000000 - 2293310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2293330 ns R psr 81000200 - 2293330 ns MR4_I 00000238 48054770 - 2293350 ns MR4_I 00000230 07d2684a - 2293370 ns IT 00000230 684a LDR r2,[r1,#4] - 2293390 ns MR4_I 00000234 6008d1fc - 2293450 ns MR4_D 40006004 00000001 - 2293450 ns R r2 00000001 - 2293450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2293470 ns R r2 80000000 - 2293470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2293490 ns R psr 81000200 - 2293490 ns MR4_I 00000238 48054770 - 2293510 ns MR4_I 00000230 07d2684a - 2293530 ns IT 00000230 684a LDR r2,[r1,#4] - 2293550 ns MR4_I 00000234 6008d1fc - 2293610 ns MR4_D 40006004 00000001 - 2293610 ns R r2 00000001 - 2293610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2293630 ns R r2 80000000 - 2293630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2293650 ns R psr 81000200 - 2293650 ns MR4_I 00000238 48054770 - 2293670 ns MR4_I 00000230 07d2684a - 2293690 ns IT 00000230 684a LDR r2,[r1,#4] - 2293710 ns MR4_I 00000234 6008d1fc - 2293770 ns MR4_D 40006004 00000001 - 2293770 ns R r2 00000001 - 2293770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2293790 ns R r2 80000000 - 2293790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2293810 ns R psr 81000200 - 2293810 ns MR4_I 00000238 48054770 - 2293830 ns MR4_I 00000230 07d2684a - 2293850 ns IT 00000230 684a LDR r2,[r1,#4] - 2293870 ns MR4_I 00000234 6008d1fc - 2293930 ns MR4_D 40006004 00000001 - 2293930 ns R r2 00000001 - 2293930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2293950 ns R r2 80000000 - 2293950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2293970 ns R psr 81000200 - 2293970 ns MR4_I 00000238 48054770 - 2293990 ns MR4_I 00000230 07d2684a - 2294010 ns IT 00000230 684a LDR r2,[r1,#4] - 2294030 ns MR4_I 00000234 6008d1fc - 2294090 ns MR4_D 40006004 00000001 - 2294090 ns R r2 00000001 - 2294090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2294110 ns R r2 80000000 - 2294110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2294130 ns R psr 81000200 - 2294130 ns MR4_I 00000238 48054770 - 2294150 ns MR4_I 00000230 07d2684a - 2294170 ns IT 00000230 684a LDR r2,[r1,#4] - 2294190 ns MR4_I 00000234 6008d1fc - 2294250 ns MR4_D 40006004 00000001 - 2294250 ns R r2 00000001 - 2294250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2294270 ns R r2 80000000 - 2294270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2294290 ns R psr 81000200 - 2294290 ns MR4_I 00000238 48054770 - 2294310 ns MR4_I 00000230 07d2684a - 2294330 ns IT 00000230 684a LDR r2,[r1,#4] - 2294350 ns MR4_I 00000234 6008d1fc - 2294410 ns MR4_D 40006004 00000001 - 2294410 ns R r2 00000001 - 2294410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2294430 ns R r2 80000000 - 2294430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2294450 ns R psr 81000200 - 2294450 ns MR4_I 00000238 48054770 - 2294470 ns MR4_I 00000230 07d2684a - 2294490 ns IT 00000230 684a LDR r2,[r1,#4] - 2294510 ns MR4_I 00000234 6008d1fc - 2294570 ns MR4_D 40006004 00000001 - 2294570 ns R r2 00000001 - 2294570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2294590 ns R r2 80000000 - 2294590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2294610 ns R psr 81000200 - 2294610 ns MR4_I 00000238 48054770 - 2294630 ns MR4_I 00000230 07d2684a - 2294650 ns IT 00000230 684a LDR r2,[r1,#4] - 2294670 ns MR4_I 00000234 6008d1fc - 2294730 ns MR4_D 40006004 00000001 - 2294730 ns R r2 00000001 - 2294730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2294750 ns R r2 80000000 - 2294750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2294770 ns R psr 81000200 - 2294770 ns MR4_I 00000238 48054770 - 2294790 ns MR4_I 00000230 07d2684a - 2294810 ns IT 00000230 684a LDR r2,[r1,#4] - 2294830 ns MR4_I 00000234 6008d1fc - 2294890 ns MR4_D 40006004 00000001 - 2294890 ns R r2 00000001 - 2294890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2294910 ns R r2 80000000 - 2294910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2294930 ns R psr 81000200 - 2294930 ns MR4_I 00000238 48054770 - 2294950 ns MR4_I 00000230 07d2684a - 2294970 ns IT 00000230 684a LDR r2,[r1,#4] - 2294990 ns MR4_I 00000234 6008d1fc - 2295050 ns MR4_D 40006004 00000001 - 2295050 ns R r2 00000001 - 2295050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2295070 ns R r2 80000000 - 2295070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2295090 ns R psr 81000200 - 2295090 ns MR4_I 00000238 48054770 - 2295110 ns MR4_I 00000230 07d2684a - 2295130 ns IT 00000230 684a LDR r2,[r1,#4] - 2295150 ns MR4_I 00000234 6008d1fc - 2295210 ns MR4_D 40006004 00000001 - 2295210 ns R r2 00000001 - 2295210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2295230 ns R r2 80000000 - 2295230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2295250 ns R psr 81000200 - 2295250 ns MR4_I 00000238 48054770 - 2295270 ns MR4_I 00000230 07d2684a - 2295290 ns IT 00000230 684a LDR r2,[r1,#4] - 2295310 ns MR4_I 00000234 6008d1fc - 2295370 ns MR4_D 40006004 00000001 - 2295370 ns R r2 00000001 - 2295370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2295390 ns R r2 80000000 - 2295390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2295410 ns R psr 81000200 - 2295410 ns MR4_I 00000238 48054770 - 2295430 ns MR4_I 00000230 07d2684a - 2295450 ns IT 00000230 684a LDR r2,[r1,#4] - 2295470 ns MR4_I 00000234 6008d1fc - 2295530 ns MR4_D 40006004 00000001 - 2295530 ns R r2 00000001 - 2295530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2295550 ns R r2 80000000 - 2295550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2295570 ns R psr 81000200 - 2295570 ns MR4_I 00000238 48054770 - 2295590 ns MR4_I 00000230 07d2684a - 2295610 ns IT 00000230 684a LDR r2,[r1,#4] - 2295630 ns MR4_I 00000234 6008d1fc - 2295690 ns MR4_D 40006004 00000001 - 2295690 ns R r2 00000001 - 2295690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2295710 ns R r2 80000000 - 2295710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2295730 ns R psr 81000200 - 2295730 ns MR4_I 00000238 48054770 - 2295750 ns MR4_I 00000230 07d2684a - 2295770 ns IT 00000230 684a LDR r2,[r1,#4] - 2295790 ns MR4_I 00000234 6008d1fc - 2295850 ns MR4_D 40006004 00000001 - 2295850 ns R r2 00000001 - 2295850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2295870 ns R r2 80000000 - 2295870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2295890 ns R psr 81000200 - 2295890 ns MR4_I 00000238 48054770 - 2295910 ns MR4_I 00000230 07d2684a - 2295930 ns IT 00000230 684a LDR r2,[r1,#4] - 2295950 ns MR4_I 00000234 6008d1fc - 2296010 ns MR4_D 40006004 00000001 - 2296010 ns R r2 00000001 - 2296010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2296030 ns R r2 80000000 - 2296030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2296050 ns R psr 81000200 - 2296050 ns MR4_I 00000238 48054770 - 2296070 ns MR4_I 00000230 07d2684a - 2296090 ns IT 00000230 684a LDR r2,[r1,#4] - 2296110 ns MR4_I 00000234 6008d1fc - 2296170 ns MR4_D 40006004 00000001 - 2296170 ns R r2 00000001 - 2296170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2296190 ns R r2 80000000 - 2296190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2296210 ns R psr 81000200 - 2296210 ns MR4_I 00000238 48054770 - 2296230 ns MR4_I 00000230 07d2684a - 2296250 ns IT 00000230 684a LDR r2,[r1,#4] - 2296270 ns MR4_I 00000234 6008d1fc - 2296330 ns MR4_D 40006004 00000001 - 2296330 ns R r2 00000001 - 2296330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2296350 ns R r2 80000000 - 2296350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2296370 ns R psr 81000200 - 2296370 ns MR4_I 00000238 48054770 - 2296390 ns MR4_I 00000230 07d2684a - 2296410 ns IT 00000230 684a LDR r2,[r1,#4] - 2296430 ns MR4_I 00000234 6008d1fc - 2296490 ns MR4_D 40006004 00000001 - 2296490 ns R r2 00000001 - 2296490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2296510 ns R r2 80000000 - 2296510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2296530 ns R psr 81000200 - 2296530 ns MR4_I 00000238 48054770 - 2296550 ns MR4_I 00000230 07d2684a - 2296570 ns IT 00000230 684a LDR r2,[r1,#4] - 2296590 ns MR4_I 00000234 6008d1fc - 2296650 ns MR4_D 40006004 00000001 - 2296650 ns R r2 00000001 - 2296650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2296670 ns R r2 80000000 - 2296670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2296690 ns R psr 81000200 - 2296690 ns MR4_I 00000238 48054770 - 2296710 ns MR4_I 00000230 07d2684a - 2296730 ns IT 00000230 684a LDR r2,[r1,#4] - 2296750 ns MR4_I 00000234 6008d1fc - 2296810 ns MR4_D 40006004 00000001 - 2296810 ns R r2 00000001 - 2296810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2296830 ns R r2 80000000 - 2296830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2296850 ns R psr 81000200 - 2296850 ns MR4_I 00000238 48054770 - 2296870 ns MR4_I 00000230 07d2684a - 2296890 ns IT 00000230 684a LDR r2,[r1,#4] - 2296910 ns MR4_I 00000234 6008d1fc - 2296970 ns MR4_D 40006004 00000001 - 2296970 ns R r2 00000001 - 2296970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2296990 ns R r2 80000000 - 2296990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2297010 ns R psr 81000200 - 2297010 ns MR4_I 00000238 48054770 - 2297030 ns MR4_I 00000230 07d2684a - 2297050 ns IT 00000230 684a LDR r2,[r1,#4] - 2297070 ns MR4_I 00000234 6008d1fc - 2297130 ns MR4_D 40006004 00000001 - 2297130 ns R r2 00000001 - 2297130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2297150 ns R r2 80000000 - 2297150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2297170 ns R psr 81000200 - 2297170 ns MR4_I 00000238 48054770 - 2297190 ns MR4_I 00000230 07d2684a - 2297210 ns IT 00000230 684a LDR r2,[r1,#4] - 2297230 ns MR4_I 00000234 6008d1fc - 2297290 ns MR4_D 40006004 00000001 - 2297290 ns R r2 00000001 - 2297290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2297310 ns R r2 80000000 - 2297310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2297330 ns R psr 81000200 - 2297330 ns MR4_I 00000238 48054770 - 2297350 ns MR4_I 00000230 07d2684a - 2297370 ns IT 00000230 684a LDR r2,[r1,#4] - 2297390 ns MR4_I 00000234 6008d1fc - 2297450 ns MR4_D 40006004 00000001 - 2297450 ns R r2 00000001 - 2297450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2297470 ns R r2 80000000 - 2297470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2297490 ns R psr 81000200 - 2297490 ns MR4_I 00000238 48054770 - 2297510 ns MR4_I 00000230 07d2684a - 2297530 ns IT 00000230 684a LDR r2,[r1,#4] - 2297550 ns MR4_I 00000234 6008d1fc - 2297610 ns MR4_D 40006004 00000001 - 2297610 ns R r2 00000001 - 2297610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2297630 ns R r2 80000000 - 2297630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2297650 ns R psr 81000200 - 2297650 ns MR4_I 00000238 48054770 - 2297670 ns MR4_I 00000230 07d2684a - 2297690 ns IT 00000230 684a LDR r2,[r1,#4] - 2297710 ns MR4_I 00000234 6008d1fc - 2297770 ns MR4_D 40006004 00000001 - 2297770 ns R r2 00000001 - 2297770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2297790 ns R r2 80000000 - 2297790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2297810 ns R psr 81000200 - 2297810 ns MR4_I 00000238 48054770 - 2297830 ns MR4_I 00000230 07d2684a - 2297850 ns IT 00000230 684a LDR r2,[r1,#4] - 2297870 ns MR4_I 00000234 6008d1fc - 2297930 ns MR4_D 40006004 00000001 - 2297930 ns R r2 00000001 - 2297930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2297950 ns R r2 80000000 - 2297950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2297970 ns R psr 81000200 - 2297970 ns MR4_I 00000238 48054770 - 2297990 ns MR4_I 00000230 07d2684a - 2298010 ns IT 00000230 684a LDR r2,[r1,#4] - 2298030 ns MR4_I 00000234 6008d1fc - 2298090 ns MR4_D 40006004 00000001 - 2298090 ns R r2 00000001 - 2298090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2298110 ns R r2 80000000 - 2298110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2298130 ns R psr 81000200 - 2298130 ns MR4_I 00000238 48054770 - 2298150 ns MR4_I 00000230 07d2684a - 2298170 ns IT 00000230 684a LDR r2,[r1,#4] - 2298190 ns MR4_I 00000234 6008d1fc - 2298250 ns MR4_D 40006004 00000001 - 2298250 ns R r2 00000001 - 2298250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2298270 ns R r2 80000000 - 2298270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2298290 ns R psr 81000200 - 2298290 ns MR4_I 00000238 48054770 - 2298310 ns MR4_I 00000230 07d2684a - 2298330 ns IT 00000230 684a LDR r2,[r1,#4] - 2298350 ns MR4_I 00000234 6008d1fc - 2298410 ns MR4_D 40006004 00000001 - 2298410 ns R r2 00000001 - 2298410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2298430 ns R r2 80000000 - 2298430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2298450 ns R psr 81000200 - 2298450 ns MR4_I 00000238 48054770 - 2298470 ns MR4_I 00000230 07d2684a - 2298490 ns IT 00000230 684a LDR r2,[r1,#4] - 2298510 ns MR4_I 00000234 6008d1fc - 2298570 ns MR4_D 40006004 00000001 - 2298570 ns R r2 00000001 - 2298570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2298590 ns R r2 80000000 - 2298590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2298610 ns R psr 81000200 - 2298610 ns MR4_I 00000238 48054770 - 2298630 ns MR4_I 00000230 07d2684a - 2298650 ns IT 00000230 684a LDR r2,[r1,#4] - 2298670 ns MR4_I 00000234 6008d1fc - 2298730 ns MR4_D 40006004 00000001 - 2298730 ns R r2 00000001 - 2298730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2298750 ns R r2 80000000 - 2298750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2298770 ns R psr 81000200 - 2298770 ns MR4_I 00000238 48054770 - 2298790 ns MR4_I 00000230 07d2684a - 2298810 ns IT 00000230 684a LDR r2,[r1,#4] - 2298830 ns MR4_I 00000234 6008d1fc - 2298890 ns MR4_D 40006004 00000001 - 2298890 ns R r2 00000001 - 2298890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2298910 ns R r2 80000000 - 2298910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2298930 ns R psr 81000200 - 2298930 ns MR4_I 00000238 48054770 - 2298950 ns MR4_I 00000230 07d2684a - 2298970 ns IT 00000230 684a LDR r2,[r1,#4] - 2298990 ns MR4_I 00000234 6008d1fc - 2299050 ns MR4_D 40006004 00000001 - 2299050 ns R r2 00000001 - 2299050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2299070 ns R r2 80000000 - 2299070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2299090 ns R psr 81000200 - 2299090 ns MR4_I 00000238 48054770 - 2299110 ns MR4_I 00000230 07d2684a - 2299130 ns IT 00000230 684a LDR r2,[r1,#4] - 2299150 ns MR4_I 00000234 6008d1fc - 2299210 ns MR4_D 40006004 00000001 - 2299210 ns R r2 00000001 - 2299210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2299230 ns R r2 80000000 - 2299230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2299250 ns R psr 81000200 - 2299250 ns MR4_I 00000238 48054770 - 2299270 ns MR4_I 00000230 07d2684a - 2299290 ns IT 00000230 684a LDR r2,[r1,#4] - 2299310 ns MR4_I 00000234 6008d1fc - 2299370 ns MR4_D 40006004 00000001 - 2299370 ns R r2 00000001 - 2299370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2299390 ns R r2 80000000 - 2299390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2299410 ns R psr 81000200 - 2299410 ns MR4_I 00000238 48054770 - 2299430 ns MR4_I 00000230 07d2684a - 2299450 ns IT 00000230 684a LDR r2,[r1,#4] - 2299470 ns MR4_I 00000234 6008d1fc - 2299530 ns MR4_D 40006004 00000001 - 2299530 ns R r2 00000001 - 2299530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2299550 ns R r2 80000000 - 2299550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2299570 ns R psr 81000200 - 2299570 ns MR4_I 00000238 48054770 - 2299590 ns MR4_I 00000230 07d2684a - 2299610 ns IT 00000230 684a LDR r2,[r1,#4] - 2299630 ns MR4_I 00000234 6008d1fc - 2299690 ns MR4_D 40006004 00000001 - 2299690 ns R r2 00000001 - 2299690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2299710 ns R r2 80000000 - 2299710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2299730 ns R psr 81000200 - 2299730 ns MR4_I 00000238 48054770 - 2299750 ns MR4_I 00000230 07d2684a - 2299770 ns IT 00000230 684a LDR r2,[r1,#4] - 2299790 ns MR4_I 00000234 6008d1fc - 2299850 ns MR4_D 40006004 00000001 - 2299850 ns R r2 00000001 - 2299850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2299870 ns R r2 80000000 - 2299870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2299890 ns R psr 81000200 - 2299890 ns MR4_I 00000238 48054770 - 2299910 ns MR4_I 00000230 07d2684a - 2299930 ns IT 00000230 684a LDR r2,[r1,#4] - 2299950 ns MR4_I 00000234 6008d1fc - 2300010 ns MR4_D 40006004 00000001 - 2300010 ns R r2 00000001 - 2300010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2300030 ns R r2 80000000 - 2300030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2300050 ns R psr 81000200 - 2300050 ns MR4_I 00000238 48054770 - 2300070 ns MR4_I 00000230 07d2684a - 2300090 ns IT 00000230 684a LDR r2,[r1,#4] - 2300110 ns MR4_I 00000234 6008d1fc - 2300170 ns MR4_D 40006004 00000001 - 2300170 ns R r2 00000001 - 2300170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2300190 ns R r2 80000000 - 2300190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2300210 ns R psr 81000200 - 2300210 ns MR4_I 00000238 48054770 - 2300230 ns MR4_I 00000230 07d2684a - 2300250 ns IT 00000230 684a LDR r2,[r1,#4] - 2300270 ns MR4_I 00000234 6008d1fc - 2300330 ns MR4_D 40006004 00000001 - 2300330 ns R r2 00000001 - 2300330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2300350 ns R r2 80000000 - 2300350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2300370 ns R psr 81000200 - 2300370 ns MR4_I 00000238 48054770 - 2300390 ns MR4_I 00000230 07d2684a - 2300410 ns IT 00000230 684a LDR r2,[r1,#4] - 2300430 ns MR4_I 00000234 6008d1fc - 2300490 ns MR4_D 40006004 00000001 - 2300490 ns R r2 00000001 - 2300490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2300510 ns R r2 80000000 - 2300510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2300530 ns R psr 81000200 - 2300530 ns MR4_I 00000238 48054770 - 2300550 ns MR4_I 00000230 07d2684a - 2300570 ns IT 00000230 684a LDR r2,[r1,#4] - 2300590 ns MR4_I 00000234 6008d1fc - 2300650 ns MR4_D 40006004 00000001 - 2300650 ns R r2 00000001 - 2300650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2300670 ns R r2 80000000 - 2300670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2300690 ns R psr 81000200 - 2300690 ns MR4_I 00000238 48054770 - 2300710 ns MR4_I 00000230 07d2684a - 2300730 ns IT 00000230 684a LDR r2,[r1,#4] - 2300750 ns MR4_I 00000234 6008d1fc - 2300810 ns MR4_D 40006004 00000001 - 2300810 ns R r2 00000001 - 2300810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2300830 ns R r2 80000000 - 2300830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2300850 ns R psr 81000200 - 2300850 ns MR4_I 00000238 48054770 - 2300870 ns MR4_I 00000230 07d2684a - 2300890 ns IT 00000230 684a LDR r2,[r1,#4] - 2300910 ns MR4_I 00000234 6008d1fc - 2300970 ns MR4_D 40006004 00000001 - 2300970 ns R r2 00000001 - 2300970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2300990 ns R r2 80000000 - 2300990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2301010 ns R psr 81000200 - 2301010 ns MR4_I 00000238 48054770 - 2301030 ns MR4_I 00000230 07d2684a - 2301050 ns IT 00000230 684a LDR r2,[r1,#4] - 2301070 ns MR4_I 00000234 6008d1fc - 2301130 ns MR4_D 40006004 00000001 - 2301130 ns R r2 00000001 - 2301130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2301150 ns R r2 80000000 - 2301150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2301170 ns R psr 81000200 - 2301170 ns MR4_I 00000238 48054770 - 2301190 ns MR4_I 00000230 07d2684a - 2301210 ns IT 00000230 684a LDR r2,[r1,#4] - 2301230 ns MR4_I 00000234 6008d1fc - 2301290 ns MR4_D 40006004 00000001 - 2301290 ns R r2 00000001 - 2301290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2301310 ns R r2 80000000 - 2301310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2301330 ns R psr 81000200 - 2301330 ns MR4_I 00000238 48054770 - 2301350 ns MR4_I 00000230 07d2684a - 2301370 ns IT 00000230 684a LDR r2,[r1,#4] - 2301390 ns MR4_I 00000234 6008d1fc - 2301450 ns MR4_D 40006004 00000001 - 2301450 ns R r2 00000001 - 2301450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2301470 ns R r2 80000000 - 2301470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2301490 ns R psr 81000200 - 2301490 ns MR4_I 00000238 48054770 - 2301510 ns MR4_I 00000230 07d2684a - 2301530 ns IT 00000230 684a LDR r2,[r1,#4] - 2301550 ns MR4_I 00000234 6008d1fc - 2301610 ns MR4_D 40006004 00000001 - 2301610 ns R r2 00000001 - 2301610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2301630 ns R r2 80000000 - 2301630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2301650 ns R psr 81000200 - 2301650 ns MR4_I 00000238 48054770 - 2301670 ns MR4_I 00000230 07d2684a - 2301690 ns IT 00000230 684a LDR r2,[r1,#4] - 2301710 ns MR4_I 00000234 6008d1fc - 2301770 ns MR4_D 40006004 00000001 - 2301770 ns R r2 00000001 - 2301770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2301790 ns R r2 80000000 - 2301790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2301810 ns R psr 81000200 - 2301810 ns MR4_I 00000238 48054770 - 2301830 ns MR4_I 00000230 07d2684a - 2301850 ns IT 00000230 684a LDR r2,[r1,#4] - 2301870 ns MR4_I 00000234 6008d1fc - 2301930 ns MR4_D 40006004 00000001 - 2301930 ns R r2 00000001 - 2301930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2301950 ns R r2 80000000 - 2301950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2301970 ns R psr 81000200 - 2301970 ns MR4_I 00000238 48054770 - 2301990 ns MR4_I 00000230 07d2684a - 2302010 ns IT 00000230 684a LDR r2,[r1,#4] - 2302030 ns MR4_I 00000234 6008d1fc - 2302090 ns MR4_D 40006004 00000001 - 2302090 ns R r2 00000001 - 2302090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2302110 ns R r2 80000000 - 2302110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2302130 ns R psr 81000200 - 2302130 ns MR4_I 00000238 48054770 - 2302150 ns MR4_I 00000230 07d2684a - 2302170 ns IT 00000230 684a LDR r2,[r1,#4] - 2302190 ns MR4_I 00000234 6008d1fc - 2302250 ns MR4_D 40006004 00000001 - 2302250 ns R r2 00000001 - 2302250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2302270 ns R r2 80000000 - 2302270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2302290 ns R psr 81000200 - 2302290 ns MR4_I 00000238 48054770 - 2302310 ns MR4_I 00000230 07d2684a - 2302330 ns IT 00000230 684a LDR r2,[r1,#4] - 2302350 ns MR4_I 00000234 6008d1fc - 2302410 ns MR4_D 40006004 00000001 - 2302410 ns R r2 00000001 - 2302410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2302430 ns R r2 80000000 - 2302430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2302450 ns R psr 81000200 - 2302450 ns MR4_I 00000238 48054770 - 2302470 ns MR4_I 00000230 07d2684a - 2302490 ns IT 00000230 684a LDR r2,[r1,#4] - 2302510 ns MR4_I 00000234 6008d1fc - 2302570 ns MR4_D 40006004 00000001 - 2302570 ns R r2 00000001 - 2302570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2302590 ns R r2 80000000 - 2302590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2302610 ns R psr 81000200 - 2302610 ns MR4_I 00000238 48054770 - 2302630 ns MR4_I 00000230 07d2684a - 2302650 ns IT 00000230 684a LDR r2,[r1,#4] - 2302670 ns MR4_I 00000234 6008d1fc - 2302730 ns MR4_D 40006004 00000001 - 2302730 ns R r2 00000001 - 2302730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2302750 ns R r2 80000000 - 2302750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2302770 ns R psr 81000200 - 2302770 ns MR4_I 00000238 48054770 - 2302790 ns MR4_I 00000230 07d2684a - 2302810 ns IT 00000230 684a LDR r2,[r1,#4] - 2302830 ns MR4_I 00000234 6008d1fc - 2302890 ns MR4_D 40006004 00000001 - 2302890 ns R r2 00000001 - 2302890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2302910 ns R r2 80000000 - 2302910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2302930 ns R psr 81000200 - 2302930 ns MR4_I 00000238 48054770 - 2302950 ns MR4_I 00000230 07d2684a - 2302970 ns IT 00000230 684a LDR r2,[r1,#4] - 2302990 ns MR4_I 00000234 6008d1fc - 2303050 ns MR4_D 40006004 00000001 - 2303050 ns R r2 00000001 - 2303050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2303070 ns R r2 80000000 - 2303070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2303090 ns R psr 81000200 - 2303090 ns MR4_I 00000238 48054770 - 2303110 ns MR4_I 00000230 07d2684a - 2303130 ns IT 00000230 684a LDR r2,[r1,#4] - 2303150 ns MR4_I 00000234 6008d1fc - 2303210 ns MR4_D 40006004 00000001 - 2303210 ns R r2 00000001 - 2303210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2303230 ns R r2 80000000 - 2303230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2303250 ns R psr 81000200 - 2303250 ns MR4_I 00000238 48054770 - 2303270 ns MR4_I 00000230 07d2684a - 2303290 ns IT 00000230 684a LDR r2,[r1,#4] - 2303310 ns MR4_I 00000234 6008d1fc - 2303370 ns MR4_D 40006004 00000001 - 2303370 ns R r2 00000001 - 2303370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2303390 ns R r2 80000000 - 2303390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2303410 ns R psr 81000200 - 2303410 ns MR4_I 00000238 48054770 - 2303430 ns MR4_I 00000230 07d2684a - 2303450 ns IT 00000230 684a LDR r2,[r1,#4] - 2303470 ns MR4_I 00000234 6008d1fc - 2303530 ns MR4_D 40006004 00000001 - 2303530 ns R r2 00000001 - 2303530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2303550 ns R r2 80000000 - 2303550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2303570 ns R psr 81000200 - 2303570 ns MR4_I 00000238 48054770 - 2303590 ns MR4_I 00000230 07d2684a - 2303610 ns IT 00000230 684a LDR r2,[r1,#4] - 2303630 ns MR4_I 00000234 6008d1fc - 2303690 ns MR4_D 40006004 00000001 - 2303690 ns R r2 00000001 - 2303690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2303710 ns R r2 80000000 - 2303710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2303730 ns R psr 81000200 - 2303730 ns MR4_I 00000238 48054770 - 2303750 ns MR4_I 00000230 07d2684a - 2303770 ns IT 00000230 684a LDR r2,[r1,#4] - 2303790 ns MR4_I 00000234 6008d1fc - 2303850 ns MR4_D 40006004 00000001 - 2303850 ns R r2 00000001 - 2303850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2303870 ns R r2 80000000 - 2303870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2303890 ns R psr 81000200 - 2303890 ns MR4_I 00000238 48054770 - 2303910 ns MR4_I 00000230 07d2684a - 2303930 ns IT 00000230 684a LDR r2,[r1,#4] - 2303950 ns MR4_I 00000234 6008d1fc - 2304010 ns MR4_D 40006004 00000001 - 2304010 ns R r2 00000001 - 2304010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2304030 ns R r2 80000000 - 2304030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2304050 ns R psr 81000200 - 2304050 ns MR4_I 00000238 48054770 - 2304070 ns MR4_I 00000230 07d2684a - 2304090 ns IT 00000230 684a LDR r2,[r1,#4] - 2304110 ns MR4_I 00000234 6008d1fc - 2304170 ns MR4_D 40006004 00000001 - 2304170 ns R r2 00000001 - 2304170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2304190 ns R r2 80000000 - 2304190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2304210 ns R psr 81000200 - 2304210 ns MR4_I 00000238 48054770 - 2304230 ns MR4_I 00000230 07d2684a - 2304250 ns IT 00000230 684a LDR r2,[r1,#4] - 2304270 ns MR4_I 00000234 6008d1fc - 2304330 ns MR4_D 40006004 00000001 - 2304330 ns R r2 00000001 - 2304330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2304350 ns R r2 80000000 - 2304350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2304370 ns R psr 81000200 - 2304370 ns MR4_I 00000238 48054770 - 2304390 ns MR4_I 00000230 07d2684a - 2304410 ns IT 00000230 684a LDR r2,[r1,#4] - 2304430 ns MR4_I 00000234 6008d1fc - 2304490 ns MR4_D 40006004 00000001 - 2304490 ns R r2 00000001 - 2304490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2304510 ns R r2 80000000 - 2304510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2304530 ns R psr 81000200 - 2304530 ns MR4_I 00000238 48054770 - 2304550 ns MR4_I 00000230 07d2684a - 2304570 ns IT 00000230 684a LDR r2,[r1,#4] - 2304590 ns MR4_I 00000234 6008d1fc - 2304650 ns MR4_D 40006004 00000001 - 2304650 ns R r2 00000001 - 2304650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2304670 ns R r2 80000000 - 2304670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2304690 ns R psr 81000200 - 2304690 ns MR4_I 00000238 48054770 - 2304710 ns MR4_I 00000230 07d2684a - 2304730 ns IT 00000230 684a LDR r2,[r1,#4] - 2304750 ns MR4_I 00000234 6008d1fc - 2304810 ns MR4_D 40006004 00000000 - 2304810 ns R r2 00000000 - 2304810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2304830 ns R r2 00000000 - 2304830 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2304850 ns R psr 41000200 - 2304850 ns MR4_I 00000238 48054770 - 2304850 ns IT 00000236 6008 STR r0,[r1,#0] - 2304930 ns MW4_D 40006000 00000072 - 2304930 ns IT 00000238 4770 BX lr - 2304950 ns MR4_I 0000023c 07896841 - 2304970 ns R psr 41000200 - 2304970 ns MR4_I 000001fc b510bd10 - 2304990 ns IT 000001fc bd10 POP {r4,pc} - 2305010 ns MR4_I 00000200 f81bf000 - 2305010 ns R r13 200002e0 (MSP) - 2305030 ns MR4_D 200002d8 00000008 - 2305030 ns R r4 00000008 - 2305050 ns MR4_D 200002dc 0000032d - 2305070 ns R psr 41000200 - 2305090 ns MR4_I 0000032c 46301c64 - 2305110 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 2305130 ns MR4_I 00000330 280047a8 - 2305130 ns R r4 00000009 - 2305130 ns IT 0000032e 4630 MOV r0,r6 - 2305150 ns R psr 01000200 - 2305150 ns R r0 200002f8 - 2305150 ns IT 00000330 47a8 BLX r5 - 2305170 ns MR4_I 00000334 4620d1f8 - 2305190 ns R psr 01000200 - 2305190 ns MR4_I 000002a8 1c4a6901 - 2305190 ns R r14 00000333 - 2305210 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2305230 ns MR4_I 000002ac 78086102 - 2305250 ns MR4_D 20000308 00000189 - 2305250 ns R r1 00000189 - 2305250 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2305270 ns R r2 0000018a - 2305270 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2305290 ns R psr 01000200 - 2305290 ns MR4_I 000002b0 b5004770 - 2305310 ns MW4_D 20000308 0000018a - 2305310 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2305350 ns MR1_D 00000189 0a646c72 - 2305350 ns R r0 0000006c - 2305350 ns IT 000002b0 4770 BX lr - 2305370 ns MR4_I 000002b4 9102b08f - 2305390 ns R psr 01000200 - 2305390 ns MR4_I 00000330 280047a8 - 2305410 ns MR4_I 00000334 4620d1f8 - 2305410 ns IT 00000332 2800 CMP r0,#0 - 2305430 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2305450 ns R psr 21000200 - 2305450 ns MR4_I 00000338 b510bdf8 - 2305470 ns MR4_I 00000328 47b89900 - 2305490 ns IT 00000328 9900 LDR r1,[sp,#0] - 2305510 ns MR4_I 0000032c 46301c64 - 2305530 ns MR4_D 200002e0 20000004 - 2305530 ns R r1 20000004 - 2305530 ns IT 0000032a 47b8 BLX r7 - 2305570 ns R psr 21000200 - 2305570 ns MR4_I 000001f4 b2c0b510 - 2305570 ns R r14 0000032d - 2305590 ns IT 000001f4 b510 PUSH {r4,lr} - 2305610 ns MR4_I 000001f8 f819f000 - 2305630 ns MW4_D 200002d8 00000009 - 2305650 ns MW4_D 200002dc 0000032d - 2305650 ns R r13 200002d8 (MSP) - 2305650 ns IT 000001f6 b2c0 UXTB r0,r0 - 2305670 ns R r0 0000006c - 2305670 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 2305690 ns MR4_I 000001fc b510bd10 - 2305710 ns R r14 000001fd - 2305730 ns MR4_I 0000022c 49084770 - 2305750 ns MR4_I 00000230 07d2684a - 2305750 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 2305790 ns MR4_D 00000250 40006000 - 2305790 ns R r1 40006000 - 2305790 ns IT 00000230 684a LDR r2,[r1,#4] - 2305810 ns MR4_I 00000234 6008d1fc - 2305870 ns MR4_D 40006004 00000001 - 2305870 ns R r2 00000001 - 2305870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2305890 ns R r2 80000000 - 2305890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2305910 ns R psr 81000200 - 2305910 ns MR4_I 00000238 48054770 - 2305930 ns MR4_I 00000230 07d2684a - 2305950 ns IT 00000230 684a LDR r2,[r1,#4] - 2305970 ns MR4_I 00000234 6008d1fc - 2306030 ns MR4_D 40006004 00000001 - 2306030 ns R r2 00000001 - 2306030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2306050 ns R r2 80000000 - 2306050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2306070 ns R psr 81000200 - 2306070 ns MR4_I 00000238 48054770 - 2306090 ns MR4_I 00000230 07d2684a - 2306110 ns IT 00000230 684a LDR r2,[r1,#4] - 2306130 ns MR4_I 00000234 6008d1fc - 2306190 ns MR4_D 40006004 00000001 - 2306190 ns R r2 00000001 - 2306190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2306210 ns R r2 80000000 - 2306210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2306230 ns R psr 81000200 - 2306230 ns MR4_I 00000238 48054770 - 2306250 ns MR4_I 00000230 07d2684a - 2306270 ns IT 00000230 684a LDR r2,[r1,#4] - 2306290 ns MR4_I 00000234 6008d1fc - 2306350 ns MR4_D 40006004 00000001 - 2306350 ns R r2 00000001 - 2306350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2306370 ns R r2 80000000 - 2306370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2306390 ns R psr 81000200 - 2306390 ns MR4_I 00000238 48054770 - 2306410 ns MR4_I 00000230 07d2684a - 2306430 ns IT 00000230 684a LDR r2,[r1,#4] - 2306450 ns MR4_I 00000234 6008d1fc - 2306510 ns MR4_D 40006004 00000001 - 2306510 ns R r2 00000001 - 2306510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2306530 ns R r2 80000000 - 2306530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2306550 ns R psr 81000200 - 2306550 ns MR4_I 00000238 48054770 - 2306570 ns MR4_I 00000230 07d2684a - 2306590 ns IT 00000230 684a LDR r2,[r1,#4] - 2306610 ns MR4_I 00000234 6008d1fc - 2306670 ns MR4_D 40006004 00000001 - 2306670 ns R r2 00000001 - 2306670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2306690 ns R r2 80000000 - 2306690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2306710 ns R psr 81000200 - 2306710 ns MR4_I 00000238 48054770 - 2306730 ns MR4_I 00000230 07d2684a - 2306750 ns IT 00000230 684a LDR r2,[r1,#4] - 2306770 ns MR4_I 00000234 6008d1fc - 2306830 ns MR4_D 40006004 00000001 - 2306830 ns R r2 00000001 - 2306830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2306850 ns R r2 80000000 - 2306850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2306870 ns R psr 81000200 - 2306870 ns MR4_I 00000238 48054770 - 2306890 ns MR4_I 00000230 07d2684a - 2306910 ns IT 00000230 684a LDR r2,[r1,#4] - 2306930 ns MR4_I 00000234 6008d1fc - 2306990 ns MR4_D 40006004 00000001 - 2306990 ns R r2 00000001 - 2306990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2307010 ns R r2 80000000 - 2307010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2307030 ns R psr 81000200 - 2307030 ns MR4_I 00000238 48054770 - 2307050 ns MR4_I 00000230 07d2684a - 2307070 ns IT 00000230 684a LDR r2,[r1,#4] - 2307090 ns MR4_I 00000234 6008d1fc - 2307150 ns MR4_D 40006004 00000001 - 2307150 ns R r2 00000001 - 2307150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2307170 ns R r2 80000000 - 2307170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2307190 ns R psr 81000200 - 2307190 ns MR4_I 00000238 48054770 - 2307210 ns MR4_I 00000230 07d2684a - 2307230 ns IT 00000230 684a LDR r2,[r1,#4] - 2307250 ns MR4_I 00000234 6008d1fc - 2307310 ns MR4_D 40006004 00000001 - 2307310 ns R r2 00000001 - 2307310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2307330 ns R r2 80000000 - 2307330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2307350 ns R psr 81000200 - 2307350 ns MR4_I 00000238 48054770 - 2307370 ns MR4_I 00000230 07d2684a - 2307390 ns IT 00000230 684a LDR r2,[r1,#4] - 2307410 ns MR4_I 00000234 6008d1fc - 2307470 ns MR4_D 40006004 00000001 - 2307470 ns R r2 00000001 - 2307470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2307490 ns R r2 80000000 - 2307490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2307510 ns R psr 81000200 - 2307510 ns MR4_I 00000238 48054770 - 2307530 ns MR4_I 00000230 07d2684a - 2307550 ns IT 00000230 684a LDR r2,[r1,#4] - 2307570 ns MR4_I 00000234 6008d1fc - 2307630 ns MR4_D 40006004 00000001 - 2307630 ns R r2 00000001 - 2307630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2307650 ns R r2 80000000 - 2307650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2307670 ns R psr 81000200 - 2307670 ns MR4_I 00000238 48054770 - 2307690 ns MR4_I 00000230 07d2684a - 2307710 ns IT 00000230 684a LDR r2,[r1,#4] - 2307730 ns MR4_I 00000234 6008d1fc - 2307790 ns MR4_D 40006004 00000001 - 2307790 ns R r2 00000001 - 2307790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2307810 ns R r2 80000000 - 2307810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2307830 ns R psr 81000200 - 2307830 ns MR4_I 00000238 48054770 - 2307850 ns MR4_I 00000230 07d2684a - 2307870 ns IT 00000230 684a LDR r2,[r1,#4] - 2307890 ns MR4_I 00000234 6008d1fc - 2307950 ns MR4_D 40006004 00000001 - 2307950 ns R r2 00000001 - 2307950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2307970 ns R r2 80000000 - 2307970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2307990 ns R psr 81000200 - 2307990 ns MR4_I 00000238 48054770 - 2308010 ns MR4_I 00000230 07d2684a - 2308030 ns IT 00000230 684a LDR r2,[r1,#4] - 2308050 ns MR4_I 00000234 6008d1fc - 2308110 ns MR4_D 40006004 00000001 - 2308110 ns R r2 00000001 - 2308110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2308130 ns R r2 80000000 - 2308130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2308150 ns R psr 81000200 - 2308150 ns MR4_I 00000238 48054770 - 2308170 ns MR4_I 00000230 07d2684a - 2308190 ns IT 00000230 684a LDR r2,[r1,#4] - 2308210 ns MR4_I 00000234 6008d1fc - 2308270 ns MR4_D 40006004 00000001 - 2308270 ns R r2 00000001 - 2308270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2308290 ns R r2 80000000 - 2308290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2308310 ns R psr 81000200 - 2308310 ns MR4_I 00000238 48054770 - 2308330 ns MR4_I 00000230 07d2684a - 2308350 ns IT 00000230 684a LDR r2,[r1,#4] - 2308370 ns MR4_I 00000234 6008d1fc - 2308430 ns MR4_D 40006004 00000001 - 2308430 ns R r2 00000001 - 2308430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2308450 ns R r2 80000000 - 2308450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2308470 ns R psr 81000200 - 2308470 ns MR4_I 00000238 48054770 - 2308490 ns MR4_I 00000230 07d2684a - 2308510 ns IT 00000230 684a LDR r2,[r1,#4] - 2308530 ns MR4_I 00000234 6008d1fc - 2308590 ns MR4_D 40006004 00000001 - 2308590 ns R r2 00000001 - 2308590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2308610 ns R r2 80000000 - 2308610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2308630 ns R psr 81000200 - 2308630 ns MR4_I 00000238 48054770 - 2308650 ns MR4_I 00000230 07d2684a - 2308670 ns IT 00000230 684a LDR r2,[r1,#4] - 2308690 ns MR4_I 00000234 6008d1fc - 2308750 ns MR4_D 40006004 00000001 - 2308750 ns R r2 00000001 - 2308750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2308770 ns R r2 80000000 - 2308770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2308790 ns R psr 81000200 - 2308790 ns MR4_I 00000238 48054770 - 2308810 ns MR4_I 00000230 07d2684a - 2308830 ns IT 00000230 684a LDR r2,[r1,#4] - 2308850 ns MR4_I 00000234 6008d1fc - 2308910 ns MR4_D 40006004 00000001 - 2308910 ns R r2 00000001 - 2308910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2308930 ns R r2 80000000 - 2308930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2308950 ns R psr 81000200 - 2308950 ns MR4_I 00000238 48054770 - 2308970 ns MR4_I 00000230 07d2684a - 2308990 ns IT 00000230 684a LDR r2,[r1,#4] - 2309010 ns MR4_I 00000234 6008d1fc - 2309070 ns MR4_D 40006004 00000001 - 2309070 ns R r2 00000001 - 2309070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2309090 ns R r2 80000000 - 2309090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2309110 ns R psr 81000200 - 2309110 ns MR4_I 00000238 48054770 - 2309130 ns MR4_I 00000230 07d2684a - 2309150 ns IT 00000230 684a LDR r2,[r1,#4] - 2309170 ns MR4_I 00000234 6008d1fc - 2309230 ns MR4_D 40006004 00000001 - 2309230 ns R r2 00000001 - 2309230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2309250 ns R r2 80000000 - 2309250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2309270 ns R psr 81000200 - 2309270 ns MR4_I 00000238 48054770 - 2309290 ns MR4_I 00000230 07d2684a - 2309310 ns IT 00000230 684a LDR r2,[r1,#4] - 2309330 ns MR4_I 00000234 6008d1fc - 2309390 ns MR4_D 40006004 00000001 - 2309390 ns R r2 00000001 - 2309390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2309410 ns R r2 80000000 - 2309410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2309430 ns R psr 81000200 - 2309430 ns MR4_I 00000238 48054770 - 2309450 ns MR4_I 00000230 07d2684a - 2309470 ns IT 00000230 684a LDR r2,[r1,#4] - 2309490 ns MR4_I 00000234 6008d1fc - 2309550 ns MR4_D 40006004 00000001 - 2309550 ns R r2 00000001 - 2309550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2309570 ns R r2 80000000 - 2309570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2309590 ns R psr 81000200 - 2309590 ns MR4_I 00000238 48054770 - 2309610 ns MR4_I 00000230 07d2684a - 2309630 ns IT 00000230 684a LDR r2,[r1,#4] - 2309650 ns MR4_I 00000234 6008d1fc - 2309710 ns MR4_D 40006004 00000001 - 2309710 ns R r2 00000001 - 2309710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2309730 ns R r2 80000000 - 2309730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2309750 ns R psr 81000200 - 2309750 ns MR4_I 00000238 48054770 - 2309770 ns MR4_I 00000230 07d2684a - 2309790 ns IT 00000230 684a LDR r2,[r1,#4] - 2309810 ns MR4_I 00000234 6008d1fc - 2309870 ns MR4_D 40006004 00000001 - 2309870 ns R r2 00000001 - 2309870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2309890 ns R r2 80000000 - 2309890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2309910 ns R psr 81000200 - 2309910 ns MR4_I 00000238 48054770 - 2309930 ns MR4_I 00000230 07d2684a - 2309950 ns IT 00000230 684a LDR r2,[r1,#4] - 2309970 ns MR4_I 00000234 6008d1fc - 2310030 ns MR4_D 40006004 00000001 - 2310030 ns R r2 00000001 - 2310030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2310050 ns R r2 80000000 - 2310050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2310070 ns R psr 81000200 - 2310070 ns MR4_I 00000238 48054770 - 2310090 ns MR4_I 00000230 07d2684a - 2310110 ns IT 00000230 684a LDR r2,[r1,#4] - 2310130 ns MR4_I 00000234 6008d1fc - 2310190 ns MR4_D 40006004 00000001 - 2310190 ns R r2 00000001 - 2310190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2310210 ns R r2 80000000 - 2310210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2310230 ns R psr 81000200 - 2310230 ns MR4_I 00000238 48054770 - 2310250 ns MR4_I 00000230 07d2684a - 2310270 ns IT 00000230 684a LDR r2,[r1,#4] - 2310290 ns MR4_I 00000234 6008d1fc - 2310350 ns MR4_D 40006004 00000001 - 2310350 ns R r2 00000001 - 2310350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2310370 ns R r2 80000000 - 2310370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2310390 ns R psr 81000200 - 2310390 ns MR4_I 00000238 48054770 - 2310410 ns MR4_I 00000230 07d2684a - 2310430 ns IT 00000230 684a LDR r2,[r1,#4] - 2310450 ns MR4_I 00000234 6008d1fc - 2310510 ns MR4_D 40006004 00000001 - 2310510 ns R r2 00000001 - 2310510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2310530 ns R r2 80000000 - 2310530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2310550 ns R psr 81000200 - 2310550 ns MR4_I 00000238 48054770 - 2310570 ns MR4_I 00000230 07d2684a - 2310590 ns IT 00000230 684a LDR r2,[r1,#4] - 2310610 ns MR4_I 00000234 6008d1fc - 2310670 ns MR4_D 40006004 00000001 - 2310670 ns R r2 00000001 - 2310670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2310690 ns R r2 80000000 - 2310690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2310710 ns R psr 81000200 - 2310710 ns MR4_I 00000238 48054770 - 2310730 ns MR4_I 00000230 07d2684a - 2310750 ns IT 00000230 684a LDR r2,[r1,#4] - 2310770 ns MR4_I 00000234 6008d1fc - 2310830 ns MR4_D 40006004 00000001 - 2310830 ns R r2 00000001 - 2310830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2310850 ns R r2 80000000 - 2310850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2310870 ns R psr 81000200 - 2310870 ns MR4_I 00000238 48054770 - 2310890 ns MR4_I 00000230 07d2684a - 2310910 ns IT 00000230 684a LDR r2,[r1,#4] - 2310930 ns MR4_I 00000234 6008d1fc - 2310990 ns MR4_D 40006004 00000001 - 2310990 ns R r2 00000001 - 2310990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2311010 ns R r2 80000000 - 2311010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2311030 ns R psr 81000200 - 2311030 ns MR4_I 00000238 48054770 - 2311050 ns MR4_I 00000230 07d2684a - 2311070 ns IT 00000230 684a LDR r2,[r1,#4] - 2311090 ns MR4_I 00000234 6008d1fc - 2311150 ns MR4_D 40006004 00000001 - 2311150 ns R r2 00000001 - 2311150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2311170 ns R r2 80000000 - 2311170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2311190 ns R psr 81000200 - 2311190 ns MR4_I 00000238 48054770 - 2311210 ns MR4_I 00000230 07d2684a - 2311230 ns IT 00000230 684a LDR r2,[r1,#4] - 2311250 ns MR4_I 00000234 6008d1fc - 2311310 ns MR4_D 40006004 00000001 - 2311310 ns R r2 00000001 - 2311310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2311330 ns R r2 80000000 - 2311330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2311350 ns R psr 81000200 - 2311350 ns MR4_I 00000238 48054770 - 2311370 ns MR4_I 00000230 07d2684a - 2311390 ns IT 00000230 684a LDR r2,[r1,#4] - 2311410 ns MR4_I 00000234 6008d1fc - 2311470 ns MR4_D 40006004 00000001 - 2311470 ns R r2 00000001 - 2311470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2311490 ns R r2 80000000 - 2311490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2311510 ns R psr 81000200 - 2311510 ns MR4_I 00000238 48054770 - 2311530 ns MR4_I 00000230 07d2684a - 2311550 ns IT 00000230 684a LDR r2,[r1,#4] - 2311570 ns MR4_I 00000234 6008d1fc - 2311630 ns MR4_D 40006004 00000001 - 2311630 ns R r2 00000001 - 2311630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2311650 ns R r2 80000000 - 2311650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2311670 ns R psr 81000200 - 2311670 ns MR4_I 00000238 48054770 - 2311690 ns MR4_I 00000230 07d2684a - 2311710 ns IT 00000230 684a LDR r2,[r1,#4] - 2311730 ns MR4_I 00000234 6008d1fc - 2311790 ns MR4_D 40006004 00000001 - 2311790 ns R r2 00000001 - 2311790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2311810 ns R r2 80000000 - 2311810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2311830 ns R psr 81000200 - 2311830 ns MR4_I 00000238 48054770 - 2311850 ns MR4_I 00000230 07d2684a - 2311870 ns IT 00000230 684a LDR r2,[r1,#4] - 2311890 ns MR4_I 00000234 6008d1fc - 2311950 ns MR4_D 40006004 00000001 - 2311950 ns R r2 00000001 - 2311950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2311970 ns R r2 80000000 - 2311970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2311990 ns R psr 81000200 - 2311990 ns MR4_I 00000238 48054770 - 2312010 ns MR4_I 00000230 07d2684a - 2312030 ns IT 00000230 684a LDR r2,[r1,#4] - 2312050 ns MR4_I 00000234 6008d1fc - 2312110 ns MR4_D 40006004 00000001 - 2312110 ns R r2 00000001 - 2312110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2312130 ns R r2 80000000 - 2312130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2312150 ns R psr 81000200 - 2312150 ns MR4_I 00000238 48054770 - 2312170 ns MR4_I 00000230 07d2684a - 2312190 ns IT 00000230 684a LDR r2,[r1,#4] - 2312210 ns MR4_I 00000234 6008d1fc - 2312270 ns MR4_D 40006004 00000001 - 2312270 ns R r2 00000001 - 2312270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2312290 ns R r2 80000000 - 2312290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2312310 ns R psr 81000200 - 2312310 ns MR4_I 00000238 48054770 - 2312330 ns MR4_I 00000230 07d2684a - 2312350 ns IT 00000230 684a LDR r2,[r1,#4] - 2312370 ns MR4_I 00000234 6008d1fc - 2312430 ns MR4_D 40006004 00000001 - 2312430 ns R r2 00000001 - 2312430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2312450 ns R r2 80000000 - 2312450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2312470 ns R psr 81000200 - 2312470 ns MR4_I 00000238 48054770 - 2312490 ns MR4_I 00000230 07d2684a - 2312510 ns IT 00000230 684a LDR r2,[r1,#4] - 2312530 ns MR4_I 00000234 6008d1fc - 2312590 ns MR4_D 40006004 00000001 - 2312590 ns R r2 00000001 - 2312590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2312610 ns R r2 80000000 - 2312610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2312630 ns R psr 81000200 - 2312630 ns MR4_I 00000238 48054770 - 2312650 ns MR4_I 00000230 07d2684a - 2312670 ns IT 00000230 684a LDR r2,[r1,#4] - 2312690 ns MR4_I 00000234 6008d1fc - 2312750 ns MR4_D 40006004 00000001 - 2312750 ns R r2 00000001 - 2312750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2312770 ns R r2 80000000 - 2312770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2312790 ns R psr 81000200 - 2312790 ns MR4_I 00000238 48054770 - 2312810 ns MR4_I 00000230 07d2684a - 2312830 ns IT 00000230 684a LDR r2,[r1,#4] - 2312850 ns MR4_I 00000234 6008d1fc - 2312910 ns MR4_D 40006004 00000001 - 2312910 ns R r2 00000001 - 2312910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2312930 ns R r2 80000000 - 2312930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2312950 ns R psr 81000200 - 2312950 ns MR4_I 00000238 48054770 - 2312970 ns MR4_I 00000230 07d2684a - 2312990 ns IT 00000230 684a LDR r2,[r1,#4] - 2313010 ns MR4_I 00000234 6008d1fc - 2313070 ns MR4_D 40006004 00000001 - 2313070 ns R r2 00000001 - 2313070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2313090 ns R r2 80000000 - 2313090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2313110 ns R psr 81000200 - 2313110 ns MR4_I 00000238 48054770 - 2313130 ns MR4_I 00000230 07d2684a - 2313150 ns IT 00000230 684a LDR r2,[r1,#4] - 2313170 ns MR4_I 00000234 6008d1fc - 2313230 ns MR4_D 40006004 00000001 - 2313230 ns R r2 00000001 - 2313230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2313250 ns R r2 80000000 - 2313250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2313270 ns R psr 81000200 - 2313270 ns MR4_I 00000238 48054770 - 2313290 ns MR4_I 00000230 07d2684a - 2313310 ns IT 00000230 684a LDR r2,[r1,#4] - 2313330 ns MR4_I 00000234 6008d1fc - 2313390 ns MR4_D 40006004 00000001 - 2313390 ns R r2 00000001 - 2313390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2313410 ns R r2 80000000 - 2313410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2313430 ns R psr 81000200 - 2313430 ns MR4_I 00000238 48054770 - 2313450 ns MR4_I 00000230 07d2684a - 2313470 ns IT 00000230 684a LDR r2,[r1,#4] - 2313490 ns MR4_I 00000234 6008d1fc - 2313550 ns MR4_D 40006004 00000001 - 2313550 ns R r2 00000001 - 2313550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2313570 ns R r2 80000000 - 2313570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2313590 ns R psr 81000200 - 2313590 ns MR4_I 00000238 48054770 - 2313610 ns MR4_I 00000230 07d2684a - 2313630 ns IT 00000230 684a LDR r2,[r1,#4] - 2313650 ns MR4_I 00000234 6008d1fc - 2313710 ns MR4_D 40006004 00000001 - 2313710 ns R r2 00000001 - 2313710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2313730 ns R r2 80000000 - 2313730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2313750 ns R psr 81000200 - 2313750 ns MR4_I 00000238 48054770 - 2313770 ns MR4_I 00000230 07d2684a - 2313790 ns IT 00000230 684a LDR r2,[r1,#4] - 2313810 ns MR4_I 00000234 6008d1fc - 2313870 ns MR4_D 40006004 00000001 - 2313870 ns R r2 00000001 - 2313870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2313890 ns R r2 80000000 - 2313890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2313910 ns R psr 81000200 - 2313910 ns MR4_I 00000238 48054770 - 2313930 ns MR4_I 00000230 07d2684a - 2313950 ns IT 00000230 684a LDR r2,[r1,#4] - 2313970 ns MR4_I 00000234 6008d1fc - 2314030 ns MR4_D 40006004 00000001 - 2314030 ns R r2 00000001 - 2314030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2314050 ns R r2 80000000 - 2314050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2314070 ns R psr 81000200 - 2314070 ns MR4_I 00000238 48054770 - 2314090 ns MR4_I 00000230 07d2684a - 2314110 ns IT 00000230 684a LDR r2,[r1,#4] - 2314130 ns MR4_I 00000234 6008d1fc - 2314190 ns MR4_D 40006004 00000001 - 2314190 ns R r2 00000001 - 2314190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2314210 ns R r2 80000000 - 2314210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2314230 ns R psr 81000200 - 2314230 ns MR4_I 00000238 48054770 - 2314250 ns MR4_I 00000230 07d2684a - 2314270 ns IT 00000230 684a LDR r2,[r1,#4] - 2314290 ns MR4_I 00000234 6008d1fc - 2314350 ns MR4_D 40006004 00000001 - 2314350 ns R r2 00000001 - 2314350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2314370 ns R r2 80000000 - 2314370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2314390 ns R psr 81000200 - 2314390 ns MR4_I 00000238 48054770 - 2314410 ns MR4_I 00000230 07d2684a - 2314430 ns IT 00000230 684a LDR r2,[r1,#4] - 2314450 ns MR4_I 00000234 6008d1fc - 2314510 ns MR4_D 40006004 00000001 - 2314510 ns R r2 00000001 - 2314510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2314530 ns R r2 80000000 - 2314530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2314550 ns R psr 81000200 - 2314550 ns MR4_I 00000238 48054770 - 2314570 ns MR4_I 00000230 07d2684a - 2314590 ns IT 00000230 684a LDR r2,[r1,#4] - 2314610 ns MR4_I 00000234 6008d1fc - 2314670 ns MR4_D 40006004 00000001 - 2314670 ns R r2 00000001 - 2314670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2314690 ns R r2 80000000 - 2314690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2314710 ns R psr 81000200 - 2314710 ns MR4_I 00000238 48054770 - 2314730 ns MR4_I 00000230 07d2684a - 2314750 ns IT 00000230 684a LDR r2,[r1,#4] - 2314770 ns MR4_I 00000234 6008d1fc - 2314830 ns MR4_D 40006004 00000001 - 2314830 ns R r2 00000001 - 2314830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2314850 ns R r2 80000000 - 2314850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2314870 ns R psr 81000200 - 2314870 ns MR4_I 00000238 48054770 - 2314890 ns MR4_I 00000230 07d2684a - 2314910 ns IT 00000230 684a LDR r2,[r1,#4] - 2314930 ns MR4_I 00000234 6008d1fc - 2314990 ns MR4_D 40006004 00000001 - 2314990 ns R r2 00000001 - 2314990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2315010 ns R r2 80000000 - 2315010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2315030 ns R psr 81000200 - 2315030 ns MR4_I 00000238 48054770 - 2315050 ns MR4_I 00000230 07d2684a - 2315070 ns IT 00000230 684a LDR r2,[r1,#4] - 2315090 ns MR4_I 00000234 6008d1fc - 2315150 ns MR4_D 40006004 00000001 - 2315150 ns R r2 00000001 - 2315150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2315170 ns R r2 80000000 - 2315170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2315190 ns R psr 81000200 - 2315190 ns MR4_I 00000238 48054770 - 2315210 ns MR4_I 00000230 07d2684a - 2315230 ns IT 00000230 684a LDR r2,[r1,#4] - 2315250 ns MR4_I 00000234 6008d1fc - 2315310 ns MR4_D 40006004 00000001 - 2315310 ns R r2 00000001 - 2315310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2315330 ns R r2 80000000 - 2315330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2315350 ns R psr 81000200 - 2315350 ns MR4_I 00000238 48054770 - 2315370 ns MR4_I 00000230 07d2684a - 2315390 ns IT 00000230 684a LDR r2,[r1,#4] - 2315410 ns MR4_I 00000234 6008d1fc - 2315470 ns MR4_D 40006004 00000001 - 2315470 ns R r2 00000001 - 2315470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2315490 ns R r2 80000000 - 2315490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2315510 ns R psr 81000200 - 2315510 ns MR4_I 00000238 48054770 - 2315530 ns MR4_I 00000230 07d2684a - 2315550 ns IT 00000230 684a LDR r2,[r1,#4] - 2315570 ns MR4_I 00000234 6008d1fc - 2315630 ns MR4_D 40006004 00000001 - 2315630 ns R r2 00000001 - 2315630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2315650 ns R r2 80000000 - 2315650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2315670 ns R psr 81000200 - 2315670 ns MR4_I 00000238 48054770 - 2315690 ns MR4_I 00000230 07d2684a - 2315710 ns IT 00000230 684a LDR r2,[r1,#4] - 2315730 ns MR4_I 00000234 6008d1fc - 2315790 ns MR4_D 40006004 00000001 - 2315790 ns R r2 00000001 - 2315790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2315810 ns R r2 80000000 - 2315810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2315830 ns R psr 81000200 - 2315830 ns MR4_I 00000238 48054770 - 2315850 ns MR4_I 00000230 07d2684a - 2315870 ns IT 00000230 684a LDR r2,[r1,#4] - 2315890 ns MR4_I 00000234 6008d1fc - 2315950 ns MR4_D 40006004 00000001 - 2315950 ns R r2 00000001 - 2315950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2315970 ns R r2 80000000 - 2315970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2315990 ns R psr 81000200 - 2315990 ns MR4_I 00000238 48054770 - 2316010 ns MR4_I 00000230 07d2684a - 2316030 ns IT 00000230 684a LDR r2,[r1,#4] - 2316050 ns MR4_I 00000234 6008d1fc - 2316110 ns MR4_D 40006004 00000001 - 2316110 ns R r2 00000001 - 2316110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2316130 ns R r2 80000000 - 2316130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2316150 ns R psr 81000200 - 2316150 ns MR4_I 00000238 48054770 - 2316170 ns MR4_I 00000230 07d2684a - 2316190 ns IT 00000230 684a LDR r2,[r1,#4] - 2316210 ns MR4_I 00000234 6008d1fc - 2316270 ns MR4_D 40006004 00000001 - 2316270 ns R r2 00000001 - 2316270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2316290 ns R r2 80000000 - 2316290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2316310 ns R psr 81000200 - 2316310 ns MR4_I 00000238 48054770 - 2316330 ns MR4_I 00000230 07d2684a - 2316350 ns IT 00000230 684a LDR r2,[r1,#4] - 2316370 ns MR4_I 00000234 6008d1fc - 2316430 ns MR4_D 40006004 00000001 - 2316430 ns R r2 00000001 - 2316430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2316450 ns R r2 80000000 - 2316450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2316470 ns R psr 81000200 - 2316470 ns MR4_I 00000238 48054770 - 2316490 ns MR4_I 00000230 07d2684a - 2316510 ns IT 00000230 684a LDR r2,[r1,#4] - 2316530 ns MR4_I 00000234 6008d1fc - 2316590 ns MR4_D 40006004 00000001 - 2316590 ns R r2 00000001 - 2316590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2316610 ns R r2 80000000 - 2316610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2316630 ns R psr 81000200 - 2316630 ns MR4_I 00000238 48054770 - 2316650 ns MR4_I 00000230 07d2684a - 2316670 ns IT 00000230 684a LDR r2,[r1,#4] - 2316690 ns MR4_I 00000234 6008d1fc - 2316750 ns MR4_D 40006004 00000001 - 2316750 ns R r2 00000001 - 2316750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2316770 ns R r2 80000000 - 2316770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2316790 ns R psr 81000200 - 2316790 ns MR4_I 00000238 48054770 - 2316810 ns MR4_I 00000230 07d2684a - 2316830 ns IT 00000230 684a LDR r2,[r1,#4] - 2316850 ns MR4_I 00000234 6008d1fc - 2316910 ns MR4_D 40006004 00000001 - 2316910 ns R r2 00000001 - 2316910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2316930 ns R r2 80000000 - 2316930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2316950 ns R psr 81000200 - 2316950 ns MR4_I 00000238 48054770 - 2316970 ns MR4_I 00000230 07d2684a - 2316990 ns IT 00000230 684a LDR r2,[r1,#4] - 2317010 ns MR4_I 00000234 6008d1fc - 2317070 ns MR4_D 40006004 00000001 - 2317070 ns R r2 00000001 - 2317070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2317090 ns R r2 80000000 - 2317090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2317110 ns R psr 81000200 - 2317110 ns MR4_I 00000238 48054770 - 2317130 ns MR4_I 00000230 07d2684a - 2317150 ns IT 00000230 684a LDR r2,[r1,#4] - 2317170 ns MR4_I 00000234 6008d1fc - 2317230 ns MR4_D 40006004 00000001 - 2317230 ns R r2 00000001 - 2317230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2317250 ns R r2 80000000 - 2317250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2317270 ns R psr 81000200 - 2317270 ns MR4_I 00000238 48054770 - 2317290 ns MR4_I 00000230 07d2684a - 2317310 ns IT 00000230 684a LDR r2,[r1,#4] - 2317330 ns MR4_I 00000234 6008d1fc - 2317390 ns MR4_D 40006004 00000001 - 2317390 ns R r2 00000001 - 2317390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2317410 ns R r2 80000000 - 2317410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2317430 ns R psr 81000200 - 2317430 ns MR4_I 00000238 48054770 - 2317450 ns MR4_I 00000230 07d2684a - 2317470 ns IT 00000230 684a LDR r2,[r1,#4] - 2317490 ns MR4_I 00000234 6008d1fc - 2317550 ns MR4_D 40006004 00000001 - 2317550 ns R r2 00000001 - 2317550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2317570 ns R r2 80000000 - 2317570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2317590 ns R psr 81000200 - 2317590 ns MR4_I 00000238 48054770 - 2317610 ns MR4_I 00000230 07d2684a - 2317630 ns IT 00000230 684a LDR r2,[r1,#4] - 2317650 ns MR4_I 00000234 6008d1fc - 2317710 ns MR4_D 40006004 00000001 - 2317710 ns R r2 00000001 - 2317710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2317730 ns R r2 80000000 - 2317730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2317750 ns R psr 81000200 - 2317750 ns MR4_I 00000238 48054770 - 2317770 ns MR4_I 00000230 07d2684a - 2317790 ns IT 00000230 684a LDR r2,[r1,#4] - 2317810 ns MR4_I 00000234 6008d1fc - 2317870 ns MR4_D 40006004 00000001 - 2317870 ns R r2 00000001 - 2317870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2317890 ns R r2 80000000 - 2317890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2317910 ns R psr 81000200 - 2317910 ns MR4_I 00000238 48054770 - 2317930 ns MR4_I 00000230 07d2684a - 2317950 ns IT 00000230 684a LDR r2,[r1,#4] - 2317970 ns MR4_I 00000234 6008d1fc - 2318030 ns MR4_D 40006004 00000001 - 2318030 ns R r2 00000001 - 2318030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2318050 ns R r2 80000000 - 2318050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2318070 ns R psr 81000200 - 2318070 ns MR4_I 00000238 48054770 - 2318090 ns MR4_I 00000230 07d2684a - 2318110 ns IT 00000230 684a LDR r2,[r1,#4] - 2318130 ns MR4_I 00000234 6008d1fc - 2318190 ns MR4_D 40006004 00000001 - 2318190 ns R r2 00000001 - 2318190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2318210 ns R r2 80000000 - 2318210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2318230 ns R psr 81000200 - 2318230 ns MR4_I 00000238 48054770 - 2318250 ns MR4_I 00000230 07d2684a - 2318270 ns IT 00000230 684a LDR r2,[r1,#4] - 2318290 ns MR4_I 00000234 6008d1fc - 2318350 ns MR4_D 40006004 00000001 - 2318350 ns R r2 00000001 - 2318350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2318370 ns R r2 80000000 - 2318370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2318390 ns R psr 81000200 - 2318390 ns MR4_I 00000238 48054770 - 2318410 ns MR4_I 00000230 07d2684a - 2318430 ns IT 00000230 684a LDR r2,[r1,#4] - 2318450 ns MR4_I 00000234 6008d1fc - 2318510 ns MR4_D 40006004 00000001 - 2318510 ns R r2 00000001 - 2318510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2318530 ns R r2 80000000 - 2318530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2318550 ns R psr 81000200 - 2318550 ns MR4_I 00000238 48054770 - 2318570 ns MR4_I 00000230 07d2684a - 2318590 ns IT 00000230 684a LDR r2,[r1,#4] - 2318610 ns MR4_I 00000234 6008d1fc - 2318670 ns MR4_D 40006004 00000001 - 2318670 ns R r2 00000001 - 2318670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2318690 ns R r2 80000000 - 2318690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2318710 ns R psr 81000200 - 2318710 ns MR4_I 00000238 48054770 - 2318730 ns MR4_I 00000230 07d2684a - 2318750 ns IT 00000230 684a LDR r2,[r1,#4] - 2318770 ns MR4_I 00000234 6008d1fc - 2318830 ns MR4_D 40006004 00000001 - 2318830 ns R r2 00000001 - 2318830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2318850 ns R r2 80000000 - 2318850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2318870 ns R psr 81000200 - 2318870 ns MR4_I 00000238 48054770 - 2318890 ns MR4_I 00000230 07d2684a - 2318910 ns IT 00000230 684a LDR r2,[r1,#4] - 2318930 ns MR4_I 00000234 6008d1fc - 2318990 ns MR4_D 40006004 00000001 - 2318990 ns R r2 00000001 - 2318990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2319010 ns R r2 80000000 - 2319010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2319030 ns R psr 81000200 - 2319030 ns MR4_I 00000238 48054770 - 2319050 ns MR4_I 00000230 07d2684a - 2319070 ns IT 00000230 684a LDR r2,[r1,#4] - 2319090 ns MR4_I 00000234 6008d1fc - 2319150 ns MR4_D 40006004 00000001 - 2319150 ns R r2 00000001 - 2319150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2319170 ns R r2 80000000 - 2319170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2319190 ns R psr 81000200 - 2319190 ns MR4_I 00000238 48054770 - 2319210 ns MR4_I 00000230 07d2684a - 2319230 ns IT 00000230 684a LDR r2,[r1,#4] - 2319250 ns MR4_I 00000234 6008d1fc - 2319310 ns MR4_D 40006004 00000001 - 2319310 ns R r2 00000001 - 2319310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2319330 ns R r2 80000000 - 2319330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2319350 ns R psr 81000200 - 2319350 ns MR4_I 00000238 48054770 - 2319370 ns MR4_I 00000230 07d2684a - 2319390 ns IT 00000230 684a LDR r2,[r1,#4] - 2319410 ns MR4_I 00000234 6008d1fc - 2319470 ns MR4_D 40006004 00000001 - 2319470 ns R r2 00000001 - 2319470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2319490 ns R r2 80000000 - 2319490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2319510 ns R psr 81000200 - 2319510 ns MR4_I 00000238 48054770 - 2319530 ns MR4_I 00000230 07d2684a - 2319550 ns IT 00000230 684a LDR r2,[r1,#4] - 2319570 ns MR4_I 00000234 6008d1fc - 2319630 ns MR4_D 40006004 00000001 - 2319630 ns R r2 00000001 - 2319630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2319650 ns R r2 80000000 - 2319650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2319670 ns R psr 81000200 - 2319670 ns MR4_I 00000238 48054770 - 2319690 ns MR4_I 00000230 07d2684a - 2319710 ns IT 00000230 684a LDR r2,[r1,#4] - 2319730 ns MR4_I 00000234 6008d1fc - 2319790 ns MR4_D 40006004 00000001 - 2319790 ns R r2 00000001 - 2319790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2319810 ns R r2 80000000 - 2319810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2319830 ns R psr 81000200 - 2319830 ns MR4_I 00000238 48054770 - 2319850 ns MR4_I 00000230 07d2684a - 2319870 ns IT 00000230 684a LDR r2,[r1,#4] - 2319890 ns MR4_I 00000234 6008d1fc - 2319950 ns MR4_D 40006004 00000001 - 2319950 ns R r2 00000001 - 2319950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2319970 ns R r2 80000000 - 2319970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2319990 ns R psr 81000200 - 2319990 ns MR4_I 00000238 48054770 - 2320010 ns MR4_I 00000230 07d2684a - 2320030 ns IT 00000230 684a LDR r2,[r1,#4] - 2320050 ns MR4_I 00000234 6008d1fc - 2320110 ns MR4_D 40006004 00000001 - 2320110 ns R r2 00000001 - 2320110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2320130 ns R r2 80000000 - 2320130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2320150 ns R psr 81000200 - 2320150 ns MR4_I 00000238 48054770 - 2320170 ns MR4_I 00000230 07d2684a - 2320190 ns IT 00000230 684a LDR r2,[r1,#4] - 2320210 ns MR4_I 00000234 6008d1fc - 2320270 ns MR4_D 40006004 00000001 - 2320270 ns R r2 00000001 - 2320270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2320290 ns R r2 80000000 - 2320290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2320310 ns R psr 81000200 - 2320310 ns MR4_I 00000238 48054770 - 2320330 ns MR4_I 00000230 07d2684a - 2320350 ns IT 00000230 684a LDR r2,[r1,#4] - 2320370 ns MR4_I 00000234 6008d1fc - 2320430 ns MR4_D 40006004 00000001 - 2320430 ns R r2 00000001 - 2320430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2320450 ns R r2 80000000 - 2320450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2320470 ns R psr 81000200 - 2320470 ns MR4_I 00000238 48054770 - 2320490 ns MR4_I 00000230 07d2684a - 2320510 ns IT 00000230 684a LDR r2,[r1,#4] - 2320530 ns MR4_I 00000234 6008d1fc - 2320590 ns MR4_D 40006004 00000001 - 2320590 ns R r2 00000001 - 2320590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2320610 ns R r2 80000000 - 2320610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2320630 ns R psr 81000200 - 2320630 ns MR4_I 00000238 48054770 - 2320650 ns MR4_I 00000230 07d2684a - 2320670 ns IT 00000230 684a LDR r2,[r1,#4] - 2320690 ns MR4_I 00000234 6008d1fc - 2320750 ns MR4_D 40006004 00000001 - 2320750 ns R r2 00000001 - 2320750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2320770 ns R r2 80000000 - 2320770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2320790 ns R psr 81000200 - 2320790 ns MR4_I 00000238 48054770 - 2320810 ns MR4_I 00000230 07d2684a - 2320830 ns IT 00000230 684a LDR r2,[r1,#4] - 2320850 ns MR4_I 00000234 6008d1fc - 2320910 ns MR4_D 40006004 00000001 - 2320910 ns R r2 00000001 - 2320910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2320930 ns R r2 80000000 - 2320930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2320950 ns R psr 81000200 - 2320950 ns MR4_I 00000238 48054770 - 2320970 ns MR4_I 00000230 07d2684a - 2320990 ns IT 00000230 684a LDR r2,[r1,#4] - 2321010 ns MR4_I 00000234 6008d1fc - 2321070 ns MR4_D 40006004 00000001 - 2321070 ns R r2 00000001 - 2321070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2321090 ns R r2 80000000 - 2321090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2321110 ns R psr 81000200 - 2321110 ns MR4_I 00000238 48054770 - 2321130 ns MR4_I 00000230 07d2684a - 2321150 ns IT 00000230 684a LDR r2,[r1,#4] - 2321170 ns MR4_I 00000234 6008d1fc - 2321230 ns MR4_D 40006004 00000001 - 2321230 ns R r2 00000001 - 2321230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2321250 ns R r2 80000000 - 2321250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2321270 ns R psr 81000200 - 2321270 ns MR4_I 00000238 48054770 - 2321290 ns MR4_I 00000230 07d2684a - 2321310 ns IT 00000230 684a LDR r2,[r1,#4] - 2321330 ns MR4_I 00000234 6008d1fc - 2321390 ns MR4_D 40006004 00000001 - 2321390 ns R r2 00000001 - 2321390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2321410 ns R r2 80000000 - 2321410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2321430 ns R psr 81000200 - 2321430 ns MR4_I 00000238 48054770 - 2321450 ns MR4_I 00000230 07d2684a - 2321470 ns IT 00000230 684a LDR r2,[r1,#4] - 2321490 ns MR4_I 00000234 6008d1fc - 2321550 ns MR4_D 40006004 00000001 - 2321550 ns R r2 00000001 - 2321550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2321570 ns R r2 80000000 - 2321570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2321590 ns R psr 81000200 - 2321590 ns MR4_I 00000238 48054770 - 2321610 ns MR4_I 00000230 07d2684a - 2321630 ns IT 00000230 684a LDR r2,[r1,#4] - 2321650 ns MR4_I 00000234 6008d1fc - 2321710 ns MR4_D 40006004 00000001 - 2321710 ns R r2 00000001 - 2321710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2321730 ns R r2 80000000 - 2321730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2321750 ns R psr 81000200 - 2321750 ns MR4_I 00000238 48054770 - 2321770 ns MR4_I 00000230 07d2684a - 2321790 ns IT 00000230 684a LDR r2,[r1,#4] - 2321810 ns MR4_I 00000234 6008d1fc - 2321870 ns MR4_D 40006004 00000001 - 2321870 ns R r2 00000001 - 2321870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2321890 ns R r2 80000000 - 2321890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2321910 ns R psr 81000200 - 2321910 ns MR4_I 00000238 48054770 - 2321930 ns MR4_I 00000230 07d2684a - 2321950 ns IT 00000230 684a LDR r2,[r1,#4] - 2321970 ns MR4_I 00000234 6008d1fc - 2322030 ns MR4_D 40006004 00000001 - 2322030 ns R r2 00000001 - 2322030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2322050 ns R r2 80000000 - 2322050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2322070 ns R psr 81000200 - 2322070 ns MR4_I 00000238 48054770 - 2322090 ns MR4_I 00000230 07d2684a - 2322110 ns IT 00000230 684a LDR r2,[r1,#4] - 2322130 ns MR4_I 00000234 6008d1fc - 2322190 ns MR4_D 40006004 00000001 - 2322190 ns R r2 00000001 - 2322190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2322210 ns R r2 80000000 - 2322210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2322230 ns R psr 81000200 - 2322230 ns MR4_I 00000238 48054770 - 2322250 ns MR4_I 00000230 07d2684a - 2322270 ns IT 00000230 684a LDR r2,[r1,#4] - 2322290 ns MR4_I 00000234 6008d1fc - 2322350 ns MR4_D 40006004 00000001 - 2322350 ns R r2 00000001 - 2322350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2322370 ns R r2 80000000 - 2322370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2322390 ns R psr 81000200 - 2322390 ns MR4_I 00000238 48054770 - 2322410 ns MR4_I 00000230 07d2684a - 2322430 ns IT 00000230 684a LDR r2,[r1,#4] - 2322450 ns MR4_I 00000234 6008d1fc - 2322510 ns MR4_D 40006004 00000001 - 2322510 ns R r2 00000001 - 2322510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2322530 ns R r2 80000000 - 2322530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2322550 ns R psr 81000200 - 2322550 ns MR4_I 00000238 48054770 - 2322570 ns MR4_I 00000230 07d2684a - 2322590 ns IT 00000230 684a LDR r2,[r1,#4] - 2322610 ns MR4_I 00000234 6008d1fc - 2322670 ns MR4_D 40006004 00000001 - 2322670 ns R r2 00000001 - 2322670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2322690 ns R r2 80000000 - 2322690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2322710 ns R psr 81000200 - 2322710 ns MR4_I 00000238 48054770 - 2322730 ns MR4_I 00000230 07d2684a - 2322750 ns IT 00000230 684a LDR r2,[r1,#4] - 2322770 ns MR4_I 00000234 6008d1fc - 2322830 ns MR4_D 40006004 00000001 - 2322830 ns R r2 00000001 - 2322830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2322850 ns R r2 80000000 - 2322850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2322870 ns R psr 81000200 - 2322870 ns MR4_I 00000238 48054770 - 2322890 ns MR4_I 00000230 07d2684a - 2322910 ns IT 00000230 684a LDR r2,[r1,#4] - 2322930 ns MR4_I 00000234 6008d1fc - 2322990 ns MR4_D 40006004 00000001 - 2322990 ns R r2 00000001 - 2322990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2323010 ns R r2 80000000 - 2323010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2323030 ns R psr 81000200 - 2323030 ns MR4_I 00000238 48054770 - 2323050 ns MR4_I 00000230 07d2684a - 2323070 ns IT 00000230 684a LDR r2,[r1,#4] - 2323090 ns MR4_I 00000234 6008d1fc - 2323150 ns MR4_D 40006004 00000001 - 2323150 ns R r2 00000001 - 2323150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2323170 ns R r2 80000000 - 2323170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2323190 ns R psr 81000200 - 2323190 ns MR4_I 00000238 48054770 - 2323210 ns MR4_I 00000230 07d2684a - 2323230 ns IT 00000230 684a LDR r2,[r1,#4] - 2323250 ns MR4_I 00000234 6008d1fc - 2323310 ns MR4_D 40006004 00000001 - 2323310 ns R r2 00000001 - 2323310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2323330 ns R r2 80000000 - 2323330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2323350 ns R psr 81000200 - 2323350 ns MR4_I 00000238 48054770 - 2323370 ns MR4_I 00000230 07d2684a - 2323390 ns IT 00000230 684a LDR r2,[r1,#4] - 2323410 ns MR4_I 00000234 6008d1fc - 2323470 ns MR4_D 40006004 00000001 - 2323470 ns R r2 00000001 - 2323470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2323490 ns R r2 80000000 - 2323490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2323510 ns R psr 81000200 - 2323510 ns MR4_I 00000238 48054770 - 2323530 ns MR4_I 00000230 07d2684a - 2323550 ns IT 00000230 684a LDR r2,[r1,#4] - 2323570 ns MR4_I 00000234 6008d1fc - 2323630 ns MR4_D 40006004 00000001 - 2323630 ns R r2 00000001 - 2323630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2323650 ns R r2 80000000 - 2323650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2323670 ns R psr 81000200 - 2323670 ns MR4_I 00000238 48054770 - 2323690 ns MR4_I 00000230 07d2684a - 2323710 ns IT 00000230 684a LDR r2,[r1,#4] - 2323730 ns MR4_I 00000234 6008d1fc - 2323790 ns MR4_D 40006004 00000001 - 2323790 ns R r2 00000001 - 2323790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2323810 ns R r2 80000000 - 2323810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2323830 ns R psr 81000200 - 2323830 ns MR4_I 00000238 48054770 - 2323850 ns MR4_I 00000230 07d2684a - 2323870 ns IT 00000230 684a LDR r2,[r1,#4] - 2323890 ns MR4_I 00000234 6008d1fc - 2323950 ns MR4_D 40006004 00000001 - 2323950 ns R r2 00000001 - 2323950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2323970 ns R r2 80000000 - 2323970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2323990 ns R psr 81000200 - 2323990 ns MR4_I 00000238 48054770 - 2324010 ns MR4_I 00000230 07d2684a - 2324030 ns IT 00000230 684a LDR r2,[r1,#4] - 2324050 ns MR4_I 00000234 6008d1fc - 2324110 ns MR4_D 40006004 00000001 - 2324110 ns R r2 00000001 - 2324110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2324130 ns R r2 80000000 - 2324130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2324150 ns R psr 81000200 - 2324150 ns MR4_I 00000238 48054770 - 2324170 ns MR4_I 00000230 07d2684a - 2324190 ns IT 00000230 684a LDR r2,[r1,#4] - 2324210 ns MR4_I 00000234 6008d1fc - 2324270 ns MR4_D 40006004 00000001 - 2324270 ns R r2 00000001 - 2324270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2324290 ns R r2 80000000 - 2324290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2324310 ns R psr 81000200 - 2324310 ns MR4_I 00000238 48054770 - 2324330 ns MR4_I 00000230 07d2684a - 2324350 ns IT 00000230 684a LDR r2,[r1,#4] - 2324370 ns MR4_I 00000234 6008d1fc - 2324430 ns MR4_D 40006004 00000001 - 2324430 ns R r2 00000001 - 2324430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2324450 ns R r2 80000000 - 2324450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2324470 ns R psr 81000200 - 2324470 ns MR4_I 00000238 48054770 - 2324490 ns MR4_I 00000230 07d2684a - 2324510 ns IT 00000230 684a LDR r2,[r1,#4] - 2324530 ns MR4_I 00000234 6008d1fc - 2324590 ns MR4_D 40006004 00000001 - 2324590 ns R r2 00000001 - 2324590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2324610 ns R r2 80000000 - 2324610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2324630 ns R psr 81000200 - 2324630 ns MR4_I 00000238 48054770 - 2324650 ns MR4_I 00000230 07d2684a - 2324670 ns IT 00000230 684a LDR r2,[r1,#4] - 2324690 ns MR4_I 00000234 6008d1fc - 2324750 ns MR4_D 40006004 00000001 - 2324750 ns R r2 00000001 - 2324750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2324770 ns R r2 80000000 - 2324770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2324790 ns R psr 81000200 - 2324790 ns MR4_I 00000238 48054770 - 2324810 ns MR4_I 00000230 07d2684a - 2324830 ns IT 00000230 684a LDR r2,[r1,#4] - 2324850 ns MR4_I 00000234 6008d1fc - 2324910 ns MR4_D 40006004 00000001 - 2324910 ns R r2 00000001 - 2324910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2324930 ns R r2 80000000 - 2324930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2324950 ns R psr 81000200 - 2324950 ns MR4_I 00000238 48054770 - 2324970 ns MR4_I 00000230 07d2684a - 2324990 ns IT 00000230 684a LDR r2,[r1,#4] - 2325010 ns MR4_I 00000234 6008d1fc - 2325070 ns MR4_D 40006004 00000001 - 2325070 ns R r2 00000001 - 2325070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2325090 ns R r2 80000000 - 2325090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2325110 ns R psr 81000200 - 2325110 ns MR4_I 00000238 48054770 - 2325130 ns MR4_I 00000230 07d2684a - 2325150 ns IT 00000230 684a LDR r2,[r1,#4] - 2325170 ns MR4_I 00000234 6008d1fc - 2325230 ns MR4_D 40006004 00000001 - 2325230 ns R r2 00000001 - 2325230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2325250 ns R r2 80000000 - 2325250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2325270 ns R psr 81000200 - 2325270 ns MR4_I 00000238 48054770 - 2325290 ns MR4_I 00000230 07d2684a - 2325310 ns IT 00000230 684a LDR r2,[r1,#4] - 2325330 ns MR4_I 00000234 6008d1fc - 2325390 ns MR4_D 40006004 00000001 - 2325390 ns R r2 00000001 - 2325390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2325410 ns R r2 80000000 - 2325410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2325430 ns R psr 81000200 - 2325430 ns MR4_I 00000238 48054770 - 2325450 ns MR4_I 00000230 07d2684a - 2325470 ns IT 00000230 684a LDR r2,[r1,#4] - 2325490 ns MR4_I 00000234 6008d1fc - 2325550 ns MR4_D 40006004 00000001 - 2325550 ns R r2 00000001 - 2325550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2325570 ns R r2 80000000 - 2325570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2325590 ns R psr 81000200 - 2325590 ns MR4_I 00000238 48054770 - 2325610 ns MR4_I 00000230 07d2684a - 2325630 ns IT 00000230 684a LDR r2,[r1,#4] - 2325650 ns MR4_I 00000234 6008d1fc - 2325710 ns MR4_D 40006004 00000001 - 2325710 ns R r2 00000001 - 2325710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2325730 ns R r2 80000000 - 2325730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2325750 ns R psr 81000200 - 2325750 ns MR4_I 00000238 48054770 - 2325770 ns MR4_I 00000230 07d2684a - 2325790 ns IT 00000230 684a LDR r2,[r1,#4] - 2325810 ns MR4_I 00000234 6008d1fc - 2325870 ns MR4_D 40006004 00000001 - 2325870 ns R r2 00000001 - 2325870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2325890 ns R r2 80000000 - 2325890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2325910 ns R psr 81000200 - 2325910 ns MR4_I 00000238 48054770 - 2325930 ns MR4_I 00000230 07d2684a - 2325950 ns IT 00000230 684a LDR r2,[r1,#4] - 2325970 ns MR4_I 00000234 6008d1fc - 2326030 ns MR4_D 40006004 00000001 - 2326030 ns R r2 00000001 - 2326030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2326050 ns R r2 80000000 - 2326050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2326070 ns R psr 81000200 - 2326070 ns MR4_I 00000238 48054770 - 2326090 ns MR4_I 00000230 07d2684a - 2326110 ns IT 00000230 684a LDR r2,[r1,#4] - 2326130 ns MR4_I 00000234 6008d1fc - 2326190 ns MR4_D 40006004 00000001 - 2326190 ns R r2 00000001 - 2326190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2326210 ns R r2 80000000 - 2326210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2326230 ns R psr 81000200 - 2326230 ns MR4_I 00000238 48054770 - 2326250 ns MR4_I 00000230 07d2684a - 2326270 ns IT 00000230 684a LDR r2,[r1,#4] - 2326290 ns MR4_I 00000234 6008d1fc - 2326350 ns MR4_D 40006004 00000001 - 2326350 ns R r2 00000001 - 2326350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2326370 ns R r2 80000000 - 2326370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2326390 ns R psr 81000200 - 2326390 ns MR4_I 00000238 48054770 - 2326410 ns MR4_I 00000230 07d2684a - 2326430 ns IT 00000230 684a LDR r2,[r1,#4] - 2326450 ns MR4_I 00000234 6008d1fc - 2326510 ns MR4_D 40006004 00000001 - 2326510 ns R r2 00000001 - 2326510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2326530 ns R r2 80000000 - 2326530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2326550 ns R psr 81000200 - 2326550 ns MR4_I 00000238 48054770 - 2326570 ns MR4_I 00000230 07d2684a - 2326590 ns IT 00000230 684a LDR r2,[r1,#4] - 2326610 ns MR4_I 00000234 6008d1fc - 2326670 ns MR4_D 40006004 00000001 - 2326670 ns R r2 00000001 - 2326670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2326690 ns R r2 80000000 - 2326690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2326710 ns R psr 81000200 - 2326710 ns MR4_I 00000238 48054770 - 2326730 ns MR4_I 00000230 07d2684a - 2326750 ns IT 00000230 684a LDR r2,[r1,#4] - 2326770 ns MR4_I 00000234 6008d1fc - 2326830 ns MR4_D 40006004 00000001 - 2326830 ns R r2 00000001 - 2326830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2326850 ns R r2 80000000 - 2326850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2326870 ns R psr 81000200 - 2326870 ns MR4_I 00000238 48054770 - 2326890 ns MR4_I 00000230 07d2684a - 2326910 ns IT 00000230 684a LDR r2,[r1,#4] - 2326930 ns MR4_I 00000234 6008d1fc - 2326990 ns MR4_D 40006004 00000001 - 2326990 ns R r2 00000001 - 2326990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2327010 ns R r2 80000000 - 2327010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2327030 ns R psr 81000200 - 2327030 ns MR4_I 00000238 48054770 - 2327050 ns MR4_I 00000230 07d2684a - 2327070 ns IT 00000230 684a LDR r2,[r1,#4] - 2327090 ns MR4_I 00000234 6008d1fc - 2327150 ns MR4_D 40006004 00000001 - 2327150 ns R r2 00000001 - 2327150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2327170 ns R r2 80000000 - 2327170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2327190 ns R psr 81000200 - 2327190 ns MR4_I 00000238 48054770 - 2327210 ns MR4_I 00000230 07d2684a - 2327230 ns IT 00000230 684a LDR r2,[r1,#4] - 2327250 ns MR4_I 00000234 6008d1fc - 2327310 ns MR4_D 40006004 00000001 - 2327310 ns R r2 00000001 - 2327310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2327330 ns R r2 80000000 - 2327330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2327350 ns R psr 81000200 - 2327350 ns MR4_I 00000238 48054770 - 2327370 ns MR4_I 00000230 07d2684a - 2327390 ns IT 00000230 684a LDR r2,[r1,#4] - 2327410 ns MR4_I 00000234 6008d1fc - 2327470 ns MR4_D 40006004 00000001 - 2327470 ns R r2 00000001 - 2327470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2327490 ns R r2 80000000 - 2327490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2327510 ns R psr 81000200 - 2327510 ns MR4_I 00000238 48054770 - 2327530 ns MR4_I 00000230 07d2684a - 2327550 ns IT 00000230 684a LDR r2,[r1,#4] - 2327570 ns MR4_I 00000234 6008d1fc - 2327630 ns MR4_D 40006004 00000001 - 2327630 ns R r2 00000001 - 2327630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2327650 ns R r2 80000000 - 2327650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2327670 ns R psr 81000200 - 2327670 ns MR4_I 00000238 48054770 - 2327690 ns MR4_I 00000230 07d2684a - 2327710 ns IT 00000230 684a LDR r2,[r1,#4] - 2327730 ns MR4_I 00000234 6008d1fc - 2327790 ns MR4_D 40006004 00000001 - 2327790 ns R r2 00000001 - 2327790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2327810 ns R r2 80000000 - 2327810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2327830 ns R psr 81000200 - 2327830 ns MR4_I 00000238 48054770 - 2327850 ns MR4_I 00000230 07d2684a - 2327870 ns IT 00000230 684a LDR r2,[r1,#4] - 2327890 ns MR4_I 00000234 6008d1fc - 2327950 ns MR4_D 40006004 00000001 - 2327950 ns R r2 00000001 - 2327950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2327970 ns R r2 80000000 - 2327970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2327990 ns R psr 81000200 - 2327990 ns MR4_I 00000238 48054770 - 2328010 ns MR4_I 00000230 07d2684a - 2328030 ns IT 00000230 684a LDR r2,[r1,#4] - 2328050 ns MR4_I 00000234 6008d1fc - 2328110 ns MR4_D 40006004 00000001 - 2328110 ns R r2 00000001 - 2328110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2328130 ns R r2 80000000 - 2328130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2328150 ns R psr 81000200 - 2328150 ns MR4_I 00000238 48054770 - 2328170 ns MR4_I 00000230 07d2684a - 2328190 ns IT 00000230 684a LDR r2,[r1,#4] - 2328210 ns MR4_I 00000234 6008d1fc - 2328270 ns MR4_D 40006004 00000001 - 2328270 ns R r2 00000001 - 2328270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2328290 ns R r2 80000000 - 2328290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2328310 ns R psr 81000200 - 2328310 ns MR4_I 00000238 48054770 - 2328330 ns MR4_I 00000230 07d2684a - 2328350 ns IT 00000230 684a LDR r2,[r1,#4] - 2328370 ns MR4_I 00000234 6008d1fc - 2328430 ns MR4_D 40006004 00000001 - 2328430 ns R r2 00000001 - 2328430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2328450 ns R r2 80000000 - 2328450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2328470 ns R psr 81000200 - 2328470 ns MR4_I 00000238 48054770 - 2328490 ns MR4_I 00000230 07d2684a - 2328510 ns IT 00000230 684a LDR r2,[r1,#4] - 2328530 ns MR4_I 00000234 6008d1fc - 2328590 ns MR4_D 40006004 00000001 - 2328590 ns R r2 00000001 - 2328590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2328610 ns R r2 80000000 - 2328610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2328630 ns R psr 81000200 - 2328630 ns MR4_I 00000238 48054770 - 2328650 ns MR4_I 00000230 07d2684a - 2328670 ns IT 00000230 684a LDR r2,[r1,#4] - 2328690 ns MR4_I 00000234 6008d1fc - 2328750 ns MR4_D 40006004 00000001 - 2328750 ns R r2 00000001 - 2328750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2328770 ns R r2 80000000 - 2328770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2328790 ns R psr 81000200 - 2328790 ns MR4_I 00000238 48054770 - 2328810 ns MR4_I 00000230 07d2684a - 2328830 ns IT 00000230 684a LDR r2,[r1,#4] - 2328850 ns MR4_I 00000234 6008d1fc - 2328910 ns MR4_D 40006004 00000001 - 2328910 ns R r2 00000001 - 2328910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2328930 ns R r2 80000000 - 2328930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2328950 ns R psr 81000200 - 2328950 ns MR4_I 00000238 48054770 - 2328970 ns MR4_I 00000230 07d2684a - 2328990 ns IT 00000230 684a LDR r2,[r1,#4] - 2329010 ns MR4_I 00000234 6008d1fc - 2329070 ns MR4_D 40006004 00000001 - 2329070 ns R r2 00000001 - 2329070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2329090 ns R r2 80000000 - 2329090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2329110 ns R psr 81000200 - 2329110 ns MR4_I 00000238 48054770 - 2329130 ns MR4_I 00000230 07d2684a - 2329150 ns IT 00000230 684a LDR r2,[r1,#4] - 2329170 ns MR4_I 00000234 6008d1fc - 2329230 ns MR4_D 40006004 00000001 - 2329230 ns R r2 00000001 - 2329230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2329250 ns R r2 80000000 - 2329250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2329270 ns R psr 81000200 - 2329270 ns MR4_I 00000238 48054770 - 2329290 ns MR4_I 00000230 07d2684a - 2329310 ns IT 00000230 684a LDR r2,[r1,#4] - 2329330 ns MR4_I 00000234 6008d1fc - 2329390 ns MR4_D 40006004 00000001 - 2329390 ns R r2 00000001 - 2329390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2329410 ns R r2 80000000 - 2329410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2329430 ns R psr 81000200 - 2329430 ns MR4_I 00000238 48054770 - 2329450 ns MR4_I 00000230 07d2684a - 2329470 ns IT 00000230 684a LDR r2,[r1,#4] - 2329490 ns MR4_I 00000234 6008d1fc - 2329550 ns MR4_D 40006004 00000001 - 2329550 ns R r2 00000001 - 2329550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2329570 ns R r2 80000000 - 2329570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2329590 ns R psr 81000200 - 2329590 ns MR4_I 00000238 48054770 - 2329610 ns MR4_I 00000230 07d2684a - 2329630 ns IT 00000230 684a LDR r2,[r1,#4] - 2329650 ns MR4_I 00000234 6008d1fc - 2329710 ns MR4_D 40006004 00000001 - 2329710 ns R r2 00000001 - 2329710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2329730 ns R r2 80000000 - 2329730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2329750 ns R psr 81000200 - 2329750 ns MR4_I 00000238 48054770 - 2329770 ns MR4_I 00000230 07d2684a - 2329790 ns IT 00000230 684a LDR r2,[r1,#4] - 2329810 ns MR4_I 00000234 6008d1fc - 2329870 ns MR4_D 40006004 00000001 - 2329870 ns R r2 00000001 - 2329870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2329890 ns R r2 80000000 - 2329890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2329910 ns R psr 81000200 - 2329910 ns MR4_I 00000238 48054770 - 2329930 ns MR4_I 00000230 07d2684a - 2329950 ns IT 00000230 684a LDR r2,[r1,#4] - 2329970 ns MR4_I 00000234 6008d1fc - 2330030 ns MR4_D 40006004 00000001 - 2330030 ns R r2 00000001 - 2330030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2330050 ns R r2 80000000 - 2330050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2330070 ns R psr 81000200 - 2330070 ns MR4_I 00000238 48054770 - 2330090 ns MR4_I 00000230 07d2684a - 2330110 ns IT 00000230 684a LDR r2,[r1,#4] - 2330130 ns MR4_I 00000234 6008d1fc - 2330190 ns MR4_D 40006004 00000001 - 2330190 ns R r2 00000001 - 2330190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2330210 ns R r2 80000000 - 2330210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2330230 ns R psr 81000200 - 2330230 ns MR4_I 00000238 48054770 - 2330250 ns MR4_I 00000230 07d2684a - 2330270 ns IT 00000230 684a LDR r2,[r1,#4] - 2330290 ns MR4_I 00000234 6008d1fc - 2330350 ns MR4_D 40006004 00000001 - 2330350 ns R r2 00000001 - 2330350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2330370 ns R r2 80000000 - 2330370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2330390 ns R psr 81000200 - 2330390 ns MR4_I 00000238 48054770 - 2330410 ns MR4_I 00000230 07d2684a - 2330430 ns IT 00000230 684a LDR r2,[r1,#4] - 2330450 ns MR4_I 00000234 6008d1fc - 2330510 ns MR4_D 40006004 00000001 - 2330510 ns R r2 00000001 - 2330510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2330530 ns R r2 80000000 - 2330530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2330550 ns R psr 81000200 - 2330550 ns MR4_I 00000238 48054770 - 2330570 ns MR4_I 00000230 07d2684a - 2330590 ns IT 00000230 684a LDR r2,[r1,#4] - 2330610 ns MR4_I 00000234 6008d1fc - 2330670 ns MR4_D 40006004 00000001 - 2330670 ns R r2 00000001 - 2330670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2330690 ns R r2 80000000 - 2330690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2330710 ns R psr 81000200 - 2330710 ns MR4_I 00000238 48054770 - 2330730 ns MR4_I 00000230 07d2684a - 2330750 ns IT 00000230 684a LDR r2,[r1,#4] - 2330770 ns MR4_I 00000234 6008d1fc - 2330830 ns MR4_D 40006004 00000001 - 2330830 ns R r2 00000001 - 2330830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2330850 ns R r2 80000000 - 2330850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2330870 ns R psr 81000200 - 2330870 ns MR4_I 00000238 48054770 - 2330890 ns MR4_I 00000230 07d2684a - 2330910 ns IT 00000230 684a LDR r2,[r1,#4] - 2330930 ns MR4_I 00000234 6008d1fc - 2330990 ns MR4_D 40006004 00000001 - 2330990 ns R r2 00000001 - 2330990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2331010 ns R r2 80000000 - 2331010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2331030 ns R psr 81000200 - 2331030 ns MR4_I 00000238 48054770 - 2331050 ns MR4_I 00000230 07d2684a - 2331070 ns IT 00000230 684a LDR r2,[r1,#4] - 2331090 ns MR4_I 00000234 6008d1fc - 2331150 ns MR4_D 40006004 00000001 - 2331150 ns R r2 00000001 - 2331150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2331170 ns R r2 80000000 - 2331170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2331190 ns R psr 81000200 - 2331190 ns MR4_I 00000238 48054770 - 2331210 ns MR4_I 00000230 07d2684a - 2331230 ns IT 00000230 684a LDR r2,[r1,#4] - 2331250 ns MR4_I 00000234 6008d1fc - 2331310 ns MR4_D 40006004 00000001 - 2331310 ns R r2 00000001 - 2331310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2331330 ns R r2 80000000 - 2331330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2331350 ns R psr 81000200 - 2331350 ns MR4_I 00000238 48054770 - 2331370 ns MR4_I 00000230 07d2684a - 2331390 ns IT 00000230 684a LDR r2,[r1,#4] - 2331410 ns MR4_I 00000234 6008d1fc - 2331470 ns MR4_D 40006004 00000001 - 2331470 ns R r2 00000001 - 2331470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2331490 ns R r2 80000000 - 2331490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2331510 ns R psr 81000200 - 2331510 ns MR4_I 00000238 48054770 - 2331530 ns MR4_I 00000230 07d2684a - 2331550 ns IT 00000230 684a LDR r2,[r1,#4] - 2331570 ns MR4_I 00000234 6008d1fc - 2331630 ns MR4_D 40006004 00000001 - 2331630 ns R r2 00000001 - 2331630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2331650 ns R r2 80000000 - 2331650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2331670 ns R psr 81000200 - 2331670 ns MR4_I 00000238 48054770 - 2331690 ns MR4_I 00000230 07d2684a - 2331710 ns IT 00000230 684a LDR r2,[r1,#4] - 2331730 ns MR4_I 00000234 6008d1fc - 2331790 ns MR4_D 40006004 00000001 - 2331790 ns R r2 00000001 - 2331790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2331810 ns R r2 80000000 - 2331810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2331830 ns R psr 81000200 - 2331830 ns MR4_I 00000238 48054770 - 2331850 ns MR4_I 00000230 07d2684a - 2331870 ns IT 00000230 684a LDR r2,[r1,#4] - 2331890 ns MR4_I 00000234 6008d1fc - 2331950 ns MR4_D 40006004 00000001 - 2331950 ns R r2 00000001 - 2331950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2331970 ns R r2 80000000 - 2331970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2331990 ns R psr 81000200 - 2331990 ns MR4_I 00000238 48054770 - 2332010 ns MR4_I 00000230 07d2684a - 2332030 ns IT 00000230 684a LDR r2,[r1,#4] - 2332050 ns MR4_I 00000234 6008d1fc - 2332110 ns MR4_D 40006004 00000001 - 2332110 ns R r2 00000001 - 2332110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2332130 ns R r2 80000000 - 2332130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2332150 ns R psr 81000200 - 2332150 ns MR4_I 00000238 48054770 - 2332170 ns MR4_I 00000230 07d2684a - 2332190 ns IT 00000230 684a LDR r2,[r1,#4] - 2332210 ns MR4_I 00000234 6008d1fc - 2332270 ns MR4_D 40006004 00000001 - 2332270 ns R r2 00000001 - 2332270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2332290 ns R r2 80000000 - 2332290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2332310 ns R psr 81000200 - 2332310 ns MR4_I 00000238 48054770 - 2332330 ns MR4_I 00000230 07d2684a - 2332350 ns IT 00000230 684a LDR r2,[r1,#4] - 2332370 ns MR4_I 00000234 6008d1fc - 2332430 ns MR4_D 40006004 00000001 - 2332430 ns R r2 00000001 - 2332430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2332450 ns R r2 80000000 - 2332450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2332470 ns R psr 81000200 - 2332470 ns MR4_I 00000238 48054770 - 2332490 ns MR4_I 00000230 07d2684a - 2332510 ns IT 00000230 684a LDR r2,[r1,#4] - 2332530 ns MR4_I 00000234 6008d1fc - 2332590 ns MR4_D 40006004 00000001 - 2332590 ns R r2 00000001 - 2332590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2332610 ns R r2 80000000 - 2332610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2332630 ns R psr 81000200 - 2332630 ns MR4_I 00000238 48054770 - 2332650 ns MR4_I 00000230 07d2684a - 2332670 ns IT 00000230 684a LDR r2,[r1,#4] - 2332690 ns MR4_I 00000234 6008d1fc - 2332750 ns MR4_D 40006004 00000001 - 2332750 ns R r2 00000001 - 2332750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2332770 ns R r2 80000000 - 2332770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2332790 ns R psr 81000200 - 2332790 ns MR4_I 00000238 48054770 - 2332810 ns MR4_I 00000230 07d2684a - 2332830 ns IT 00000230 684a LDR r2,[r1,#4] - 2332850 ns MR4_I 00000234 6008d1fc - 2332910 ns MR4_D 40006004 00000001 - 2332910 ns R r2 00000001 - 2332910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2332930 ns R r2 80000000 - 2332930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2332950 ns R psr 81000200 - 2332950 ns MR4_I 00000238 48054770 - 2332970 ns MR4_I 00000230 07d2684a - 2332990 ns IT 00000230 684a LDR r2,[r1,#4] - 2333010 ns MR4_I 00000234 6008d1fc - 2333070 ns MR4_D 40006004 00000001 - 2333070 ns R r2 00000001 - 2333070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2333090 ns R r2 80000000 - 2333090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2333110 ns R psr 81000200 - 2333110 ns MR4_I 00000238 48054770 - 2333130 ns MR4_I 00000230 07d2684a - 2333150 ns IT 00000230 684a LDR r2,[r1,#4] - 2333170 ns MR4_I 00000234 6008d1fc - 2333230 ns MR4_D 40006004 00000001 - 2333230 ns R r2 00000001 - 2333230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2333250 ns R r2 80000000 - 2333250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2333270 ns R psr 81000200 - 2333270 ns MR4_I 00000238 48054770 - 2333290 ns MR4_I 00000230 07d2684a - 2333310 ns IT 00000230 684a LDR r2,[r1,#4] - 2333330 ns MR4_I 00000234 6008d1fc - 2333390 ns MR4_D 40006004 00000001 - 2333390 ns R r2 00000001 - 2333390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2333410 ns R r2 80000000 - 2333410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2333430 ns R psr 81000200 - 2333430 ns MR4_I 00000238 48054770 - 2333450 ns MR4_I 00000230 07d2684a - 2333470 ns IT 00000230 684a LDR r2,[r1,#4] - 2333490 ns MR4_I 00000234 6008d1fc - 2333550 ns MR4_D 40006004 00000001 - 2333550 ns R r2 00000001 - 2333550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2333570 ns R r2 80000000 - 2333570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2333590 ns R psr 81000200 - 2333590 ns MR4_I 00000238 48054770 - 2333610 ns MR4_I 00000230 07d2684a - 2333630 ns IT 00000230 684a LDR r2,[r1,#4] - 2333650 ns MR4_I 00000234 6008d1fc - 2333710 ns MR4_D 40006004 00000001 - 2333710 ns R r2 00000001 - 2333710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2333730 ns R r2 80000000 - 2333730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2333750 ns R psr 81000200 - 2333750 ns MR4_I 00000238 48054770 - 2333770 ns MR4_I 00000230 07d2684a - 2333790 ns IT 00000230 684a LDR r2,[r1,#4] - 2333810 ns MR4_I 00000234 6008d1fc - 2333870 ns MR4_D 40006004 00000001 - 2333870 ns R r2 00000001 - 2333870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2333890 ns R r2 80000000 - 2333890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2333910 ns R psr 81000200 - 2333910 ns MR4_I 00000238 48054770 - 2333930 ns MR4_I 00000230 07d2684a - 2333950 ns IT 00000230 684a LDR r2,[r1,#4] - 2333970 ns MR4_I 00000234 6008d1fc - 2334030 ns MR4_D 40006004 00000001 - 2334030 ns R r2 00000001 - 2334030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2334050 ns R r2 80000000 - 2334050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2334070 ns R psr 81000200 - 2334070 ns MR4_I 00000238 48054770 - 2334090 ns MR4_I 00000230 07d2684a - 2334110 ns IT 00000230 684a LDR r2,[r1,#4] - 2334130 ns MR4_I 00000234 6008d1fc - 2334190 ns MR4_D 40006004 00000001 - 2334190 ns R r2 00000001 - 2334190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2334210 ns R r2 80000000 - 2334210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2334230 ns R psr 81000200 - 2334230 ns MR4_I 00000238 48054770 - 2334250 ns MR4_I 00000230 07d2684a - 2334270 ns IT 00000230 684a LDR r2,[r1,#4] - 2334290 ns MR4_I 00000234 6008d1fc - 2334350 ns MR4_D 40006004 00000001 - 2334350 ns R r2 00000001 - 2334350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2334370 ns R r2 80000000 - 2334370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2334390 ns R psr 81000200 - 2334390 ns MR4_I 00000238 48054770 - 2334410 ns MR4_I 00000230 07d2684a - 2334430 ns IT 00000230 684a LDR r2,[r1,#4] - 2334450 ns MR4_I 00000234 6008d1fc - 2334510 ns MR4_D 40006004 00000001 - 2334510 ns R r2 00000001 - 2334510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2334530 ns R r2 80000000 - 2334530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2334550 ns R psr 81000200 - 2334550 ns MR4_I 00000238 48054770 - 2334570 ns MR4_I 00000230 07d2684a - 2334590 ns IT 00000230 684a LDR r2,[r1,#4] - 2334610 ns MR4_I 00000234 6008d1fc - 2334670 ns MR4_D 40006004 00000001 - 2334670 ns R r2 00000001 - 2334670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2334690 ns R r2 80000000 - 2334690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2334710 ns R psr 81000200 - 2334710 ns MR4_I 00000238 48054770 - 2334730 ns MR4_I 00000230 07d2684a - 2334750 ns IT 00000230 684a LDR r2,[r1,#4] - 2334770 ns MR4_I 00000234 6008d1fc - 2334830 ns MR4_D 40006004 00000001 - 2334830 ns R r2 00000001 - 2334830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2334850 ns R r2 80000000 - 2334850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2334870 ns R psr 81000200 - 2334870 ns MR4_I 00000238 48054770 - 2334890 ns MR4_I 00000230 07d2684a - 2334910 ns IT 00000230 684a LDR r2,[r1,#4] - 2334930 ns MR4_I 00000234 6008d1fc - 2334990 ns MR4_D 40006004 00000001 - 2334990 ns R r2 00000001 - 2334990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2335010 ns R r2 80000000 - 2335010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2335030 ns R psr 81000200 - 2335030 ns MR4_I 00000238 48054770 - 2335050 ns MR4_I 00000230 07d2684a - 2335070 ns IT 00000230 684a LDR r2,[r1,#4] - 2335090 ns MR4_I 00000234 6008d1fc - 2335150 ns MR4_D 40006004 00000001 - 2335150 ns R r2 00000001 - 2335150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2335170 ns R r2 80000000 - 2335170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2335190 ns R psr 81000200 - 2335190 ns MR4_I 00000238 48054770 - 2335210 ns MR4_I 00000230 07d2684a - 2335230 ns IT 00000230 684a LDR r2,[r1,#4] - 2335250 ns MR4_I 00000234 6008d1fc - 2335310 ns MR4_D 40006004 00000001 - 2335310 ns R r2 00000001 - 2335310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2335330 ns R r2 80000000 - 2335330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2335350 ns R psr 81000200 - 2335350 ns MR4_I 00000238 48054770 - 2335370 ns MR4_I 00000230 07d2684a - 2335390 ns IT 00000230 684a LDR r2,[r1,#4] - 2335410 ns MR4_I 00000234 6008d1fc - 2335470 ns MR4_D 40006004 00000001 - 2335470 ns R r2 00000001 - 2335470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2335490 ns R r2 80000000 - 2335490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2335510 ns R psr 81000200 - 2335510 ns MR4_I 00000238 48054770 - 2335530 ns MR4_I 00000230 07d2684a - 2335550 ns IT 00000230 684a LDR r2,[r1,#4] - 2335570 ns MR4_I 00000234 6008d1fc - 2335630 ns MR4_D 40006004 00000001 - 2335630 ns R r2 00000001 - 2335630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2335650 ns R r2 80000000 - 2335650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2335670 ns R psr 81000200 - 2335670 ns MR4_I 00000238 48054770 - 2335690 ns MR4_I 00000230 07d2684a - 2335710 ns IT 00000230 684a LDR r2,[r1,#4] - 2335730 ns MR4_I 00000234 6008d1fc - 2335790 ns MR4_D 40006004 00000001 - 2335790 ns R r2 00000001 - 2335790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2335810 ns R r2 80000000 - 2335810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2335830 ns R psr 81000200 - 2335830 ns MR4_I 00000238 48054770 - 2335850 ns MR4_I 00000230 07d2684a - 2335870 ns IT 00000230 684a LDR r2,[r1,#4] - 2335890 ns MR4_I 00000234 6008d1fc - 2335950 ns MR4_D 40006004 00000001 - 2335950 ns R r2 00000001 - 2335950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2335970 ns R r2 80000000 - 2335970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2335990 ns R psr 81000200 - 2335990 ns MR4_I 00000238 48054770 - 2336010 ns MR4_I 00000230 07d2684a - 2336030 ns IT 00000230 684a LDR r2,[r1,#4] - 2336050 ns MR4_I 00000234 6008d1fc - 2336110 ns MR4_D 40006004 00000001 - 2336110 ns R r2 00000001 - 2336110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2336130 ns R r2 80000000 - 2336130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2336150 ns R psr 81000200 - 2336150 ns MR4_I 00000238 48054770 - 2336170 ns MR4_I 00000230 07d2684a - 2336190 ns IT 00000230 684a LDR r2,[r1,#4] - 2336210 ns MR4_I 00000234 6008d1fc - 2336270 ns MR4_D 40006004 00000001 - 2336270 ns R r2 00000001 - 2336270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2336290 ns R r2 80000000 - 2336290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2336310 ns R psr 81000200 - 2336310 ns MR4_I 00000238 48054770 - 2336330 ns MR4_I 00000230 07d2684a - 2336350 ns IT 00000230 684a LDR r2,[r1,#4] - 2336370 ns MR4_I 00000234 6008d1fc - 2336430 ns MR4_D 40006004 00000001 - 2336430 ns R r2 00000001 - 2336430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2336450 ns R r2 80000000 - 2336450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2336470 ns R psr 81000200 - 2336470 ns MR4_I 00000238 48054770 - 2336490 ns MR4_I 00000230 07d2684a - 2336510 ns IT 00000230 684a LDR r2,[r1,#4] - 2336530 ns MR4_I 00000234 6008d1fc - 2336590 ns MR4_D 40006004 00000001 - 2336590 ns R r2 00000001 - 2336590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2336610 ns R r2 80000000 - 2336610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2336630 ns R psr 81000200 - 2336630 ns MR4_I 00000238 48054770 - 2336650 ns MR4_I 00000230 07d2684a - 2336670 ns IT 00000230 684a LDR r2,[r1,#4] - 2336690 ns MR4_I 00000234 6008d1fc - 2336750 ns MR4_D 40006004 00000001 - 2336750 ns R r2 00000001 - 2336750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2336770 ns R r2 80000000 - 2336770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2336790 ns R psr 81000200 - 2336790 ns MR4_I 00000238 48054770 - 2336810 ns MR4_I 00000230 07d2684a - 2336830 ns IT 00000230 684a LDR r2,[r1,#4] - 2336850 ns MR4_I 00000234 6008d1fc - 2336910 ns MR4_D 40006004 00000001 - 2336910 ns R r2 00000001 - 2336910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2336930 ns R r2 80000000 - 2336930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2336950 ns R psr 81000200 - 2336950 ns MR4_I 00000238 48054770 - 2336970 ns MR4_I 00000230 07d2684a - 2336990 ns IT 00000230 684a LDR r2,[r1,#4] - 2337010 ns MR4_I 00000234 6008d1fc - 2337070 ns MR4_D 40006004 00000001 - 2337070 ns R r2 00000001 - 2337070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2337090 ns R r2 80000000 - 2337090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2337110 ns R psr 81000200 - 2337110 ns MR4_I 00000238 48054770 - 2337130 ns MR4_I 00000230 07d2684a - 2337150 ns IT 00000230 684a LDR r2,[r1,#4] - 2337170 ns MR4_I 00000234 6008d1fc - 2337230 ns MR4_D 40006004 00000001 - 2337230 ns R r2 00000001 - 2337230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2337250 ns R r2 80000000 - 2337250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2337270 ns R psr 81000200 - 2337270 ns MR4_I 00000238 48054770 - 2337290 ns MR4_I 00000230 07d2684a - 2337310 ns IT 00000230 684a LDR r2,[r1,#4] - 2337330 ns MR4_I 00000234 6008d1fc - 2337390 ns MR4_D 40006004 00000001 - 2337390 ns R r2 00000001 - 2337390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2337410 ns R r2 80000000 - 2337410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2337430 ns R psr 81000200 - 2337430 ns MR4_I 00000238 48054770 - 2337450 ns MR4_I 00000230 07d2684a - 2337470 ns IT 00000230 684a LDR r2,[r1,#4] - 2337490 ns MR4_I 00000234 6008d1fc - 2337550 ns MR4_D 40006004 00000001 - 2337550 ns R r2 00000001 - 2337550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2337570 ns R r2 80000000 - 2337570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2337590 ns R psr 81000200 - 2337590 ns MR4_I 00000238 48054770 - 2337610 ns MR4_I 00000230 07d2684a - 2337630 ns IT 00000230 684a LDR r2,[r1,#4] - 2337650 ns MR4_I 00000234 6008d1fc - 2337710 ns MR4_D 40006004 00000001 - 2337710 ns R r2 00000001 - 2337710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2337730 ns R r2 80000000 - 2337730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2337750 ns R psr 81000200 - 2337750 ns MR4_I 00000238 48054770 - 2337770 ns MR4_I 00000230 07d2684a - 2337790 ns IT 00000230 684a LDR r2,[r1,#4] - 2337810 ns MR4_I 00000234 6008d1fc - 2337870 ns MR4_D 40006004 00000001 - 2337870 ns R r2 00000001 - 2337870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2337890 ns R r2 80000000 - 2337890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2337910 ns R psr 81000200 - 2337910 ns MR4_I 00000238 48054770 - 2337930 ns MR4_I 00000230 07d2684a - 2337950 ns IT 00000230 684a LDR r2,[r1,#4] - 2337970 ns MR4_I 00000234 6008d1fc - 2338030 ns MR4_D 40006004 00000001 - 2338030 ns R r2 00000001 - 2338030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2338050 ns R r2 80000000 - 2338050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2338070 ns R psr 81000200 - 2338070 ns MR4_I 00000238 48054770 - 2338090 ns MR4_I 00000230 07d2684a - 2338110 ns IT 00000230 684a LDR r2,[r1,#4] - 2338130 ns MR4_I 00000234 6008d1fc - 2338190 ns MR4_D 40006004 00000001 - 2338190 ns R r2 00000001 - 2338190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2338210 ns R r2 80000000 - 2338210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2338230 ns R psr 81000200 - 2338230 ns MR4_I 00000238 48054770 - 2338250 ns MR4_I 00000230 07d2684a - 2338270 ns IT 00000230 684a LDR r2,[r1,#4] - 2338290 ns MR4_I 00000234 6008d1fc - 2338350 ns MR4_D 40006004 00000001 - 2338350 ns R r2 00000001 - 2338350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2338370 ns R r2 80000000 - 2338370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2338390 ns R psr 81000200 - 2338390 ns MR4_I 00000238 48054770 - 2338410 ns MR4_I 00000230 07d2684a - 2338430 ns IT 00000230 684a LDR r2,[r1,#4] - 2338450 ns MR4_I 00000234 6008d1fc - 2338510 ns MR4_D 40006004 00000001 - 2338510 ns R r2 00000001 - 2338510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2338530 ns R r2 80000000 - 2338530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2338550 ns R psr 81000200 - 2338550 ns MR4_I 00000238 48054770 - 2338570 ns MR4_I 00000230 07d2684a - 2338590 ns IT 00000230 684a LDR r2,[r1,#4] - 2338610 ns MR4_I 00000234 6008d1fc - 2338670 ns MR4_D 40006004 00000001 - 2338670 ns R r2 00000001 - 2338670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2338690 ns R r2 80000000 - 2338690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2338710 ns R psr 81000200 - 2338710 ns MR4_I 00000238 48054770 - 2338730 ns MR4_I 00000230 07d2684a - 2338750 ns IT 00000230 684a LDR r2,[r1,#4] - 2338770 ns MR4_I 00000234 6008d1fc - 2338830 ns MR4_D 40006004 00000001 - 2338830 ns R r2 00000001 - 2338830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2338850 ns R r2 80000000 - 2338850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2338870 ns R psr 81000200 - 2338870 ns MR4_I 00000238 48054770 - 2338890 ns MR4_I 00000230 07d2684a - 2338910 ns IT 00000230 684a LDR r2,[r1,#4] - 2338930 ns MR4_I 00000234 6008d1fc - 2338990 ns MR4_D 40006004 00000001 - 2338990 ns R r2 00000001 - 2338990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2339010 ns R r2 80000000 - 2339010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2339030 ns R psr 81000200 - 2339030 ns MR4_I 00000238 48054770 - 2339050 ns MR4_I 00000230 07d2684a - 2339070 ns IT 00000230 684a LDR r2,[r1,#4] - 2339090 ns MR4_I 00000234 6008d1fc - 2339150 ns MR4_D 40006004 00000001 - 2339150 ns R r2 00000001 - 2339150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2339170 ns R r2 80000000 - 2339170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2339190 ns R psr 81000200 - 2339190 ns MR4_I 00000238 48054770 - 2339210 ns MR4_I 00000230 07d2684a - 2339230 ns IT 00000230 684a LDR r2,[r1,#4] - 2339250 ns MR4_I 00000234 6008d1fc - 2339310 ns MR4_D 40006004 00000001 - 2339310 ns R r2 00000001 - 2339310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2339330 ns R r2 80000000 - 2339330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2339350 ns R psr 81000200 - 2339350 ns MR4_I 00000238 48054770 - 2339370 ns MR4_I 00000230 07d2684a - 2339390 ns IT 00000230 684a LDR r2,[r1,#4] - 2339410 ns MR4_I 00000234 6008d1fc - 2339470 ns MR4_D 40006004 00000001 - 2339470 ns R r2 00000001 - 2339470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2339490 ns R r2 80000000 - 2339490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2339510 ns R psr 81000200 - 2339510 ns MR4_I 00000238 48054770 - 2339530 ns MR4_I 00000230 07d2684a - 2339550 ns IT 00000230 684a LDR r2,[r1,#4] - 2339570 ns MR4_I 00000234 6008d1fc - 2339630 ns MR4_D 40006004 00000001 - 2339630 ns R r2 00000001 - 2339630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2339650 ns R r2 80000000 - 2339650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2339670 ns R psr 81000200 - 2339670 ns MR4_I 00000238 48054770 - 2339690 ns MR4_I 00000230 07d2684a - 2339710 ns IT 00000230 684a LDR r2,[r1,#4] - 2339730 ns MR4_I 00000234 6008d1fc - 2339790 ns MR4_D 40006004 00000001 - 2339790 ns R r2 00000001 - 2339790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2339810 ns R r2 80000000 - 2339810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2339830 ns R psr 81000200 - 2339830 ns MR4_I 00000238 48054770 - 2339850 ns MR4_I 00000230 07d2684a - 2339870 ns IT 00000230 684a LDR r2,[r1,#4] - 2339890 ns MR4_I 00000234 6008d1fc - 2339950 ns MR4_D 40006004 00000001 - 2339950 ns R r2 00000001 - 2339950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2339970 ns R r2 80000000 - 2339970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2339990 ns R psr 81000200 - 2339990 ns MR4_I 00000238 48054770 - 2340010 ns MR4_I 00000230 07d2684a - 2340030 ns IT 00000230 684a LDR r2,[r1,#4] - 2340050 ns MR4_I 00000234 6008d1fc - 2340110 ns MR4_D 40006004 00000001 - 2340110 ns R r2 00000001 - 2340110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2340130 ns R r2 80000000 - 2340130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2340150 ns R psr 81000200 - 2340150 ns MR4_I 00000238 48054770 - 2340170 ns MR4_I 00000230 07d2684a - 2340190 ns IT 00000230 684a LDR r2,[r1,#4] - 2340210 ns MR4_I 00000234 6008d1fc - 2340270 ns MR4_D 40006004 00000001 - 2340270 ns R r2 00000001 - 2340270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2340290 ns R r2 80000000 - 2340290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2340310 ns R psr 81000200 - 2340310 ns MR4_I 00000238 48054770 - 2340330 ns MR4_I 00000230 07d2684a - 2340350 ns IT 00000230 684a LDR r2,[r1,#4] - 2340370 ns MR4_I 00000234 6008d1fc - 2340430 ns MR4_D 40006004 00000001 - 2340430 ns R r2 00000001 - 2340430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2340450 ns R r2 80000000 - 2340450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2340470 ns R psr 81000200 - 2340470 ns MR4_I 00000238 48054770 - 2340490 ns MR4_I 00000230 07d2684a - 2340510 ns IT 00000230 684a LDR r2,[r1,#4] - 2340530 ns MR4_I 00000234 6008d1fc - 2340590 ns MR4_D 40006004 00000001 - 2340590 ns R r2 00000001 - 2340590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2340610 ns R r2 80000000 - 2340610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2340630 ns R psr 81000200 - 2340630 ns MR4_I 00000238 48054770 - 2340650 ns MR4_I 00000230 07d2684a - 2340670 ns IT 00000230 684a LDR r2,[r1,#4] - 2340690 ns MR4_I 00000234 6008d1fc - 2340750 ns MR4_D 40006004 00000001 - 2340750 ns R r2 00000001 - 2340750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2340770 ns R r2 80000000 - 2340770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2340790 ns R psr 81000200 - 2340790 ns MR4_I 00000238 48054770 - 2340810 ns MR4_I 00000230 07d2684a - 2340830 ns IT 00000230 684a LDR r2,[r1,#4] - 2340850 ns MR4_I 00000234 6008d1fc - 2340910 ns MR4_D 40006004 00000001 - 2340910 ns R r2 00000001 - 2340910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2340930 ns R r2 80000000 - 2340930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2340950 ns R psr 81000200 - 2340950 ns MR4_I 00000238 48054770 - 2340970 ns MR4_I 00000230 07d2684a - 2340990 ns IT 00000230 684a LDR r2,[r1,#4] - 2341010 ns MR4_I 00000234 6008d1fc - 2341070 ns MR4_D 40006004 00000001 - 2341070 ns R r2 00000001 - 2341070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2341090 ns R r2 80000000 - 2341090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2341110 ns R psr 81000200 - 2341110 ns MR4_I 00000238 48054770 - 2341130 ns MR4_I 00000230 07d2684a - 2341150 ns IT 00000230 684a LDR r2,[r1,#4] - 2341170 ns MR4_I 00000234 6008d1fc - 2341230 ns MR4_D 40006004 00000001 - 2341230 ns R r2 00000001 - 2341230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2341250 ns R r2 80000000 - 2341250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2341270 ns R psr 81000200 - 2341270 ns MR4_I 00000238 48054770 - 2341290 ns MR4_I 00000230 07d2684a - 2341310 ns IT 00000230 684a LDR r2,[r1,#4] - 2341330 ns MR4_I 00000234 6008d1fc - 2341390 ns MR4_D 40006004 00000001 - 2341390 ns R r2 00000001 - 2341390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2341410 ns R r2 80000000 - 2341410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2341430 ns R psr 81000200 - 2341430 ns MR4_I 00000238 48054770 - 2341450 ns MR4_I 00000230 07d2684a - 2341470 ns IT 00000230 684a LDR r2,[r1,#4] - 2341490 ns MR4_I 00000234 6008d1fc - 2341550 ns MR4_D 40006004 00000001 - 2341550 ns R r2 00000001 - 2341550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2341570 ns R r2 80000000 - 2341570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2341590 ns R psr 81000200 - 2341590 ns MR4_I 00000238 48054770 - 2341610 ns MR4_I 00000230 07d2684a - 2341630 ns IT 00000230 684a LDR r2,[r1,#4] - 2341650 ns MR4_I 00000234 6008d1fc - 2341710 ns MR4_D 40006004 00000001 - 2341710 ns R r2 00000001 - 2341710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2341730 ns R r2 80000000 - 2341730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2341750 ns R psr 81000200 - 2341750 ns MR4_I 00000238 48054770 - 2341770 ns MR4_I 00000230 07d2684a - 2341790 ns IT 00000230 684a LDR r2,[r1,#4] - 2341810 ns MR4_I 00000234 6008d1fc - 2341870 ns MR4_D 40006004 00000001 - 2341870 ns R r2 00000001 - 2341870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2341890 ns R r2 80000000 - 2341890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2341910 ns R psr 81000200 - 2341910 ns MR4_I 00000238 48054770 - 2341930 ns MR4_I 00000230 07d2684a - 2341950 ns IT 00000230 684a LDR r2,[r1,#4] - 2341970 ns MR4_I 00000234 6008d1fc - 2342030 ns MR4_D 40006004 00000001 - 2342030 ns R r2 00000001 - 2342030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2342050 ns R r2 80000000 - 2342050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2342070 ns R psr 81000200 - 2342070 ns MR4_I 00000238 48054770 - 2342090 ns MR4_I 00000230 07d2684a - 2342110 ns IT 00000230 684a LDR r2,[r1,#4] - 2342130 ns MR4_I 00000234 6008d1fc - 2342190 ns MR4_D 40006004 00000001 - 2342190 ns R r2 00000001 - 2342190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2342210 ns R r2 80000000 - 2342210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2342230 ns R psr 81000200 - 2342230 ns MR4_I 00000238 48054770 - 2342250 ns MR4_I 00000230 07d2684a - 2342270 ns IT 00000230 684a LDR r2,[r1,#4] - 2342290 ns MR4_I 00000234 6008d1fc - 2342350 ns MR4_D 40006004 00000001 - 2342350 ns R r2 00000001 - 2342350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2342370 ns R r2 80000000 - 2342370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2342390 ns R psr 81000200 - 2342390 ns MR4_I 00000238 48054770 - 2342410 ns MR4_I 00000230 07d2684a - 2342430 ns IT 00000230 684a LDR r2,[r1,#4] - 2342450 ns MR4_I 00000234 6008d1fc - 2342510 ns MR4_D 40006004 00000001 - 2342510 ns R r2 00000001 - 2342510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2342530 ns R r2 80000000 - 2342530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2342550 ns R psr 81000200 - 2342550 ns MR4_I 00000238 48054770 - 2342570 ns MR4_I 00000230 07d2684a - 2342590 ns IT 00000230 684a LDR r2,[r1,#4] - 2342610 ns MR4_I 00000234 6008d1fc - 2342670 ns MR4_D 40006004 00000001 - 2342670 ns R r2 00000001 - 2342670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2342690 ns R r2 80000000 - 2342690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2342710 ns R psr 81000200 - 2342710 ns MR4_I 00000238 48054770 - 2342730 ns MR4_I 00000230 07d2684a - 2342750 ns IT 00000230 684a LDR r2,[r1,#4] - 2342770 ns MR4_I 00000234 6008d1fc - 2342830 ns MR4_D 40006004 00000001 - 2342830 ns R r2 00000001 - 2342830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2342850 ns R r2 80000000 - 2342850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2342870 ns R psr 81000200 - 2342870 ns MR4_I 00000238 48054770 - 2342890 ns MR4_I 00000230 07d2684a - 2342910 ns IT 00000230 684a LDR r2,[r1,#4] - 2342930 ns MR4_I 00000234 6008d1fc - 2342990 ns MR4_D 40006004 00000001 - 2342990 ns R r2 00000001 - 2342990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2343010 ns R r2 80000000 - 2343010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2343030 ns R psr 81000200 - 2343030 ns MR4_I 00000238 48054770 - 2343050 ns MR4_I 00000230 07d2684a - 2343070 ns IT 00000230 684a LDR r2,[r1,#4] - 2343090 ns MR4_I 00000234 6008d1fc - 2343150 ns MR4_D 40006004 00000001 - 2343150 ns R r2 00000001 - 2343150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2343170 ns R r2 80000000 - 2343170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2343190 ns R psr 81000200 - 2343190 ns MR4_I 00000238 48054770 - 2343210 ns MR4_I 00000230 07d2684a - 2343230 ns IT 00000230 684a LDR r2,[r1,#4] - 2343250 ns MR4_I 00000234 6008d1fc - 2343310 ns MR4_D 40006004 00000001 - 2343310 ns R r2 00000001 - 2343310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2343330 ns R r2 80000000 - 2343330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2343350 ns R psr 81000200 - 2343350 ns MR4_I 00000238 48054770 - 2343370 ns MR4_I 00000230 07d2684a - 2343390 ns IT 00000230 684a LDR r2,[r1,#4] - 2343410 ns MR4_I 00000234 6008d1fc - 2343470 ns MR4_D 40006004 00000001 - 2343470 ns R r2 00000001 - 2343470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2343490 ns R r2 80000000 - 2343490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2343510 ns R psr 81000200 - 2343510 ns MR4_I 00000238 48054770 - 2343530 ns MR4_I 00000230 07d2684a - 2343550 ns IT 00000230 684a LDR r2,[r1,#4] - 2343570 ns MR4_I 00000234 6008d1fc - 2343630 ns MR4_D 40006004 00000001 - 2343630 ns R r2 00000001 - 2343630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2343650 ns R r2 80000000 - 2343650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2343670 ns R psr 81000200 - 2343670 ns MR4_I 00000238 48054770 - 2343690 ns MR4_I 00000230 07d2684a - 2343710 ns IT 00000230 684a LDR r2,[r1,#4] - 2343730 ns MR4_I 00000234 6008d1fc - 2343790 ns MR4_D 40006004 00000001 - 2343790 ns R r2 00000001 - 2343790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2343810 ns R r2 80000000 - 2343810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2343830 ns R psr 81000200 - 2343830 ns MR4_I 00000238 48054770 - 2343850 ns MR4_I 00000230 07d2684a - 2343870 ns IT 00000230 684a LDR r2,[r1,#4] - 2343890 ns MR4_I 00000234 6008d1fc - 2343950 ns MR4_D 40006004 00000001 - 2343950 ns R r2 00000001 - 2343950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2343970 ns R r2 80000000 - 2343970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2343990 ns R psr 81000200 - 2343990 ns MR4_I 00000238 48054770 - 2344010 ns MR4_I 00000230 07d2684a - 2344030 ns IT 00000230 684a LDR r2,[r1,#4] - 2344050 ns MR4_I 00000234 6008d1fc - 2344110 ns MR4_D 40006004 00000001 - 2344110 ns R r2 00000001 - 2344110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2344130 ns R r2 80000000 - 2344130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2344150 ns R psr 81000200 - 2344150 ns MR4_I 00000238 48054770 - 2344170 ns MR4_I 00000230 07d2684a - 2344190 ns IT 00000230 684a LDR r2,[r1,#4] - 2344210 ns MR4_I 00000234 6008d1fc - 2344270 ns MR4_D 40006004 00000001 - 2344270 ns R r2 00000001 - 2344270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2344290 ns R r2 80000000 - 2344290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2344310 ns R psr 81000200 - 2344310 ns MR4_I 00000238 48054770 - 2344330 ns MR4_I 00000230 07d2684a - 2344350 ns IT 00000230 684a LDR r2,[r1,#4] - 2344370 ns MR4_I 00000234 6008d1fc - 2344430 ns MR4_D 40006004 00000001 - 2344430 ns R r2 00000001 - 2344430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2344450 ns R r2 80000000 - 2344450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2344470 ns R psr 81000200 - 2344470 ns MR4_I 00000238 48054770 - 2344490 ns MR4_I 00000230 07d2684a - 2344510 ns IT 00000230 684a LDR r2,[r1,#4] - 2344530 ns MR4_I 00000234 6008d1fc - 2344590 ns MR4_D 40006004 00000001 - 2344590 ns R r2 00000001 - 2344590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2344610 ns R r2 80000000 - 2344610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2344630 ns R psr 81000200 - 2344630 ns MR4_I 00000238 48054770 - 2344650 ns MR4_I 00000230 07d2684a - 2344670 ns IT 00000230 684a LDR r2,[r1,#4] - 2344690 ns MR4_I 00000234 6008d1fc - 2344750 ns MR4_D 40006004 00000001 - 2344750 ns R r2 00000001 - 2344750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2344770 ns R r2 80000000 - 2344770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2344790 ns R psr 81000200 - 2344790 ns MR4_I 00000238 48054770 - 2344810 ns MR4_I 00000230 07d2684a - 2344830 ns IT 00000230 684a LDR r2,[r1,#4] - 2344850 ns MR4_I 00000234 6008d1fc - 2344910 ns MR4_D 40006004 00000001 - 2344910 ns R r2 00000001 - 2344910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2344930 ns R r2 80000000 - 2344930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2344950 ns R psr 81000200 - 2344950 ns MR4_I 00000238 48054770 - 2344970 ns MR4_I 00000230 07d2684a - 2344990 ns IT 00000230 684a LDR r2,[r1,#4] - 2345010 ns MR4_I 00000234 6008d1fc - 2345070 ns MR4_D 40006004 00000001 - 2345070 ns R r2 00000001 - 2345070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2345090 ns R r2 80000000 - 2345090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2345110 ns R psr 81000200 - 2345110 ns MR4_I 00000238 48054770 - 2345130 ns MR4_I 00000230 07d2684a - 2345150 ns IT 00000230 684a LDR r2,[r1,#4] - 2345170 ns MR4_I 00000234 6008d1fc - 2345230 ns MR4_D 40006004 00000001 - 2345230 ns R r2 00000001 - 2345230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2345250 ns R r2 80000000 - 2345250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2345270 ns R psr 81000200 - 2345270 ns MR4_I 00000238 48054770 - 2345290 ns MR4_I 00000230 07d2684a - 2345310 ns IT 00000230 684a LDR r2,[r1,#4] - 2345330 ns MR4_I 00000234 6008d1fc - 2345390 ns MR4_D 40006004 00000001 - 2345390 ns R r2 00000001 - 2345390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2345410 ns R r2 80000000 - 2345410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2345430 ns R psr 81000200 - 2345430 ns MR4_I 00000238 48054770 - 2345450 ns MR4_I 00000230 07d2684a - 2345470 ns IT 00000230 684a LDR r2,[r1,#4] - 2345490 ns MR4_I 00000234 6008d1fc - 2345550 ns MR4_D 40006004 00000001 - 2345550 ns R r2 00000001 - 2345550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2345570 ns R r2 80000000 - 2345570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2345590 ns R psr 81000200 - 2345590 ns MR4_I 00000238 48054770 - 2345610 ns MR4_I 00000230 07d2684a - 2345630 ns IT 00000230 684a LDR r2,[r1,#4] - 2345650 ns MR4_I 00000234 6008d1fc - 2345710 ns MR4_D 40006004 00000001 - 2345710 ns R r2 00000001 - 2345710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2345730 ns R r2 80000000 - 2345730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2345750 ns R psr 81000200 - 2345750 ns MR4_I 00000238 48054770 - 2345770 ns MR4_I 00000230 07d2684a - 2345790 ns IT 00000230 684a LDR r2,[r1,#4] - 2345810 ns MR4_I 00000234 6008d1fc - 2345870 ns MR4_D 40006004 00000001 - 2345870 ns R r2 00000001 - 2345870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2345890 ns R r2 80000000 - 2345890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2345910 ns R psr 81000200 - 2345910 ns MR4_I 00000238 48054770 - 2345930 ns MR4_I 00000230 07d2684a - 2345950 ns IT 00000230 684a LDR r2,[r1,#4] - 2345970 ns MR4_I 00000234 6008d1fc - 2346030 ns MR4_D 40006004 00000001 - 2346030 ns R r2 00000001 - 2346030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2346050 ns R r2 80000000 - 2346050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2346070 ns R psr 81000200 - 2346070 ns MR4_I 00000238 48054770 - 2346090 ns MR4_I 00000230 07d2684a - 2346110 ns IT 00000230 684a LDR r2,[r1,#4] - 2346130 ns MR4_I 00000234 6008d1fc - 2346190 ns MR4_D 40006004 00000001 - 2346190 ns R r2 00000001 - 2346190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2346210 ns R r2 80000000 - 2346210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2346230 ns R psr 81000200 - 2346230 ns MR4_I 00000238 48054770 - 2346250 ns MR4_I 00000230 07d2684a - 2346270 ns IT 00000230 684a LDR r2,[r1,#4] - 2346290 ns MR4_I 00000234 6008d1fc - 2346350 ns MR4_D 40006004 00000000 - 2346350 ns R r2 00000000 - 2346350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2346370 ns R r2 00000000 - 2346370 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2346390 ns R psr 41000200 - 2346390 ns MR4_I 00000238 48054770 - 2346390 ns IT 00000236 6008 STR r0,[r1,#0] - 2346470 ns MW4_D 40006000 0000006c - 2346470 ns IT 00000238 4770 BX lr - 2346490 ns MR4_I 0000023c 07896841 - 2346510 ns R psr 41000200 - 2346510 ns MR4_I 000001fc b510bd10 - 2346530 ns IT 000001fc bd10 POP {r4,pc} - 2346550 ns MR4_I 00000200 f81bf000 - 2346550 ns R r13 200002e0 (MSP) - 2346570 ns MR4_D 200002d8 00000009 - 2346570 ns R r4 00000009 - 2346590 ns MR4_D 200002dc 0000032d - 2346610 ns R psr 41000200 - 2346630 ns MR4_I 0000032c 46301c64 - 2346650 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 2346670 ns MR4_I 00000330 280047a8 - 2346670 ns R r4 0000000a - 2346670 ns IT 0000032e 4630 MOV r0,r6 - 2346690 ns R psr 01000200 - 2346690 ns R r0 200002f8 - 2346690 ns IT 00000330 47a8 BLX r5 - 2346710 ns MR4_I 00000334 4620d1f8 - 2346730 ns R psr 01000200 - 2346730 ns MR4_I 000002a8 1c4a6901 - 2346730 ns R r14 00000333 - 2346750 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2346770 ns MR4_I 000002ac 78086102 - 2346790 ns MR4_D 20000308 0000018a - 2346790 ns R r1 0000018a - 2346790 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2346810 ns R r2 0000018b - 2346810 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2346830 ns R psr 01000200 - 2346830 ns MR4_I 000002b0 b5004770 - 2346850 ns MW4_D 20000308 0000018b - 2346850 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2346890 ns MR1_D 0000018a 0a646c72 - 2346890 ns R r0 00000064 - 2346890 ns IT 000002b0 4770 BX lr - 2346910 ns MR4_I 000002b4 9102b08f - 2346930 ns R psr 01000200 - 2346930 ns MR4_I 00000330 280047a8 - 2346950 ns MR4_I 00000334 4620d1f8 - 2346950 ns IT 00000332 2800 CMP r0,#0 - 2346970 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2346990 ns R psr 21000200 - 2346990 ns MR4_I 00000338 b510bdf8 - 2347010 ns MR4_I 00000328 47b89900 - 2347030 ns IT 00000328 9900 LDR r1,[sp,#0] - 2347050 ns MR4_I 0000032c 46301c64 - 2347070 ns MR4_D 200002e0 20000004 - 2347070 ns R r1 20000004 - 2347070 ns IT 0000032a 47b8 BLX r7 - 2347110 ns R psr 21000200 - 2347110 ns MR4_I 000001f4 b2c0b510 - 2347110 ns R r14 0000032d - 2347130 ns IT 000001f4 b510 PUSH {r4,lr} - 2347150 ns MR4_I 000001f8 f819f000 - 2347170 ns MW4_D 200002d8 0000000a - 2347190 ns MW4_D 200002dc 0000032d - 2347190 ns R r13 200002d8 (MSP) - 2347190 ns IT 000001f6 b2c0 UXTB r0,r0 - 2347210 ns R r0 00000064 - 2347210 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 2347230 ns MR4_I 000001fc b510bd10 - 2347250 ns R r14 000001fd - 2347270 ns MR4_I 0000022c 49084770 - 2347290 ns MR4_I 00000230 07d2684a - 2347290 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 2347330 ns MR4_D 00000250 40006000 - 2347330 ns R r1 40006000 - 2347330 ns IT 00000230 684a LDR r2,[r1,#4] - 2347350 ns MR4_I 00000234 6008d1fc - 2347410 ns MR4_D 40006004 00000001 - 2347410 ns R r2 00000001 - 2347410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2347430 ns R r2 80000000 - 2347430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2347450 ns R psr 81000200 - 2347450 ns MR4_I 00000238 48054770 - 2347470 ns MR4_I 00000230 07d2684a - 2347490 ns IT 00000230 684a LDR r2,[r1,#4] - 2347510 ns MR4_I 00000234 6008d1fc - 2347570 ns MR4_D 40006004 00000001 - 2347570 ns R r2 00000001 - 2347570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2347590 ns R r2 80000000 - 2347590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2347610 ns R psr 81000200 - 2347610 ns MR4_I 00000238 48054770 - 2347630 ns MR4_I 00000230 07d2684a - 2347650 ns IT 00000230 684a LDR r2,[r1,#4] - 2347670 ns MR4_I 00000234 6008d1fc - 2347730 ns MR4_D 40006004 00000001 - 2347730 ns R r2 00000001 - 2347730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2347750 ns R r2 80000000 - 2347750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2347770 ns R psr 81000200 - 2347770 ns MR4_I 00000238 48054770 - 2347790 ns MR4_I 00000230 07d2684a - 2347810 ns IT 00000230 684a LDR r2,[r1,#4] - 2347830 ns MR4_I 00000234 6008d1fc - 2347890 ns MR4_D 40006004 00000001 - 2347890 ns R r2 00000001 - 2347890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2347910 ns R r2 80000000 - 2347910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2347930 ns R psr 81000200 - 2347930 ns MR4_I 00000238 48054770 - 2347950 ns MR4_I 00000230 07d2684a - 2347970 ns IT 00000230 684a LDR r2,[r1,#4] - 2347990 ns MR4_I 00000234 6008d1fc - 2348050 ns MR4_D 40006004 00000001 - 2348050 ns R r2 00000001 - 2348050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2348070 ns R r2 80000000 - 2348070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2348090 ns R psr 81000200 - 2348090 ns MR4_I 00000238 48054770 - 2348110 ns MR4_I 00000230 07d2684a - 2348130 ns IT 00000230 684a LDR r2,[r1,#4] - 2348150 ns MR4_I 00000234 6008d1fc - 2348210 ns MR4_D 40006004 00000001 - 2348210 ns R r2 00000001 - 2348210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2348230 ns R r2 80000000 - 2348230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2348250 ns R psr 81000200 - 2348250 ns MR4_I 00000238 48054770 - 2348270 ns MR4_I 00000230 07d2684a - 2348290 ns IT 00000230 684a LDR r2,[r1,#4] - 2348310 ns MR4_I 00000234 6008d1fc - 2348370 ns MR4_D 40006004 00000001 - 2348370 ns R r2 00000001 - 2348370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2348390 ns R r2 80000000 - 2348390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2348410 ns R psr 81000200 - 2348410 ns MR4_I 00000238 48054770 - 2348430 ns MR4_I 00000230 07d2684a - 2348450 ns IT 00000230 684a LDR r2,[r1,#4] - 2348470 ns MR4_I 00000234 6008d1fc - 2348530 ns MR4_D 40006004 00000001 - 2348530 ns R r2 00000001 - 2348530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2348550 ns R r2 80000000 - 2348550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2348570 ns R psr 81000200 - 2348570 ns MR4_I 00000238 48054770 - 2348590 ns MR4_I 00000230 07d2684a - 2348610 ns IT 00000230 684a LDR r2,[r1,#4] - 2348630 ns MR4_I 00000234 6008d1fc - 2348690 ns MR4_D 40006004 00000001 - 2348690 ns R r2 00000001 - 2348690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2348710 ns R r2 80000000 - 2348710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2348730 ns R psr 81000200 - 2348730 ns MR4_I 00000238 48054770 - 2348750 ns MR4_I 00000230 07d2684a - 2348770 ns IT 00000230 684a LDR r2,[r1,#4] - 2348790 ns MR4_I 00000234 6008d1fc - 2348850 ns MR4_D 40006004 00000001 - 2348850 ns R r2 00000001 - 2348850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2348870 ns R r2 80000000 - 2348870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2348890 ns R psr 81000200 - 2348890 ns MR4_I 00000238 48054770 - 2348910 ns MR4_I 00000230 07d2684a - 2348930 ns IT 00000230 684a LDR r2,[r1,#4] - 2348950 ns MR4_I 00000234 6008d1fc - 2349010 ns MR4_D 40006004 00000001 - 2349010 ns R r2 00000001 - 2349010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2349030 ns R r2 80000000 - 2349030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2349050 ns R psr 81000200 - 2349050 ns MR4_I 00000238 48054770 - 2349070 ns MR4_I 00000230 07d2684a - 2349090 ns IT 00000230 684a LDR r2,[r1,#4] - 2349110 ns MR4_I 00000234 6008d1fc - 2349170 ns MR4_D 40006004 00000001 - 2349170 ns R r2 00000001 - 2349170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2349190 ns R r2 80000000 - 2349190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2349210 ns R psr 81000200 - 2349210 ns MR4_I 00000238 48054770 - 2349230 ns MR4_I 00000230 07d2684a - 2349250 ns IT 00000230 684a LDR r2,[r1,#4] - 2349270 ns MR4_I 00000234 6008d1fc - 2349330 ns MR4_D 40006004 00000001 - 2349330 ns R r2 00000001 - 2349330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2349350 ns R r2 80000000 - 2349350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2349370 ns R psr 81000200 - 2349370 ns MR4_I 00000238 48054770 - 2349390 ns MR4_I 00000230 07d2684a - 2349410 ns IT 00000230 684a LDR r2,[r1,#4] - 2349430 ns MR4_I 00000234 6008d1fc - 2349490 ns MR4_D 40006004 00000001 - 2349490 ns R r2 00000001 - 2349490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2349510 ns R r2 80000000 - 2349510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2349530 ns R psr 81000200 - 2349530 ns MR4_I 00000238 48054770 - 2349550 ns MR4_I 00000230 07d2684a - 2349570 ns IT 00000230 684a LDR r2,[r1,#4] - 2349590 ns MR4_I 00000234 6008d1fc - 2349650 ns MR4_D 40006004 00000001 - 2349650 ns R r2 00000001 - 2349650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2349670 ns R r2 80000000 - 2349670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2349690 ns R psr 81000200 - 2349690 ns MR4_I 00000238 48054770 - 2349710 ns MR4_I 00000230 07d2684a - 2349730 ns IT 00000230 684a LDR r2,[r1,#4] - 2349750 ns MR4_I 00000234 6008d1fc - 2349810 ns MR4_D 40006004 00000001 - 2349810 ns R r2 00000001 - 2349810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2349830 ns R r2 80000000 - 2349830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2349850 ns R psr 81000200 - 2349850 ns MR4_I 00000238 48054770 - 2349870 ns MR4_I 00000230 07d2684a - 2349890 ns IT 00000230 684a LDR r2,[r1,#4] - 2349910 ns MR4_I 00000234 6008d1fc - 2349970 ns MR4_D 40006004 00000001 - 2349970 ns R r2 00000001 - 2349970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2349990 ns R r2 80000000 - 2349990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2350010 ns R psr 81000200 - 2350010 ns MR4_I 00000238 48054770 - 2350030 ns MR4_I 00000230 07d2684a - 2350050 ns IT 00000230 684a LDR r2,[r1,#4] - 2350070 ns MR4_I 00000234 6008d1fc - 2350130 ns MR4_D 40006004 00000001 - 2350130 ns R r2 00000001 - 2350130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2350150 ns R r2 80000000 - 2350150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2350170 ns R psr 81000200 - 2350170 ns MR4_I 00000238 48054770 - 2350190 ns MR4_I 00000230 07d2684a - 2350210 ns IT 00000230 684a LDR r2,[r1,#4] - 2350230 ns MR4_I 00000234 6008d1fc - 2350290 ns MR4_D 40006004 00000001 - 2350290 ns R r2 00000001 - 2350290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2350310 ns R r2 80000000 - 2350310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2350330 ns R psr 81000200 - 2350330 ns MR4_I 00000238 48054770 - 2350350 ns MR4_I 00000230 07d2684a - 2350370 ns IT 00000230 684a LDR r2,[r1,#4] - 2350390 ns MR4_I 00000234 6008d1fc - 2350450 ns MR4_D 40006004 00000001 - 2350450 ns R r2 00000001 - 2350450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2350470 ns R r2 80000000 - 2350470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2350490 ns R psr 81000200 - 2350490 ns MR4_I 00000238 48054770 - 2350510 ns MR4_I 00000230 07d2684a - 2350530 ns IT 00000230 684a LDR r2,[r1,#4] - 2350550 ns MR4_I 00000234 6008d1fc - 2350610 ns MR4_D 40006004 00000001 - 2350610 ns R r2 00000001 - 2350610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2350630 ns R r2 80000000 - 2350630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2350650 ns R psr 81000200 - 2350650 ns MR4_I 00000238 48054770 - 2350670 ns MR4_I 00000230 07d2684a - 2350690 ns IT 00000230 684a LDR r2,[r1,#4] - 2350710 ns MR4_I 00000234 6008d1fc - 2350770 ns MR4_D 40006004 00000001 - 2350770 ns R r2 00000001 - 2350770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2350790 ns R r2 80000000 - 2350790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2350810 ns R psr 81000200 - 2350810 ns MR4_I 00000238 48054770 - 2350830 ns MR4_I 00000230 07d2684a - 2350850 ns IT 00000230 684a LDR r2,[r1,#4] - 2350870 ns MR4_I 00000234 6008d1fc - 2350930 ns MR4_D 40006004 00000001 - 2350930 ns R r2 00000001 - 2350930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2350950 ns R r2 80000000 - 2350950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2350970 ns R psr 81000200 - 2350970 ns MR4_I 00000238 48054770 - 2350990 ns MR4_I 00000230 07d2684a - 2351010 ns IT 00000230 684a LDR r2,[r1,#4] - 2351030 ns MR4_I 00000234 6008d1fc - 2351090 ns MR4_D 40006004 00000001 - 2351090 ns R r2 00000001 - 2351090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2351110 ns R r2 80000000 - 2351110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2351130 ns R psr 81000200 - 2351130 ns MR4_I 00000238 48054770 - 2351150 ns MR4_I 00000230 07d2684a - 2351170 ns IT 00000230 684a LDR r2,[r1,#4] - 2351190 ns MR4_I 00000234 6008d1fc - 2351250 ns MR4_D 40006004 00000001 - 2351250 ns R r2 00000001 - 2351250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2351270 ns R r2 80000000 - 2351270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2351290 ns R psr 81000200 - 2351290 ns MR4_I 00000238 48054770 - 2351310 ns MR4_I 00000230 07d2684a - 2351330 ns IT 00000230 684a LDR r2,[r1,#4] - 2351350 ns MR4_I 00000234 6008d1fc - 2351410 ns MR4_D 40006004 00000001 - 2351410 ns R r2 00000001 - 2351410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2351430 ns R r2 80000000 - 2351430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2351450 ns R psr 81000200 - 2351450 ns MR4_I 00000238 48054770 - 2351470 ns MR4_I 00000230 07d2684a - 2351490 ns IT 00000230 684a LDR r2,[r1,#4] - 2351510 ns MR4_I 00000234 6008d1fc - 2351570 ns MR4_D 40006004 00000001 - 2351570 ns R r2 00000001 - 2351570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2351590 ns R r2 80000000 - 2351590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2351610 ns R psr 81000200 - 2351610 ns MR4_I 00000238 48054770 - 2351630 ns MR4_I 00000230 07d2684a - 2351650 ns IT 00000230 684a LDR r2,[r1,#4] - 2351670 ns MR4_I 00000234 6008d1fc - 2351730 ns MR4_D 40006004 00000001 - 2351730 ns R r2 00000001 - 2351730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2351750 ns R r2 80000000 - 2351750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2351770 ns R psr 81000200 - 2351770 ns MR4_I 00000238 48054770 - 2351790 ns MR4_I 00000230 07d2684a - 2351810 ns IT 00000230 684a LDR r2,[r1,#4] - 2351830 ns MR4_I 00000234 6008d1fc - 2351890 ns MR4_D 40006004 00000001 - 2351890 ns R r2 00000001 - 2351890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2351910 ns R r2 80000000 - 2351910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2351930 ns R psr 81000200 - 2351930 ns MR4_I 00000238 48054770 - 2351950 ns MR4_I 00000230 07d2684a - 2351970 ns IT 00000230 684a LDR r2,[r1,#4] - 2351990 ns MR4_I 00000234 6008d1fc - 2352050 ns MR4_D 40006004 00000001 - 2352050 ns R r2 00000001 - 2352050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2352070 ns R r2 80000000 - 2352070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2352090 ns R psr 81000200 - 2352090 ns MR4_I 00000238 48054770 - 2352110 ns MR4_I 00000230 07d2684a - 2352130 ns IT 00000230 684a LDR r2,[r1,#4] - 2352150 ns MR4_I 00000234 6008d1fc - 2352210 ns MR4_D 40006004 00000001 - 2352210 ns R r2 00000001 - 2352210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2352230 ns R r2 80000000 - 2352230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2352250 ns R psr 81000200 - 2352250 ns MR4_I 00000238 48054770 - 2352270 ns MR4_I 00000230 07d2684a - 2352290 ns IT 00000230 684a LDR r2,[r1,#4] - 2352310 ns MR4_I 00000234 6008d1fc - 2352370 ns MR4_D 40006004 00000001 - 2352370 ns R r2 00000001 - 2352370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2352390 ns R r2 80000000 - 2352390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2352410 ns R psr 81000200 - 2352410 ns MR4_I 00000238 48054770 - 2352430 ns MR4_I 00000230 07d2684a - 2352450 ns IT 00000230 684a LDR r2,[r1,#4] - 2352470 ns MR4_I 00000234 6008d1fc - 2352530 ns MR4_D 40006004 00000001 - 2352530 ns R r2 00000001 - 2352530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2352550 ns R r2 80000000 - 2352550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2352570 ns R psr 81000200 - 2352570 ns MR4_I 00000238 48054770 - 2352590 ns MR4_I 00000230 07d2684a - 2352610 ns IT 00000230 684a LDR r2,[r1,#4] - 2352630 ns MR4_I 00000234 6008d1fc - 2352690 ns MR4_D 40006004 00000001 - 2352690 ns R r2 00000001 - 2352690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2352710 ns R r2 80000000 - 2352710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2352730 ns R psr 81000200 - 2352730 ns MR4_I 00000238 48054770 - 2352750 ns MR4_I 00000230 07d2684a - 2352770 ns IT 00000230 684a LDR r2,[r1,#4] - 2352790 ns MR4_I 00000234 6008d1fc - 2352850 ns MR4_D 40006004 00000001 - 2352850 ns R r2 00000001 - 2352850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2352870 ns R r2 80000000 - 2352870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2352890 ns R psr 81000200 - 2352890 ns MR4_I 00000238 48054770 - 2352910 ns MR4_I 00000230 07d2684a - 2352930 ns IT 00000230 684a LDR r2,[r1,#4] - 2352950 ns MR4_I 00000234 6008d1fc - 2353010 ns MR4_D 40006004 00000001 - 2353010 ns R r2 00000001 - 2353010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2353030 ns R r2 80000000 - 2353030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2353050 ns R psr 81000200 - 2353050 ns MR4_I 00000238 48054770 - 2353070 ns MR4_I 00000230 07d2684a - 2353090 ns IT 00000230 684a LDR r2,[r1,#4] - 2353110 ns MR4_I 00000234 6008d1fc - 2353170 ns MR4_D 40006004 00000001 - 2353170 ns R r2 00000001 - 2353170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2353190 ns R r2 80000000 - 2353190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2353210 ns R psr 81000200 - 2353210 ns MR4_I 00000238 48054770 - 2353230 ns MR4_I 00000230 07d2684a - 2353250 ns IT 00000230 684a LDR r2,[r1,#4] - 2353270 ns MR4_I 00000234 6008d1fc - 2353330 ns MR4_D 40006004 00000001 - 2353330 ns R r2 00000001 - 2353330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2353350 ns R r2 80000000 - 2353350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2353370 ns R psr 81000200 - 2353370 ns MR4_I 00000238 48054770 - 2353390 ns MR4_I 00000230 07d2684a - 2353410 ns IT 00000230 684a LDR r2,[r1,#4] - 2353430 ns MR4_I 00000234 6008d1fc - 2353490 ns MR4_D 40006004 00000001 - 2353490 ns R r2 00000001 - 2353490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2353510 ns R r2 80000000 - 2353510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2353530 ns R psr 81000200 - 2353530 ns MR4_I 00000238 48054770 - 2353550 ns MR4_I 00000230 07d2684a - 2353570 ns IT 00000230 684a LDR r2,[r1,#4] - 2353590 ns MR4_I 00000234 6008d1fc - 2353650 ns MR4_D 40006004 00000001 - 2353650 ns R r2 00000001 - 2353650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2353670 ns R r2 80000000 - 2353670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2353690 ns R psr 81000200 - 2353690 ns MR4_I 00000238 48054770 - 2353710 ns MR4_I 00000230 07d2684a - 2353730 ns IT 00000230 684a LDR r2,[r1,#4] - 2353750 ns MR4_I 00000234 6008d1fc - 2353810 ns MR4_D 40006004 00000001 - 2353810 ns R r2 00000001 - 2353810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2353830 ns R r2 80000000 - 2353830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2353850 ns R psr 81000200 - 2353850 ns MR4_I 00000238 48054770 - 2353870 ns MR4_I 00000230 07d2684a - 2353890 ns IT 00000230 684a LDR r2,[r1,#4] - 2353910 ns MR4_I 00000234 6008d1fc - 2353970 ns MR4_D 40006004 00000001 - 2353970 ns R r2 00000001 - 2353970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2353990 ns R r2 80000000 - 2353990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2354010 ns R psr 81000200 - 2354010 ns MR4_I 00000238 48054770 - 2354030 ns MR4_I 00000230 07d2684a - 2354050 ns IT 00000230 684a LDR r2,[r1,#4] - 2354070 ns MR4_I 00000234 6008d1fc - 2354130 ns MR4_D 40006004 00000001 - 2354130 ns R r2 00000001 - 2354130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2354150 ns R r2 80000000 - 2354150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2354170 ns R psr 81000200 - 2354170 ns MR4_I 00000238 48054770 - 2354190 ns MR4_I 00000230 07d2684a - 2354210 ns IT 00000230 684a LDR r2,[r1,#4] - 2354230 ns MR4_I 00000234 6008d1fc - 2354290 ns MR4_D 40006004 00000001 - 2354290 ns R r2 00000001 - 2354290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2354310 ns R r2 80000000 - 2354310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2354330 ns R psr 81000200 - 2354330 ns MR4_I 00000238 48054770 - 2354350 ns MR4_I 00000230 07d2684a - 2354370 ns IT 00000230 684a LDR r2,[r1,#4] - 2354390 ns MR4_I 00000234 6008d1fc - 2354450 ns MR4_D 40006004 00000001 - 2354450 ns R r2 00000001 - 2354450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2354470 ns R r2 80000000 - 2354470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2354490 ns R psr 81000200 - 2354490 ns MR4_I 00000238 48054770 - 2354510 ns MR4_I 00000230 07d2684a - 2354530 ns IT 00000230 684a LDR r2,[r1,#4] - 2354550 ns MR4_I 00000234 6008d1fc - 2354610 ns MR4_D 40006004 00000001 - 2354610 ns R r2 00000001 - 2354610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2354630 ns R r2 80000000 - 2354630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2354650 ns R psr 81000200 - 2354650 ns MR4_I 00000238 48054770 - 2354670 ns MR4_I 00000230 07d2684a - 2354690 ns IT 00000230 684a LDR r2,[r1,#4] - 2354710 ns MR4_I 00000234 6008d1fc - 2354770 ns MR4_D 40006004 00000001 - 2354770 ns R r2 00000001 - 2354770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2354790 ns R r2 80000000 - 2354790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2354810 ns R psr 81000200 - 2354810 ns MR4_I 00000238 48054770 - 2354830 ns MR4_I 00000230 07d2684a - 2354850 ns IT 00000230 684a LDR r2,[r1,#4] - 2354870 ns MR4_I 00000234 6008d1fc - 2354930 ns MR4_D 40006004 00000001 - 2354930 ns R r2 00000001 - 2354930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2354950 ns R r2 80000000 - 2354950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2354970 ns R psr 81000200 - 2354970 ns MR4_I 00000238 48054770 - 2354990 ns MR4_I 00000230 07d2684a - 2355010 ns IT 00000230 684a LDR r2,[r1,#4] - 2355030 ns MR4_I 00000234 6008d1fc - 2355090 ns MR4_D 40006004 00000001 - 2355090 ns R r2 00000001 - 2355090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2355110 ns R r2 80000000 - 2355110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2355130 ns R psr 81000200 - 2355130 ns MR4_I 00000238 48054770 - 2355150 ns MR4_I 00000230 07d2684a - 2355170 ns IT 00000230 684a LDR r2,[r1,#4] - 2355190 ns MR4_I 00000234 6008d1fc - 2355250 ns MR4_D 40006004 00000001 - 2355250 ns R r2 00000001 - 2355250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2355270 ns R r2 80000000 - 2355270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2355290 ns R psr 81000200 - 2355290 ns MR4_I 00000238 48054770 - 2355310 ns MR4_I 00000230 07d2684a - 2355330 ns IT 00000230 684a LDR r2,[r1,#4] - 2355350 ns MR4_I 00000234 6008d1fc - 2355410 ns MR4_D 40006004 00000001 - 2355410 ns R r2 00000001 - 2355410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2355430 ns R r2 80000000 - 2355430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2355450 ns R psr 81000200 - 2355450 ns MR4_I 00000238 48054770 - 2355470 ns MR4_I 00000230 07d2684a - 2355490 ns IT 00000230 684a LDR r2,[r1,#4] - 2355510 ns MR4_I 00000234 6008d1fc - 2355570 ns MR4_D 40006004 00000001 - 2355570 ns R r2 00000001 - 2355570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2355590 ns R r2 80000000 - 2355590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2355610 ns R psr 81000200 - 2355610 ns MR4_I 00000238 48054770 - 2355630 ns MR4_I 00000230 07d2684a - 2355650 ns IT 00000230 684a LDR r2,[r1,#4] - 2355670 ns MR4_I 00000234 6008d1fc - 2355730 ns MR4_D 40006004 00000001 - 2355730 ns R r2 00000001 - 2355730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2355750 ns R r2 80000000 - 2355750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2355770 ns R psr 81000200 - 2355770 ns MR4_I 00000238 48054770 - 2355790 ns MR4_I 00000230 07d2684a - 2355810 ns IT 00000230 684a LDR r2,[r1,#4] - 2355830 ns MR4_I 00000234 6008d1fc - 2355890 ns MR4_D 40006004 00000001 - 2355890 ns R r2 00000001 - 2355890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2355910 ns R r2 80000000 - 2355910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2355930 ns R psr 81000200 - 2355930 ns MR4_I 00000238 48054770 - 2355950 ns MR4_I 00000230 07d2684a - 2355970 ns IT 00000230 684a LDR r2,[r1,#4] - 2355990 ns MR4_I 00000234 6008d1fc - 2356050 ns MR4_D 40006004 00000001 - 2356050 ns R r2 00000001 - 2356050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2356070 ns R r2 80000000 - 2356070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2356090 ns R psr 81000200 - 2356090 ns MR4_I 00000238 48054770 - 2356110 ns MR4_I 00000230 07d2684a - 2356130 ns IT 00000230 684a LDR r2,[r1,#4] - 2356150 ns MR4_I 00000234 6008d1fc - 2356210 ns MR4_D 40006004 00000001 - 2356210 ns R r2 00000001 - 2356210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2356230 ns R r2 80000000 - 2356230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2356250 ns R psr 81000200 - 2356250 ns MR4_I 00000238 48054770 - 2356270 ns MR4_I 00000230 07d2684a - 2356290 ns IT 00000230 684a LDR r2,[r1,#4] - 2356310 ns MR4_I 00000234 6008d1fc - 2356370 ns MR4_D 40006004 00000001 - 2356370 ns R r2 00000001 - 2356370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2356390 ns R r2 80000000 - 2356390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2356410 ns R psr 81000200 - 2356410 ns MR4_I 00000238 48054770 - 2356430 ns MR4_I 00000230 07d2684a - 2356450 ns IT 00000230 684a LDR r2,[r1,#4] - 2356470 ns MR4_I 00000234 6008d1fc - 2356530 ns MR4_D 40006004 00000001 - 2356530 ns R r2 00000001 - 2356530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2356550 ns R r2 80000000 - 2356550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2356570 ns R psr 81000200 - 2356570 ns MR4_I 00000238 48054770 - 2356590 ns MR4_I 00000230 07d2684a - 2356610 ns IT 00000230 684a LDR r2,[r1,#4] - 2356630 ns MR4_I 00000234 6008d1fc - 2356690 ns MR4_D 40006004 00000001 - 2356690 ns R r2 00000001 - 2356690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2356710 ns R r2 80000000 - 2356710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2356730 ns R psr 81000200 - 2356730 ns MR4_I 00000238 48054770 - 2356750 ns MR4_I 00000230 07d2684a - 2356770 ns IT 00000230 684a LDR r2,[r1,#4] - 2356790 ns MR4_I 00000234 6008d1fc - 2356850 ns MR4_D 40006004 00000001 - 2356850 ns R r2 00000001 - 2356850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2356870 ns R r2 80000000 - 2356870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2356890 ns R psr 81000200 - 2356890 ns MR4_I 00000238 48054770 - 2356910 ns MR4_I 00000230 07d2684a - 2356930 ns IT 00000230 684a LDR r2,[r1,#4] - 2356950 ns MR4_I 00000234 6008d1fc - 2357010 ns MR4_D 40006004 00000001 - 2357010 ns R r2 00000001 - 2357010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2357030 ns R r2 80000000 - 2357030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2357050 ns R psr 81000200 - 2357050 ns MR4_I 00000238 48054770 - 2357070 ns MR4_I 00000230 07d2684a - 2357090 ns IT 00000230 684a LDR r2,[r1,#4] - 2357110 ns MR4_I 00000234 6008d1fc - 2357170 ns MR4_D 40006004 00000001 - 2357170 ns R r2 00000001 - 2357170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2357190 ns R r2 80000000 - 2357190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2357210 ns R psr 81000200 - 2357210 ns MR4_I 00000238 48054770 - 2357230 ns MR4_I 00000230 07d2684a - 2357250 ns IT 00000230 684a LDR r2,[r1,#4] - 2357270 ns MR4_I 00000234 6008d1fc - 2357330 ns MR4_D 40006004 00000001 - 2357330 ns R r2 00000001 - 2357330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2357350 ns R r2 80000000 - 2357350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2357370 ns R psr 81000200 - 2357370 ns MR4_I 00000238 48054770 - 2357390 ns MR4_I 00000230 07d2684a - 2357410 ns IT 00000230 684a LDR r2,[r1,#4] - 2357430 ns MR4_I 00000234 6008d1fc - 2357490 ns MR4_D 40006004 00000001 - 2357490 ns R r2 00000001 - 2357490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2357510 ns R r2 80000000 - 2357510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2357530 ns R psr 81000200 - 2357530 ns MR4_I 00000238 48054770 - 2357550 ns MR4_I 00000230 07d2684a - 2357570 ns IT 00000230 684a LDR r2,[r1,#4] - 2357590 ns MR4_I 00000234 6008d1fc - 2357650 ns MR4_D 40006004 00000001 - 2357650 ns R r2 00000001 - 2357650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2357670 ns R r2 80000000 - 2357670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2357690 ns R psr 81000200 - 2357690 ns MR4_I 00000238 48054770 - 2357710 ns MR4_I 00000230 07d2684a - 2357730 ns IT 00000230 684a LDR r2,[r1,#4] - 2357750 ns MR4_I 00000234 6008d1fc - 2357810 ns MR4_D 40006004 00000001 - 2357810 ns R r2 00000001 - 2357810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2357830 ns R r2 80000000 - 2357830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2357850 ns R psr 81000200 - 2357850 ns MR4_I 00000238 48054770 - 2357870 ns MR4_I 00000230 07d2684a - 2357890 ns IT 00000230 684a LDR r2,[r1,#4] - 2357910 ns MR4_I 00000234 6008d1fc - 2357970 ns MR4_D 40006004 00000001 - 2357970 ns R r2 00000001 - 2357970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2357990 ns R r2 80000000 - 2357990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2358010 ns R psr 81000200 - 2358010 ns MR4_I 00000238 48054770 - 2358030 ns MR4_I 00000230 07d2684a - 2358050 ns IT 00000230 684a LDR r2,[r1,#4] - 2358070 ns MR4_I 00000234 6008d1fc - 2358130 ns MR4_D 40006004 00000001 - 2358130 ns R r2 00000001 - 2358130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2358150 ns R r2 80000000 - 2358150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2358170 ns R psr 81000200 - 2358170 ns MR4_I 00000238 48054770 - 2358190 ns MR4_I 00000230 07d2684a - 2358210 ns IT 00000230 684a LDR r2,[r1,#4] - 2358230 ns MR4_I 00000234 6008d1fc - 2358290 ns MR4_D 40006004 00000001 - 2358290 ns R r2 00000001 - 2358290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2358310 ns R r2 80000000 - 2358310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2358330 ns R psr 81000200 - 2358330 ns MR4_I 00000238 48054770 - 2358350 ns MR4_I 00000230 07d2684a - 2358370 ns IT 00000230 684a LDR r2,[r1,#4] - 2358390 ns MR4_I 00000234 6008d1fc - 2358450 ns MR4_D 40006004 00000001 - 2358450 ns R r2 00000001 - 2358450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2358470 ns R r2 80000000 - 2358470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2358490 ns R psr 81000200 - 2358490 ns MR4_I 00000238 48054770 - 2358510 ns MR4_I 00000230 07d2684a - 2358530 ns IT 00000230 684a LDR r2,[r1,#4] - 2358550 ns MR4_I 00000234 6008d1fc - 2358610 ns MR4_D 40006004 00000001 - 2358610 ns R r2 00000001 - 2358610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2358630 ns R r2 80000000 - 2358630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2358650 ns R psr 81000200 - 2358650 ns MR4_I 00000238 48054770 - 2358670 ns MR4_I 00000230 07d2684a - 2358690 ns IT 00000230 684a LDR r2,[r1,#4] - 2358710 ns MR4_I 00000234 6008d1fc - 2358770 ns MR4_D 40006004 00000001 - 2358770 ns R r2 00000001 - 2358770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2358790 ns R r2 80000000 - 2358790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2358810 ns R psr 81000200 - 2358810 ns MR4_I 00000238 48054770 - 2358830 ns MR4_I 00000230 07d2684a - 2358850 ns IT 00000230 684a LDR r2,[r1,#4] - 2358870 ns MR4_I 00000234 6008d1fc - 2358930 ns MR4_D 40006004 00000001 - 2358930 ns R r2 00000001 - 2358930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2358950 ns R r2 80000000 - 2358950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2358970 ns R psr 81000200 - 2358970 ns MR4_I 00000238 48054770 - 2358990 ns MR4_I 00000230 07d2684a - 2359010 ns IT 00000230 684a LDR r2,[r1,#4] - 2359030 ns MR4_I 00000234 6008d1fc - 2359090 ns MR4_D 40006004 00000001 - 2359090 ns R r2 00000001 - 2359090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2359110 ns R r2 80000000 - 2359110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2359130 ns R psr 81000200 - 2359130 ns MR4_I 00000238 48054770 - 2359150 ns MR4_I 00000230 07d2684a - 2359170 ns IT 00000230 684a LDR r2,[r1,#4] - 2359190 ns MR4_I 00000234 6008d1fc - 2359250 ns MR4_D 40006004 00000001 - 2359250 ns R r2 00000001 - 2359250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2359270 ns R r2 80000000 - 2359270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2359290 ns R psr 81000200 - 2359290 ns MR4_I 00000238 48054770 - 2359310 ns MR4_I 00000230 07d2684a - 2359330 ns IT 00000230 684a LDR r2,[r1,#4] - 2359350 ns MR4_I 00000234 6008d1fc - 2359410 ns MR4_D 40006004 00000001 - 2359410 ns R r2 00000001 - 2359410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2359430 ns R r2 80000000 - 2359430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2359450 ns R psr 81000200 - 2359450 ns MR4_I 00000238 48054770 - 2359470 ns MR4_I 00000230 07d2684a - 2359490 ns IT 00000230 684a LDR r2,[r1,#4] - 2359510 ns MR4_I 00000234 6008d1fc - 2359570 ns MR4_D 40006004 00000001 - 2359570 ns R r2 00000001 - 2359570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2359590 ns R r2 80000000 - 2359590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2359610 ns R psr 81000200 - 2359610 ns MR4_I 00000238 48054770 - 2359630 ns MR4_I 00000230 07d2684a - 2359650 ns IT 00000230 684a LDR r2,[r1,#4] - 2359670 ns MR4_I 00000234 6008d1fc - 2359730 ns MR4_D 40006004 00000001 - 2359730 ns R r2 00000001 - 2359730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2359750 ns R r2 80000000 - 2359750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2359770 ns R psr 81000200 - 2359770 ns MR4_I 00000238 48054770 - 2359790 ns MR4_I 00000230 07d2684a - 2359810 ns IT 00000230 684a LDR r2,[r1,#4] - 2359830 ns MR4_I 00000234 6008d1fc - 2359890 ns MR4_D 40006004 00000001 - 2359890 ns R r2 00000001 - 2359890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2359910 ns R r2 80000000 - 2359910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2359930 ns R psr 81000200 - 2359930 ns MR4_I 00000238 48054770 - 2359950 ns MR4_I 00000230 07d2684a - 2359970 ns IT 00000230 684a LDR r2,[r1,#4] - 2359990 ns MR4_I 00000234 6008d1fc - 2360050 ns MR4_D 40006004 00000001 - 2360050 ns R r2 00000001 - 2360050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2360070 ns R r2 80000000 - 2360070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2360090 ns R psr 81000200 - 2360090 ns MR4_I 00000238 48054770 - 2360110 ns MR4_I 00000230 07d2684a - 2360130 ns IT 00000230 684a LDR r2,[r1,#4] - 2360150 ns MR4_I 00000234 6008d1fc - 2360210 ns MR4_D 40006004 00000001 - 2360210 ns R r2 00000001 - 2360210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2360230 ns R r2 80000000 - 2360230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2360250 ns R psr 81000200 - 2360250 ns MR4_I 00000238 48054770 - 2360270 ns MR4_I 00000230 07d2684a - 2360290 ns IT 00000230 684a LDR r2,[r1,#4] - 2360310 ns MR4_I 00000234 6008d1fc - 2360370 ns MR4_D 40006004 00000001 - 2360370 ns R r2 00000001 - 2360370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2360390 ns R r2 80000000 - 2360390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2360410 ns R psr 81000200 - 2360410 ns MR4_I 00000238 48054770 - 2360430 ns MR4_I 00000230 07d2684a - 2360450 ns IT 00000230 684a LDR r2,[r1,#4] - 2360470 ns MR4_I 00000234 6008d1fc - 2360530 ns MR4_D 40006004 00000001 - 2360530 ns R r2 00000001 - 2360530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2360550 ns R r2 80000000 - 2360550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2360570 ns R psr 81000200 - 2360570 ns MR4_I 00000238 48054770 - 2360590 ns MR4_I 00000230 07d2684a - 2360610 ns IT 00000230 684a LDR r2,[r1,#4] - 2360630 ns MR4_I 00000234 6008d1fc - 2360690 ns MR4_D 40006004 00000001 - 2360690 ns R r2 00000001 - 2360690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2360710 ns R r2 80000000 - 2360710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2360730 ns R psr 81000200 - 2360730 ns MR4_I 00000238 48054770 - 2360750 ns MR4_I 00000230 07d2684a - 2360770 ns IT 00000230 684a LDR r2,[r1,#4] - 2360790 ns MR4_I 00000234 6008d1fc - 2360850 ns MR4_D 40006004 00000001 - 2360850 ns R r2 00000001 - 2360850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2360870 ns R r2 80000000 - 2360870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2360890 ns R psr 81000200 - 2360890 ns MR4_I 00000238 48054770 - 2360910 ns MR4_I 00000230 07d2684a - 2360930 ns IT 00000230 684a LDR r2,[r1,#4] - 2360950 ns MR4_I 00000234 6008d1fc - 2361010 ns MR4_D 40006004 00000001 - 2361010 ns R r2 00000001 - 2361010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2361030 ns R r2 80000000 - 2361030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2361050 ns R psr 81000200 - 2361050 ns MR4_I 00000238 48054770 - 2361070 ns MR4_I 00000230 07d2684a - 2361090 ns IT 00000230 684a LDR r2,[r1,#4] - 2361110 ns MR4_I 00000234 6008d1fc - 2361170 ns MR4_D 40006004 00000001 - 2361170 ns R r2 00000001 - 2361170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2361190 ns R r2 80000000 - 2361190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2361210 ns R psr 81000200 - 2361210 ns MR4_I 00000238 48054770 - 2361230 ns MR4_I 00000230 07d2684a - 2361250 ns IT 00000230 684a LDR r2,[r1,#4] - 2361270 ns MR4_I 00000234 6008d1fc - 2361330 ns MR4_D 40006004 00000001 - 2361330 ns R r2 00000001 - 2361330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2361350 ns R r2 80000000 - 2361350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2361370 ns R psr 81000200 - 2361370 ns MR4_I 00000238 48054770 - 2361390 ns MR4_I 00000230 07d2684a - 2361410 ns IT 00000230 684a LDR r2,[r1,#4] - 2361430 ns MR4_I 00000234 6008d1fc - 2361490 ns MR4_D 40006004 00000001 - 2361490 ns R r2 00000001 - 2361490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2361510 ns R r2 80000000 - 2361510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2361530 ns R psr 81000200 - 2361530 ns MR4_I 00000238 48054770 - 2361550 ns MR4_I 00000230 07d2684a - 2361570 ns IT 00000230 684a LDR r2,[r1,#4] - 2361590 ns MR4_I 00000234 6008d1fc - 2361650 ns MR4_D 40006004 00000001 - 2361650 ns R r2 00000001 - 2361650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2361670 ns R r2 80000000 - 2361670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2361690 ns R psr 81000200 - 2361690 ns MR4_I 00000238 48054770 - 2361710 ns MR4_I 00000230 07d2684a - 2361730 ns IT 00000230 684a LDR r2,[r1,#4] - 2361750 ns MR4_I 00000234 6008d1fc - 2361810 ns MR4_D 40006004 00000001 - 2361810 ns R r2 00000001 - 2361810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2361830 ns R r2 80000000 - 2361830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2361850 ns R psr 81000200 - 2361850 ns MR4_I 00000238 48054770 - 2361870 ns MR4_I 00000230 07d2684a - 2361890 ns IT 00000230 684a LDR r2,[r1,#4] - 2361910 ns MR4_I 00000234 6008d1fc - 2361970 ns MR4_D 40006004 00000001 - 2361970 ns R r2 00000001 - 2361970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2361990 ns R r2 80000000 - 2361990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2362010 ns R psr 81000200 - 2362010 ns MR4_I 00000238 48054770 - 2362030 ns MR4_I 00000230 07d2684a - 2362050 ns IT 00000230 684a LDR r2,[r1,#4] - 2362070 ns MR4_I 00000234 6008d1fc - 2362130 ns MR4_D 40006004 00000001 - 2362130 ns R r2 00000001 - 2362130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2362150 ns R r2 80000000 - 2362150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2362170 ns R psr 81000200 - 2362170 ns MR4_I 00000238 48054770 - 2362190 ns MR4_I 00000230 07d2684a - 2362210 ns IT 00000230 684a LDR r2,[r1,#4] - 2362230 ns MR4_I 00000234 6008d1fc - 2362290 ns MR4_D 40006004 00000001 - 2362290 ns R r2 00000001 - 2362290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2362310 ns R r2 80000000 - 2362310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2362330 ns R psr 81000200 - 2362330 ns MR4_I 00000238 48054770 - 2362350 ns MR4_I 00000230 07d2684a - 2362370 ns IT 00000230 684a LDR r2,[r1,#4] - 2362390 ns MR4_I 00000234 6008d1fc - 2362450 ns MR4_D 40006004 00000001 - 2362450 ns R r2 00000001 - 2362450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2362470 ns R r2 80000000 - 2362470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2362490 ns R psr 81000200 - 2362490 ns MR4_I 00000238 48054770 - 2362510 ns MR4_I 00000230 07d2684a - 2362530 ns IT 00000230 684a LDR r2,[r1,#4] - 2362550 ns MR4_I 00000234 6008d1fc - 2362610 ns MR4_D 40006004 00000001 - 2362610 ns R r2 00000001 - 2362610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2362630 ns R r2 80000000 - 2362630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2362650 ns R psr 81000200 - 2362650 ns MR4_I 00000238 48054770 - 2362670 ns MR4_I 00000230 07d2684a - 2362690 ns IT 00000230 684a LDR r2,[r1,#4] - 2362710 ns MR4_I 00000234 6008d1fc - 2362770 ns MR4_D 40006004 00000001 - 2362770 ns R r2 00000001 - 2362770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2362790 ns R r2 80000000 - 2362790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2362810 ns R psr 81000200 - 2362810 ns MR4_I 00000238 48054770 - 2362830 ns MR4_I 00000230 07d2684a - 2362850 ns IT 00000230 684a LDR r2,[r1,#4] - 2362870 ns MR4_I 00000234 6008d1fc - 2362930 ns MR4_D 40006004 00000001 - 2362930 ns R r2 00000001 - 2362930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2362950 ns R r2 80000000 - 2362950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2362970 ns R psr 81000200 - 2362970 ns MR4_I 00000238 48054770 - 2362990 ns MR4_I 00000230 07d2684a - 2363010 ns IT 00000230 684a LDR r2,[r1,#4] - 2363030 ns MR4_I 00000234 6008d1fc - 2363090 ns MR4_D 40006004 00000001 - 2363090 ns R r2 00000001 - 2363090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2363110 ns R r2 80000000 - 2363110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2363130 ns R psr 81000200 - 2363130 ns MR4_I 00000238 48054770 - 2363150 ns MR4_I 00000230 07d2684a - 2363170 ns IT 00000230 684a LDR r2,[r1,#4] - 2363190 ns MR4_I 00000234 6008d1fc - 2363250 ns MR4_D 40006004 00000001 - 2363250 ns R r2 00000001 - 2363250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2363270 ns R r2 80000000 - 2363270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2363290 ns R psr 81000200 - 2363290 ns MR4_I 00000238 48054770 - 2363310 ns MR4_I 00000230 07d2684a - 2363330 ns IT 00000230 684a LDR r2,[r1,#4] - 2363350 ns MR4_I 00000234 6008d1fc - 2363410 ns MR4_D 40006004 00000001 - 2363410 ns R r2 00000001 - 2363410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2363430 ns R r2 80000000 - 2363430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2363450 ns R psr 81000200 - 2363450 ns MR4_I 00000238 48054770 - 2363470 ns MR4_I 00000230 07d2684a - 2363490 ns IT 00000230 684a LDR r2,[r1,#4] - 2363510 ns MR4_I 00000234 6008d1fc - 2363570 ns MR4_D 40006004 00000001 - 2363570 ns R r2 00000001 - 2363570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2363590 ns R r2 80000000 - 2363590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2363610 ns R psr 81000200 - 2363610 ns MR4_I 00000238 48054770 - 2363630 ns MR4_I 00000230 07d2684a - 2363650 ns IT 00000230 684a LDR r2,[r1,#4] - 2363670 ns MR4_I 00000234 6008d1fc - 2363730 ns MR4_D 40006004 00000001 - 2363730 ns R r2 00000001 - 2363730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2363750 ns R r2 80000000 - 2363750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2363770 ns R psr 81000200 - 2363770 ns MR4_I 00000238 48054770 - 2363790 ns MR4_I 00000230 07d2684a - 2363810 ns IT 00000230 684a LDR r2,[r1,#4] - 2363830 ns MR4_I 00000234 6008d1fc - 2363890 ns MR4_D 40006004 00000001 - 2363890 ns R r2 00000001 - 2363890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2363910 ns R r2 80000000 - 2363910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2363930 ns R psr 81000200 - 2363930 ns MR4_I 00000238 48054770 - 2363950 ns MR4_I 00000230 07d2684a - 2363970 ns IT 00000230 684a LDR r2,[r1,#4] - 2363990 ns MR4_I 00000234 6008d1fc - 2364050 ns MR4_D 40006004 00000001 - 2364050 ns R r2 00000001 - 2364050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2364070 ns R r2 80000000 - 2364070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2364090 ns R psr 81000200 - 2364090 ns MR4_I 00000238 48054770 - 2364110 ns MR4_I 00000230 07d2684a - 2364130 ns IT 00000230 684a LDR r2,[r1,#4] - 2364150 ns MR4_I 00000234 6008d1fc - 2364210 ns MR4_D 40006004 00000001 - 2364210 ns R r2 00000001 - 2364210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2364230 ns R r2 80000000 - 2364230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2364250 ns R psr 81000200 - 2364250 ns MR4_I 00000238 48054770 - 2364270 ns MR4_I 00000230 07d2684a - 2364290 ns IT 00000230 684a LDR r2,[r1,#4] - 2364310 ns MR4_I 00000234 6008d1fc - 2364370 ns MR4_D 40006004 00000001 - 2364370 ns R r2 00000001 - 2364370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2364390 ns R r2 80000000 - 2364390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2364410 ns R psr 81000200 - 2364410 ns MR4_I 00000238 48054770 - 2364430 ns MR4_I 00000230 07d2684a - 2364450 ns IT 00000230 684a LDR r2,[r1,#4] - 2364470 ns MR4_I 00000234 6008d1fc - 2364530 ns MR4_D 40006004 00000001 - 2364530 ns R r2 00000001 - 2364530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2364550 ns R r2 80000000 - 2364550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2364570 ns R psr 81000200 - 2364570 ns MR4_I 00000238 48054770 - 2364590 ns MR4_I 00000230 07d2684a - 2364610 ns IT 00000230 684a LDR r2,[r1,#4] - 2364630 ns MR4_I 00000234 6008d1fc - 2364690 ns MR4_D 40006004 00000001 - 2364690 ns R r2 00000001 - 2364690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2364710 ns R r2 80000000 - 2364710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2364730 ns R psr 81000200 - 2364730 ns MR4_I 00000238 48054770 - 2364750 ns MR4_I 00000230 07d2684a - 2364770 ns IT 00000230 684a LDR r2,[r1,#4] - 2364790 ns MR4_I 00000234 6008d1fc - 2364850 ns MR4_D 40006004 00000001 - 2364850 ns R r2 00000001 - 2364850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2364870 ns R r2 80000000 - 2364870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2364890 ns R psr 81000200 - 2364890 ns MR4_I 00000238 48054770 - 2364910 ns MR4_I 00000230 07d2684a - 2364930 ns IT 00000230 684a LDR r2,[r1,#4] - 2364950 ns MR4_I 00000234 6008d1fc - 2365010 ns MR4_D 40006004 00000001 - 2365010 ns R r2 00000001 - 2365010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2365030 ns R r2 80000000 - 2365030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2365050 ns R psr 81000200 - 2365050 ns MR4_I 00000238 48054770 - 2365070 ns MR4_I 00000230 07d2684a - 2365090 ns IT 00000230 684a LDR r2,[r1,#4] - 2365110 ns MR4_I 00000234 6008d1fc - 2365170 ns MR4_D 40006004 00000001 - 2365170 ns R r2 00000001 - 2365170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2365190 ns R r2 80000000 - 2365190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2365210 ns R psr 81000200 - 2365210 ns MR4_I 00000238 48054770 - 2365230 ns MR4_I 00000230 07d2684a - 2365250 ns IT 00000230 684a LDR r2,[r1,#4] - 2365270 ns MR4_I 00000234 6008d1fc - 2365330 ns MR4_D 40006004 00000001 - 2365330 ns R r2 00000001 - 2365330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2365350 ns R r2 80000000 - 2365350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2365370 ns R psr 81000200 - 2365370 ns MR4_I 00000238 48054770 - 2365390 ns MR4_I 00000230 07d2684a - 2365410 ns IT 00000230 684a LDR r2,[r1,#4] - 2365430 ns MR4_I 00000234 6008d1fc - 2365490 ns MR4_D 40006004 00000001 - 2365490 ns R r2 00000001 - 2365490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2365510 ns R r2 80000000 - 2365510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2365530 ns R psr 81000200 - 2365530 ns MR4_I 00000238 48054770 - 2365550 ns MR4_I 00000230 07d2684a - 2365570 ns IT 00000230 684a LDR r2,[r1,#4] - 2365590 ns MR4_I 00000234 6008d1fc - 2365650 ns MR4_D 40006004 00000001 - 2365650 ns R r2 00000001 - 2365650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2365670 ns R r2 80000000 - 2365670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2365690 ns R psr 81000200 - 2365690 ns MR4_I 00000238 48054770 - 2365710 ns MR4_I 00000230 07d2684a - 2365730 ns IT 00000230 684a LDR r2,[r1,#4] - 2365750 ns MR4_I 00000234 6008d1fc - 2365810 ns MR4_D 40006004 00000001 - 2365810 ns R r2 00000001 - 2365810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2365830 ns R r2 80000000 - 2365830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2365850 ns R psr 81000200 - 2365850 ns MR4_I 00000238 48054770 - 2365870 ns MR4_I 00000230 07d2684a - 2365890 ns IT 00000230 684a LDR r2,[r1,#4] - 2365910 ns MR4_I 00000234 6008d1fc - 2365970 ns MR4_D 40006004 00000001 - 2365970 ns R r2 00000001 - 2365970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2365990 ns R r2 80000000 - 2365990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2366010 ns R psr 81000200 - 2366010 ns MR4_I 00000238 48054770 - 2366030 ns MR4_I 00000230 07d2684a - 2366050 ns IT 00000230 684a LDR r2,[r1,#4] - 2366070 ns MR4_I 00000234 6008d1fc - 2366130 ns MR4_D 40006004 00000001 - 2366130 ns R r2 00000001 - 2366130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2366150 ns R r2 80000000 - 2366150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2366170 ns R psr 81000200 - 2366170 ns MR4_I 00000238 48054770 - 2366190 ns MR4_I 00000230 07d2684a - 2366210 ns IT 00000230 684a LDR r2,[r1,#4] - 2366230 ns MR4_I 00000234 6008d1fc - 2366290 ns MR4_D 40006004 00000001 - 2366290 ns R r2 00000001 - 2366290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2366310 ns R r2 80000000 - 2366310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2366330 ns R psr 81000200 - 2366330 ns MR4_I 00000238 48054770 - 2366350 ns MR4_I 00000230 07d2684a - 2366370 ns IT 00000230 684a LDR r2,[r1,#4] - 2366390 ns MR4_I 00000234 6008d1fc - 2366450 ns MR4_D 40006004 00000001 - 2366450 ns R r2 00000001 - 2366450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2366470 ns R r2 80000000 - 2366470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2366490 ns R psr 81000200 - 2366490 ns MR4_I 00000238 48054770 - 2366510 ns MR4_I 00000230 07d2684a - 2366530 ns IT 00000230 684a LDR r2,[r1,#4] - 2366550 ns MR4_I 00000234 6008d1fc - 2366610 ns MR4_D 40006004 00000001 - 2366610 ns R r2 00000001 - 2366610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2366630 ns R r2 80000000 - 2366630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2366650 ns R psr 81000200 - 2366650 ns MR4_I 00000238 48054770 - 2366670 ns MR4_I 00000230 07d2684a - 2366690 ns IT 00000230 684a LDR r2,[r1,#4] - 2366710 ns MR4_I 00000234 6008d1fc - 2366770 ns MR4_D 40006004 00000001 - 2366770 ns R r2 00000001 - 2366770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2366790 ns R r2 80000000 - 2366790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2366810 ns R psr 81000200 - 2366810 ns MR4_I 00000238 48054770 - 2366830 ns MR4_I 00000230 07d2684a - 2366850 ns IT 00000230 684a LDR r2,[r1,#4] - 2366870 ns MR4_I 00000234 6008d1fc - 2366930 ns MR4_D 40006004 00000001 - 2366930 ns R r2 00000001 - 2366930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2366950 ns R r2 80000000 - 2366950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2366970 ns R psr 81000200 - 2366970 ns MR4_I 00000238 48054770 - 2366990 ns MR4_I 00000230 07d2684a - 2367010 ns IT 00000230 684a LDR r2,[r1,#4] - 2367030 ns MR4_I 00000234 6008d1fc - 2367090 ns MR4_D 40006004 00000001 - 2367090 ns R r2 00000001 - 2367090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2367110 ns R r2 80000000 - 2367110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2367130 ns R psr 81000200 - 2367130 ns MR4_I 00000238 48054770 - 2367150 ns MR4_I 00000230 07d2684a - 2367170 ns IT 00000230 684a LDR r2,[r1,#4] - 2367190 ns MR4_I 00000234 6008d1fc - 2367250 ns MR4_D 40006004 00000001 - 2367250 ns R r2 00000001 - 2367250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2367270 ns R r2 80000000 - 2367270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2367290 ns R psr 81000200 - 2367290 ns MR4_I 00000238 48054770 - 2367310 ns MR4_I 00000230 07d2684a - 2367330 ns IT 00000230 684a LDR r2,[r1,#4] - 2367350 ns MR4_I 00000234 6008d1fc - 2367410 ns MR4_D 40006004 00000001 - 2367410 ns R r2 00000001 - 2367410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2367430 ns R r2 80000000 - 2367430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2367450 ns R psr 81000200 - 2367450 ns MR4_I 00000238 48054770 - 2367470 ns MR4_I 00000230 07d2684a - 2367490 ns IT 00000230 684a LDR r2,[r1,#4] - 2367510 ns MR4_I 00000234 6008d1fc - 2367570 ns MR4_D 40006004 00000001 - 2367570 ns R r2 00000001 - 2367570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2367590 ns R r2 80000000 - 2367590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2367610 ns R psr 81000200 - 2367610 ns MR4_I 00000238 48054770 - 2367630 ns MR4_I 00000230 07d2684a - 2367650 ns IT 00000230 684a LDR r2,[r1,#4] - 2367670 ns MR4_I 00000234 6008d1fc - 2367730 ns MR4_D 40006004 00000001 - 2367730 ns R r2 00000001 - 2367730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2367750 ns R r2 80000000 - 2367750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2367770 ns R psr 81000200 - 2367770 ns MR4_I 00000238 48054770 - 2367790 ns MR4_I 00000230 07d2684a - 2367810 ns IT 00000230 684a LDR r2,[r1,#4] - 2367830 ns MR4_I 00000234 6008d1fc - 2367890 ns MR4_D 40006004 00000001 - 2367890 ns R r2 00000001 - 2367890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2367910 ns R r2 80000000 - 2367910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2367930 ns R psr 81000200 - 2367930 ns MR4_I 00000238 48054770 - 2367950 ns MR4_I 00000230 07d2684a - 2367970 ns IT 00000230 684a LDR r2,[r1,#4] - 2367990 ns MR4_I 00000234 6008d1fc - 2368050 ns MR4_D 40006004 00000001 - 2368050 ns R r2 00000001 - 2368050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2368070 ns R r2 80000000 - 2368070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2368090 ns R psr 81000200 - 2368090 ns MR4_I 00000238 48054770 - 2368110 ns MR4_I 00000230 07d2684a - 2368130 ns IT 00000230 684a LDR r2,[r1,#4] - 2368150 ns MR4_I 00000234 6008d1fc - 2368210 ns MR4_D 40006004 00000001 - 2368210 ns R r2 00000001 - 2368210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2368230 ns R r2 80000000 - 2368230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2368250 ns R psr 81000200 - 2368250 ns MR4_I 00000238 48054770 - 2368270 ns MR4_I 00000230 07d2684a - 2368290 ns IT 00000230 684a LDR r2,[r1,#4] - 2368310 ns MR4_I 00000234 6008d1fc - 2368370 ns MR4_D 40006004 00000001 - 2368370 ns R r2 00000001 - 2368370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2368390 ns R r2 80000000 - 2368390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2368410 ns R psr 81000200 - 2368410 ns MR4_I 00000238 48054770 - 2368430 ns MR4_I 00000230 07d2684a - 2368450 ns IT 00000230 684a LDR r2,[r1,#4] - 2368470 ns MR4_I 00000234 6008d1fc - 2368530 ns MR4_D 40006004 00000001 - 2368530 ns R r2 00000001 - 2368530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2368550 ns R r2 80000000 - 2368550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2368570 ns R psr 81000200 - 2368570 ns MR4_I 00000238 48054770 - 2368590 ns MR4_I 00000230 07d2684a - 2368610 ns IT 00000230 684a LDR r2,[r1,#4] - 2368630 ns MR4_I 00000234 6008d1fc - 2368690 ns MR4_D 40006004 00000001 - 2368690 ns R r2 00000001 - 2368690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2368710 ns R r2 80000000 - 2368710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2368730 ns R psr 81000200 - 2368730 ns MR4_I 00000238 48054770 - 2368750 ns MR4_I 00000230 07d2684a - 2368770 ns IT 00000230 684a LDR r2,[r1,#4] - 2368790 ns MR4_I 00000234 6008d1fc - 2368850 ns MR4_D 40006004 00000001 - 2368850 ns R r2 00000001 - 2368850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2368870 ns R r2 80000000 - 2368870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2368890 ns R psr 81000200 - 2368890 ns MR4_I 00000238 48054770 - 2368910 ns MR4_I 00000230 07d2684a - 2368930 ns IT 00000230 684a LDR r2,[r1,#4] - 2368950 ns MR4_I 00000234 6008d1fc - 2369010 ns MR4_D 40006004 00000001 - 2369010 ns R r2 00000001 - 2369010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2369030 ns R r2 80000000 - 2369030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2369050 ns R psr 81000200 - 2369050 ns MR4_I 00000238 48054770 - 2369070 ns MR4_I 00000230 07d2684a - 2369090 ns IT 00000230 684a LDR r2,[r1,#4] - 2369110 ns MR4_I 00000234 6008d1fc - 2369170 ns MR4_D 40006004 00000001 - 2369170 ns R r2 00000001 - 2369170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2369190 ns R r2 80000000 - 2369190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2369210 ns R psr 81000200 - 2369210 ns MR4_I 00000238 48054770 - 2369230 ns MR4_I 00000230 07d2684a - 2369250 ns IT 00000230 684a LDR r2,[r1,#4] - 2369270 ns MR4_I 00000234 6008d1fc - 2369330 ns MR4_D 40006004 00000001 - 2369330 ns R r2 00000001 - 2369330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2369350 ns R r2 80000000 - 2369350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2369370 ns R psr 81000200 - 2369370 ns MR4_I 00000238 48054770 - 2369390 ns MR4_I 00000230 07d2684a - 2369410 ns IT 00000230 684a LDR r2,[r1,#4] - 2369430 ns MR4_I 00000234 6008d1fc - 2369490 ns MR4_D 40006004 00000001 - 2369490 ns R r2 00000001 - 2369490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2369510 ns R r2 80000000 - 2369510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2369530 ns R psr 81000200 - 2369530 ns MR4_I 00000238 48054770 - 2369550 ns MR4_I 00000230 07d2684a - 2369570 ns IT 00000230 684a LDR r2,[r1,#4] - 2369590 ns MR4_I 00000234 6008d1fc - 2369650 ns MR4_D 40006004 00000001 - 2369650 ns R r2 00000001 - 2369650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2369670 ns R r2 80000000 - 2369670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2369690 ns R psr 81000200 - 2369690 ns MR4_I 00000238 48054770 - 2369710 ns MR4_I 00000230 07d2684a - 2369730 ns IT 00000230 684a LDR r2,[r1,#4] - 2369750 ns MR4_I 00000234 6008d1fc - 2369810 ns MR4_D 40006004 00000001 - 2369810 ns R r2 00000001 - 2369810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2369830 ns R r2 80000000 - 2369830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2369850 ns R psr 81000200 - 2369850 ns MR4_I 00000238 48054770 - 2369870 ns MR4_I 00000230 07d2684a - 2369890 ns IT 00000230 684a LDR r2,[r1,#4] - 2369910 ns MR4_I 00000234 6008d1fc - 2369970 ns MR4_D 40006004 00000001 - 2369970 ns R r2 00000001 - 2369970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2369990 ns R r2 80000000 - 2369990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2370010 ns R psr 81000200 - 2370010 ns MR4_I 00000238 48054770 - 2370030 ns MR4_I 00000230 07d2684a - 2370050 ns IT 00000230 684a LDR r2,[r1,#4] - 2370070 ns MR4_I 00000234 6008d1fc - 2370130 ns MR4_D 40006004 00000001 - 2370130 ns R r2 00000001 - 2370130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2370150 ns R r2 80000000 - 2370150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2370170 ns R psr 81000200 - 2370170 ns MR4_I 00000238 48054770 - 2370190 ns MR4_I 00000230 07d2684a - 2370210 ns IT 00000230 684a LDR r2,[r1,#4] - 2370230 ns MR4_I 00000234 6008d1fc - 2370290 ns MR4_D 40006004 00000001 - 2370290 ns R r2 00000001 - 2370290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2370310 ns R r2 80000000 - 2370310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2370330 ns R psr 81000200 - 2370330 ns MR4_I 00000238 48054770 - 2370350 ns MR4_I 00000230 07d2684a - 2370370 ns IT 00000230 684a LDR r2,[r1,#4] - 2370390 ns MR4_I 00000234 6008d1fc - 2370450 ns MR4_D 40006004 00000001 - 2370450 ns R r2 00000001 - 2370450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2370470 ns R r2 80000000 - 2370470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2370490 ns R psr 81000200 - 2370490 ns MR4_I 00000238 48054770 - 2370510 ns MR4_I 00000230 07d2684a - 2370530 ns IT 00000230 684a LDR r2,[r1,#4] - 2370550 ns MR4_I 00000234 6008d1fc - 2370610 ns MR4_D 40006004 00000001 - 2370610 ns R r2 00000001 - 2370610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2370630 ns R r2 80000000 - 2370630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2370650 ns R psr 81000200 - 2370650 ns MR4_I 00000238 48054770 - 2370670 ns MR4_I 00000230 07d2684a - 2370690 ns IT 00000230 684a LDR r2,[r1,#4] - 2370710 ns MR4_I 00000234 6008d1fc - 2370770 ns MR4_D 40006004 00000001 - 2370770 ns R r2 00000001 - 2370770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2370790 ns R r2 80000000 - 2370790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2370810 ns R psr 81000200 - 2370810 ns MR4_I 00000238 48054770 - 2370830 ns MR4_I 00000230 07d2684a - 2370850 ns IT 00000230 684a LDR r2,[r1,#4] - 2370870 ns MR4_I 00000234 6008d1fc - 2370930 ns MR4_D 40006004 00000001 - 2370930 ns R r2 00000001 - 2370930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2370950 ns R r2 80000000 - 2370950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2370970 ns R psr 81000200 - 2370970 ns MR4_I 00000238 48054770 - 2370990 ns MR4_I 00000230 07d2684a - 2371010 ns IT 00000230 684a LDR r2,[r1,#4] - 2371030 ns MR4_I 00000234 6008d1fc - 2371090 ns MR4_D 40006004 00000001 - 2371090 ns R r2 00000001 - 2371090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2371110 ns R r2 80000000 - 2371110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2371130 ns R psr 81000200 - 2371130 ns MR4_I 00000238 48054770 - 2371150 ns MR4_I 00000230 07d2684a - 2371170 ns IT 00000230 684a LDR r2,[r1,#4] - 2371190 ns MR4_I 00000234 6008d1fc - 2371250 ns MR4_D 40006004 00000001 - 2371250 ns R r2 00000001 - 2371250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2371270 ns R r2 80000000 - 2371270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2371290 ns R psr 81000200 - 2371290 ns MR4_I 00000238 48054770 - 2371310 ns MR4_I 00000230 07d2684a - 2371330 ns IT 00000230 684a LDR r2,[r1,#4] - 2371350 ns MR4_I 00000234 6008d1fc - 2371410 ns MR4_D 40006004 00000001 - 2371410 ns R r2 00000001 - 2371410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2371430 ns R r2 80000000 - 2371430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2371450 ns R psr 81000200 - 2371450 ns MR4_I 00000238 48054770 - 2371470 ns MR4_I 00000230 07d2684a - 2371490 ns IT 00000230 684a LDR r2,[r1,#4] - 2371510 ns MR4_I 00000234 6008d1fc - 2371570 ns MR4_D 40006004 00000001 - 2371570 ns R r2 00000001 - 2371570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2371590 ns R r2 80000000 - 2371590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2371610 ns R psr 81000200 - 2371610 ns MR4_I 00000238 48054770 - 2371630 ns MR4_I 00000230 07d2684a - 2371650 ns IT 00000230 684a LDR r2,[r1,#4] - 2371670 ns MR4_I 00000234 6008d1fc - 2371730 ns MR4_D 40006004 00000001 - 2371730 ns R r2 00000001 - 2371730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2371750 ns R r2 80000000 - 2371750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2371770 ns R psr 81000200 - 2371770 ns MR4_I 00000238 48054770 - 2371790 ns MR4_I 00000230 07d2684a - 2371810 ns IT 00000230 684a LDR r2,[r1,#4] - 2371830 ns MR4_I 00000234 6008d1fc - 2371890 ns MR4_D 40006004 00000001 - 2371890 ns R r2 00000001 - 2371890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2371910 ns R r2 80000000 - 2371910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2371930 ns R psr 81000200 - 2371930 ns MR4_I 00000238 48054770 - 2371950 ns MR4_I 00000230 07d2684a - 2371970 ns IT 00000230 684a LDR r2,[r1,#4] - 2371990 ns MR4_I 00000234 6008d1fc - 2372050 ns MR4_D 40006004 00000001 - 2372050 ns R r2 00000001 - 2372050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2372070 ns R r2 80000000 - 2372070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2372090 ns R psr 81000200 - 2372090 ns MR4_I 00000238 48054770 - 2372110 ns MR4_I 00000230 07d2684a - 2372130 ns IT 00000230 684a LDR r2,[r1,#4] - 2372150 ns MR4_I 00000234 6008d1fc - 2372210 ns MR4_D 40006004 00000001 - 2372210 ns R r2 00000001 - 2372210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2372230 ns R r2 80000000 - 2372230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2372250 ns R psr 81000200 - 2372250 ns MR4_I 00000238 48054770 - 2372270 ns MR4_I 00000230 07d2684a - 2372290 ns IT 00000230 684a LDR r2,[r1,#4] - 2372310 ns MR4_I 00000234 6008d1fc - 2372370 ns MR4_D 40006004 00000001 - 2372370 ns R r2 00000001 - 2372370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2372390 ns R r2 80000000 - 2372390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2372410 ns R psr 81000200 - 2372410 ns MR4_I 00000238 48054770 - 2372430 ns MR4_I 00000230 07d2684a - 2372450 ns IT 00000230 684a LDR r2,[r1,#4] - 2372470 ns MR4_I 00000234 6008d1fc - 2372530 ns MR4_D 40006004 00000001 - 2372530 ns R r2 00000001 - 2372530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2372550 ns R r2 80000000 - 2372550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2372570 ns R psr 81000200 - 2372570 ns MR4_I 00000238 48054770 - 2372590 ns MR4_I 00000230 07d2684a - 2372610 ns IT 00000230 684a LDR r2,[r1,#4] - 2372630 ns MR4_I 00000234 6008d1fc - 2372690 ns MR4_D 40006004 00000001 - 2372690 ns R r2 00000001 - 2372690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2372710 ns R r2 80000000 - 2372710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2372730 ns R psr 81000200 - 2372730 ns MR4_I 00000238 48054770 - 2372750 ns MR4_I 00000230 07d2684a - 2372770 ns IT 00000230 684a LDR r2,[r1,#4] - 2372790 ns MR4_I 00000234 6008d1fc - 2372850 ns MR4_D 40006004 00000001 - 2372850 ns R r2 00000001 - 2372850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2372870 ns R r2 80000000 - 2372870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2372890 ns R psr 81000200 - 2372890 ns MR4_I 00000238 48054770 - 2372910 ns MR4_I 00000230 07d2684a - 2372930 ns IT 00000230 684a LDR r2,[r1,#4] - 2372950 ns MR4_I 00000234 6008d1fc - 2373010 ns MR4_D 40006004 00000001 - 2373010 ns R r2 00000001 - 2373010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2373030 ns R r2 80000000 - 2373030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2373050 ns R psr 81000200 - 2373050 ns MR4_I 00000238 48054770 - 2373070 ns MR4_I 00000230 07d2684a - 2373090 ns IT 00000230 684a LDR r2,[r1,#4] - 2373110 ns MR4_I 00000234 6008d1fc - 2373170 ns MR4_D 40006004 00000001 - 2373170 ns R r2 00000001 - 2373170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2373190 ns R r2 80000000 - 2373190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2373210 ns R psr 81000200 - 2373210 ns MR4_I 00000238 48054770 - 2373230 ns MR4_I 00000230 07d2684a - 2373250 ns IT 00000230 684a LDR r2,[r1,#4] - 2373270 ns MR4_I 00000234 6008d1fc - 2373330 ns MR4_D 40006004 00000001 - 2373330 ns R r2 00000001 - 2373330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2373350 ns R r2 80000000 - 2373350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2373370 ns R psr 81000200 - 2373370 ns MR4_I 00000238 48054770 - 2373390 ns MR4_I 00000230 07d2684a - 2373410 ns IT 00000230 684a LDR r2,[r1,#4] - 2373430 ns MR4_I 00000234 6008d1fc - 2373490 ns MR4_D 40006004 00000001 - 2373490 ns R r2 00000001 - 2373490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2373510 ns R r2 80000000 - 2373510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2373530 ns R psr 81000200 - 2373530 ns MR4_I 00000238 48054770 - 2373550 ns MR4_I 00000230 07d2684a - 2373570 ns IT 00000230 684a LDR r2,[r1,#4] - 2373590 ns MR4_I 00000234 6008d1fc - 2373650 ns MR4_D 40006004 00000001 - 2373650 ns R r2 00000001 - 2373650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2373670 ns R r2 80000000 - 2373670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2373690 ns R psr 81000200 - 2373690 ns MR4_I 00000238 48054770 - 2373710 ns MR4_I 00000230 07d2684a - 2373730 ns IT 00000230 684a LDR r2,[r1,#4] - 2373750 ns MR4_I 00000234 6008d1fc - 2373810 ns MR4_D 40006004 00000001 - 2373810 ns R r2 00000001 - 2373810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2373830 ns R r2 80000000 - 2373830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2373850 ns R psr 81000200 - 2373850 ns MR4_I 00000238 48054770 - 2373870 ns MR4_I 00000230 07d2684a - 2373890 ns IT 00000230 684a LDR r2,[r1,#4] - 2373910 ns MR4_I 00000234 6008d1fc - 2373970 ns MR4_D 40006004 00000001 - 2373970 ns R r2 00000001 - 2373970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2373990 ns R r2 80000000 - 2373990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2374010 ns R psr 81000200 - 2374010 ns MR4_I 00000238 48054770 - 2374030 ns MR4_I 00000230 07d2684a - 2374050 ns IT 00000230 684a LDR r2,[r1,#4] - 2374070 ns MR4_I 00000234 6008d1fc - 2374130 ns MR4_D 40006004 00000001 - 2374130 ns R r2 00000001 - 2374130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2374150 ns R r2 80000000 - 2374150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2374170 ns R psr 81000200 - 2374170 ns MR4_I 00000238 48054770 - 2374190 ns MR4_I 00000230 07d2684a - 2374210 ns IT 00000230 684a LDR r2,[r1,#4] - 2374230 ns MR4_I 00000234 6008d1fc - 2374290 ns MR4_D 40006004 00000001 - 2374290 ns R r2 00000001 - 2374290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2374310 ns R r2 80000000 - 2374310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2374330 ns R psr 81000200 - 2374330 ns MR4_I 00000238 48054770 - 2374350 ns MR4_I 00000230 07d2684a - 2374370 ns IT 00000230 684a LDR r2,[r1,#4] - 2374390 ns MR4_I 00000234 6008d1fc - 2374450 ns MR4_D 40006004 00000001 - 2374450 ns R r2 00000001 - 2374450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2374470 ns R r2 80000000 - 2374470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2374490 ns R psr 81000200 - 2374490 ns MR4_I 00000238 48054770 - 2374510 ns MR4_I 00000230 07d2684a - 2374530 ns IT 00000230 684a LDR r2,[r1,#4] - 2374550 ns MR4_I 00000234 6008d1fc - 2374610 ns MR4_D 40006004 00000001 - 2374610 ns R r2 00000001 - 2374610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2374630 ns R r2 80000000 - 2374630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2374650 ns R psr 81000200 - 2374650 ns MR4_I 00000238 48054770 - 2374670 ns MR4_I 00000230 07d2684a - 2374690 ns IT 00000230 684a LDR r2,[r1,#4] - 2374710 ns MR4_I 00000234 6008d1fc - 2374770 ns MR4_D 40006004 00000001 - 2374770 ns R r2 00000001 - 2374770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2374790 ns R r2 80000000 - 2374790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2374810 ns R psr 81000200 - 2374810 ns MR4_I 00000238 48054770 - 2374830 ns MR4_I 00000230 07d2684a - 2374850 ns IT 00000230 684a LDR r2,[r1,#4] - 2374870 ns MR4_I 00000234 6008d1fc - 2374930 ns MR4_D 40006004 00000001 - 2374930 ns R r2 00000001 - 2374930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2374950 ns R r2 80000000 - 2374950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2374970 ns R psr 81000200 - 2374970 ns MR4_I 00000238 48054770 - 2374990 ns MR4_I 00000230 07d2684a - 2375010 ns IT 00000230 684a LDR r2,[r1,#4] - 2375030 ns MR4_I 00000234 6008d1fc - 2375090 ns MR4_D 40006004 00000001 - 2375090 ns R r2 00000001 - 2375090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2375110 ns R r2 80000000 - 2375110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2375130 ns R psr 81000200 - 2375130 ns MR4_I 00000238 48054770 - 2375150 ns MR4_I 00000230 07d2684a - 2375170 ns IT 00000230 684a LDR r2,[r1,#4] - 2375190 ns MR4_I 00000234 6008d1fc - 2375250 ns MR4_D 40006004 00000001 - 2375250 ns R r2 00000001 - 2375250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2375270 ns R r2 80000000 - 2375270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2375290 ns R psr 81000200 - 2375290 ns MR4_I 00000238 48054770 - 2375310 ns MR4_I 00000230 07d2684a - 2375330 ns IT 00000230 684a LDR r2,[r1,#4] - 2375350 ns MR4_I 00000234 6008d1fc - 2375410 ns MR4_D 40006004 00000001 - 2375410 ns R r2 00000001 - 2375410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2375430 ns R r2 80000000 - 2375430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2375450 ns R psr 81000200 - 2375450 ns MR4_I 00000238 48054770 - 2375470 ns MR4_I 00000230 07d2684a - 2375490 ns IT 00000230 684a LDR r2,[r1,#4] - 2375510 ns MR4_I 00000234 6008d1fc - 2375570 ns MR4_D 40006004 00000001 - 2375570 ns R r2 00000001 - 2375570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2375590 ns R r2 80000000 - 2375590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2375610 ns R psr 81000200 - 2375610 ns MR4_I 00000238 48054770 - 2375630 ns MR4_I 00000230 07d2684a - 2375650 ns IT 00000230 684a LDR r2,[r1,#4] - 2375670 ns MR4_I 00000234 6008d1fc - 2375730 ns MR4_D 40006004 00000001 - 2375730 ns R r2 00000001 - 2375730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2375750 ns R r2 80000000 - 2375750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2375770 ns R psr 81000200 - 2375770 ns MR4_I 00000238 48054770 - 2375790 ns MR4_I 00000230 07d2684a - 2375810 ns IT 00000230 684a LDR r2,[r1,#4] - 2375830 ns MR4_I 00000234 6008d1fc - 2375890 ns MR4_D 40006004 00000001 - 2375890 ns R r2 00000001 - 2375890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2375910 ns R r2 80000000 - 2375910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2375930 ns R psr 81000200 - 2375930 ns MR4_I 00000238 48054770 - 2375950 ns MR4_I 00000230 07d2684a - 2375970 ns IT 00000230 684a LDR r2,[r1,#4] - 2375990 ns MR4_I 00000234 6008d1fc - 2376050 ns MR4_D 40006004 00000001 - 2376050 ns R r2 00000001 - 2376050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2376070 ns R r2 80000000 - 2376070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2376090 ns R psr 81000200 - 2376090 ns MR4_I 00000238 48054770 - 2376110 ns MR4_I 00000230 07d2684a - 2376130 ns IT 00000230 684a LDR r2,[r1,#4] - 2376150 ns MR4_I 00000234 6008d1fc - 2376210 ns MR4_D 40006004 00000001 - 2376210 ns R r2 00000001 - 2376210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2376230 ns R r2 80000000 - 2376230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2376250 ns R psr 81000200 - 2376250 ns MR4_I 00000238 48054770 - 2376270 ns MR4_I 00000230 07d2684a - 2376290 ns IT 00000230 684a LDR r2,[r1,#4] - 2376310 ns MR4_I 00000234 6008d1fc - 2376370 ns MR4_D 40006004 00000001 - 2376370 ns R r2 00000001 - 2376370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2376390 ns R r2 80000000 - 2376390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2376410 ns R psr 81000200 - 2376410 ns MR4_I 00000238 48054770 - 2376430 ns MR4_I 00000230 07d2684a - 2376450 ns IT 00000230 684a LDR r2,[r1,#4] - 2376470 ns MR4_I 00000234 6008d1fc - 2376530 ns MR4_D 40006004 00000001 - 2376530 ns R r2 00000001 - 2376530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2376550 ns R r2 80000000 - 2376550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2376570 ns R psr 81000200 - 2376570 ns MR4_I 00000238 48054770 - 2376590 ns MR4_I 00000230 07d2684a - 2376610 ns IT 00000230 684a LDR r2,[r1,#4] - 2376630 ns MR4_I 00000234 6008d1fc - 2376690 ns MR4_D 40006004 00000001 - 2376690 ns R r2 00000001 - 2376690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2376710 ns R r2 80000000 - 2376710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2376730 ns R psr 81000200 - 2376730 ns MR4_I 00000238 48054770 - 2376750 ns MR4_I 00000230 07d2684a - 2376770 ns IT 00000230 684a LDR r2,[r1,#4] - 2376790 ns MR4_I 00000234 6008d1fc - 2376850 ns MR4_D 40006004 00000001 - 2376850 ns R r2 00000001 - 2376850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2376870 ns R r2 80000000 - 2376870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2376890 ns R psr 81000200 - 2376890 ns MR4_I 00000238 48054770 - 2376910 ns MR4_I 00000230 07d2684a - 2376930 ns IT 00000230 684a LDR r2,[r1,#4] - 2376950 ns MR4_I 00000234 6008d1fc - 2377010 ns MR4_D 40006004 00000001 - 2377010 ns R r2 00000001 - 2377010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2377030 ns R r2 80000000 - 2377030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2377050 ns R psr 81000200 - 2377050 ns MR4_I 00000238 48054770 - 2377070 ns MR4_I 00000230 07d2684a - 2377090 ns IT 00000230 684a LDR r2,[r1,#4] - 2377110 ns MR4_I 00000234 6008d1fc - 2377170 ns MR4_D 40006004 00000001 - 2377170 ns R r2 00000001 - 2377170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2377190 ns R r2 80000000 - 2377190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2377210 ns R psr 81000200 - 2377210 ns MR4_I 00000238 48054770 - 2377230 ns MR4_I 00000230 07d2684a - 2377250 ns IT 00000230 684a LDR r2,[r1,#4] - 2377270 ns MR4_I 00000234 6008d1fc - 2377330 ns MR4_D 40006004 00000001 - 2377330 ns R r2 00000001 - 2377330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2377350 ns R r2 80000000 - 2377350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2377370 ns R psr 81000200 - 2377370 ns MR4_I 00000238 48054770 - 2377390 ns MR4_I 00000230 07d2684a - 2377410 ns IT 00000230 684a LDR r2,[r1,#4] - 2377430 ns MR4_I 00000234 6008d1fc - 2377490 ns MR4_D 40006004 00000001 - 2377490 ns R r2 00000001 - 2377490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2377510 ns R r2 80000000 - 2377510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2377530 ns R psr 81000200 - 2377530 ns MR4_I 00000238 48054770 - 2377550 ns MR4_I 00000230 07d2684a - 2377570 ns IT 00000230 684a LDR r2,[r1,#4] - 2377590 ns MR4_I 00000234 6008d1fc - 2377650 ns MR4_D 40006004 00000001 - 2377650 ns R r2 00000001 - 2377650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2377670 ns R r2 80000000 - 2377670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2377690 ns R psr 81000200 - 2377690 ns MR4_I 00000238 48054770 - 2377710 ns MR4_I 00000230 07d2684a - 2377730 ns IT 00000230 684a LDR r2,[r1,#4] - 2377750 ns MR4_I 00000234 6008d1fc - 2377810 ns MR4_D 40006004 00000001 - 2377810 ns R r2 00000001 - 2377810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2377830 ns R r2 80000000 - 2377830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2377850 ns R psr 81000200 - 2377850 ns MR4_I 00000238 48054770 - 2377870 ns MR4_I 00000230 07d2684a - 2377890 ns IT 00000230 684a LDR r2,[r1,#4] - 2377910 ns MR4_I 00000234 6008d1fc - 2377970 ns MR4_D 40006004 00000001 - 2377970 ns R r2 00000001 - 2377970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2377990 ns R r2 80000000 - 2377990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2378010 ns R psr 81000200 - 2378010 ns MR4_I 00000238 48054770 - 2378030 ns MR4_I 00000230 07d2684a - 2378050 ns IT 00000230 684a LDR r2,[r1,#4] - 2378070 ns MR4_I 00000234 6008d1fc - 2378130 ns MR4_D 40006004 00000001 - 2378130 ns R r2 00000001 - 2378130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2378150 ns R r2 80000000 - 2378150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2378170 ns R psr 81000200 - 2378170 ns MR4_I 00000238 48054770 - 2378190 ns MR4_I 00000230 07d2684a - 2378210 ns IT 00000230 684a LDR r2,[r1,#4] - 2378230 ns MR4_I 00000234 6008d1fc - 2378290 ns MR4_D 40006004 00000001 - 2378290 ns R r2 00000001 - 2378290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2378310 ns R r2 80000000 - 2378310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2378330 ns R psr 81000200 - 2378330 ns MR4_I 00000238 48054770 - 2378350 ns MR4_I 00000230 07d2684a - 2378370 ns IT 00000230 684a LDR r2,[r1,#4] - 2378390 ns MR4_I 00000234 6008d1fc - 2378450 ns MR4_D 40006004 00000001 - 2378450 ns R r2 00000001 - 2378450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2378470 ns R r2 80000000 - 2378470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2378490 ns R psr 81000200 - 2378490 ns MR4_I 00000238 48054770 - 2378510 ns MR4_I 00000230 07d2684a - 2378530 ns IT 00000230 684a LDR r2,[r1,#4] - 2378550 ns MR4_I 00000234 6008d1fc - 2378610 ns MR4_D 40006004 00000001 - 2378610 ns R r2 00000001 - 2378610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2378630 ns R r2 80000000 - 2378630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2378650 ns R psr 81000200 - 2378650 ns MR4_I 00000238 48054770 - 2378670 ns MR4_I 00000230 07d2684a - 2378690 ns IT 00000230 684a LDR r2,[r1,#4] - 2378710 ns MR4_I 00000234 6008d1fc - 2378770 ns MR4_D 40006004 00000001 - 2378770 ns R r2 00000001 - 2378770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2378790 ns R r2 80000000 - 2378790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2378810 ns R psr 81000200 - 2378810 ns MR4_I 00000238 48054770 - 2378830 ns MR4_I 00000230 07d2684a - 2378850 ns IT 00000230 684a LDR r2,[r1,#4] - 2378870 ns MR4_I 00000234 6008d1fc - 2378930 ns MR4_D 40006004 00000001 - 2378930 ns R r2 00000001 - 2378930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2378950 ns R r2 80000000 - 2378950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2378970 ns R psr 81000200 - 2378970 ns MR4_I 00000238 48054770 - 2378990 ns MR4_I 00000230 07d2684a - 2379010 ns IT 00000230 684a LDR r2,[r1,#4] - 2379030 ns MR4_I 00000234 6008d1fc - 2379090 ns MR4_D 40006004 00000001 - 2379090 ns R r2 00000001 - 2379090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2379110 ns R r2 80000000 - 2379110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2379130 ns R psr 81000200 - 2379130 ns MR4_I 00000238 48054770 - 2379150 ns MR4_I 00000230 07d2684a - 2379170 ns IT 00000230 684a LDR r2,[r1,#4] - 2379190 ns MR4_I 00000234 6008d1fc - 2379250 ns MR4_D 40006004 00000001 - 2379250 ns R r2 00000001 - 2379250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2379270 ns R r2 80000000 - 2379270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2379290 ns R psr 81000200 - 2379290 ns MR4_I 00000238 48054770 - 2379310 ns MR4_I 00000230 07d2684a - 2379330 ns IT 00000230 684a LDR r2,[r1,#4] - 2379350 ns MR4_I 00000234 6008d1fc - 2379410 ns MR4_D 40006004 00000001 - 2379410 ns R r2 00000001 - 2379410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2379430 ns R r2 80000000 - 2379430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2379450 ns R psr 81000200 - 2379450 ns MR4_I 00000238 48054770 - 2379470 ns MR4_I 00000230 07d2684a - 2379490 ns IT 00000230 684a LDR r2,[r1,#4] - 2379510 ns MR4_I 00000234 6008d1fc - 2379570 ns MR4_D 40006004 00000001 - 2379570 ns R r2 00000001 - 2379570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2379590 ns R r2 80000000 - 2379590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2379610 ns R psr 81000200 - 2379610 ns MR4_I 00000238 48054770 - 2379630 ns MR4_I 00000230 07d2684a - 2379650 ns IT 00000230 684a LDR r2,[r1,#4] - 2379670 ns MR4_I 00000234 6008d1fc - 2379730 ns MR4_D 40006004 00000001 - 2379730 ns R r2 00000001 - 2379730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2379750 ns R r2 80000000 - 2379750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2379770 ns R psr 81000200 - 2379770 ns MR4_I 00000238 48054770 - 2379790 ns MR4_I 00000230 07d2684a - 2379810 ns IT 00000230 684a LDR r2,[r1,#4] - 2379830 ns MR4_I 00000234 6008d1fc - 2379890 ns MR4_D 40006004 00000001 - 2379890 ns R r2 00000001 - 2379890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2379910 ns R r2 80000000 - 2379910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2379930 ns R psr 81000200 - 2379930 ns MR4_I 00000238 48054770 - 2379950 ns MR4_I 00000230 07d2684a - 2379970 ns IT 00000230 684a LDR r2,[r1,#4] - 2379990 ns MR4_I 00000234 6008d1fc - 2380050 ns MR4_D 40006004 00000001 - 2380050 ns R r2 00000001 - 2380050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2380070 ns R r2 80000000 - 2380070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2380090 ns R psr 81000200 - 2380090 ns MR4_I 00000238 48054770 - 2380110 ns MR4_I 00000230 07d2684a - 2380130 ns IT 00000230 684a LDR r2,[r1,#4] - 2380150 ns MR4_I 00000234 6008d1fc - 2380210 ns MR4_D 40006004 00000001 - 2380210 ns R r2 00000001 - 2380210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2380230 ns R r2 80000000 - 2380230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2380250 ns R psr 81000200 - 2380250 ns MR4_I 00000238 48054770 - 2380270 ns MR4_I 00000230 07d2684a - 2380290 ns IT 00000230 684a LDR r2,[r1,#4] - 2380310 ns MR4_I 00000234 6008d1fc - 2380370 ns MR4_D 40006004 00000001 - 2380370 ns R r2 00000001 - 2380370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2380390 ns R r2 80000000 - 2380390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2380410 ns R psr 81000200 - 2380410 ns MR4_I 00000238 48054770 - 2380430 ns MR4_I 00000230 07d2684a - 2380450 ns IT 00000230 684a LDR r2,[r1,#4] - 2380470 ns MR4_I 00000234 6008d1fc - 2380530 ns MR4_D 40006004 00000001 - 2380530 ns R r2 00000001 - 2380530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2380550 ns R r2 80000000 - 2380550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2380570 ns R psr 81000200 - 2380570 ns MR4_I 00000238 48054770 - 2380590 ns MR4_I 00000230 07d2684a - 2380610 ns IT 00000230 684a LDR r2,[r1,#4] - 2380630 ns MR4_I 00000234 6008d1fc - 2380690 ns MR4_D 40006004 00000001 - 2380690 ns R r2 00000001 - 2380690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2380710 ns R r2 80000000 - 2380710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2380730 ns R psr 81000200 - 2380730 ns MR4_I 00000238 48054770 - 2380750 ns MR4_I 00000230 07d2684a - 2380770 ns IT 00000230 684a LDR r2,[r1,#4] - 2380790 ns MR4_I 00000234 6008d1fc - 2380850 ns MR4_D 40006004 00000001 - 2380850 ns R r2 00000001 - 2380850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2380870 ns R r2 80000000 - 2380870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2380890 ns R psr 81000200 - 2380890 ns MR4_I 00000238 48054770 - 2380910 ns MR4_I 00000230 07d2684a - 2380930 ns IT 00000230 684a LDR r2,[r1,#4] - 2380950 ns MR4_I 00000234 6008d1fc - 2381010 ns MR4_D 40006004 00000001 - 2381010 ns R r2 00000001 - 2381010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2381030 ns R r2 80000000 - 2381030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2381050 ns R psr 81000200 - 2381050 ns MR4_I 00000238 48054770 - 2381070 ns MR4_I 00000230 07d2684a - 2381090 ns IT 00000230 684a LDR r2,[r1,#4] - 2381110 ns MR4_I 00000234 6008d1fc - 2381170 ns MR4_D 40006004 00000001 - 2381170 ns R r2 00000001 - 2381170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2381190 ns R r2 80000000 - 2381190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2381210 ns R psr 81000200 - 2381210 ns MR4_I 00000238 48054770 - 2381230 ns MR4_I 00000230 07d2684a - 2381250 ns IT 00000230 684a LDR r2,[r1,#4] - 2381270 ns MR4_I 00000234 6008d1fc - 2381330 ns MR4_D 40006004 00000001 - 2381330 ns R r2 00000001 - 2381330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2381350 ns R r2 80000000 - 2381350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2381370 ns R psr 81000200 - 2381370 ns MR4_I 00000238 48054770 - 2381390 ns MR4_I 00000230 07d2684a - 2381410 ns IT 00000230 684a LDR r2,[r1,#4] - 2381430 ns MR4_I 00000234 6008d1fc - 2381490 ns MR4_D 40006004 00000001 - 2381490 ns R r2 00000001 - 2381490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2381510 ns R r2 80000000 - 2381510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2381530 ns R psr 81000200 - 2381530 ns MR4_I 00000238 48054770 - 2381550 ns MR4_I 00000230 07d2684a - 2381570 ns IT 00000230 684a LDR r2,[r1,#4] - 2381590 ns MR4_I 00000234 6008d1fc - 2381650 ns MR4_D 40006004 00000001 - 2381650 ns R r2 00000001 - 2381650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2381670 ns R r2 80000000 - 2381670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2381690 ns R psr 81000200 - 2381690 ns MR4_I 00000238 48054770 - 2381710 ns MR4_I 00000230 07d2684a - 2381730 ns IT 00000230 684a LDR r2,[r1,#4] - 2381750 ns MR4_I 00000234 6008d1fc - 2381810 ns MR4_D 40006004 00000001 - 2381810 ns R r2 00000001 - 2381810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2381830 ns R r2 80000000 - 2381830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2381850 ns R psr 81000200 - 2381850 ns MR4_I 00000238 48054770 - 2381870 ns MR4_I 00000230 07d2684a - 2381890 ns IT 00000230 684a LDR r2,[r1,#4] - 2381910 ns MR4_I 00000234 6008d1fc - 2381970 ns MR4_D 40006004 00000001 - 2381970 ns R r2 00000001 - 2381970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2381990 ns R r2 80000000 - 2381990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2382010 ns R psr 81000200 - 2382010 ns MR4_I 00000238 48054770 - 2382030 ns MR4_I 00000230 07d2684a - 2382050 ns IT 00000230 684a LDR r2,[r1,#4] - 2382070 ns MR4_I 00000234 6008d1fc - 2382130 ns MR4_D 40006004 00000001 - 2382130 ns R r2 00000001 - 2382130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2382150 ns R r2 80000000 - 2382150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2382170 ns R psr 81000200 - 2382170 ns MR4_I 00000238 48054770 - 2382190 ns MR4_I 00000230 07d2684a - 2382210 ns IT 00000230 684a LDR r2,[r1,#4] - 2382230 ns MR4_I 00000234 6008d1fc - 2382290 ns MR4_D 40006004 00000001 - 2382290 ns R r2 00000001 - 2382290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2382310 ns R r2 80000000 - 2382310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2382330 ns R psr 81000200 - 2382330 ns MR4_I 00000238 48054770 - 2382350 ns MR4_I 00000230 07d2684a - 2382370 ns IT 00000230 684a LDR r2,[r1,#4] - 2382390 ns MR4_I 00000234 6008d1fc - 2382450 ns MR4_D 40006004 00000001 - 2382450 ns R r2 00000001 - 2382450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2382470 ns R r2 80000000 - 2382470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2382490 ns R psr 81000200 - 2382490 ns MR4_I 00000238 48054770 - 2382510 ns MR4_I 00000230 07d2684a - 2382530 ns IT 00000230 684a LDR r2,[r1,#4] - 2382550 ns MR4_I 00000234 6008d1fc - 2382610 ns MR4_D 40006004 00000001 - 2382610 ns R r2 00000001 - 2382610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2382630 ns R r2 80000000 - 2382630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2382650 ns R psr 81000200 - 2382650 ns MR4_I 00000238 48054770 - 2382670 ns MR4_I 00000230 07d2684a - 2382690 ns IT 00000230 684a LDR r2,[r1,#4] - 2382710 ns MR4_I 00000234 6008d1fc - 2382770 ns MR4_D 40006004 00000001 - 2382770 ns R r2 00000001 - 2382770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2382790 ns R r2 80000000 - 2382790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2382810 ns R psr 81000200 - 2382810 ns MR4_I 00000238 48054770 - 2382830 ns MR4_I 00000230 07d2684a - 2382850 ns IT 00000230 684a LDR r2,[r1,#4] - 2382870 ns MR4_I 00000234 6008d1fc - 2382930 ns MR4_D 40006004 00000001 - 2382930 ns R r2 00000001 - 2382930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2382950 ns R r2 80000000 - 2382950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2382970 ns R psr 81000200 - 2382970 ns MR4_I 00000238 48054770 - 2382990 ns MR4_I 00000230 07d2684a - 2383010 ns IT 00000230 684a LDR r2,[r1,#4] - 2383030 ns MR4_I 00000234 6008d1fc - 2383090 ns MR4_D 40006004 00000001 - 2383090 ns R r2 00000001 - 2383090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2383110 ns R r2 80000000 - 2383110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2383130 ns R psr 81000200 - 2383130 ns MR4_I 00000238 48054770 - 2383150 ns MR4_I 00000230 07d2684a - 2383170 ns IT 00000230 684a LDR r2,[r1,#4] - 2383190 ns MR4_I 00000234 6008d1fc - 2383250 ns MR4_D 40006004 00000001 - 2383250 ns R r2 00000001 - 2383250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2383270 ns R r2 80000000 - 2383270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2383290 ns R psr 81000200 - 2383290 ns MR4_I 00000238 48054770 - 2383310 ns MR4_I 00000230 07d2684a - 2383330 ns IT 00000230 684a LDR r2,[r1,#4] - 2383350 ns MR4_I 00000234 6008d1fc - 2383410 ns MR4_D 40006004 00000001 - 2383410 ns R r2 00000001 - 2383410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2383430 ns R r2 80000000 - 2383430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2383450 ns R psr 81000200 - 2383450 ns MR4_I 00000238 48054770 - 2383470 ns MR4_I 00000230 07d2684a - 2383490 ns IT 00000230 684a LDR r2,[r1,#4] - 2383510 ns MR4_I 00000234 6008d1fc - 2383570 ns MR4_D 40006004 00000001 - 2383570 ns R r2 00000001 - 2383570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2383590 ns R r2 80000000 - 2383590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2383610 ns R psr 81000200 - 2383610 ns MR4_I 00000238 48054770 - 2383630 ns MR4_I 00000230 07d2684a - 2383650 ns IT 00000230 684a LDR r2,[r1,#4] - 2383670 ns MR4_I 00000234 6008d1fc - 2383730 ns MR4_D 40006004 00000001 - 2383730 ns R r2 00000001 - 2383730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2383750 ns R r2 80000000 - 2383750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2383770 ns R psr 81000200 - 2383770 ns MR4_I 00000238 48054770 - 2383790 ns MR4_I 00000230 07d2684a - 2383810 ns IT 00000230 684a LDR r2,[r1,#4] - 2383830 ns MR4_I 00000234 6008d1fc - 2383890 ns MR4_D 40006004 00000001 - 2383890 ns R r2 00000001 - 2383890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2383910 ns R r2 80000000 - 2383910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2383930 ns R psr 81000200 - 2383930 ns MR4_I 00000238 48054770 - 2383950 ns MR4_I 00000230 07d2684a - 2383970 ns IT 00000230 684a LDR r2,[r1,#4] - 2383990 ns MR4_I 00000234 6008d1fc - 2384050 ns MR4_D 40006004 00000001 - 2384050 ns R r2 00000001 - 2384050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2384070 ns R r2 80000000 - 2384070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2384090 ns R psr 81000200 - 2384090 ns MR4_I 00000238 48054770 - 2384110 ns MR4_I 00000230 07d2684a - 2384130 ns IT 00000230 684a LDR r2,[r1,#4] - 2384150 ns MR4_I 00000234 6008d1fc - 2384210 ns MR4_D 40006004 00000001 - 2384210 ns R r2 00000001 - 2384210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2384230 ns R r2 80000000 - 2384230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2384250 ns R psr 81000200 - 2384250 ns MR4_I 00000238 48054770 - 2384270 ns MR4_I 00000230 07d2684a - 2384290 ns IT 00000230 684a LDR r2,[r1,#4] - 2384310 ns MR4_I 00000234 6008d1fc - 2384370 ns MR4_D 40006004 00000001 - 2384370 ns R r2 00000001 - 2384370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2384390 ns R r2 80000000 - 2384390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2384410 ns R psr 81000200 - 2384410 ns MR4_I 00000238 48054770 - 2384430 ns MR4_I 00000230 07d2684a - 2384450 ns IT 00000230 684a LDR r2,[r1,#4] - 2384470 ns MR4_I 00000234 6008d1fc - 2384530 ns MR4_D 40006004 00000001 - 2384530 ns R r2 00000001 - 2384530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2384550 ns R r2 80000000 - 2384550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2384570 ns R psr 81000200 - 2384570 ns MR4_I 00000238 48054770 - 2384590 ns MR4_I 00000230 07d2684a - 2384610 ns IT 00000230 684a LDR r2,[r1,#4] - 2384630 ns MR4_I 00000234 6008d1fc - 2384690 ns MR4_D 40006004 00000001 - 2384690 ns R r2 00000001 - 2384690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2384710 ns R r2 80000000 - 2384710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2384730 ns R psr 81000200 - 2384730 ns MR4_I 00000238 48054770 - 2384750 ns MR4_I 00000230 07d2684a - 2384770 ns IT 00000230 684a LDR r2,[r1,#4] - 2384790 ns MR4_I 00000234 6008d1fc - 2384850 ns MR4_D 40006004 00000001 - 2384850 ns R r2 00000001 - 2384850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2384870 ns R r2 80000000 - 2384870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2384890 ns R psr 81000200 - 2384890 ns MR4_I 00000238 48054770 - 2384910 ns MR4_I 00000230 07d2684a - 2384930 ns IT 00000230 684a LDR r2,[r1,#4] - 2384950 ns MR4_I 00000234 6008d1fc - 2385010 ns MR4_D 40006004 00000001 - 2385010 ns R r2 00000001 - 2385010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2385030 ns R r2 80000000 - 2385030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2385050 ns R psr 81000200 - 2385050 ns MR4_I 00000238 48054770 - 2385070 ns MR4_I 00000230 07d2684a - 2385090 ns IT 00000230 684a LDR r2,[r1,#4] - 2385110 ns MR4_I 00000234 6008d1fc - 2385170 ns MR4_D 40006004 00000001 - 2385170 ns R r2 00000001 - 2385170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2385190 ns R r2 80000000 - 2385190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2385210 ns R psr 81000200 - 2385210 ns MR4_I 00000238 48054770 - 2385230 ns MR4_I 00000230 07d2684a - 2385250 ns IT 00000230 684a LDR r2,[r1,#4] - 2385270 ns MR4_I 00000234 6008d1fc - 2385330 ns MR4_D 40006004 00000001 - 2385330 ns R r2 00000001 - 2385330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2385350 ns R r2 80000000 - 2385350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2385370 ns R psr 81000200 - 2385370 ns MR4_I 00000238 48054770 - 2385390 ns MR4_I 00000230 07d2684a - 2385410 ns IT 00000230 684a LDR r2,[r1,#4] - 2385430 ns MR4_I 00000234 6008d1fc - 2385490 ns MR4_D 40006004 00000001 - 2385490 ns R r2 00000001 - 2385490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2385510 ns R r2 80000000 - 2385510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2385530 ns R psr 81000200 - 2385530 ns MR4_I 00000238 48054770 - 2385550 ns MR4_I 00000230 07d2684a - 2385570 ns IT 00000230 684a LDR r2,[r1,#4] - 2385590 ns MR4_I 00000234 6008d1fc - 2385650 ns MR4_D 40006004 00000001 - 2385650 ns R r2 00000001 - 2385650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2385670 ns R r2 80000000 - 2385670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2385690 ns R psr 81000200 - 2385690 ns MR4_I 00000238 48054770 - 2385710 ns MR4_I 00000230 07d2684a - 2385730 ns IT 00000230 684a LDR r2,[r1,#4] - 2385750 ns MR4_I 00000234 6008d1fc - 2385810 ns MR4_D 40006004 00000001 - 2385810 ns R r2 00000001 - 2385810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2385830 ns R r2 80000000 - 2385830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2385850 ns R psr 81000200 - 2385850 ns MR4_I 00000238 48054770 - 2385870 ns MR4_I 00000230 07d2684a - 2385890 ns IT 00000230 684a LDR r2,[r1,#4] - 2385910 ns MR4_I 00000234 6008d1fc - 2385970 ns MR4_D 40006004 00000001 - 2385970 ns R r2 00000001 - 2385970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2385990 ns R r2 80000000 - 2385990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2386010 ns R psr 81000200 - 2386010 ns MR4_I 00000238 48054770 - 2386030 ns MR4_I 00000230 07d2684a - 2386050 ns IT 00000230 684a LDR r2,[r1,#4] - 2386070 ns MR4_I 00000234 6008d1fc - 2386130 ns MR4_D 40006004 00000001 - 2386130 ns R r2 00000001 - 2386130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2386150 ns R r2 80000000 - 2386150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2386170 ns R psr 81000200 - 2386170 ns MR4_I 00000238 48054770 - 2386190 ns MR4_I 00000230 07d2684a - 2386210 ns IT 00000230 684a LDR r2,[r1,#4] - 2386230 ns MR4_I 00000234 6008d1fc - 2386290 ns MR4_D 40006004 00000001 - 2386290 ns R r2 00000001 - 2386290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2386310 ns R r2 80000000 - 2386310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2386330 ns R psr 81000200 - 2386330 ns MR4_I 00000238 48054770 - 2386350 ns MR4_I 00000230 07d2684a - 2386370 ns IT 00000230 684a LDR r2,[r1,#4] - 2386390 ns MR4_I 00000234 6008d1fc - 2386450 ns MR4_D 40006004 00000001 - 2386450 ns R r2 00000001 - 2386450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2386470 ns R r2 80000000 - 2386470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2386490 ns R psr 81000200 - 2386490 ns MR4_I 00000238 48054770 - 2386510 ns MR4_I 00000230 07d2684a - 2386530 ns IT 00000230 684a LDR r2,[r1,#4] - 2386550 ns MR4_I 00000234 6008d1fc - 2386610 ns MR4_D 40006004 00000001 - 2386610 ns R r2 00000001 - 2386610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2386630 ns R r2 80000000 - 2386630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2386650 ns R psr 81000200 - 2386650 ns MR4_I 00000238 48054770 - 2386670 ns MR4_I 00000230 07d2684a - 2386690 ns IT 00000230 684a LDR r2,[r1,#4] - 2386710 ns MR4_I 00000234 6008d1fc - 2386770 ns MR4_D 40006004 00000001 - 2386770 ns R r2 00000001 - 2386770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2386790 ns R r2 80000000 - 2386790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2386810 ns R psr 81000200 - 2386810 ns MR4_I 00000238 48054770 - 2386830 ns MR4_I 00000230 07d2684a - 2386850 ns IT 00000230 684a LDR r2,[r1,#4] - 2386870 ns MR4_I 00000234 6008d1fc - 2386930 ns MR4_D 40006004 00000001 - 2386930 ns R r2 00000001 - 2386930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2386950 ns R r2 80000000 - 2386950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2386970 ns R psr 81000200 - 2386970 ns MR4_I 00000238 48054770 - 2386990 ns MR4_I 00000230 07d2684a - 2387010 ns IT 00000230 684a LDR r2,[r1,#4] - 2387030 ns MR4_I 00000234 6008d1fc - 2387090 ns MR4_D 40006004 00000001 - 2387090 ns R r2 00000001 - 2387090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2387110 ns R r2 80000000 - 2387110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2387130 ns R psr 81000200 - 2387130 ns MR4_I 00000238 48054770 - 2387150 ns MR4_I 00000230 07d2684a - 2387170 ns IT 00000230 684a LDR r2,[r1,#4] - 2387190 ns MR4_I 00000234 6008d1fc - 2387250 ns MR4_D 40006004 00000001 - 2387250 ns R r2 00000001 - 2387250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2387270 ns R r2 80000000 - 2387270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2387290 ns R psr 81000200 - 2387290 ns MR4_I 00000238 48054770 - 2387310 ns MR4_I 00000230 07d2684a - 2387330 ns IT 00000230 684a LDR r2,[r1,#4] - 2387350 ns MR4_I 00000234 6008d1fc - 2387410 ns MR4_D 40006004 00000001 - 2387410 ns R r2 00000001 - 2387410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2387430 ns R r2 80000000 - 2387430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2387450 ns R psr 81000200 - 2387450 ns MR4_I 00000238 48054770 - 2387470 ns MR4_I 00000230 07d2684a - 2387490 ns IT 00000230 684a LDR r2,[r1,#4] - 2387510 ns MR4_I 00000234 6008d1fc - 2387570 ns MR4_D 40006004 00000001 - 2387570 ns R r2 00000001 - 2387570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2387590 ns R r2 80000000 - 2387590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2387610 ns R psr 81000200 - 2387610 ns MR4_I 00000238 48054770 - 2387630 ns MR4_I 00000230 07d2684a - 2387650 ns IT 00000230 684a LDR r2,[r1,#4] - 2387670 ns MR4_I 00000234 6008d1fc - 2387730 ns MR4_D 40006004 00000001 - 2387730 ns R r2 00000001 - 2387730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2387750 ns R r2 80000000 - 2387750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2387770 ns R psr 81000200 - 2387770 ns MR4_I 00000238 48054770 - 2387790 ns MR4_I 00000230 07d2684a - 2387810 ns IT 00000230 684a LDR r2,[r1,#4] - 2387830 ns MR4_I 00000234 6008d1fc - 2387890 ns MR4_D 40006004 00000001 - 2387890 ns R r2 00000001 - 2387890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2387910 ns R r2 80000000 - 2387910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2387930 ns R psr 81000200 - 2387930 ns MR4_I 00000238 48054770 - 2387950 ns MR4_I 00000230 07d2684a - 2387970 ns IT 00000230 684a LDR r2,[r1,#4] - 2387990 ns MR4_I 00000234 6008d1fc - 2388050 ns MR4_D 40006004 00000000 - 2388050 ns R r2 00000000 - 2388050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2388070 ns R r2 00000000 - 2388070 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2388090 ns R psr 41000200 - 2388090 ns MR4_I 00000238 48054770 - 2388090 ns IT 00000236 6008 STR r0,[r1,#0] - 2388170 ns MW4_D 40006000 00000064 - 2388170 ns IT 00000238 4770 BX lr - 2388190 ns MR4_I 0000023c 07896841 - 2388210 ns R psr 41000200 - 2388210 ns MR4_I 000001fc b510bd10 - 2388230 ns IT 000001fc bd10 POP {r4,pc} - 2388250 ns MR4_I 00000200 f81bf000 - 2388250 ns R r13 200002e0 (MSP) - 2388270 ns MR4_D 200002d8 0000000a - 2388270 ns R r4 0000000a - 2388290 ns MR4_D 200002dc 0000032d - 2388310 ns R psr 41000200 - 2388330 ns MR4_I 0000032c 46301c64 - 2388350 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 2388370 ns MR4_I 00000330 280047a8 - 2388370 ns R r4 0000000b - 2388370 ns IT 0000032e 4630 MOV r0,r6 - 2388390 ns R psr 01000200 - 2388390 ns R r0 200002f8 - 2388390 ns IT 00000330 47a8 BLX r5 - 2388410 ns MR4_I 00000334 4620d1f8 - 2388430 ns R psr 01000200 - 2388430 ns MR4_I 000002a8 1c4a6901 - 2388430 ns R r14 00000333 - 2388450 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2388470 ns MR4_I 000002ac 78086102 - 2388490 ns MR4_D 20000308 0000018b - 2388490 ns R r1 0000018b - 2388490 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2388510 ns R r2 0000018c - 2388510 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2388530 ns R psr 01000200 - 2388530 ns MR4_I 000002b0 b5004770 - 2388550 ns MW4_D 20000308 0000018c - 2388550 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2388590 ns MR1_D 0000018b 0a646c72 - 2388590 ns R r0 0000000a - 2388590 ns IT 000002b0 4770 BX lr - 2388610 ns MR4_I 000002b4 9102b08f - 2388630 ns R psr 01000200 - 2388630 ns MR4_I 00000330 280047a8 - 2388650 ns MR4_I 00000334 4620d1f8 - 2388650 ns IT 00000332 2800 CMP r0,#0 - 2388670 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2388690 ns R psr 21000200 - 2388690 ns MR4_I 00000338 b510bdf8 - 2388710 ns MR4_I 00000328 47b89900 - 2388730 ns IT 00000328 9900 LDR r1,[sp,#0] - 2388750 ns MR4_I 0000032c 46301c64 - 2388770 ns MR4_D 200002e0 20000004 - 2388770 ns R r1 20000004 - 2388770 ns IT 0000032a 47b8 BLX r7 - 2388810 ns R psr 21000200 - 2388810 ns MR4_I 000001f4 b2c0b510 - 2388810 ns R r14 0000032d - 2388830 ns IT 000001f4 b510 PUSH {r4,lr} - 2388850 ns MR4_I 000001f8 f819f000 - 2388870 ns MW4_D 200002d8 0000000b - 2388890 ns MW4_D 200002dc 0000032d - 2388890 ns R r13 200002d8 (MSP) - 2388890 ns IT 000001f6 b2c0 UXTB r0,r0 - 2388910 ns R r0 0000000a - 2388910 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 2388930 ns MR4_I 000001fc b510bd10 - 2388950 ns R r14 000001fd - 2388970 ns MR4_I 0000022c 49084770 - 2388990 ns MR4_I 00000230 07d2684a - 2388990 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 2389030 ns MR4_D 00000250 40006000 - 2389030 ns R r1 40006000 - 2389030 ns IT 00000230 684a LDR r2,[r1,#4] - 2389050 ns MR4_I 00000234 6008d1fc - 2389110 ns MR4_D 40006004 00000001 - 2389110 ns R r2 00000001 - 2389110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2389130 ns R r2 80000000 - 2389130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2389150 ns R psr 81000200 - 2389150 ns MR4_I 00000238 48054770 - 2389170 ns MR4_I 00000230 07d2684a - 2389190 ns IT 00000230 684a LDR r2,[r1,#4] - 2389210 ns MR4_I 00000234 6008d1fc - 2389270 ns MR4_D 40006004 00000001 - 2389270 ns R r2 00000001 - 2389270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2389290 ns R r2 80000000 - 2389290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2389310 ns R psr 81000200 - 2389310 ns MR4_I 00000238 48054770 - 2389330 ns MR4_I 00000230 07d2684a - 2389350 ns IT 00000230 684a LDR r2,[r1,#4] - 2389370 ns MR4_I 00000234 6008d1fc - 2389430 ns MR4_D 40006004 00000001 - 2389430 ns R r2 00000001 - 2389430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2389450 ns R r2 80000000 - 2389450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2389470 ns R psr 81000200 - 2389470 ns MR4_I 00000238 48054770 - 2389490 ns MR4_I 00000230 07d2684a - 2389510 ns IT 00000230 684a LDR r2,[r1,#4] - 2389530 ns MR4_I 00000234 6008d1fc - 2389590 ns MR4_D 40006004 00000001 - 2389590 ns R r2 00000001 - 2389590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2389610 ns R r2 80000000 - 2389610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2389630 ns R psr 81000200 - 2389630 ns MR4_I 00000238 48054770 - 2389650 ns MR4_I 00000230 07d2684a - 2389670 ns IT 00000230 684a LDR r2,[r1,#4] - 2389690 ns MR4_I 00000234 6008d1fc - 2389750 ns MR4_D 40006004 00000001 - 2389750 ns R r2 00000001 - 2389750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2389770 ns R r2 80000000 - 2389770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2389790 ns R psr 81000200 - 2389790 ns MR4_I 00000238 48054770 - 2389810 ns MR4_I 00000230 07d2684a - 2389830 ns IT 00000230 684a LDR r2,[r1,#4] - 2389850 ns MR4_I 00000234 6008d1fc - 2389910 ns MR4_D 40006004 00000001 - 2389910 ns R r2 00000001 - 2389910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2389930 ns R r2 80000000 - 2389930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2389950 ns R psr 81000200 - 2389950 ns MR4_I 00000238 48054770 - 2389970 ns MR4_I 00000230 07d2684a - 2389990 ns IT 00000230 684a LDR r2,[r1,#4] - 2390010 ns MR4_I 00000234 6008d1fc - 2390070 ns MR4_D 40006004 00000001 - 2390070 ns R r2 00000001 - 2390070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2390090 ns R r2 80000000 - 2390090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2390110 ns R psr 81000200 - 2390110 ns MR4_I 00000238 48054770 - 2390130 ns MR4_I 00000230 07d2684a - 2390150 ns IT 00000230 684a LDR r2,[r1,#4] - 2390170 ns MR4_I 00000234 6008d1fc - 2390230 ns MR4_D 40006004 00000001 - 2390230 ns R r2 00000001 - 2390230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2390250 ns R r2 80000000 - 2390250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2390270 ns R psr 81000200 - 2390270 ns MR4_I 00000238 48054770 - 2390290 ns MR4_I 00000230 07d2684a - 2390310 ns IT 00000230 684a LDR r2,[r1,#4] - 2390330 ns MR4_I 00000234 6008d1fc - 2390390 ns MR4_D 40006004 00000001 - 2390390 ns R r2 00000001 - 2390390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2390410 ns R r2 80000000 - 2390410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2390430 ns R psr 81000200 - 2390430 ns MR4_I 00000238 48054770 - 2390450 ns MR4_I 00000230 07d2684a - 2390470 ns IT 00000230 684a LDR r2,[r1,#4] - 2390490 ns MR4_I 00000234 6008d1fc - 2390550 ns MR4_D 40006004 00000001 - 2390550 ns R r2 00000001 - 2390550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2390570 ns R r2 80000000 - 2390570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2390590 ns R psr 81000200 - 2390590 ns MR4_I 00000238 48054770 - 2390610 ns MR4_I 00000230 07d2684a - 2390630 ns IT 00000230 684a LDR r2,[r1,#4] - 2390650 ns MR4_I 00000234 6008d1fc - 2390710 ns MR4_D 40006004 00000001 - 2390710 ns R r2 00000001 - 2390710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2390730 ns R r2 80000000 - 2390730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2390750 ns R psr 81000200 - 2390750 ns MR4_I 00000238 48054770 - 2390770 ns MR4_I 00000230 07d2684a - 2390790 ns IT 00000230 684a LDR r2,[r1,#4] - 2390810 ns MR4_I 00000234 6008d1fc - 2390870 ns MR4_D 40006004 00000001 - 2390870 ns R r2 00000001 - 2390870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2390890 ns R r2 80000000 - 2390890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2390910 ns R psr 81000200 - 2390910 ns MR4_I 00000238 48054770 - 2390930 ns MR4_I 00000230 07d2684a - 2390950 ns IT 00000230 684a LDR r2,[r1,#4] - 2390970 ns MR4_I 00000234 6008d1fc - 2391030 ns MR4_D 40006004 00000001 - 2391030 ns R r2 00000001 - 2391030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2391050 ns R r2 80000000 - 2391050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2391070 ns R psr 81000200 - 2391070 ns MR4_I 00000238 48054770 - 2391090 ns MR4_I 00000230 07d2684a - 2391110 ns IT 00000230 684a LDR r2,[r1,#4] - 2391130 ns MR4_I 00000234 6008d1fc - 2391190 ns MR4_D 40006004 00000001 - 2391190 ns R r2 00000001 - 2391190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2391210 ns R r2 80000000 - 2391210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2391230 ns R psr 81000200 - 2391230 ns MR4_I 00000238 48054770 - 2391250 ns MR4_I 00000230 07d2684a - 2391270 ns IT 00000230 684a LDR r2,[r1,#4] - 2391290 ns MR4_I 00000234 6008d1fc - 2391350 ns MR4_D 40006004 00000001 - 2391350 ns R r2 00000001 - 2391350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2391370 ns R r2 80000000 - 2391370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2391390 ns R psr 81000200 - 2391390 ns MR4_I 00000238 48054770 - 2391410 ns MR4_I 00000230 07d2684a - 2391430 ns IT 00000230 684a LDR r2,[r1,#4] - 2391450 ns MR4_I 00000234 6008d1fc - 2391510 ns MR4_D 40006004 00000001 - 2391510 ns R r2 00000001 - 2391510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2391530 ns R r2 80000000 - 2391530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2391550 ns R psr 81000200 - 2391550 ns MR4_I 00000238 48054770 - 2391570 ns MR4_I 00000230 07d2684a - 2391590 ns IT 00000230 684a LDR r2,[r1,#4] - 2391610 ns MR4_I 00000234 6008d1fc - 2391670 ns MR4_D 40006004 00000001 - 2391670 ns R r2 00000001 - 2391670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2391690 ns R r2 80000000 - 2391690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2391710 ns R psr 81000200 - 2391710 ns MR4_I 00000238 48054770 - 2391730 ns MR4_I 00000230 07d2684a - 2391750 ns IT 00000230 684a LDR r2,[r1,#4] - 2391770 ns MR4_I 00000234 6008d1fc - 2391830 ns MR4_D 40006004 00000001 - 2391830 ns R r2 00000001 - 2391830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2391850 ns R r2 80000000 - 2391850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2391870 ns R psr 81000200 - 2391870 ns MR4_I 00000238 48054770 - 2391890 ns MR4_I 00000230 07d2684a - 2391910 ns IT 00000230 684a LDR r2,[r1,#4] - 2391930 ns MR4_I 00000234 6008d1fc - 2391990 ns MR4_D 40006004 00000001 - 2391990 ns R r2 00000001 - 2391990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2392010 ns R r2 80000000 - 2392010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2392030 ns R psr 81000200 - 2392030 ns MR4_I 00000238 48054770 - 2392050 ns MR4_I 00000230 07d2684a - 2392070 ns IT 00000230 684a LDR r2,[r1,#4] - 2392090 ns MR4_I 00000234 6008d1fc - 2392150 ns MR4_D 40006004 00000001 - 2392150 ns R r2 00000001 - 2392150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2392170 ns R r2 80000000 - 2392170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2392190 ns R psr 81000200 - 2392190 ns MR4_I 00000238 48054770 - 2392210 ns MR4_I 00000230 07d2684a - 2392230 ns IT 00000230 684a LDR r2,[r1,#4] - 2392250 ns MR4_I 00000234 6008d1fc - 2392310 ns MR4_D 40006004 00000001 - 2392310 ns R r2 00000001 - 2392310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2392330 ns R r2 80000000 - 2392330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2392350 ns R psr 81000200 - 2392350 ns MR4_I 00000238 48054770 - 2392370 ns MR4_I 00000230 07d2684a - 2392390 ns IT 00000230 684a LDR r2,[r1,#4] - 2392410 ns MR4_I 00000234 6008d1fc - 2392470 ns MR4_D 40006004 00000001 - 2392470 ns R r2 00000001 - 2392470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2392490 ns R r2 80000000 - 2392490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2392510 ns R psr 81000200 - 2392510 ns MR4_I 00000238 48054770 - 2392530 ns MR4_I 00000230 07d2684a - 2392550 ns IT 00000230 684a LDR r2,[r1,#4] - 2392570 ns MR4_I 00000234 6008d1fc - 2392630 ns MR4_D 40006004 00000001 - 2392630 ns R r2 00000001 - 2392630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2392650 ns R r2 80000000 - 2392650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2392670 ns R psr 81000200 - 2392670 ns MR4_I 00000238 48054770 - 2392690 ns MR4_I 00000230 07d2684a - 2392710 ns IT 00000230 684a LDR r2,[r1,#4] - 2392730 ns MR4_I 00000234 6008d1fc - 2392790 ns MR4_D 40006004 00000001 - 2392790 ns R r2 00000001 - 2392790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2392810 ns R r2 80000000 - 2392810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2392830 ns R psr 81000200 - 2392830 ns MR4_I 00000238 48054770 - 2392850 ns MR4_I 00000230 07d2684a - 2392870 ns IT 00000230 684a LDR r2,[r1,#4] - 2392890 ns MR4_I 00000234 6008d1fc - 2392950 ns MR4_D 40006004 00000001 - 2392950 ns R r2 00000001 - 2392950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2392970 ns R r2 80000000 - 2392970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2392990 ns R psr 81000200 - 2392990 ns MR4_I 00000238 48054770 - 2393010 ns MR4_I 00000230 07d2684a - 2393030 ns IT 00000230 684a LDR r2,[r1,#4] - 2393050 ns MR4_I 00000234 6008d1fc - 2393110 ns MR4_D 40006004 00000001 - 2393110 ns R r2 00000001 - 2393110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2393130 ns R r2 80000000 - 2393130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2393150 ns R psr 81000200 - 2393150 ns MR4_I 00000238 48054770 - 2393170 ns MR4_I 00000230 07d2684a - 2393190 ns IT 00000230 684a LDR r2,[r1,#4] - 2393210 ns MR4_I 00000234 6008d1fc - 2393270 ns MR4_D 40006004 00000001 - 2393270 ns R r2 00000001 - 2393270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2393290 ns R r2 80000000 - 2393290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2393310 ns R psr 81000200 - 2393310 ns MR4_I 00000238 48054770 - 2393330 ns MR4_I 00000230 07d2684a - 2393350 ns IT 00000230 684a LDR r2,[r1,#4] - 2393370 ns MR4_I 00000234 6008d1fc - 2393430 ns MR4_D 40006004 00000001 - 2393430 ns R r2 00000001 - 2393430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2393450 ns R r2 80000000 - 2393450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2393470 ns R psr 81000200 - 2393470 ns MR4_I 00000238 48054770 - 2393490 ns MR4_I 00000230 07d2684a - 2393510 ns IT 00000230 684a LDR r2,[r1,#4] - 2393530 ns MR4_I 00000234 6008d1fc - 2393590 ns MR4_D 40006004 00000001 - 2393590 ns R r2 00000001 - 2393590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2393610 ns R r2 80000000 - 2393610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2393630 ns R psr 81000200 - 2393630 ns MR4_I 00000238 48054770 - 2393650 ns MR4_I 00000230 07d2684a - 2393670 ns IT 00000230 684a LDR r2,[r1,#4] - 2393690 ns MR4_I 00000234 6008d1fc - 2393750 ns MR4_D 40006004 00000001 - 2393750 ns R r2 00000001 - 2393750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2393770 ns R r2 80000000 - 2393770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2393790 ns R psr 81000200 - 2393790 ns MR4_I 00000238 48054770 - 2393810 ns MR4_I 00000230 07d2684a - 2393830 ns IT 00000230 684a LDR r2,[r1,#4] - 2393850 ns MR4_I 00000234 6008d1fc - 2393910 ns MR4_D 40006004 00000001 - 2393910 ns R r2 00000001 - 2393910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2393930 ns R r2 80000000 - 2393930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2393950 ns R psr 81000200 - 2393950 ns MR4_I 00000238 48054770 - 2393970 ns MR4_I 00000230 07d2684a - 2393990 ns IT 00000230 684a LDR r2,[r1,#4] - 2394010 ns MR4_I 00000234 6008d1fc - 2394070 ns MR4_D 40006004 00000001 - 2394070 ns R r2 00000001 - 2394070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2394090 ns R r2 80000000 - 2394090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2394110 ns R psr 81000200 - 2394110 ns MR4_I 00000238 48054770 - 2394130 ns MR4_I 00000230 07d2684a - 2394150 ns IT 00000230 684a LDR r2,[r1,#4] - 2394170 ns MR4_I 00000234 6008d1fc - 2394230 ns MR4_D 40006004 00000001 - 2394230 ns R r2 00000001 - 2394230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2394250 ns R r2 80000000 - 2394250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2394270 ns R psr 81000200 - 2394270 ns MR4_I 00000238 48054770 - 2394290 ns MR4_I 00000230 07d2684a - 2394310 ns IT 00000230 684a LDR r2,[r1,#4] - 2394330 ns MR4_I 00000234 6008d1fc - 2394390 ns MR4_D 40006004 00000001 - 2394390 ns R r2 00000001 - 2394390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2394410 ns R r2 80000000 - 2394410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2394430 ns R psr 81000200 - 2394430 ns MR4_I 00000238 48054770 - 2394450 ns MR4_I 00000230 07d2684a - 2394470 ns IT 00000230 684a LDR r2,[r1,#4] - 2394490 ns MR4_I 00000234 6008d1fc - 2394550 ns MR4_D 40006004 00000001 - 2394550 ns R r2 00000001 - 2394550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2394570 ns R r2 80000000 - 2394570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2394590 ns R psr 81000200 - 2394590 ns MR4_I 00000238 48054770 - 2394610 ns MR4_I 00000230 07d2684a - 2394630 ns IT 00000230 684a LDR r2,[r1,#4] - 2394650 ns MR4_I 00000234 6008d1fc - 2394710 ns MR4_D 40006004 00000001 - 2394710 ns R r2 00000001 - 2394710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2394730 ns R r2 80000000 - 2394730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2394750 ns R psr 81000200 - 2394750 ns MR4_I 00000238 48054770 - 2394770 ns MR4_I 00000230 07d2684a - 2394790 ns IT 00000230 684a LDR r2,[r1,#4] - 2394810 ns MR4_I 00000234 6008d1fc - 2394870 ns MR4_D 40006004 00000001 - 2394870 ns R r2 00000001 - 2394870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2394890 ns R r2 80000000 - 2394890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2394910 ns R psr 81000200 - 2394910 ns MR4_I 00000238 48054770 - 2394930 ns MR4_I 00000230 07d2684a - 2394950 ns IT 00000230 684a LDR r2,[r1,#4] - 2394970 ns MR4_I 00000234 6008d1fc - 2395030 ns MR4_D 40006004 00000001 - 2395030 ns R r2 00000001 - 2395030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2395050 ns R r2 80000000 - 2395050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2395070 ns R psr 81000200 - 2395070 ns MR4_I 00000238 48054770 - 2395090 ns MR4_I 00000230 07d2684a - 2395110 ns IT 00000230 684a LDR r2,[r1,#4] - 2395130 ns MR4_I 00000234 6008d1fc - 2395190 ns MR4_D 40006004 00000001 - 2395190 ns R r2 00000001 - 2395190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2395210 ns R r2 80000000 - 2395210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2395230 ns R psr 81000200 - 2395230 ns MR4_I 00000238 48054770 - 2395250 ns MR4_I 00000230 07d2684a - 2395270 ns IT 00000230 684a LDR r2,[r1,#4] - 2395290 ns MR4_I 00000234 6008d1fc - 2395350 ns MR4_D 40006004 00000001 - 2395350 ns R r2 00000001 - 2395350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2395370 ns R r2 80000000 - 2395370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2395390 ns R psr 81000200 - 2395390 ns MR4_I 00000238 48054770 - 2395410 ns MR4_I 00000230 07d2684a - 2395430 ns IT 00000230 684a LDR r2,[r1,#4] - 2395450 ns MR4_I 00000234 6008d1fc - 2395510 ns MR4_D 40006004 00000001 - 2395510 ns R r2 00000001 - 2395510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2395530 ns R r2 80000000 - 2395530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2395550 ns R psr 81000200 - 2395550 ns MR4_I 00000238 48054770 - 2395570 ns MR4_I 00000230 07d2684a - 2395590 ns IT 00000230 684a LDR r2,[r1,#4] - 2395610 ns MR4_I 00000234 6008d1fc - 2395670 ns MR4_D 40006004 00000001 - 2395670 ns R r2 00000001 - 2395670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2395690 ns R r2 80000000 - 2395690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2395710 ns R psr 81000200 - 2395710 ns MR4_I 00000238 48054770 - 2395730 ns MR4_I 00000230 07d2684a - 2395750 ns IT 00000230 684a LDR r2,[r1,#4] - 2395770 ns MR4_I 00000234 6008d1fc - 2395830 ns MR4_D 40006004 00000001 - 2395830 ns R r2 00000001 - 2395830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2395850 ns R r2 80000000 - 2395850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2395870 ns R psr 81000200 - 2395870 ns MR4_I 00000238 48054770 - 2395890 ns MR4_I 00000230 07d2684a - 2395910 ns IT 00000230 684a LDR r2,[r1,#4] - 2395930 ns MR4_I 00000234 6008d1fc - 2395990 ns MR4_D 40006004 00000001 - 2395990 ns R r2 00000001 - 2395990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2396010 ns R r2 80000000 - 2396010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2396030 ns R psr 81000200 - 2396030 ns MR4_I 00000238 48054770 - 2396050 ns MR4_I 00000230 07d2684a - 2396070 ns IT 00000230 684a LDR r2,[r1,#4] - 2396090 ns MR4_I 00000234 6008d1fc - 2396150 ns MR4_D 40006004 00000001 - 2396150 ns R r2 00000001 - 2396150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2396170 ns R r2 80000000 - 2396170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2396190 ns R psr 81000200 - 2396190 ns MR4_I 00000238 48054770 - 2396210 ns MR4_I 00000230 07d2684a - 2396230 ns IT 00000230 684a LDR r2,[r1,#4] - 2396250 ns MR4_I 00000234 6008d1fc - 2396310 ns MR4_D 40006004 00000001 - 2396310 ns R r2 00000001 - 2396310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2396330 ns R r2 80000000 - 2396330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2396350 ns R psr 81000200 - 2396350 ns MR4_I 00000238 48054770 - 2396370 ns MR4_I 00000230 07d2684a - 2396390 ns IT 00000230 684a LDR r2,[r1,#4] - 2396410 ns MR4_I 00000234 6008d1fc - 2396470 ns MR4_D 40006004 00000001 - 2396470 ns R r2 00000001 - 2396470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2396490 ns R r2 80000000 - 2396490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2396510 ns R psr 81000200 - 2396510 ns MR4_I 00000238 48054770 - 2396530 ns MR4_I 00000230 07d2684a - 2396550 ns IT 00000230 684a LDR r2,[r1,#4] - 2396570 ns MR4_I 00000234 6008d1fc - 2396630 ns MR4_D 40006004 00000001 - 2396630 ns R r2 00000001 - 2396630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2396650 ns R r2 80000000 - 2396650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2396670 ns R psr 81000200 - 2396670 ns MR4_I 00000238 48054770 - 2396690 ns MR4_I 00000230 07d2684a - 2396710 ns IT 00000230 684a LDR r2,[r1,#4] - 2396730 ns MR4_I 00000234 6008d1fc - 2396790 ns MR4_D 40006004 00000001 - 2396790 ns R r2 00000001 - 2396790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2396810 ns R r2 80000000 - 2396810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2396830 ns R psr 81000200 - 2396830 ns MR4_I 00000238 48054770 - 2396850 ns MR4_I 00000230 07d2684a - 2396870 ns IT 00000230 684a LDR r2,[r1,#4] - 2396890 ns MR4_I 00000234 6008d1fc - 2396950 ns MR4_D 40006004 00000001 - 2396950 ns R r2 00000001 - 2396950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2396970 ns R r2 80000000 - 2396970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2396990 ns R psr 81000200 - 2396990 ns MR4_I 00000238 48054770 - 2397010 ns MR4_I 00000230 07d2684a - 2397030 ns IT 00000230 684a LDR r2,[r1,#4] - 2397050 ns MR4_I 00000234 6008d1fc - 2397110 ns MR4_D 40006004 00000001 - 2397110 ns R r2 00000001 - 2397110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2397130 ns R r2 80000000 - 2397130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2397150 ns R psr 81000200 - 2397150 ns MR4_I 00000238 48054770 - 2397170 ns MR4_I 00000230 07d2684a - 2397190 ns IT 00000230 684a LDR r2,[r1,#4] - 2397210 ns MR4_I 00000234 6008d1fc - 2397270 ns MR4_D 40006004 00000001 - 2397270 ns R r2 00000001 - 2397270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2397290 ns R r2 80000000 - 2397290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2397310 ns R psr 81000200 - 2397310 ns MR4_I 00000238 48054770 - 2397330 ns MR4_I 00000230 07d2684a - 2397350 ns IT 00000230 684a LDR r2,[r1,#4] - 2397370 ns MR4_I 00000234 6008d1fc - 2397430 ns MR4_D 40006004 00000001 - 2397430 ns R r2 00000001 - 2397430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2397450 ns R r2 80000000 - 2397450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2397470 ns R psr 81000200 - 2397470 ns MR4_I 00000238 48054770 - 2397490 ns MR4_I 00000230 07d2684a - 2397510 ns IT 00000230 684a LDR r2,[r1,#4] - 2397530 ns MR4_I 00000234 6008d1fc - 2397590 ns MR4_D 40006004 00000001 - 2397590 ns R r2 00000001 - 2397590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2397610 ns R r2 80000000 - 2397610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2397630 ns R psr 81000200 - 2397630 ns MR4_I 00000238 48054770 - 2397650 ns MR4_I 00000230 07d2684a - 2397670 ns IT 00000230 684a LDR r2,[r1,#4] - 2397690 ns MR4_I 00000234 6008d1fc - 2397750 ns MR4_D 40006004 00000001 - 2397750 ns R r2 00000001 - 2397750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2397770 ns R r2 80000000 - 2397770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2397790 ns R psr 81000200 - 2397790 ns MR4_I 00000238 48054770 - 2397810 ns MR4_I 00000230 07d2684a - 2397830 ns IT 00000230 684a LDR r2,[r1,#4] - 2397850 ns MR4_I 00000234 6008d1fc - 2397910 ns MR4_D 40006004 00000001 - 2397910 ns R r2 00000001 - 2397910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2397930 ns R r2 80000000 - 2397930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2397950 ns R psr 81000200 - 2397950 ns MR4_I 00000238 48054770 - 2397970 ns MR4_I 00000230 07d2684a - 2397990 ns IT 00000230 684a LDR r2,[r1,#4] - 2398010 ns MR4_I 00000234 6008d1fc - 2398070 ns MR4_D 40006004 00000001 - 2398070 ns R r2 00000001 - 2398070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2398090 ns R r2 80000000 - 2398090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2398110 ns R psr 81000200 - 2398110 ns MR4_I 00000238 48054770 - 2398130 ns MR4_I 00000230 07d2684a - 2398150 ns IT 00000230 684a LDR r2,[r1,#4] - 2398170 ns MR4_I 00000234 6008d1fc - 2398230 ns MR4_D 40006004 00000001 - 2398230 ns R r2 00000001 - 2398230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2398250 ns R r2 80000000 - 2398250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2398270 ns R psr 81000200 - 2398270 ns MR4_I 00000238 48054770 - 2398290 ns MR4_I 00000230 07d2684a - 2398310 ns IT 00000230 684a LDR r2,[r1,#4] - 2398330 ns MR4_I 00000234 6008d1fc - 2398390 ns MR4_D 40006004 00000001 - 2398390 ns R r2 00000001 - 2398390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2398410 ns R r2 80000000 - 2398410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2398430 ns R psr 81000200 - 2398430 ns MR4_I 00000238 48054770 - 2398450 ns MR4_I 00000230 07d2684a - 2398470 ns IT 00000230 684a LDR r2,[r1,#4] - 2398490 ns MR4_I 00000234 6008d1fc - 2398550 ns MR4_D 40006004 00000001 - 2398550 ns R r2 00000001 - 2398550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2398570 ns R r2 80000000 - 2398570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2398590 ns R psr 81000200 - 2398590 ns MR4_I 00000238 48054770 - 2398610 ns MR4_I 00000230 07d2684a - 2398630 ns IT 00000230 684a LDR r2,[r1,#4] - 2398650 ns MR4_I 00000234 6008d1fc - 2398710 ns MR4_D 40006004 00000001 - 2398710 ns R r2 00000001 - 2398710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2398730 ns R r2 80000000 - 2398730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2398750 ns R psr 81000200 - 2398750 ns MR4_I 00000238 48054770 - 2398770 ns MR4_I 00000230 07d2684a - 2398790 ns IT 00000230 684a LDR r2,[r1,#4] - 2398810 ns MR4_I 00000234 6008d1fc - 2398870 ns MR4_D 40006004 00000001 - 2398870 ns R r2 00000001 - 2398870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2398890 ns R r2 80000000 - 2398890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2398910 ns R psr 81000200 - 2398910 ns MR4_I 00000238 48054770 - 2398930 ns MR4_I 00000230 07d2684a - 2398950 ns IT 00000230 684a LDR r2,[r1,#4] - 2398970 ns MR4_I 00000234 6008d1fc - 2399030 ns MR4_D 40006004 00000001 - 2399030 ns R r2 00000001 - 2399030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2399050 ns R r2 80000000 - 2399050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2399070 ns R psr 81000200 - 2399070 ns MR4_I 00000238 48054770 - 2399090 ns MR4_I 00000230 07d2684a - 2399110 ns IT 00000230 684a LDR r2,[r1,#4] - 2399130 ns MR4_I 00000234 6008d1fc - 2399190 ns MR4_D 40006004 00000001 - 2399190 ns R r2 00000001 - 2399190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2399210 ns R r2 80000000 - 2399210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2399230 ns R psr 81000200 - 2399230 ns MR4_I 00000238 48054770 - 2399250 ns MR4_I 00000230 07d2684a - 2399270 ns IT 00000230 684a LDR r2,[r1,#4] - 2399290 ns MR4_I 00000234 6008d1fc - 2399350 ns MR4_D 40006004 00000001 - 2399350 ns R r2 00000001 - 2399350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2399370 ns R r2 80000000 - 2399370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2399390 ns R psr 81000200 - 2399390 ns MR4_I 00000238 48054770 - 2399410 ns MR4_I 00000230 07d2684a - 2399430 ns IT 00000230 684a LDR r2,[r1,#4] - 2399450 ns MR4_I 00000234 6008d1fc - 2399510 ns MR4_D 40006004 00000001 - 2399510 ns R r2 00000001 - 2399510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2399530 ns R r2 80000000 - 2399530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2399550 ns R psr 81000200 - 2399550 ns MR4_I 00000238 48054770 - 2399570 ns MR4_I 00000230 07d2684a - 2399590 ns IT 00000230 684a LDR r2,[r1,#4] - 2399610 ns MR4_I 00000234 6008d1fc - 2399670 ns MR4_D 40006004 00000001 - 2399670 ns R r2 00000001 - 2399670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2399690 ns R r2 80000000 - 2399690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2399710 ns R psr 81000200 - 2399710 ns MR4_I 00000238 48054770 - 2399730 ns MR4_I 00000230 07d2684a - 2399750 ns IT 00000230 684a LDR r2,[r1,#4] - 2399770 ns MR4_I 00000234 6008d1fc - 2399830 ns MR4_D 40006004 00000001 - 2399830 ns R r2 00000001 - 2399830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2399850 ns R r2 80000000 - 2399850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2399870 ns R psr 81000200 - 2399870 ns MR4_I 00000238 48054770 - 2399890 ns MR4_I 00000230 07d2684a - 2399910 ns IT 00000230 684a LDR r2,[r1,#4] - 2399930 ns MR4_I 00000234 6008d1fc - 2399990 ns MR4_D 40006004 00000001 - 2399990 ns R r2 00000001 - 2399990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2400010 ns R r2 80000000 - 2400010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2400030 ns R psr 81000200 - 2400030 ns MR4_I 00000238 48054770 - 2400050 ns MR4_I 00000230 07d2684a - 2400070 ns IT 00000230 684a LDR r2,[r1,#4] - 2400090 ns MR4_I 00000234 6008d1fc - 2400150 ns MR4_D 40006004 00000001 - 2400150 ns R r2 00000001 - 2400150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2400170 ns R r2 80000000 - 2400170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2400190 ns R psr 81000200 - 2400190 ns MR4_I 00000238 48054770 - 2400210 ns MR4_I 00000230 07d2684a - 2400230 ns IT 00000230 684a LDR r2,[r1,#4] - 2400250 ns MR4_I 00000234 6008d1fc - 2400310 ns MR4_D 40006004 00000001 - 2400310 ns R r2 00000001 - 2400310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2400330 ns R r2 80000000 - 2400330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2400350 ns R psr 81000200 - 2400350 ns MR4_I 00000238 48054770 - 2400370 ns MR4_I 00000230 07d2684a - 2400390 ns IT 00000230 684a LDR r2,[r1,#4] - 2400410 ns MR4_I 00000234 6008d1fc - 2400470 ns MR4_D 40006004 00000001 - 2400470 ns R r2 00000001 - 2400470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2400490 ns R r2 80000000 - 2400490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2400510 ns R psr 81000200 - 2400510 ns MR4_I 00000238 48054770 - 2400530 ns MR4_I 00000230 07d2684a - 2400550 ns IT 00000230 684a LDR r2,[r1,#4] - 2400570 ns MR4_I 00000234 6008d1fc - 2400630 ns MR4_D 40006004 00000001 - 2400630 ns R r2 00000001 - 2400630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2400650 ns R r2 80000000 - 2400650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2400670 ns R psr 81000200 - 2400670 ns MR4_I 00000238 48054770 - 2400690 ns MR4_I 00000230 07d2684a - 2400710 ns IT 00000230 684a LDR r2,[r1,#4] - 2400730 ns MR4_I 00000234 6008d1fc - 2400790 ns MR4_D 40006004 00000001 - 2400790 ns R r2 00000001 - 2400790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2400810 ns R r2 80000000 - 2400810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2400830 ns R psr 81000200 - 2400830 ns MR4_I 00000238 48054770 - 2400850 ns MR4_I 00000230 07d2684a - 2400870 ns IT 00000230 684a LDR r2,[r1,#4] - 2400890 ns MR4_I 00000234 6008d1fc - 2400950 ns MR4_D 40006004 00000001 - 2400950 ns R r2 00000001 - 2400950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2400970 ns R r2 80000000 - 2400970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2400990 ns R psr 81000200 - 2400990 ns MR4_I 00000238 48054770 - 2401010 ns MR4_I 00000230 07d2684a - 2401030 ns IT 00000230 684a LDR r2,[r1,#4] - 2401050 ns MR4_I 00000234 6008d1fc - 2401110 ns MR4_D 40006004 00000001 - 2401110 ns R r2 00000001 - 2401110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2401130 ns R r2 80000000 - 2401130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2401150 ns R psr 81000200 - 2401150 ns MR4_I 00000238 48054770 - 2401170 ns MR4_I 00000230 07d2684a - 2401190 ns IT 00000230 684a LDR r2,[r1,#4] - 2401210 ns MR4_I 00000234 6008d1fc - 2401270 ns MR4_D 40006004 00000001 - 2401270 ns R r2 00000001 - 2401270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2401290 ns R r2 80000000 - 2401290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2401310 ns R psr 81000200 - 2401310 ns MR4_I 00000238 48054770 - 2401330 ns MR4_I 00000230 07d2684a - 2401350 ns IT 00000230 684a LDR r2,[r1,#4] - 2401370 ns MR4_I 00000234 6008d1fc - 2401430 ns MR4_D 40006004 00000001 - 2401430 ns R r2 00000001 - 2401430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2401450 ns R r2 80000000 - 2401450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2401470 ns R psr 81000200 - 2401470 ns MR4_I 00000238 48054770 - 2401490 ns MR4_I 00000230 07d2684a - 2401510 ns IT 00000230 684a LDR r2,[r1,#4] - 2401530 ns MR4_I 00000234 6008d1fc - 2401590 ns MR4_D 40006004 00000001 - 2401590 ns R r2 00000001 - 2401590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2401610 ns R r2 80000000 - 2401610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2401630 ns R psr 81000200 - 2401630 ns MR4_I 00000238 48054770 - 2401650 ns MR4_I 00000230 07d2684a - 2401670 ns IT 00000230 684a LDR r2,[r1,#4] - 2401690 ns MR4_I 00000234 6008d1fc - 2401750 ns MR4_D 40006004 00000001 - 2401750 ns R r2 00000001 - 2401750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2401770 ns R r2 80000000 - 2401770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2401790 ns R psr 81000200 - 2401790 ns MR4_I 00000238 48054770 - 2401810 ns MR4_I 00000230 07d2684a - 2401830 ns IT 00000230 684a LDR r2,[r1,#4] - 2401850 ns MR4_I 00000234 6008d1fc - 2401910 ns MR4_D 40006004 00000001 - 2401910 ns R r2 00000001 - 2401910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2401930 ns R r2 80000000 - 2401930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2401950 ns R psr 81000200 - 2401950 ns MR4_I 00000238 48054770 - 2401970 ns MR4_I 00000230 07d2684a - 2401990 ns IT 00000230 684a LDR r2,[r1,#4] - 2402010 ns MR4_I 00000234 6008d1fc - 2402070 ns MR4_D 40006004 00000001 - 2402070 ns R r2 00000001 - 2402070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2402090 ns R r2 80000000 - 2402090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2402110 ns R psr 81000200 - 2402110 ns MR4_I 00000238 48054770 - 2402130 ns MR4_I 00000230 07d2684a - 2402150 ns IT 00000230 684a LDR r2,[r1,#4] - 2402170 ns MR4_I 00000234 6008d1fc - 2402230 ns MR4_D 40006004 00000001 - 2402230 ns R r2 00000001 - 2402230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2402250 ns R r2 80000000 - 2402250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2402270 ns R psr 81000200 - 2402270 ns MR4_I 00000238 48054770 - 2402290 ns MR4_I 00000230 07d2684a - 2402310 ns IT 00000230 684a LDR r2,[r1,#4] - 2402330 ns MR4_I 00000234 6008d1fc - 2402390 ns MR4_D 40006004 00000001 - 2402390 ns R r2 00000001 - 2402390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2402410 ns R r2 80000000 - 2402410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2402430 ns R psr 81000200 - 2402430 ns MR4_I 00000238 48054770 - 2402450 ns MR4_I 00000230 07d2684a - 2402470 ns IT 00000230 684a LDR r2,[r1,#4] - 2402490 ns MR4_I 00000234 6008d1fc - 2402550 ns MR4_D 40006004 00000001 - 2402550 ns R r2 00000001 - 2402550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2402570 ns R r2 80000000 - 2402570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2402590 ns R psr 81000200 - 2402590 ns MR4_I 00000238 48054770 - 2402610 ns MR4_I 00000230 07d2684a - 2402630 ns IT 00000230 684a LDR r2,[r1,#4] - 2402650 ns MR4_I 00000234 6008d1fc - 2402710 ns MR4_D 40006004 00000001 - 2402710 ns R r2 00000001 - 2402710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2402730 ns R r2 80000000 - 2402730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2402750 ns R psr 81000200 - 2402750 ns MR4_I 00000238 48054770 - 2402770 ns MR4_I 00000230 07d2684a - 2402790 ns IT 00000230 684a LDR r2,[r1,#4] - 2402810 ns MR4_I 00000234 6008d1fc - 2402870 ns MR4_D 40006004 00000001 - 2402870 ns R r2 00000001 - 2402870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2402890 ns R r2 80000000 - 2402890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2402910 ns R psr 81000200 - 2402910 ns MR4_I 00000238 48054770 - 2402930 ns MR4_I 00000230 07d2684a - 2402950 ns IT 00000230 684a LDR r2,[r1,#4] - 2402970 ns MR4_I 00000234 6008d1fc - 2403030 ns MR4_D 40006004 00000001 - 2403030 ns R r2 00000001 - 2403030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2403050 ns R r2 80000000 - 2403050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2403070 ns R psr 81000200 - 2403070 ns MR4_I 00000238 48054770 - 2403090 ns MR4_I 00000230 07d2684a - 2403110 ns IT 00000230 684a LDR r2,[r1,#4] - 2403130 ns MR4_I 00000234 6008d1fc - 2403190 ns MR4_D 40006004 00000001 - 2403190 ns R r2 00000001 - 2403190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2403210 ns R r2 80000000 - 2403210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2403230 ns R psr 81000200 - 2403230 ns MR4_I 00000238 48054770 - 2403250 ns MR4_I 00000230 07d2684a - 2403270 ns IT 00000230 684a LDR r2,[r1,#4] - 2403290 ns MR4_I 00000234 6008d1fc - 2403350 ns MR4_D 40006004 00000001 - 2403350 ns R r2 00000001 - 2403350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2403370 ns R r2 80000000 - 2403370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2403390 ns R psr 81000200 - 2403390 ns MR4_I 00000238 48054770 - 2403410 ns MR4_I 00000230 07d2684a - 2403430 ns IT 00000230 684a LDR r2,[r1,#4] - 2403450 ns MR4_I 00000234 6008d1fc - 2403510 ns MR4_D 40006004 00000001 - 2403510 ns R r2 00000001 - 2403510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2403530 ns R r2 80000000 - 2403530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2403550 ns R psr 81000200 - 2403550 ns MR4_I 00000238 48054770 - 2403570 ns MR4_I 00000230 07d2684a - 2403590 ns IT 00000230 684a LDR r2,[r1,#4] - 2403610 ns MR4_I 00000234 6008d1fc - 2403670 ns MR4_D 40006004 00000001 - 2403670 ns R r2 00000001 - 2403670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2403690 ns R r2 80000000 - 2403690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2403710 ns R psr 81000200 - 2403710 ns MR4_I 00000238 48054770 - 2403730 ns MR4_I 00000230 07d2684a - 2403750 ns IT 00000230 684a LDR r2,[r1,#4] - 2403770 ns MR4_I 00000234 6008d1fc - 2403830 ns MR4_D 40006004 00000001 - 2403830 ns R r2 00000001 - 2403830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2403850 ns R r2 80000000 - 2403850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2403870 ns R psr 81000200 - 2403870 ns MR4_I 00000238 48054770 - 2403890 ns MR4_I 00000230 07d2684a - 2403910 ns IT 00000230 684a LDR r2,[r1,#4] - 2403930 ns MR4_I 00000234 6008d1fc - 2403990 ns MR4_D 40006004 00000001 - 2403990 ns R r2 00000001 - 2403990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2404010 ns R r2 80000000 - 2404010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2404030 ns R psr 81000200 - 2404030 ns MR4_I 00000238 48054770 - 2404050 ns MR4_I 00000230 07d2684a - 2404070 ns IT 00000230 684a LDR r2,[r1,#4] - 2404090 ns MR4_I 00000234 6008d1fc - 2404150 ns MR4_D 40006004 00000001 - 2404150 ns R r2 00000001 - 2404150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2404170 ns R r2 80000000 - 2404170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2404190 ns R psr 81000200 - 2404190 ns MR4_I 00000238 48054770 - 2404210 ns MR4_I 00000230 07d2684a - 2404230 ns IT 00000230 684a LDR r2,[r1,#4] - 2404250 ns MR4_I 00000234 6008d1fc - 2404310 ns MR4_D 40006004 00000001 - 2404310 ns R r2 00000001 - 2404310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2404330 ns R r2 80000000 - 2404330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2404350 ns R psr 81000200 - 2404350 ns MR4_I 00000238 48054770 - 2404370 ns MR4_I 00000230 07d2684a - 2404390 ns IT 00000230 684a LDR r2,[r1,#4] - 2404410 ns MR4_I 00000234 6008d1fc - 2404470 ns MR4_D 40006004 00000001 - 2404470 ns R r2 00000001 - 2404470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2404490 ns R r2 80000000 - 2404490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2404510 ns R psr 81000200 - 2404510 ns MR4_I 00000238 48054770 - 2404530 ns MR4_I 00000230 07d2684a - 2404550 ns IT 00000230 684a LDR r2,[r1,#4] - 2404570 ns MR4_I 00000234 6008d1fc - 2404630 ns MR4_D 40006004 00000001 - 2404630 ns R r2 00000001 - 2404630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2404650 ns R r2 80000000 - 2404650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2404670 ns R psr 81000200 - 2404670 ns MR4_I 00000238 48054770 - 2404690 ns MR4_I 00000230 07d2684a - 2404710 ns IT 00000230 684a LDR r2,[r1,#4] - 2404730 ns MR4_I 00000234 6008d1fc - 2404790 ns MR4_D 40006004 00000001 - 2404790 ns R r2 00000001 - 2404790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2404810 ns R r2 80000000 - 2404810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2404830 ns R psr 81000200 - 2404830 ns MR4_I 00000238 48054770 - 2404850 ns MR4_I 00000230 07d2684a - 2404870 ns IT 00000230 684a LDR r2,[r1,#4] - 2404890 ns MR4_I 00000234 6008d1fc - 2404950 ns MR4_D 40006004 00000001 - 2404950 ns R r2 00000001 - 2404950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2404970 ns R r2 80000000 - 2404970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2404990 ns R psr 81000200 - 2404990 ns MR4_I 00000238 48054770 - 2405010 ns MR4_I 00000230 07d2684a - 2405030 ns IT 00000230 684a LDR r2,[r1,#4] - 2405050 ns MR4_I 00000234 6008d1fc - 2405110 ns MR4_D 40006004 00000001 - 2405110 ns R r2 00000001 - 2405110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2405130 ns R r2 80000000 - 2405130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2405150 ns R psr 81000200 - 2405150 ns MR4_I 00000238 48054770 - 2405170 ns MR4_I 00000230 07d2684a - 2405190 ns IT 00000230 684a LDR r2,[r1,#4] - 2405210 ns MR4_I 00000234 6008d1fc - 2405270 ns MR4_D 40006004 00000001 - 2405270 ns R r2 00000001 - 2405270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2405290 ns R r2 80000000 - 2405290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2405310 ns R psr 81000200 - 2405310 ns MR4_I 00000238 48054770 - 2405330 ns MR4_I 00000230 07d2684a - 2405350 ns IT 00000230 684a LDR r2,[r1,#4] - 2405370 ns MR4_I 00000234 6008d1fc - 2405430 ns MR4_D 40006004 00000001 - 2405430 ns R r2 00000001 - 2405430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2405450 ns R r2 80000000 - 2405450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2405470 ns R psr 81000200 - 2405470 ns MR4_I 00000238 48054770 - 2405490 ns MR4_I 00000230 07d2684a - 2405510 ns IT 00000230 684a LDR r2,[r1,#4] - 2405530 ns MR4_I 00000234 6008d1fc - 2405590 ns MR4_D 40006004 00000001 - 2405590 ns R r2 00000001 - 2405590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2405610 ns R r2 80000000 - 2405610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2405630 ns R psr 81000200 - 2405630 ns MR4_I 00000238 48054770 - 2405650 ns MR4_I 00000230 07d2684a - 2405670 ns IT 00000230 684a LDR r2,[r1,#4] - 2405690 ns MR4_I 00000234 6008d1fc - 2405750 ns MR4_D 40006004 00000001 - 2405750 ns R r2 00000001 - 2405750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2405770 ns R r2 80000000 - 2405770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2405790 ns R psr 81000200 - 2405790 ns MR4_I 00000238 48054770 - 2405810 ns MR4_I 00000230 07d2684a - 2405830 ns IT 00000230 684a LDR r2,[r1,#4] - 2405850 ns MR4_I 00000234 6008d1fc - 2405910 ns MR4_D 40006004 00000001 - 2405910 ns R r2 00000001 - 2405910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2405930 ns R r2 80000000 - 2405930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2405950 ns R psr 81000200 - 2405950 ns MR4_I 00000238 48054770 - 2405970 ns MR4_I 00000230 07d2684a - 2405990 ns IT 00000230 684a LDR r2,[r1,#4] - 2406010 ns MR4_I 00000234 6008d1fc - 2406070 ns MR4_D 40006004 00000001 - 2406070 ns R r2 00000001 - 2406070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2406090 ns R r2 80000000 - 2406090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2406110 ns R psr 81000200 - 2406110 ns MR4_I 00000238 48054770 - 2406130 ns MR4_I 00000230 07d2684a - 2406150 ns IT 00000230 684a LDR r2,[r1,#4] - 2406170 ns MR4_I 00000234 6008d1fc - 2406230 ns MR4_D 40006004 00000001 - 2406230 ns R r2 00000001 - 2406230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2406250 ns R r2 80000000 - 2406250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2406270 ns R psr 81000200 - 2406270 ns MR4_I 00000238 48054770 - 2406290 ns MR4_I 00000230 07d2684a - 2406310 ns IT 00000230 684a LDR r2,[r1,#4] - 2406330 ns MR4_I 00000234 6008d1fc - 2406390 ns MR4_D 40006004 00000001 - 2406390 ns R r2 00000001 - 2406390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2406410 ns R r2 80000000 - 2406410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2406430 ns R psr 81000200 - 2406430 ns MR4_I 00000238 48054770 - 2406450 ns MR4_I 00000230 07d2684a - 2406470 ns IT 00000230 684a LDR r2,[r1,#4] - 2406490 ns MR4_I 00000234 6008d1fc - 2406550 ns MR4_D 40006004 00000001 - 2406550 ns R r2 00000001 - 2406550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2406570 ns R r2 80000000 - 2406570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2406590 ns R psr 81000200 - 2406590 ns MR4_I 00000238 48054770 - 2406610 ns MR4_I 00000230 07d2684a - 2406630 ns IT 00000230 684a LDR r2,[r1,#4] - 2406650 ns MR4_I 00000234 6008d1fc - 2406710 ns MR4_D 40006004 00000001 - 2406710 ns R r2 00000001 - 2406710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2406730 ns R r2 80000000 - 2406730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2406750 ns R psr 81000200 - 2406750 ns MR4_I 00000238 48054770 - 2406770 ns MR4_I 00000230 07d2684a - 2406790 ns IT 00000230 684a LDR r2,[r1,#4] - 2406810 ns MR4_I 00000234 6008d1fc - 2406870 ns MR4_D 40006004 00000001 - 2406870 ns R r2 00000001 - 2406870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2406890 ns R r2 80000000 - 2406890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2406910 ns R psr 81000200 - 2406910 ns MR4_I 00000238 48054770 - 2406930 ns MR4_I 00000230 07d2684a - 2406950 ns IT 00000230 684a LDR r2,[r1,#4] - 2406970 ns MR4_I 00000234 6008d1fc - 2407030 ns MR4_D 40006004 00000001 - 2407030 ns R r2 00000001 - 2407030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2407050 ns R r2 80000000 - 2407050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2407070 ns R psr 81000200 - 2407070 ns MR4_I 00000238 48054770 - 2407090 ns MR4_I 00000230 07d2684a - 2407110 ns IT 00000230 684a LDR r2,[r1,#4] - 2407130 ns MR4_I 00000234 6008d1fc - 2407190 ns MR4_D 40006004 00000001 - 2407190 ns R r2 00000001 - 2407190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2407210 ns R r2 80000000 - 2407210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2407230 ns R psr 81000200 - 2407230 ns MR4_I 00000238 48054770 - 2407250 ns MR4_I 00000230 07d2684a - 2407270 ns IT 00000230 684a LDR r2,[r1,#4] - 2407290 ns MR4_I 00000234 6008d1fc - 2407350 ns MR4_D 40006004 00000001 - 2407350 ns R r2 00000001 - 2407350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2407370 ns R r2 80000000 - 2407370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2407390 ns R psr 81000200 - 2407390 ns MR4_I 00000238 48054770 - 2407410 ns MR4_I 00000230 07d2684a - 2407430 ns IT 00000230 684a LDR r2,[r1,#4] - 2407450 ns MR4_I 00000234 6008d1fc - 2407510 ns MR4_D 40006004 00000001 - 2407510 ns R r2 00000001 - 2407510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2407530 ns R r2 80000000 - 2407530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2407550 ns R psr 81000200 - 2407550 ns MR4_I 00000238 48054770 - 2407570 ns MR4_I 00000230 07d2684a - 2407590 ns IT 00000230 684a LDR r2,[r1,#4] - 2407610 ns MR4_I 00000234 6008d1fc - 2407670 ns MR4_D 40006004 00000001 - 2407670 ns R r2 00000001 - 2407670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2407690 ns R r2 80000000 - 2407690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2407710 ns R psr 81000200 - 2407710 ns MR4_I 00000238 48054770 - 2407730 ns MR4_I 00000230 07d2684a - 2407750 ns IT 00000230 684a LDR r2,[r1,#4] - 2407770 ns MR4_I 00000234 6008d1fc - 2407830 ns MR4_D 40006004 00000001 - 2407830 ns R r2 00000001 - 2407830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2407850 ns R r2 80000000 - 2407850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2407870 ns R psr 81000200 - 2407870 ns MR4_I 00000238 48054770 - 2407890 ns MR4_I 00000230 07d2684a - 2407910 ns IT 00000230 684a LDR r2,[r1,#4] - 2407930 ns MR4_I 00000234 6008d1fc - 2407990 ns MR4_D 40006004 00000001 - 2407990 ns R r2 00000001 - 2407990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2408010 ns R r2 80000000 - 2408010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2408030 ns R psr 81000200 - 2408030 ns MR4_I 00000238 48054770 - 2408050 ns MR4_I 00000230 07d2684a - 2408070 ns IT 00000230 684a LDR r2,[r1,#4] - 2408090 ns MR4_I 00000234 6008d1fc - 2408150 ns MR4_D 40006004 00000001 - 2408150 ns R r2 00000001 - 2408150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2408170 ns R r2 80000000 - 2408170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2408190 ns R psr 81000200 - 2408190 ns MR4_I 00000238 48054770 - 2408210 ns MR4_I 00000230 07d2684a - 2408230 ns IT 00000230 684a LDR r2,[r1,#4] - 2408250 ns MR4_I 00000234 6008d1fc - 2408310 ns MR4_D 40006004 00000001 - 2408310 ns R r2 00000001 - 2408310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2408330 ns R r2 80000000 - 2408330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2408350 ns R psr 81000200 - 2408350 ns MR4_I 00000238 48054770 - 2408370 ns MR4_I 00000230 07d2684a - 2408390 ns IT 00000230 684a LDR r2,[r1,#4] - 2408410 ns MR4_I 00000234 6008d1fc - 2408470 ns MR4_D 40006004 00000001 - 2408470 ns R r2 00000001 - 2408470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2408490 ns R r2 80000000 - 2408490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2408510 ns R psr 81000200 - 2408510 ns MR4_I 00000238 48054770 - 2408530 ns MR4_I 00000230 07d2684a - 2408550 ns IT 00000230 684a LDR r2,[r1,#4] - 2408570 ns MR4_I 00000234 6008d1fc - 2408630 ns MR4_D 40006004 00000001 - 2408630 ns R r2 00000001 - 2408630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2408650 ns R r2 80000000 - 2408650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2408670 ns R psr 81000200 - 2408670 ns MR4_I 00000238 48054770 - 2408690 ns MR4_I 00000230 07d2684a - 2408710 ns IT 00000230 684a LDR r2,[r1,#4] - 2408730 ns MR4_I 00000234 6008d1fc - 2408790 ns MR4_D 40006004 00000001 - 2408790 ns R r2 00000001 - 2408790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2408810 ns R r2 80000000 - 2408810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2408830 ns R psr 81000200 - 2408830 ns MR4_I 00000238 48054770 - 2408850 ns MR4_I 00000230 07d2684a - 2408870 ns IT 00000230 684a LDR r2,[r1,#4] - 2408890 ns MR4_I 00000234 6008d1fc - 2408950 ns MR4_D 40006004 00000001 - 2408950 ns R r2 00000001 - 2408950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2408970 ns R r2 80000000 - 2408970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2408990 ns R psr 81000200 - 2408990 ns MR4_I 00000238 48054770 - 2409010 ns MR4_I 00000230 07d2684a - 2409030 ns IT 00000230 684a LDR r2,[r1,#4] - 2409050 ns MR4_I 00000234 6008d1fc - 2409110 ns MR4_D 40006004 00000001 - 2409110 ns R r2 00000001 - 2409110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2409130 ns R r2 80000000 - 2409130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2409150 ns R psr 81000200 - 2409150 ns MR4_I 00000238 48054770 - 2409170 ns MR4_I 00000230 07d2684a - 2409190 ns IT 00000230 684a LDR r2,[r1,#4] - 2409210 ns MR4_I 00000234 6008d1fc - 2409270 ns MR4_D 40006004 00000001 - 2409270 ns R r2 00000001 - 2409270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2409290 ns R r2 80000000 - 2409290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2409310 ns R psr 81000200 - 2409310 ns MR4_I 00000238 48054770 - 2409330 ns MR4_I 00000230 07d2684a - 2409350 ns IT 00000230 684a LDR r2,[r1,#4] - 2409370 ns MR4_I 00000234 6008d1fc - 2409430 ns MR4_D 40006004 00000001 - 2409430 ns R r2 00000001 - 2409430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2409450 ns R r2 80000000 - 2409450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2409470 ns R psr 81000200 - 2409470 ns MR4_I 00000238 48054770 - 2409490 ns MR4_I 00000230 07d2684a - 2409510 ns IT 00000230 684a LDR r2,[r1,#4] - 2409530 ns MR4_I 00000234 6008d1fc - 2409590 ns MR4_D 40006004 00000001 - 2409590 ns R r2 00000001 - 2409590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2409610 ns R r2 80000000 - 2409610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2409630 ns R psr 81000200 - 2409630 ns MR4_I 00000238 48054770 - 2409650 ns MR4_I 00000230 07d2684a - 2409670 ns IT 00000230 684a LDR r2,[r1,#4] - 2409690 ns MR4_I 00000234 6008d1fc - 2409750 ns MR4_D 40006004 00000001 - 2409750 ns R r2 00000001 - 2409750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2409770 ns R r2 80000000 - 2409770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2409790 ns R psr 81000200 - 2409790 ns MR4_I 00000238 48054770 - 2409810 ns MR4_I 00000230 07d2684a - 2409830 ns IT 00000230 684a LDR r2,[r1,#4] - 2409850 ns MR4_I 00000234 6008d1fc - 2409910 ns MR4_D 40006004 00000001 - 2409910 ns R r2 00000001 - 2409910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2409930 ns R r2 80000000 - 2409930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2409950 ns R psr 81000200 - 2409950 ns MR4_I 00000238 48054770 - 2409970 ns MR4_I 00000230 07d2684a - 2409990 ns IT 00000230 684a LDR r2,[r1,#4] - 2410010 ns MR4_I 00000234 6008d1fc - 2410070 ns MR4_D 40006004 00000001 - 2410070 ns R r2 00000001 - 2410070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2410090 ns R r2 80000000 - 2410090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2410110 ns R psr 81000200 - 2410110 ns MR4_I 00000238 48054770 - 2410130 ns MR4_I 00000230 07d2684a - 2410150 ns IT 00000230 684a LDR r2,[r1,#4] - 2410170 ns MR4_I 00000234 6008d1fc - 2410230 ns MR4_D 40006004 00000001 - 2410230 ns R r2 00000001 - 2410230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2410250 ns R r2 80000000 - 2410250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2410270 ns R psr 81000200 - 2410270 ns MR4_I 00000238 48054770 - 2410290 ns MR4_I 00000230 07d2684a - 2410310 ns IT 00000230 684a LDR r2,[r1,#4] - 2410330 ns MR4_I 00000234 6008d1fc - 2410390 ns MR4_D 40006004 00000001 - 2410390 ns R r2 00000001 - 2410390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2410410 ns R r2 80000000 - 2410410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2410430 ns R psr 81000200 - 2410430 ns MR4_I 00000238 48054770 - 2410450 ns MR4_I 00000230 07d2684a - 2410470 ns IT 00000230 684a LDR r2,[r1,#4] - 2410490 ns MR4_I 00000234 6008d1fc - 2410550 ns MR4_D 40006004 00000001 - 2410550 ns R r2 00000001 - 2410550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2410570 ns R r2 80000000 - 2410570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2410590 ns R psr 81000200 - 2410590 ns MR4_I 00000238 48054770 - 2410610 ns MR4_I 00000230 07d2684a - 2410630 ns IT 00000230 684a LDR r2,[r1,#4] - 2410650 ns MR4_I 00000234 6008d1fc - 2410710 ns MR4_D 40006004 00000001 - 2410710 ns R r2 00000001 - 2410710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2410730 ns R r2 80000000 - 2410730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2410750 ns R psr 81000200 - 2410750 ns MR4_I 00000238 48054770 - 2410770 ns MR4_I 00000230 07d2684a - 2410790 ns IT 00000230 684a LDR r2,[r1,#4] - 2410810 ns MR4_I 00000234 6008d1fc - 2410870 ns MR4_D 40006004 00000001 - 2410870 ns R r2 00000001 - 2410870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2410890 ns R r2 80000000 - 2410890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2410910 ns R psr 81000200 - 2410910 ns MR4_I 00000238 48054770 - 2410930 ns MR4_I 00000230 07d2684a - 2410950 ns IT 00000230 684a LDR r2,[r1,#4] - 2410970 ns MR4_I 00000234 6008d1fc - 2411030 ns MR4_D 40006004 00000001 - 2411030 ns R r2 00000001 - 2411030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2411050 ns R r2 80000000 - 2411050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2411070 ns R psr 81000200 - 2411070 ns MR4_I 00000238 48054770 - 2411090 ns MR4_I 00000230 07d2684a - 2411110 ns IT 00000230 684a LDR r2,[r1,#4] - 2411130 ns MR4_I 00000234 6008d1fc - 2411190 ns MR4_D 40006004 00000001 - 2411190 ns R r2 00000001 - 2411190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2411210 ns R r2 80000000 - 2411210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2411230 ns R psr 81000200 - 2411230 ns MR4_I 00000238 48054770 - 2411250 ns MR4_I 00000230 07d2684a - 2411270 ns IT 00000230 684a LDR r2,[r1,#4] - 2411290 ns MR4_I 00000234 6008d1fc - 2411350 ns MR4_D 40006004 00000001 - 2411350 ns R r2 00000001 - 2411350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2411370 ns R r2 80000000 - 2411370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2411390 ns R psr 81000200 - 2411390 ns MR4_I 00000238 48054770 - 2411410 ns MR4_I 00000230 07d2684a - 2411430 ns IT 00000230 684a LDR r2,[r1,#4] - 2411450 ns MR4_I 00000234 6008d1fc - 2411510 ns MR4_D 40006004 00000001 - 2411510 ns R r2 00000001 - 2411510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2411530 ns R r2 80000000 - 2411530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2411550 ns R psr 81000200 - 2411550 ns MR4_I 00000238 48054770 - 2411570 ns MR4_I 00000230 07d2684a - 2411590 ns IT 00000230 684a LDR r2,[r1,#4] - 2411610 ns MR4_I 00000234 6008d1fc - 2411670 ns MR4_D 40006004 00000001 - 2411670 ns R r2 00000001 - 2411670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2411690 ns R r2 80000000 - 2411690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2411710 ns R psr 81000200 - 2411710 ns MR4_I 00000238 48054770 - 2411730 ns MR4_I 00000230 07d2684a - 2411750 ns IT 00000230 684a LDR r2,[r1,#4] - 2411770 ns MR4_I 00000234 6008d1fc - 2411830 ns MR4_D 40006004 00000001 - 2411830 ns R r2 00000001 - 2411830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2411850 ns R r2 80000000 - 2411850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2411870 ns R psr 81000200 - 2411870 ns MR4_I 00000238 48054770 - 2411890 ns MR4_I 00000230 07d2684a - 2411910 ns IT 00000230 684a LDR r2,[r1,#4] - 2411930 ns MR4_I 00000234 6008d1fc - 2411990 ns MR4_D 40006004 00000001 - 2411990 ns R r2 00000001 - 2411990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2412010 ns R r2 80000000 - 2412010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2412030 ns R psr 81000200 - 2412030 ns MR4_I 00000238 48054770 - 2412050 ns MR4_I 00000230 07d2684a - 2412070 ns IT 00000230 684a LDR r2,[r1,#4] - 2412090 ns MR4_I 00000234 6008d1fc - 2412150 ns MR4_D 40006004 00000001 - 2412150 ns R r2 00000001 - 2412150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2412170 ns R r2 80000000 - 2412170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2412190 ns R psr 81000200 - 2412190 ns MR4_I 00000238 48054770 - 2412210 ns MR4_I 00000230 07d2684a - 2412230 ns IT 00000230 684a LDR r2,[r1,#4] - 2412250 ns MR4_I 00000234 6008d1fc - 2412310 ns MR4_D 40006004 00000001 - 2412310 ns R r2 00000001 - 2412310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2412330 ns R r2 80000000 - 2412330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2412350 ns R psr 81000200 - 2412350 ns MR4_I 00000238 48054770 - 2412370 ns MR4_I 00000230 07d2684a - 2412390 ns IT 00000230 684a LDR r2,[r1,#4] - 2412410 ns MR4_I 00000234 6008d1fc - 2412470 ns MR4_D 40006004 00000001 - 2412470 ns R r2 00000001 - 2412470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2412490 ns R r2 80000000 - 2412490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2412510 ns R psr 81000200 - 2412510 ns MR4_I 00000238 48054770 - 2412530 ns MR4_I 00000230 07d2684a - 2412550 ns IT 00000230 684a LDR r2,[r1,#4] - 2412570 ns MR4_I 00000234 6008d1fc - 2412630 ns MR4_D 40006004 00000001 - 2412630 ns R r2 00000001 - 2412630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2412650 ns R r2 80000000 - 2412650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2412670 ns R psr 81000200 - 2412670 ns MR4_I 00000238 48054770 - 2412690 ns MR4_I 00000230 07d2684a - 2412710 ns IT 00000230 684a LDR r2,[r1,#4] - 2412730 ns MR4_I 00000234 6008d1fc - 2412790 ns MR4_D 40006004 00000001 - 2412790 ns R r2 00000001 - 2412790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2412810 ns R r2 80000000 - 2412810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2412830 ns R psr 81000200 - 2412830 ns MR4_I 00000238 48054770 - 2412850 ns MR4_I 00000230 07d2684a - 2412870 ns IT 00000230 684a LDR r2,[r1,#4] - 2412890 ns MR4_I 00000234 6008d1fc - 2412950 ns MR4_D 40006004 00000001 - 2412950 ns R r2 00000001 - 2412950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2412970 ns R r2 80000000 - 2412970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2412990 ns R psr 81000200 - 2412990 ns MR4_I 00000238 48054770 - 2413010 ns MR4_I 00000230 07d2684a - 2413030 ns IT 00000230 684a LDR r2,[r1,#4] - 2413050 ns MR4_I 00000234 6008d1fc - 2413110 ns MR4_D 40006004 00000001 - 2413110 ns R r2 00000001 - 2413110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2413130 ns R r2 80000000 - 2413130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2413150 ns R psr 81000200 - 2413150 ns MR4_I 00000238 48054770 - 2413170 ns MR4_I 00000230 07d2684a - 2413190 ns IT 00000230 684a LDR r2,[r1,#4] - 2413210 ns MR4_I 00000234 6008d1fc - 2413270 ns MR4_D 40006004 00000001 - 2413270 ns R r2 00000001 - 2413270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2413290 ns R r2 80000000 - 2413290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2413310 ns R psr 81000200 - 2413310 ns MR4_I 00000238 48054770 - 2413330 ns MR4_I 00000230 07d2684a - 2413350 ns IT 00000230 684a LDR r2,[r1,#4] - 2413370 ns MR4_I 00000234 6008d1fc - 2413430 ns MR4_D 40006004 00000001 - 2413430 ns R r2 00000001 - 2413430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2413450 ns R r2 80000000 - 2413450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2413470 ns R psr 81000200 - 2413470 ns MR4_I 00000238 48054770 - 2413490 ns MR4_I 00000230 07d2684a - 2413510 ns IT 00000230 684a LDR r2,[r1,#4] - 2413530 ns MR4_I 00000234 6008d1fc - 2413590 ns MR4_D 40006004 00000001 - 2413590 ns R r2 00000001 - 2413590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2413610 ns R r2 80000000 - 2413610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2413630 ns R psr 81000200 - 2413630 ns MR4_I 00000238 48054770 - 2413650 ns MR4_I 00000230 07d2684a - 2413670 ns IT 00000230 684a LDR r2,[r1,#4] - 2413690 ns MR4_I 00000234 6008d1fc - 2413750 ns MR4_D 40006004 00000001 - 2413750 ns R r2 00000001 - 2413750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2413770 ns R r2 80000000 - 2413770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2413790 ns R psr 81000200 - 2413790 ns MR4_I 00000238 48054770 - 2413810 ns MR4_I 00000230 07d2684a - 2413830 ns IT 00000230 684a LDR r2,[r1,#4] - 2413850 ns MR4_I 00000234 6008d1fc - 2413910 ns MR4_D 40006004 00000001 - 2413910 ns R r2 00000001 - 2413910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2413930 ns R r2 80000000 - 2413930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2413950 ns R psr 81000200 - 2413950 ns MR4_I 00000238 48054770 - 2413970 ns MR4_I 00000230 07d2684a - 2413990 ns IT 00000230 684a LDR r2,[r1,#4] - 2414010 ns MR4_I 00000234 6008d1fc - 2414070 ns MR4_D 40006004 00000001 - 2414070 ns R r2 00000001 - 2414070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2414090 ns R r2 80000000 - 2414090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2414110 ns R psr 81000200 - 2414110 ns MR4_I 00000238 48054770 - 2414130 ns MR4_I 00000230 07d2684a - 2414150 ns IT 00000230 684a LDR r2,[r1,#4] - 2414170 ns MR4_I 00000234 6008d1fc - 2414230 ns MR4_D 40006004 00000001 - 2414230 ns R r2 00000001 - 2414230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2414250 ns R r2 80000000 - 2414250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2414270 ns R psr 81000200 - 2414270 ns MR4_I 00000238 48054770 - 2414290 ns MR4_I 00000230 07d2684a - 2414310 ns IT 00000230 684a LDR r2,[r1,#4] - 2414330 ns MR4_I 00000234 6008d1fc - 2414390 ns MR4_D 40006004 00000001 - 2414390 ns R r2 00000001 - 2414390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2414410 ns R r2 80000000 - 2414410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2414430 ns R psr 81000200 - 2414430 ns MR4_I 00000238 48054770 - 2414450 ns MR4_I 00000230 07d2684a - 2414470 ns IT 00000230 684a LDR r2,[r1,#4] - 2414490 ns MR4_I 00000234 6008d1fc - 2414550 ns MR4_D 40006004 00000001 - 2414550 ns R r2 00000001 - 2414550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2414570 ns R r2 80000000 - 2414570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2414590 ns R psr 81000200 - 2414590 ns MR4_I 00000238 48054770 - 2414610 ns MR4_I 00000230 07d2684a - 2414630 ns IT 00000230 684a LDR r2,[r1,#4] - 2414650 ns MR4_I 00000234 6008d1fc - 2414710 ns MR4_D 40006004 00000001 - 2414710 ns R r2 00000001 - 2414710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2414730 ns R r2 80000000 - 2414730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2414750 ns R psr 81000200 - 2414750 ns MR4_I 00000238 48054770 - 2414770 ns MR4_I 00000230 07d2684a - 2414790 ns IT 00000230 684a LDR r2,[r1,#4] - 2414810 ns MR4_I 00000234 6008d1fc - 2414870 ns MR4_D 40006004 00000001 - 2414870 ns R r2 00000001 - 2414870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2414890 ns R r2 80000000 - 2414890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2414910 ns R psr 81000200 - 2414910 ns MR4_I 00000238 48054770 - 2414930 ns MR4_I 00000230 07d2684a - 2414950 ns IT 00000230 684a LDR r2,[r1,#4] - 2414970 ns MR4_I 00000234 6008d1fc - 2415030 ns MR4_D 40006004 00000001 - 2415030 ns R r2 00000001 - 2415030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2415050 ns R r2 80000000 - 2415050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2415070 ns R psr 81000200 - 2415070 ns MR4_I 00000238 48054770 - 2415090 ns MR4_I 00000230 07d2684a - 2415110 ns IT 00000230 684a LDR r2,[r1,#4] - 2415130 ns MR4_I 00000234 6008d1fc - 2415190 ns MR4_D 40006004 00000001 - 2415190 ns R r2 00000001 - 2415190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2415210 ns R r2 80000000 - 2415210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2415230 ns R psr 81000200 - 2415230 ns MR4_I 00000238 48054770 - 2415250 ns MR4_I 00000230 07d2684a - 2415270 ns IT 00000230 684a LDR r2,[r1,#4] - 2415290 ns MR4_I 00000234 6008d1fc - 2415350 ns MR4_D 40006004 00000001 - 2415350 ns R r2 00000001 - 2415350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2415370 ns R r2 80000000 - 2415370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2415390 ns R psr 81000200 - 2415390 ns MR4_I 00000238 48054770 - 2415410 ns MR4_I 00000230 07d2684a - 2415430 ns IT 00000230 684a LDR r2,[r1,#4] - 2415450 ns MR4_I 00000234 6008d1fc - 2415510 ns MR4_D 40006004 00000001 - 2415510 ns R r2 00000001 - 2415510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2415530 ns R r2 80000000 - 2415530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2415550 ns R psr 81000200 - 2415550 ns MR4_I 00000238 48054770 - 2415570 ns MR4_I 00000230 07d2684a - 2415590 ns IT 00000230 684a LDR r2,[r1,#4] - 2415610 ns MR4_I 00000234 6008d1fc - 2415670 ns MR4_D 40006004 00000001 - 2415670 ns R r2 00000001 - 2415670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2415690 ns R r2 80000000 - 2415690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2415710 ns R psr 81000200 - 2415710 ns MR4_I 00000238 48054770 - 2415730 ns MR4_I 00000230 07d2684a - 2415750 ns IT 00000230 684a LDR r2,[r1,#4] - 2415770 ns MR4_I 00000234 6008d1fc - 2415830 ns MR4_D 40006004 00000001 - 2415830 ns R r2 00000001 - 2415830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2415850 ns R r2 80000000 - 2415850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2415870 ns R psr 81000200 - 2415870 ns MR4_I 00000238 48054770 - 2415890 ns MR4_I 00000230 07d2684a - 2415910 ns IT 00000230 684a LDR r2,[r1,#4] - 2415930 ns MR4_I 00000234 6008d1fc - 2415990 ns MR4_D 40006004 00000001 - 2415990 ns R r2 00000001 - 2415990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2416010 ns R r2 80000000 - 2416010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2416030 ns R psr 81000200 - 2416030 ns MR4_I 00000238 48054770 - 2416050 ns MR4_I 00000230 07d2684a - 2416070 ns IT 00000230 684a LDR r2,[r1,#4] - 2416090 ns MR4_I 00000234 6008d1fc - 2416150 ns MR4_D 40006004 00000001 - 2416150 ns R r2 00000001 - 2416150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2416170 ns R r2 80000000 - 2416170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2416190 ns R psr 81000200 - 2416190 ns MR4_I 00000238 48054770 - 2416210 ns MR4_I 00000230 07d2684a - 2416230 ns IT 00000230 684a LDR r2,[r1,#4] - 2416250 ns MR4_I 00000234 6008d1fc - 2416310 ns MR4_D 40006004 00000001 - 2416310 ns R r2 00000001 - 2416310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2416330 ns R r2 80000000 - 2416330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2416350 ns R psr 81000200 - 2416350 ns MR4_I 00000238 48054770 - 2416370 ns MR4_I 00000230 07d2684a - 2416390 ns IT 00000230 684a LDR r2,[r1,#4] - 2416410 ns MR4_I 00000234 6008d1fc - 2416470 ns MR4_D 40006004 00000001 - 2416470 ns R r2 00000001 - 2416470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2416490 ns R r2 80000000 - 2416490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2416510 ns R psr 81000200 - 2416510 ns MR4_I 00000238 48054770 - 2416530 ns MR4_I 00000230 07d2684a - 2416550 ns IT 00000230 684a LDR r2,[r1,#4] - 2416570 ns MR4_I 00000234 6008d1fc - 2416630 ns MR4_D 40006004 00000001 - 2416630 ns R r2 00000001 - 2416630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2416650 ns R r2 80000000 - 2416650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2416670 ns R psr 81000200 - 2416670 ns MR4_I 00000238 48054770 - 2416690 ns MR4_I 00000230 07d2684a - 2416710 ns IT 00000230 684a LDR r2,[r1,#4] - 2416730 ns MR4_I 00000234 6008d1fc - 2416790 ns MR4_D 40006004 00000001 - 2416790 ns R r2 00000001 - 2416790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2416810 ns R r2 80000000 - 2416810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2416830 ns R psr 81000200 - 2416830 ns MR4_I 00000238 48054770 - 2416850 ns MR4_I 00000230 07d2684a - 2416870 ns IT 00000230 684a LDR r2,[r1,#4] - 2416890 ns MR4_I 00000234 6008d1fc - 2416950 ns MR4_D 40006004 00000001 - 2416950 ns R r2 00000001 - 2416950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2416970 ns R r2 80000000 - 2416970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2416990 ns R psr 81000200 - 2416990 ns MR4_I 00000238 48054770 - 2417010 ns MR4_I 00000230 07d2684a - 2417030 ns IT 00000230 684a LDR r2,[r1,#4] - 2417050 ns MR4_I 00000234 6008d1fc - 2417110 ns MR4_D 40006004 00000001 - 2417110 ns R r2 00000001 - 2417110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2417130 ns R r2 80000000 - 2417130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2417150 ns R psr 81000200 - 2417150 ns MR4_I 00000238 48054770 - 2417170 ns MR4_I 00000230 07d2684a - 2417190 ns IT 00000230 684a LDR r2,[r1,#4] - 2417210 ns MR4_I 00000234 6008d1fc - 2417270 ns MR4_D 40006004 00000001 - 2417270 ns R r2 00000001 - 2417270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2417290 ns R r2 80000000 - 2417290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2417310 ns R psr 81000200 - 2417310 ns MR4_I 00000238 48054770 - 2417330 ns MR4_I 00000230 07d2684a - 2417350 ns IT 00000230 684a LDR r2,[r1,#4] - 2417370 ns MR4_I 00000234 6008d1fc - 2417430 ns MR4_D 40006004 00000001 - 2417430 ns R r2 00000001 - 2417430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2417450 ns R r2 80000000 - 2417450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2417470 ns R psr 81000200 - 2417470 ns MR4_I 00000238 48054770 - 2417490 ns MR4_I 00000230 07d2684a - 2417510 ns IT 00000230 684a LDR r2,[r1,#4] - 2417530 ns MR4_I 00000234 6008d1fc - 2417590 ns MR4_D 40006004 00000001 - 2417590 ns R r2 00000001 - 2417590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2417610 ns R r2 80000000 - 2417610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2417630 ns R psr 81000200 - 2417630 ns MR4_I 00000238 48054770 - 2417650 ns MR4_I 00000230 07d2684a - 2417670 ns IT 00000230 684a LDR r2,[r1,#4] - 2417690 ns MR4_I 00000234 6008d1fc - 2417750 ns MR4_D 40006004 00000001 - 2417750 ns R r2 00000001 - 2417750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2417770 ns R r2 80000000 - 2417770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2417790 ns R psr 81000200 - 2417790 ns MR4_I 00000238 48054770 - 2417810 ns MR4_I 00000230 07d2684a - 2417830 ns IT 00000230 684a LDR r2,[r1,#4] - 2417850 ns MR4_I 00000234 6008d1fc - 2417910 ns MR4_D 40006004 00000001 - 2417910 ns R r2 00000001 - 2417910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2417930 ns R r2 80000000 - 2417930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2417950 ns R psr 81000200 - 2417950 ns MR4_I 00000238 48054770 - 2417970 ns MR4_I 00000230 07d2684a - 2417990 ns IT 00000230 684a LDR r2,[r1,#4] - 2418010 ns MR4_I 00000234 6008d1fc - 2418070 ns MR4_D 40006004 00000001 - 2418070 ns R r2 00000001 - 2418070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2418090 ns R r2 80000000 - 2418090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2418110 ns R psr 81000200 - 2418110 ns MR4_I 00000238 48054770 - 2418130 ns MR4_I 00000230 07d2684a - 2418150 ns IT 00000230 684a LDR r2,[r1,#4] - 2418170 ns MR4_I 00000234 6008d1fc - 2418230 ns MR4_D 40006004 00000001 - 2418230 ns R r2 00000001 - 2418230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2418250 ns R r2 80000000 - 2418250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2418270 ns R psr 81000200 - 2418270 ns MR4_I 00000238 48054770 - 2418290 ns MR4_I 00000230 07d2684a - 2418310 ns IT 00000230 684a LDR r2,[r1,#4] - 2418330 ns MR4_I 00000234 6008d1fc - 2418390 ns MR4_D 40006004 00000001 - 2418390 ns R r2 00000001 - 2418390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2418410 ns R r2 80000000 - 2418410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2418430 ns R psr 81000200 - 2418430 ns MR4_I 00000238 48054770 - 2418450 ns MR4_I 00000230 07d2684a - 2418470 ns IT 00000230 684a LDR r2,[r1,#4] - 2418490 ns MR4_I 00000234 6008d1fc - 2418550 ns MR4_D 40006004 00000001 - 2418550 ns R r2 00000001 - 2418550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2418570 ns R r2 80000000 - 2418570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2418590 ns R psr 81000200 - 2418590 ns MR4_I 00000238 48054770 - 2418610 ns MR4_I 00000230 07d2684a - 2418630 ns IT 00000230 684a LDR r2,[r1,#4] - 2418650 ns MR4_I 00000234 6008d1fc - 2418710 ns MR4_D 40006004 00000001 - 2418710 ns R r2 00000001 - 2418710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2418730 ns R r2 80000000 - 2418730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2418750 ns R psr 81000200 - 2418750 ns MR4_I 00000238 48054770 - 2418770 ns MR4_I 00000230 07d2684a - 2418790 ns IT 00000230 684a LDR r2,[r1,#4] - 2418810 ns MR4_I 00000234 6008d1fc - 2418870 ns MR4_D 40006004 00000001 - 2418870 ns R r2 00000001 - 2418870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2418890 ns R r2 80000000 - 2418890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2418910 ns R psr 81000200 - 2418910 ns MR4_I 00000238 48054770 - 2418930 ns MR4_I 00000230 07d2684a - 2418950 ns IT 00000230 684a LDR r2,[r1,#4] - 2418970 ns MR4_I 00000234 6008d1fc - 2419030 ns MR4_D 40006004 00000001 - 2419030 ns R r2 00000001 - 2419030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2419050 ns R r2 80000000 - 2419050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2419070 ns R psr 81000200 - 2419070 ns MR4_I 00000238 48054770 - 2419090 ns MR4_I 00000230 07d2684a - 2419110 ns IT 00000230 684a LDR r2,[r1,#4] - 2419130 ns MR4_I 00000234 6008d1fc - 2419190 ns MR4_D 40006004 00000001 - 2419190 ns R r2 00000001 - 2419190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2419210 ns R r2 80000000 - 2419210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2419230 ns R psr 81000200 - 2419230 ns MR4_I 00000238 48054770 - 2419250 ns MR4_I 00000230 07d2684a - 2419270 ns IT 00000230 684a LDR r2,[r1,#4] - 2419290 ns MR4_I 00000234 6008d1fc - 2419350 ns MR4_D 40006004 00000001 - 2419350 ns R r2 00000001 - 2419350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2419370 ns R r2 80000000 - 2419370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2419390 ns R psr 81000200 - 2419390 ns MR4_I 00000238 48054770 - 2419410 ns MR4_I 00000230 07d2684a - 2419430 ns IT 00000230 684a LDR r2,[r1,#4] - 2419450 ns MR4_I 00000234 6008d1fc - 2419510 ns MR4_D 40006004 00000001 - 2419510 ns R r2 00000001 - 2419510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2419530 ns R r2 80000000 - 2419530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2419550 ns R psr 81000200 - 2419550 ns MR4_I 00000238 48054770 - 2419570 ns MR4_I 00000230 07d2684a - 2419590 ns IT 00000230 684a LDR r2,[r1,#4] - 2419610 ns MR4_I 00000234 6008d1fc - 2419670 ns MR4_D 40006004 00000001 - 2419670 ns R r2 00000001 - 2419670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2419690 ns R r2 80000000 - 2419690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2419710 ns R psr 81000200 - 2419710 ns MR4_I 00000238 48054770 - 2419730 ns MR4_I 00000230 07d2684a - 2419750 ns IT 00000230 684a LDR r2,[r1,#4] - 2419770 ns MR4_I 00000234 6008d1fc - 2419830 ns MR4_D 40006004 00000001 - 2419830 ns R r2 00000001 - 2419830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2419850 ns R r2 80000000 - 2419850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2419870 ns R psr 81000200 - 2419870 ns MR4_I 00000238 48054770 - 2419890 ns MR4_I 00000230 07d2684a - 2419910 ns IT 00000230 684a LDR r2,[r1,#4] - 2419930 ns MR4_I 00000234 6008d1fc - 2419990 ns MR4_D 40006004 00000001 - 2419990 ns R r2 00000001 - 2419990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2420010 ns R r2 80000000 - 2420010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2420030 ns R psr 81000200 - 2420030 ns MR4_I 00000238 48054770 - 2420050 ns MR4_I 00000230 07d2684a - 2420070 ns IT 00000230 684a LDR r2,[r1,#4] - 2420090 ns MR4_I 00000234 6008d1fc - 2420150 ns MR4_D 40006004 00000001 - 2420150 ns R r2 00000001 - 2420150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2420170 ns R r2 80000000 - 2420170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2420190 ns R psr 81000200 - 2420190 ns MR4_I 00000238 48054770 - 2420210 ns MR4_I 00000230 07d2684a - 2420230 ns IT 00000230 684a LDR r2,[r1,#4] - 2420250 ns MR4_I 00000234 6008d1fc - 2420310 ns MR4_D 40006004 00000001 - 2420310 ns R r2 00000001 - 2420310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2420330 ns R r2 80000000 - 2420330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2420350 ns R psr 81000200 - 2420350 ns MR4_I 00000238 48054770 - 2420370 ns MR4_I 00000230 07d2684a - 2420390 ns IT 00000230 684a LDR r2,[r1,#4] - 2420410 ns MR4_I 00000234 6008d1fc - 2420470 ns MR4_D 40006004 00000001 - 2420470 ns R r2 00000001 - 2420470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2420490 ns R r2 80000000 - 2420490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2420510 ns R psr 81000200 - 2420510 ns MR4_I 00000238 48054770 - 2420530 ns MR4_I 00000230 07d2684a - 2420550 ns IT 00000230 684a LDR r2,[r1,#4] - 2420570 ns MR4_I 00000234 6008d1fc - 2420630 ns MR4_D 40006004 00000001 - 2420630 ns R r2 00000001 - 2420630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2420650 ns R r2 80000000 - 2420650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2420670 ns R psr 81000200 - 2420670 ns MR4_I 00000238 48054770 - 2420690 ns MR4_I 00000230 07d2684a - 2420710 ns IT 00000230 684a LDR r2,[r1,#4] - 2420730 ns MR4_I 00000234 6008d1fc - 2420790 ns MR4_D 40006004 00000001 - 2420790 ns R r2 00000001 - 2420790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2420810 ns R r2 80000000 - 2420810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2420830 ns R psr 81000200 - 2420830 ns MR4_I 00000238 48054770 - 2420850 ns MR4_I 00000230 07d2684a - 2420870 ns IT 00000230 684a LDR r2,[r1,#4] - 2420890 ns MR4_I 00000234 6008d1fc - 2420950 ns MR4_D 40006004 00000001 - 2420950 ns R r2 00000001 - 2420950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2420970 ns R r2 80000000 - 2420970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2420990 ns R psr 81000200 - 2420990 ns MR4_I 00000238 48054770 - 2421010 ns MR4_I 00000230 07d2684a - 2421030 ns IT 00000230 684a LDR r2,[r1,#4] - 2421050 ns MR4_I 00000234 6008d1fc - 2421110 ns MR4_D 40006004 00000001 - 2421110 ns R r2 00000001 - 2421110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2421130 ns R r2 80000000 - 2421130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2421150 ns R psr 81000200 - 2421150 ns MR4_I 00000238 48054770 - 2421170 ns MR4_I 00000230 07d2684a - 2421190 ns IT 00000230 684a LDR r2,[r1,#4] - 2421210 ns MR4_I 00000234 6008d1fc - 2421270 ns MR4_D 40006004 00000001 - 2421270 ns R r2 00000001 - 2421270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2421290 ns R r2 80000000 - 2421290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2421310 ns R psr 81000200 - 2421310 ns MR4_I 00000238 48054770 - 2421330 ns MR4_I 00000230 07d2684a - 2421350 ns IT 00000230 684a LDR r2,[r1,#4] - 2421370 ns MR4_I 00000234 6008d1fc - 2421430 ns MR4_D 40006004 00000001 - 2421430 ns R r2 00000001 - 2421430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2421450 ns R r2 80000000 - 2421450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2421470 ns R psr 81000200 - 2421470 ns MR4_I 00000238 48054770 - 2421490 ns MR4_I 00000230 07d2684a - 2421510 ns IT 00000230 684a LDR r2,[r1,#4] - 2421530 ns MR4_I 00000234 6008d1fc - 2421590 ns MR4_D 40006004 00000001 - 2421590 ns R r2 00000001 - 2421590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2421610 ns R r2 80000000 - 2421610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2421630 ns R psr 81000200 - 2421630 ns MR4_I 00000238 48054770 - 2421650 ns MR4_I 00000230 07d2684a - 2421670 ns IT 00000230 684a LDR r2,[r1,#4] - 2421690 ns MR4_I 00000234 6008d1fc - 2421750 ns MR4_D 40006004 00000001 - 2421750 ns R r2 00000001 - 2421750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2421770 ns R r2 80000000 - 2421770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2421790 ns R psr 81000200 - 2421790 ns MR4_I 00000238 48054770 - 2421810 ns MR4_I 00000230 07d2684a - 2421830 ns IT 00000230 684a LDR r2,[r1,#4] - 2421850 ns MR4_I 00000234 6008d1fc - 2421910 ns MR4_D 40006004 00000001 - 2421910 ns R r2 00000001 - 2421910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2421930 ns R r2 80000000 - 2421930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2421950 ns R psr 81000200 - 2421950 ns MR4_I 00000238 48054770 - 2421970 ns MR4_I 00000230 07d2684a - 2421990 ns IT 00000230 684a LDR r2,[r1,#4] - 2422010 ns MR4_I 00000234 6008d1fc - 2422070 ns MR4_D 40006004 00000001 - 2422070 ns R r2 00000001 - 2422070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2422090 ns R r2 80000000 - 2422090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2422110 ns R psr 81000200 - 2422110 ns MR4_I 00000238 48054770 - 2422130 ns MR4_I 00000230 07d2684a - 2422150 ns IT 00000230 684a LDR r2,[r1,#4] - 2422170 ns MR4_I 00000234 6008d1fc - 2422230 ns MR4_D 40006004 00000001 - 2422230 ns R r2 00000001 - 2422230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2422250 ns R r2 80000000 - 2422250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2422270 ns R psr 81000200 - 2422270 ns MR4_I 00000238 48054770 - 2422290 ns MR4_I 00000230 07d2684a - 2422310 ns IT 00000230 684a LDR r2,[r1,#4] - 2422330 ns MR4_I 00000234 6008d1fc - 2422390 ns MR4_D 40006004 00000001 - 2422390 ns R r2 00000001 - 2422390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2422410 ns R r2 80000000 - 2422410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2422430 ns R psr 81000200 - 2422430 ns MR4_I 00000238 48054770 - 2422450 ns MR4_I 00000230 07d2684a - 2422470 ns IT 00000230 684a LDR r2,[r1,#4] - 2422490 ns MR4_I 00000234 6008d1fc - 2422550 ns MR4_D 40006004 00000001 - 2422550 ns R r2 00000001 - 2422550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2422570 ns R r2 80000000 - 2422570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2422590 ns R psr 81000200 - 2422590 ns MR4_I 00000238 48054770 - 2422610 ns MR4_I 00000230 07d2684a - 2422630 ns IT 00000230 684a LDR r2,[r1,#4] - 2422650 ns MR4_I 00000234 6008d1fc - 2422710 ns MR4_D 40006004 00000001 - 2422710 ns R r2 00000001 - 2422710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2422730 ns R r2 80000000 - 2422730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2422750 ns R psr 81000200 - 2422750 ns MR4_I 00000238 48054770 - 2422770 ns MR4_I 00000230 07d2684a - 2422790 ns IT 00000230 684a LDR r2,[r1,#4] - 2422810 ns MR4_I 00000234 6008d1fc - 2422870 ns MR4_D 40006004 00000001 - 2422870 ns R r2 00000001 - 2422870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2422890 ns R r2 80000000 - 2422890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2422910 ns R psr 81000200 - 2422910 ns MR4_I 00000238 48054770 - 2422930 ns MR4_I 00000230 07d2684a - 2422950 ns IT 00000230 684a LDR r2,[r1,#4] - 2422970 ns MR4_I 00000234 6008d1fc - 2423030 ns MR4_D 40006004 00000001 - 2423030 ns R r2 00000001 - 2423030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2423050 ns R r2 80000000 - 2423050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2423070 ns R psr 81000200 - 2423070 ns MR4_I 00000238 48054770 - 2423090 ns MR4_I 00000230 07d2684a - 2423110 ns IT 00000230 684a LDR r2,[r1,#4] - 2423130 ns MR4_I 00000234 6008d1fc - 2423190 ns MR4_D 40006004 00000001 - 2423190 ns R r2 00000001 - 2423190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2423210 ns R r2 80000000 - 2423210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2423230 ns R psr 81000200 - 2423230 ns MR4_I 00000238 48054770 - 2423250 ns MR4_I 00000230 07d2684a - 2423270 ns IT 00000230 684a LDR r2,[r1,#4] - 2423290 ns MR4_I 00000234 6008d1fc - 2423350 ns MR4_D 40006004 00000001 - 2423350 ns R r2 00000001 - 2423350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2423370 ns R r2 80000000 - 2423370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2423390 ns R psr 81000200 - 2423390 ns MR4_I 00000238 48054770 - 2423410 ns MR4_I 00000230 07d2684a - 2423430 ns IT 00000230 684a LDR r2,[r1,#4] - 2423450 ns MR4_I 00000234 6008d1fc - 2423510 ns MR4_D 40006004 00000001 - 2423510 ns R r2 00000001 - 2423510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2423530 ns R r2 80000000 - 2423530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2423550 ns R psr 81000200 - 2423550 ns MR4_I 00000238 48054770 - 2423570 ns MR4_I 00000230 07d2684a - 2423590 ns IT 00000230 684a LDR r2,[r1,#4] - 2423610 ns MR4_I 00000234 6008d1fc - 2423670 ns MR4_D 40006004 00000001 - 2423670 ns R r2 00000001 - 2423670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2423690 ns R r2 80000000 - 2423690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2423710 ns R psr 81000200 - 2423710 ns MR4_I 00000238 48054770 - 2423730 ns MR4_I 00000230 07d2684a - 2423750 ns IT 00000230 684a LDR r2,[r1,#4] - 2423770 ns MR4_I 00000234 6008d1fc - 2423830 ns MR4_D 40006004 00000001 - 2423830 ns R r2 00000001 - 2423830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2423850 ns R r2 80000000 - 2423850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2423870 ns R psr 81000200 - 2423870 ns MR4_I 00000238 48054770 - 2423890 ns MR4_I 00000230 07d2684a - 2423910 ns IT 00000230 684a LDR r2,[r1,#4] - 2423930 ns MR4_I 00000234 6008d1fc - 2423990 ns MR4_D 40006004 00000001 - 2423990 ns R r2 00000001 - 2423990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2424010 ns R r2 80000000 - 2424010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2424030 ns R psr 81000200 - 2424030 ns MR4_I 00000238 48054770 - 2424050 ns MR4_I 00000230 07d2684a - 2424070 ns IT 00000230 684a LDR r2,[r1,#4] - 2424090 ns MR4_I 00000234 6008d1fc - 2424150 ns MR4_D 40006004 00000001 - 2424150 ns R r2 00000001 - 2424150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2424170 ns R r2 80000000 - 2424170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2424190 ns R psr 81000200 - 2424190 ns MR4_I 00000238 48054770 - 2424210 ns MR4_I 00000230 07d2684a - 2424230 ns IT 00000230 684a LDR r2,[r1,#4] - 2424250 ns MR4_I 00000234 6008d1fc - 2424310 ns MR4_D 40006004 00000001 - 2424310 ns R r2 00000001 - 2424310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2424330 ns R r2 80000000 - 2424330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2424350 ns R psr 81000200 - 2424350 ns MR4_I 00000238 48054770 - 2424370 ns MR4_I 00000230 07d2684a - 2424390 ns IT 00000230 684a LDR r2,[r1,#4] - 2424410 ns MR4_I 00000234 6008d1fc - 2424470 ns MR4_D 40006004 00000001 - 2424470 ns R r2 00000001 - 2424470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2424490 ns R r2 80000000 - 2424490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2424510 ns R psr 81000200 - 2424510 ns MR4_I 00000238 48054770 - 2424530 ns MR4_I 00000230 07d2684a - 2424550 ns IT 00000230 684a LDR r2,[r1,#4] - 2424570 ns MR4_I 00000234 6008d1fc - 2424630 ns MR4_D 40006004 00000001 - 2424630 ns R r2 00000001 - 2424630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2424650 ns R r2 80000000 - 2424650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2424670 ns R psr 81000200 - 2424670 ns MR4_I 00000238 48054770 - 2424690 ns MR4_I 00000230 07d2684a - 2424710 ns IT 00000230 684a LDR r2,[r1,#4] - 2424730 ns MR4_I 00000234 6008d1fc - 2424790 ns MR4_D 40006004 00000001 - 2424790 ns R r2 00000001 - 2424790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2424810 ns R r2 80000000 - 2424810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2424830 ns R psr 81000200 - 2424830 ns MR4_I 00000238 48054770 - 2424850 ns MR4_I 00000230 07d2684a - 2424870 ns IT 00000230 684a LDR r2,[r1,#4] - 2424890 ns MR4_I 00000234 6008d1fc - 2424950 ns MR4_D 40006004 00000001 - 2424950 ns R r2 00000001 - 2424950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2424970 ns R r2 80000000 - 2424970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2424990 ns R psr 81000200 - 2424990 ns MR4_I 00000238 48054770 - 2425010 ns MR4_I 00000230 07d2684a - 2425030 ns IT 00000230 684a LDR r2,[r1,#4] - 2425050 ns MR4_I 00000234 6008d1fc - 2425110 ns MR4_D 40006004 00000001 - 2425110 ns R r2 00000001 - 2425110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2425130 ns R r2 80000000 - 2425130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2425150 ns R psr 81000200 - 2425150 ns MR4_I 00000238 48054770 - 2425170 ns MR4_I 00000230 07d2684a - 2425190 ns IT 00000230 684a LDR r2,[r1,#4] - 2425210 ns MR4_I 00000234 6008d1fc - 2425270 ns MR4_D 40006004 00000001 - 2425270 ns R r2 00000001 - 2425270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2425290 ns R r2 80000000 - 2425290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2425310 ns R psr 81000200 - 2425310 ns MR4_I 00000238 48054770 - 2425330 ns MR4_I 00000230 07d2684a - 2425350 ns IT 00000230 684a LDR r2,[r1,#4] - 2425370 ns MR4_I 00000234 6008d1fc - 2425430 ns MR4_D 40006004 00000001 - 2425430 ns R r2 00000001 - 2425430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2425450 ns R r2 80000000 - 2425450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2425470 ns R psr 81000200 - 2425470 ns MR4_I 00000238 48054770 - 2425490 ns MR4_I 00000230 07d2684a - 2425510 ns IT 00000230 684a LDR r2,[r1,#4] - 2425530 ns MR4_I 00000234 6008d1fc - 2425590 ns MR4_D 40006004 00000001 - 2425590 ns R r2 00000001 - 2425590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2425610 ns R r2 80000000 - 2425610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2425630 ns R psr 81000200 - 2425630 ns MR4_I 00000238 48054770 - 2425650 ns MR4_I 00000230 07d2684a - 2425670 ns IT 00000230 684a LDR r2,[r1,#4] - 2425690 ns MR4_I 00000234 6008d1fc - 2425750 ns MR4_D 40006004 00000001 - 2425750 ns R r2 00000001 - 2425750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2425770 ns R r2 80000000 - 2425770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2425790 ns R psr 81000200 - 2425790 ns MR4_I 00000238 48054770 - 2425810 ns MR4_I 00000230 07d2684a - 2425830 ns IT 00000230 684a LDR r2,[r1,#4] - 2425850 ns MR4_I 00000234 6008d1fc - 2425910 ns MR4_D 40006004 00000001 - 2425910 ns R r2 00000001 - 2425910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2425930 ns R r2 80000000 - 2425930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2425950 ns R psr 81000200 - 2425950 ns MR4_I 00000238 48054770 - 2425970 ns MR4_I 00000230 07d2684a - 2425990 ns IT 00000230 684a LDR r2,[r1,#4] - 2426010 ns MR4_I 00000234 6008d1fc - 2426070 ns MR4_D 40006004 00000001 - 2426070 ns R r2 00000001 - 2426070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2426090 ns R r2 80000000 - 2426090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2426110 ns R psr 81000200 - 2426110 ns MR4_I 00000238 48054770 - 2426130 ns MR4_I 00000230 07d2684a - 2426150 ns IT 00000230 684a LDR r2,[r1,#4] - 2426170 ns MR4_I 00000234 6008d1fc - 2426230 ns MR4_D 40006004 00000001 - 2426230 ns R r2 00000001 - 2426230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2426250 ns R r2 80000000 - 2426250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2426270 ns R psr 81000200 - 2426270 ns MR4_I 00000238 48054770 - 2426290 ns MR4_I 00000230 07d2684a - 2426310 ns IT 00000230 684a LDR r2,[r1,#4] - 2426330 ns MR4_I 00000234 6008d1fc - 2426390 ns MR4_D 40006004 00000001 - 2426390 ns R r2 00000001 - 2426390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2426410 ns R r2 80000000 - 2426410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2426430 ns R psr 81000200 - 2426430 ns MR4_I 00000238 48054770 - 2426450 ns MR4_I 00000230 07d2684a - 2426470 ns IT 00000230 684a LDR r2,[r1,#4] - 2426490 ns MR4_I 00000234 6008d1fc - 2426550 ns MR4_D 40006004 00000001 - 2426550 ns R r2 00000001 - 2426550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2426570 ns R r2 80000000 - 2426570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2426590 ns R psr 81000200 - 2426590 ns MR4_I 00000238 48054770 - 2426610 ns MR4_I 00000230 07d2684a - 2426630 ns IT 00000230 684a LDR r2,[r1,#4] - 2426650 ns MR4_I 00000234 6008d1fc - 2426710 ns MR4_D 40006004 00000001 - 2426710 ns R r2 00000001 - 2426710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2426730 ns R r2 80000000 - 2426730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2426750 ns R psr 81000200 - 2426750 ns MR4_I 00000238 48054770 - 2426770 ns MR4_I 00000230 07d2684a - 2426790 ns IT 00000230 684a LDR r2,[r1,#4] - 2426810 ns MR4_I 00000234 6008d1fc - 2426870 ns MR4_D 40006004 00000001 - 2426870 ns R r2 00000001 - 2426870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2426890 ns R r2 80000000 - 2426890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2426910 ns R psr 81000200 - 2426910 ns MR4_I 00000238 48054770 - 2426930 ns MR4_I 00000230 07d2684a - 2426950 ns IT 00000230 684a LDR r2,[r1,#4] - 2426970 ns MR4_I 00000234 6008d1fc - 2427030 ns MR4_D 40006004 00000001 - 2427030 ns R r2 00000001 - 2427030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2427050 ns R r2 80000000 - 2427050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2427070 ns R psr 81000200 - 2427070 ns MR4_I 00000238 48054770 - 2427090 ns MR4_I 00000230 07d2684a - 2427110 ns IT 00000230 684a LDR r2,[r1,#4] - 2427130 ns MR4_I 00000234 6008d1fc - 2427190 ns MR4_D 40006004 00000001 - 2427190 ns R r2 00000001 - 2427190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2427210 ns R r2 80000000 - 2427210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2427230 ns R psr 81000200 - 2427230 ns MR4_I 00000238 48054770 - 2427250 ns MR4_I 00000230 07d2684a - 2427270 ns IT 00000230 684a LDR r2,[r1,#4] - 2427290 ns MR4_I 00000234 6008d1fc - 2427350 ns MR4_D 40006004 00000001 - 2427350 ns R r2 00000001 - 2427350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2427370 ns R r2 80000000 - 2427370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2427390 ns R psr 81000200 - 2427390 ns MR4_I 00000238 48054770 - 2427410 ns MR4_I 00000230 07d2684a - 2427430 ns IT 00000230 684a LDR r2,[r1,#4] - 2427450 ns MR4_I 00000234 6008d1fc - 2427510 ns MR4_D 40006004 00000001 - 2427510 ns R r2 00000001 - 2427510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2427530 ns R r2 80000000 - 2427530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2427550 ns R psr 81000200 - 2427550 ns MR4_I 00000238 48054770 - 2427570 ns MR4_I 00000230 07d2684a - 2427590 ns IT 00000230 684a LDR r2,[r1,#4] - 2427610 ns MR4_I 00000234 6008d1fc - 2427670 ns MR4_D 40006004 00000001 - 2427670 ns R r2 00000001 - 2427670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2427690 ns R r2 80000000 - 2427690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2427710 ns R psr 81000200 - 2427710 ns MR4_I 00000238 48054770 - 2427730 ns MR4_I 00000230 07d2684a - 2427750 ns IT 00000230 684a LDR r2,[r1,#4] - 2427770 ns MR4_I 00000234 6008d1fc - 2427830 ns MR4_D 40006004 00000001 - 2427830 ns R r2 00000001 - 2427830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2427850 ns R r2 80000000 - 2427850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2427870 ns R psr 81000200 - 2427870 ns MR4_I 00000238 48054770 - 2427890 ns MR4_I 00000230 07d2684a - 2427910 ns IT 00000230 684a LDR r2,[r1,#4] - 2427930 ns MR4_I 00000234 6008d1fc - 2427990 ns MR4_D 40006004 00000001 - 2427990 ns R r2 00000001 - 2427990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2428010 ns R r2 80000000 - 2428010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2428030 ns R psr 81000200 - 2428030 ns MR4_I 00000238 48054770 - 2428050 ns MR4_I 00000230 07d2684a - 2428070 ns IT 00000230 684a LDR r2,[r1,#4] - 2428090 ns MR4_I 00000234 6008d1fc - 2428150 ns MR4_D 40006004 00000001 - 2428150 ns R r2 00000001 - 2428150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2428170 ns R r2 80000000 - 2428170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2428190 ns R psr 81000200 - 2428190 ns MR4_I 00000238 48054770 - 2428210 ns MR4_I 00000230 07d2684a - 2428230 ns IT 00000230 684a LDR r2,[r1,#4] - 2428250 ns MR4_I 00000234 6008d1fc - 2428310 ns MR4_D 40006004 00000001 - 2428310 ns R r2 00000001 - 2428310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2428330 ns R r2 80000000 - 2428330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2428350 ns R psr 81000200 - 2428350 ns MR4_I 00000238 48054770 - 2428370 ns MR4_I 00000230 07d2684a - 2428390 ns IT 00000230 684a LDR r2,[r1,#4] - 2428410 ns MR4_I 00000234 6008d1fc - 2428470 ns MR4_D 40006004 00000001 - 2428470 ns R r2 00000001 - 2428470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2428490 ns R r2 80000000 - 2428490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2428510 ns R psr 81000200 - 2428510 ns MR4_I 00000238 48054770 - 2428530 ns MR4_I 00000230 07d2684a - 2428550 ns IT 00000230 684a LDR r2,[r1,#4] - 2428570 ns MR4_I 00000234 6008d1fc - 2428630 ns MR4_D 40006004 00000001 - 2428630 ns R r2 00000001 - 2428630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2428650 ns R r2 80000000 - 2428650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2428670 ns R psr 81000200 - 2428670 ns MR4_I 00000238 48054770 - 2428690 ns MR4_I 00000230 07d2684a - 2428710 ns IT 00000230 684a LDR r2,[r1,#4] - 2428730 ns MR4_I 00000234 6008d1fc - 2428790 ns MR4_D 40006004 00000001 - 2428790 ns R r2 00000001 - 2428790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2428810 ns R r2 80000000 - 2428810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2428830 ns R psr 81000200 - 2428830 ns MR4_I 00000238 48054770 - 2428850 ns MR4_I 00000230 07d2684a - 2428870 ns IT 00000230 684a LDR r2,[r1,#4] - 2428890 ns MR4_I 00000234 6008d1fc - 2428950 ns MR4_D 40006004 00000001 - 2428950 ns R r2 00000001 - 2428950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2428970 ns R r2 80000000 - 2428970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2428990 ns R psr 81000200 - 2428990 ns MR4_I 00000238 48054770 - 2429010 ns MR4_I 00000230 07d2684a - 2429030 ns IT 00000230 684a LDR r2,[r1,#4] - 2429050 ns MR4_I 00000234 6008d1fc - 2429110 ns MR4_D 40006004 00000001 - 2429110 ns R r2 00000001 - 2429110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2429130 ns R r2 80000000 - 2429130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2429150 ns R psr 81000200 - 2429150 ns MR4_I 00000238 48054770 - 2429170 ns MR4_I 00000230 07d2684a - 2429190 ns IT 00000230 684a LDR r2,[r1,#4] - 2429210 ns MR4_I 00000234 6008d1fc - 2429270 ns MR4_D 40006004 00000001 - 2429270 ns R r2 00000001 - 2429270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2429290 ns R r2 80000000 - 2429290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2429310 ns R psr 81000200 - 2429310 ns MR4_I 00000238 48054770 - 2429330 ns MR4_I 00000230 07d2684a - 2429350 ns IT 00000230 684a LDR r2,[r1,#4] - 2429370 ns MR4_I 00000234 6008d1fc - 2429430 ns MR4_D 40006004 00000001 - 2429430 ns R r2 00000001 - 2429430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2429450 ns R r2 80000000 - 2429450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2429470 ns R psr 81000200 - 2429470 ns MR4_I 00000238 48054770 - 2429490 ns MR4_I 00000230 07d2684a - 2429510 ns IT 00000230 684a LDR r2,[r1,#4] - 2429530 ns MR4_I 00000234 6008d1fc - 2429590 ns MR4_D 40006004 00000000 - 2429590 ns R r2 00000000 - 2429590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2429610 ns R r2 00000000 - 2429610 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2429630 ns R psr 41000200 - 2429630 ns MR4_I 00000238 48054770 - 2429630 ns IT 00000236 6008 STR r0,[r1,#0] - 2429710 ns MW4_D 40006000 0000000a - 2429710 ns IT 00000238 4770 BX lr - 2429730 ns MR4_I 0000023c 07896841 - 2429750 ns R psr 41000200 - 2429750 ns MR4_I 000001fc b510bd10 - 2429770 ns IT 000001fc bd10 POP {r4,pc} - 2429790 ns MR4_I 00000200 f81bf000 - 2429790 ns R r13 200002e0 (MSP) - 2429810 ns MR4_D 200002d8 0000000b - 2429810 ns R r4 0000000b - 2429830 ns MR4_D 200002dc 0000032d - 2429850 ns R psr 41000200 - 2429870 ns MR4_I 0000032c 46301c64 - 2429890 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 2429910 ns MR4_I 00000330 280047a8 - 2429910 ns R r4 0000000c - 2429910 ns IT 0000032e 4630 MOV r0,r6 - 2429930 ns R psr 01000200 - 2429930 ns R r0 200002f8 - 2429930 ns IT 00000330 47a8 BLX r5 - 2429950 ns MR4_I 00000334 4620d1f8 - 2429970 ns R psr 01000200 - 2429970 ns MR4_I 000002a8 1c4a6901 - 2429970 ns R r14 00000333 - 2429990 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2430010 ns MR4_I 000002ac 78086102 - 2430030 ns MR4_D 20000308 0000018c - 2430030 ns R r1 0000018c - 2430030 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2430050 ns R r2 0000018d - 2430050 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2430070 ns R psr 01000200 - 2430070 ns MR4_I 000002b0 b5004770 - 2430090 ns MW4_D 20000308 0000018d - 2430090 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2430130 ns MR1_D 0000018c 00000000 - 2430130 ns R r0 00000000 - 2430130 ns IT 000002b0 4770 BX lr - 2430150 ns MR4_I 000002b4 9102b08f - 2430170 ns R psr 01000200 - 2430170 ns MR4_I 00000330 280047a8 - 2430190 ns MR4_I 00000334 4620d1f8 - 2430190 ns IT 00000332 2800 CMP r0,#0 - 2430210 ns IS 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2430230 ns R psr 61000200 - 2430230 ns MR4_I 00000338 b510bdf8 - 2430230 ns IT 00000336 4620 MOV r0,r4 - 2430250 ns R r0 0000000c - 2430250 ns IT 00000338 bdf8 POP {r3-r7,pc} - 2430270 ns MR4_I 0000033c 46c04604 - 2430270 ns R r13 200002f8 (MSP) - 2430290 ns MR4_D 200002e0 20000004 - 2430290 ns R r3 20000004 - 2430310 ns MR4_D 200002e4 00000374 - 2430310 ns R r4 00000374 - 2430330 ns MR4_D 200002e8 20000004 - 2430330 ns R r5 20000004 - 2430350 ns MR4_D 200002ec 00000000 - 2430350 ns R r6 00000000 - 2430370 ns MR4_D 200002f0 00000000 - 2430370 ns R r7 00000000 - 2430390 ns MR4_D 200002f4 000002cf - 2430410 ns R psr 61000200 - 2430430 ns MR4_I 000002cc b00ff824 - 2430450 ns MR4_I 000002d0 0000bd00 - 2430450 ns IT 000002ce b00f ADD sp,sp,#0x3c - 2430470 ns R r13 20000334 (MSP) - 2430470 ns IT 000002d0 bd00 POP {pc} - 2430490 ns MR4_I 000002d4 ffffffe5 - 2430490 ns R r13 20000338 (MSP) - 2430510 ns MR4_D 20000334 0000028d - 2430530 ns R psr 61000200 - 2430550 ns MR4_I 0000028c 46284604 - 2430570 ns IT 0000028c 4604 MOV r4,r0 - 2430590 ns MR4_I 00000290 ffbbf7ff - 2430590 ns R r4 0000000c - 2430590 ns IT 0000028e 4628 MOV r0,r5 - 2430610 ns R r0 20000004 - 2430610 ns IT 00000290 f7ffffbb BL {pc} - 0x86 ; 0x20a - 2430630 ns MR4_I 00000294 d0022800 - 2430650 ns R r14 00000295 - 2430670 ns MR4_I 00000208 2000bd10 - 2430690 ns MR4_I 0000020c 477043c0 - 2430690 ns IT 0000020a 2000 MOVS r0,#0 - 2430710 ns R r0 00000000 - 2430710 ns IT 0000020c 43c0 MVNS r0,r0 - 2430730 ns R psr 61000200 - 2430730 ns MR4_I 00000210 b2c0b510 - 2430730 ns R r0 ffffffff - 2430730 ns IT 0000020e 4770 BX lr - 2430750 ns R psr a1000200 - 2430770 ns R psr a1000200 - 2430770 ns MR4_I 00000294 d0022800 - 2430790 ns IT 00000294 2800 CMP r0,#0 - 2430810 ns MR4_I 00000298 43c02000 - 2430810 ns IS 00000296 d002 BEQ {pc} + 0x8 ; 0x29e - 2430830 ns R psr a1000200 - 2430830 ns IT 00000298 2000 MOVS r0,#0 - 2430850 ns MR4_I 0000029c 4620bd70 - 2430850 ns R r0 00000000 - 2430850 ns IT 0000029a 43c0 MVNS r0,r0 - 2430870 ns R psr 61000200 - 2430870 ns R r0 ffffffff - 2430870 ns IT 0000029c bd70 POP {r4-r6,pc} - 2430890 ns R psr a1000200 - 2430890 ns MR4_I 000002a0 0000bd70 - 2430890 ns R r13 20000348 (MSP) - 2430910 ns MR4_D 20000338 00000374 - 2430910 ns R r4 00000374 - 2430930 ns MR4_D 2000033c 20000008 - 2430930 ns R r5 20000008 - 2430950 ns MR4_D 20000340 00000000 - 2430950 ns R r6 00000000 - 2430970 ns MR4_D 20000344 0000026b - 2430990 ns R psr a1000200 - 2431010 ns MR4_I 00000268 bc10f80b - 2431030 ns MR4_I 0000026c b004bc08 - 2431030 ns IT 0000026a bc10 POP {r4} - 2431050 ns R r13 2000034c (MSP) - 2431070 ns MR4_D 20000348 00000374 - 2431070 ns R r4 00000374 - 2431070 ns IT 0000026c bc08 POP {r3} - 2431090 ns MR4_I 00000270 00004718 - 2431090 ns R r13 20000350 (MSP) - 2431110 ns MR4_D 2000034c 00000171 - 2431110 ns R r3 00000171 - 2431110 ns IT 0000026e b004 ADD sp,sp,#0x10 - 2431130 ns R r13 20000360 (MSP) - 2431130 ns IT 00000270 4718 BX r3 - 2431150 ns MR4_I 00000274 20000004 - 2431170 ns R psr a1000200 - 2431170 ns MR4_I 00000170 f000a007 - 2431190 ns IT 00000170 a007 ADR r0,{pc}+0x20 ; 0x190 - 2431210 ns MR4_I 00000174 f000f873 - 2431210 ns R r0 00000190 - 2431210 ns IT 00000172 f000f873 BL {pc} + 0xea ; 0x25c - 2431250 ns R r14 00000177 - 2431270 ns MR4_I 0000025c 4905b40f - 2431290 ns IT 0000025c b40f PUSH {r0-r3} - 2431310 ns MR4_I 00000260 aa03b510 - 2431330 ns MW4_D 20000350 00000190 - 2431350 ns MW4_D 20000354 0000018c - 2431370 ns MW4_D 20000358 0000018d - 2431390 ns MW4_D 2000035c 00000171 - 2431390 ns R r13 20000350 (MSP) - 2431390 ns IT 0000025e 4905 LDR r1,[pc,#20] ; [0x274] - 2431430 ns MR4_D 00000274 20000004 - 2431430 ns R r1 20000004 - 2431430 ns IT 00000260 b510 PUSH {r4,lr} - 2431450 ns MR4_I 00000264 f0009802 - 2431470 ns MW4_D 20000348 00000374 - 2431490 ns MW4_D 2000034c 00000177 - 2431490 ns R r13 20000348 (MSP) - 2431490 ns IT 00000262 aa03 ADD r2,sp,#0xc - 2431510 ns R r2 20000354 - 2431510 ns IT 00000264 9802 LDR r0,[sp,#8] - 2431530 ns MR4_I 00000268 bc10f80b - 2431550 ns MR4_D 20000350 00000190 - 2431550 ns R r0 00000190 - 2431550 ns IT 00000266 f000f80b BL {pc} + 0x1a ; 0x280 - 2431590 ns R r14 0000026b - 2431610 ns MR4_I 00000280 b5704b08 - 2431630 ns IT 00000280 4b08 LDR r3,[pc,#32] ; [0x2a4] - 2431650 ns MR4_I 00000284 447b460d - 2431670 ns MR4_D 000002a4 ffffff6b - 2431670 ns R r3 ffffff6b - 2431670 ns IT 00000282 b570 PUSH {r4-r6,lr} - 2431710 ns MW4_D 20000338 00000374 - 2431730 ns MW4_D 2000033c 20000008 - 2431750 ns MW4_D 20000340 00000000 - 2431770 ns MW4_D 20000344 0000026b - 2431770 ns R r13 20000338 (MSP) - 2431770 ns IT 00000284 460d MOV r5,r1 - 2431790 ns MR4_I 00000288 f813f000 - 2431790 ns R r5 20000004 - 2431790 ns IT 00000286 447b ADD r3,r3,pc - 2431810 ns R r3 000001f5 - 2431810 ns IT 00000288 f000f813 BL {pc} + 0x2a ; 0x2b2 - 2431830 ns MR4_I 0000028c 46284604 - 2431850 ns R r14 0000028d - 2431870 ns MR4_I 000002b0 b5004770 - 2431890 ns MR4_I 000002b4 9102b08f - 2431890 ns IT 000002b2 b500 PUSH {lr} - 2431930 ns MW4_D 20000334 0000028d - 2431930 ns R r13 20000334 (MSP) - 2431930 ns IT 000002b4 b08f SUB sp,sp,#0x3c - 2431950 ns MR4_I 000002b8 91052100 - 2431950 ns R r13 200002f8 (MSP) - 2431950 ns IT 000002b6 9102 STR r1,[sp,#8] - 2431990 ns MW4_D 20000300 20000004 - 2431990 ns IT 000002b8 2100 MOVS r1,#0 - 2432010 ns MR4_I 000002bc 93014905 - 2432010 ns R r1 00000000 - 2432010 ns IT 000002ba 9105 STR r1,[sp,#0x14] - 2432030 ns R psr 61000200 - 2432050 ns MW4_D 2000030c 00000000 - 2432050 ns IT 000002bc 4905 LDR r1,[pc,#20] ; [0x2d4] - 2432070 ns MR4_I 000002c0 91034479 - 2432090 ns MR4_D 000002d4 ffffffe5 - 2432090 ns R r1 ffffffe5 - 2432090 ns IT 000002be 9301 STR r3,[sp,#4] - 2432130 ns MW4_D 200002fc 000001f5 - 2432130 ns IT 000002c0 4479 ADD r1,r1,pc - 2432150 ns MR4_I 000002c4 90044611 - 2432150 ns R r1 000002a9 - 2432150 ns IT 000002c2 9103 STR r1,[sp,#0xc] - 2432190 ns MW4_D 20000304 000002a9 - 2432190 ns IT 000002c4 4611 MOV r1,r2 - 2432210 ns MR4_I 000002c8 f0004668 - 2432210 ns R r1 20000354 - 2432210 ns IT 000002c6 9004 STR r0,[sp,#0x10] - 2432250 ns MW4_D 20000308 00000190 - 2432250 ns IT 000002c8 4668 MOV r0,sp - 2432270 ns MR4_I 000002cc b00ff824 - 2432270 ns R r0 200002f8 - 2432270 ns IT 000002ca f000f824 BL {pc} + 0x4c ; 0x316 - 2432310 ns R r14 000002cf - 2432330 ns MR4_I 00000314 b5f84770 - 2432350 ns MR4_I 00000318 24004606 - 2432350 ns IT 00000316 b5f8 PUSH {r3-r7,lr} - 2432390 ns MW4_D 200002e0 000001f5 - 2432410 ns MW4_D 200002e4 00000374 - 2432430 ns MW4_D 200002e8 20000004 - 2432450 ns MW4_D 200002ec 00000000 - 2432470 ns MW4_D 200002f0 00000000 - 2432490 ns MW4_D 200002f4 000002cf - 2432490 ns R r13 200002e0 (MSP) - 2432490 ns IT 00000318 4606 MOV r6,r0 - 2432510 ns MR4_I 0000031c 68806847 - 2432510 ns R r6 200002f8 - 2432510 ns IT 0000031a 2400 MOVS r4,#0 - 2432530 ns R r4 00000000 - 2432530 ns IT 0000031c 6847 LDR r7,[r0,#4] - 2432550 ns R psr 61000200 - 2432550 ns MR4_I 00000320 46309000 - 2432570 ns MR4_D 200002fc 000001f5 - 2432570 ns R r7 000001f5 - 2432570 ns IT 0000031e 6880 LDR r0,[r0,#8] - 2432610 ns MR4_D 20000300 20000004 - 2432610 ns R r0 20000004 - 2432610 ns IT 00000320 9000 STR r0,[sp,#0] - 2432630 ns MR4_I 00000324 e00368f5 - 2432650 ns MW4_D 200002e0 20000004 - 2432650 ns IT 00000322 4630 MOV r0,r6 - 2432670 ns R r0 200002f8 - 2432670 ns IT 00000324 68f5 LDR r5,[r6,#0xc] - 2432690 ns MR4_I 00000328 47b89900 - 2432710 ns MR4_D 20000304 000002a9 - 2432710 ns R r5 000002a9 - 2432710 ns IT 00000326 e003 B {pc} + 0xa ; 0x330 - 2432750 ns MR4_I 00000330 280047a8 - 2432770 ns IT 00000330 47a8 BLX r5 - 2432790 ns MR4_I 00000334 4620d1f8 - 2432810 ns R psr 61000200 - 2432810 ns MR4_I 000002a8 1c4a6901 - 2432810 ns R r14 00000333 - 2432830 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2432850 ns MR4_I 000002ac 78086102 - 2432870 ns MR4_D 20000308 00000190 - 2432870 ns R r1 00000190 - 2432870 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2432890 ns R r2 00000191 - 2432890 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2432910 ns R psr 01000200 - 2432910 ns MR4_I 000002b0 b5004770 - 2432930 ns MW4_D 20000308 00000191 - 2432930 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2432970 ns MR1_D 00000190 54202a2a - 2432970 ns R r0 0000002a - 2432970 ns IT 000002b0 4770 BX lr - 2432990 ns MR4_I 000002b4 9102b08f - 2433010 ns R psr 01000200 - 2433010 ns MR4_I 00000330 280047a8 - 2433030 ns MR4_I 00000334 4620d1f8 - 2433030 ns IT 00000332 2800 CMP r0,#0 - 2433050 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2433070 ns R psr 21000200 - 2433070 ns MR4_I 00000338 b510bdf8 - 2433090 ns MR4_I 00000328 47b89900 - 2433110 ns IT 00000328 9900 LDR r1,[sp,#0] - 2433130 ns MR4_I 0000032c 46301c64 - 2433150 ns MR4_D 200002e0 20000004 - 2433150 ns R r1 20000004 - 2433150 ns IT 0000032a 47b8 BLX r7 - 2433190 ns R psr 21000200 - 2433190 ns MR4_I 000001f4 b2c0b510 - 2433190 ns R r14 0000032d - 2433210 ns IT 000001f4 b510 PUSH {r4,lr} - 2433230 ns MR4_I 000001f8 f819f000 - 2433250 ns MW4_D 200002d8 00000000 - 2433270 ns MW4_D 200002dc 0000032d - 2433270 ns R r13 200002d8 (MSP) - 2433270 ns IT 000001f6 b2c0 UXTB r0,r0 - 2433290 ns R r0 0000002a - 2433290 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 2433310 ns MR4_I 000001fc b510bd10 - 2433330 ns R r14 000001fd - 2433350 ns MR4_I 0000022c 49084770 - 2433370 ns MR4_I 00000230 07d2684a - 2433370 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 2433410 ns MR4_D 00000250 40006000 - 2433410 ns R r1 40006000 - 2433410 ns IT 00000230 684a LDR r2,[r1,#4] - 2433430 ns MR4_I 00000234 6008d1fc - 2433490 ns MR4_D 40006004 00000001 - 2433490 ns R r2 00000001 - 2433490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2433510 ns R r2 80000000 - 2433510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2433530 ns R psr 81000200 - 2433530 ns MR4_I 00000238 48054770 - 2433550 ns MR4_I 00000230 07d2684a - 2433570 ns IT 00000230 684a LDR r2,[r1,#4] - 2433590 ns MR4_I 00000234 6008d1fc - 2433650 ns MR4_D 40006004 00000001 - 2433650 ns R r2 00000001 - 2433650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2433670 ns R r2 80000000 - 2433670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2433690 ns R psr 81000200 - 2433690 ns MR4_I 00000238 48054770 - 2433710 ns MR4_I 00000230 07d2684a - 2433730 ns IT 00000230 684a LDR r2,[r1,#4] - 2433750 ns MR4_I 00000234 6008d1fc - 2433810 ns MR4_D 40006004 00000001 - 2433810 ns R r2 00000001 - 2433810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2433830 ns R r2 80000000 - 2433830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2433850 ns R psr 81000200 - 2433850 ns MR4_I 00000238 48054770 - 2433870 ns MR4_I 00000230 07d2684a - 2433890 ns IT 00000230 684a LDR r2,[r1,#4] - 2433910 ns MR4_I 00000234 6008d1fc - 2433970 ns MR4_D 40006004 00000001 - 2433970 ns R r2 00000001 - 2433970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2433990 ns R r2 80000000 - 2433990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2434010 ns R psr 81000200 - 2434010 ns MR4_I 00000238 48054770 - 2434030 ns MR4_I 00000230 07d2684a - 2434050 ns IT 00000230 684a LDR r2,[r1,#4] - 2434070 ns MR4_I 00000234 6008d1fc - 2434130 ns MR4_D 40006004 00000001 - 2434130 ns R r2 00000001 - 2434130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2434150 ns R r2 80000000 - 2434150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2434170 ns R psr 81000200 - 2434170 ns MR4_I 00000238 48054770 - 2434190 ns MR4_I 00000230 07d2684a - 2434210 ns IT 00000230 684a LDR r2,[r1,#4] - 2434230 ns MR4_I 00000234 6008d1fc - 2434290 ns MR4_D 40006004 00000001 - 2434290 ns R r2 00000001 - 2434290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2434310 ns R r2 80000000 - 2434310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2434330 ns R psr 81000200 - 2434330 ns MR4_I 00000238 48054770 - 2434350 ns MR4_I 00000230 07d2684a - 2434370 ns IT 00000230 684a LDR r2,[r1,#4] - 2434390 ns MR4_I 00000234 6008d1fc - 2434450 ns MR4_D 40006004 00000001 - 2434450 ns R r2 00000001 - 2434450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2434470 ns R r2 80000000 - 2434470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2434490 ns R psr 81000200 - 2434490 ns MR4_I 00000238 48054770 - 2434510 ns MR4_I 00000230 07d2684a - 2434530 ns IT 00000230 684a LDR r2,[r1,#4] - 2434550 ns MR4_I 00000234 6008d1fc - 2434610 ns MR4_D 40006004 00000001 - 2434610 ns R r2 00000001 - 2434610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2434630 ns R r2 80000000 - 2434630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2434650 ns R psr 81000200 - 2434650 ns MR4_I 00000238 48054770 - 2434670 ns MR4_I 00000230 07d2684a - 2434690 ns IT 00000230 684a LDR r2,[r1,#4] - 2434710 ns MR4_I 00000234 6008d1fc - 2434770 ns MR4_D 40006004 00000001 - 2434770 ns R r2 00000001 - 2434770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2434790 ns R r2 80000000 - 2434790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2434810 ns R psr 81000200 - 2434810 ns MR4_I 00000238 48054770 - 2434830 ns MR4_I 00000230 07d2684a - 2434850 ns IT 00000230 684a LDR r2,[r1,#4] - 2434870 ns MR4_I 00000234 6008d1fc - 2434930 ns MR4_D 40006004 00000001 - 2434930 ns R r2 00000001 - 2434930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2434950 ns R r2 80000000 - 2434950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2434970 ns R psr 81000200 - 2434970 ns MR4_I 00000238 48054770 - 2434990 ns MR4_I 00000230 07d2684a - 2435010 ns IT 00000230 684a LDR r2,[r1,#4] - 2435030 ns MR4_I 00000234 6008d1fc - 2435090 ns MR4_D 40006004 00000001 - 2435090 ns R r2 00000001 - 2435090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2435110 ns R r2 80000000 - 2435110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2435130 ns R psr 81000200 - 2435130 ns MR4_I 00000238 48054770 - 2435150 ns MR4_I 00000230 07d2684a - 2435170 ns IT 00000230 684a LDR r2,[r1,#4] - 2435190 ns MR4_I 00000234 6008d1fc - 2435250 ns MR4_D 40006004 00000001 - 2435250 ns R r2 00000001 - 2435250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2435270 ns R r2 80000000 - 2435270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2435290 ns R psr 81000200 - 2435290 ns MR4_I 00000238 48054770 - 2435310 ns MR4_I 00000230 07d2684a - 2435330 ns IT 00000230 684a LDR r2,[r1,#4] - 2435350 ns MR4_I 00000234 6008d1fc - 2435410 ns MR4_D 40006004 00000001 - 2435410 ns R r2 00000001 - 2435410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2435430 ns R r2 80000000 - 2435430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2435450 ns R psr 81000200 - 2435450 ns MR4_I 00000238 48054770 - 2435470 ns MR4_I 00000230 07d2684a - 2435490 ns IT 00000230 684a LDR r2,[r1,#4] - 2435510 ns MR4_I 00000234 6008d1fc - 2435570 ns MR4_D 40006004 00000001 - 2435570 ns R r2 00000001 - 2435570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2435590 ns R r2 80000000 - 2435590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2435610 ns R psr 81000200 - 2435610 ns MR4_I 00000238 48054770 - 2435630 ns MR4_I 00000230 07d2684a - 2435650 ns IT 00000230 684a LDR r2,[r1,#4] - 2435670 ns MR4_I 00000234 6008d1fc - 2435730 ns MR4_D 40006004 00000001 - 2435730 ns R r2 00000001 - 2435730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2435750 ns R r2 80000000 - 2435750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2435770 ns R psr 81000200 - 2435770 ns MR4_I 00000238 48054770 - 2435790 ns MR4_I 00000230 07d2684a - 2435810 ns IT 00000230 684a LDR r2,[r1,#4] - 2435830 ns MR4_I 00000234 6008d1fc - 2435890 ns MR4_D 40006004 00000001 - 2435890 ns R r2 00000001 - 2435890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2435910 ns R r2 80000000 - 2435910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2435930 ns R psr 81000200 - 2435930 ns MR4_I 00000238 48054770 - 2435950 ns MR4_I 00000230 07d2684a - 2435970 ns IT 00000230 684a LDR r2,[r1,#4] - 2435990 ns MR4_I 00000234 6008d1fc - 2436050 ns MR4_D 40006004 00000001 - 2436050 ns R r2 00000001 - 2436050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2436070 ns R r2 80000000 - 2436070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2436090 ns R psr 81000200 - 2436090 ns MR4_I 00000238 48054770 - 2436110 ns MR4_I 00000230 07d2684a - 2436130 ns IT 00000230 684a LDR r2,[r1,#4] - 2436150 ns MR4_I 00000234 6008d1fc - 2436210 ns MR4_D 40006004 00000001 - 2436210 ns R r2 00000001 - 2436210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2436230 ns R r2 80000000 - 2436230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2436250 ns R psr 81000200 - 2436250 ns MR4_I 00000238 48054770 - 2436270 ns MR4_I 00000230 07d2684a - 2436290 ns IT 00000230 684a LDR r2,[r1,#4] - 2436310 ns MR4_I 00000234 6008d1fc - 2436370 ns MR4_D 40006004 00000001 - 2436370 ns R r2 00000001 - 2436370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2436390 ns R r2 80000000 - 2436390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2436410 ns R psr 81000200 - 2436410 ns MR4_I 00000238 48054770 - 2436430 ns MR4_I 00000230 07d2684a - 2436450 ns IT 00000230 684a LDR r2,[r1,#4] - 2436470 ns MR4_I 00000234 6008d1fc - 2436530 ns MR4_D 40006004 00000001 - 2436530 ns R r2 00000001 - 2436530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2436550 ns R r2 80000000 - 2436550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2436570 ns R psr 81000200 - 2436570 ns MR4_I 00000238 48054770 - 2436590 ns MR4_I 00000230 07d2684a - 2436610 ns IT 00000230 684a LDR r2,[r1,#4] - 2436630 ns MR4_I 00000234 6008d1fc - 2436690 ns MR4_D 40006004 00000001 - 2436690 ns R r2 00000001 - 2436690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2436710 ns R r2 80000000 - 2436710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2436730 ns R psr 81000200 - 2436730 ns MR4_I 00000238 48054770 - 2436750 ns MR4_I 00000230 07d2684a - 2436770 ns IT 00000230 684a LDR r2,[r1,#4] - 2436790 ns MR4_I 00000234 6008d1fc - 2436850 ns MR4_D 40006004 00000001 - 2436850 ns R r2 00000001 - 2436850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2436870 ns R r2 80000000 - 2436870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2436890 ns R psr 81000200 - 2436890 ns MR4_I 00000238 48054770 - 2436910 ns MR4_I 00000230 07d2684a - 2436930 ns IT 00000230 684a LDR r2,[r1,#4] - 2436950 ns MR4_I 00000234 6008d1fc - 2437010 ns MR4_D 40006004 00000001 - 2437010 ns R r2 00000001 - 2437010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2437030 ns R r2 80000000 - 2437030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2437050 ns R psr 81000200 - 2437050 ns MR4_I 00000238 48054770 - 2437070 ns MR4_I 00000230 07d2684a - 2437090 ns IT 00000230 684a LDR r2,[r1,#4] - 2437110 ns MR4_I 00000234 6008d1fc - 2437170 ns MR4_D 40006004 00000001 - 2437170 ns R r2 00000001 - 2437170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2437190 ns R r2 80000000 - 2437190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2437210 ns R psr 81000200 - 2437210 ns MR4_I 00000238 48054770 - 2437230 ns MR4_I 00000230 07d2684a - 2437250 ns IT 00000230 684a LDR r2,[r1,#4] - 2437270 ns MR4_I 00000234 6008d1fc - 2437330 ns MR4_D 40006004 00000001 - 2437330 ns R r2 00000001 - 2437330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2437350 ns R r2 80000000 - 2437350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2437370 ns R psr 81000200 - 2437370 ns MR4_I 00000238 48054770 - 2437390 ns MR4_I 00000230 07d2684a - 2437410 ns IT 00000230 684a LDR r2,[r1,#4] - 2437430 ns MR4_I 00000234 6008d1fc - 2437490 ns MR4_D 40006004 00000001 - 2437490 ns R r2 00000001 - 2437490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2437510 ns R r2 80000000 - 2437510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2437530 ns R psr 81000200 - 2437530 ns MR4_I 00000238 48054770 - 2437550 ns MR4_I 00000230 07d2684a - 2437570 ns IT 00000230 684a LDR r2,[r1,#4] - 2437590 ns MR4_I 00000234 6008d1fc - 2437650 ns MR4_D 40006004 00000001 - 2437650 ns R r2 00000001 - 2437650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2437670 ns R r2 80000000 - 2437670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2437690 ns R psr 81000200 - 2437690 ns MR4_I 00000238 48054770 - 2437710 ns MR4_I 00000230 07d2684a - 2437730 ns IT 00000230 684a LDR r2,[r1,#4] - 2437750 ns MR4_I 00000234 6008d1fc - 2437810 ns MR4_D 40006004 00000001 - 2437810 ns R r2 00000001 - 2437810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2437830 ns R r2 80000000 - 2437830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2437850 ns R psr 81000200 - 2437850 ns MR4_I 00000238 48054770 - 2437870 ns MR4_I 00000230 07d2684a - 2437890 ns IT 00000230 684a LDR r2,[r1,#4] - 2437910 ns MR4_I 00000234 6008d1fc - 2437970 ns MR4_D 40006004 00000001 - 2437970 ns R r2 00000001 - 2437970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2437990 ns R r2 80000000 - 2437990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2438010 ns R psr 81000200 - 2438010 ns MR4_I 00000238 48054770 - 2438030 ns MR4_I 00000230 07d2684a - 2438050 ns IT 00000230 684a LDR r2,[r1,#4] - 2438070 ns MR4_I 00000234 6008d1fc - 2438130 ns MR4_D 40006004 00000001 - 2438130 ns R r2 00000001 - 2438130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2438150 ns R r2 80000000 - 2438150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2438170 ns R psr 81000200 - 2438170 ns MR4_I 00000238 48054770 - 2438190 ns MR4_I 00000230 07d2684a - 2438210 ns IT 00000230 684a LDR r2,[r1,#4] - 2438230 ns MR4_I 00000234 6008d1fc - 2438290 ns MR4_D 40006004 00000001 - 2438290 ns R r2 00000001 - 2438290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2438310 ns R r2 80000000 - 2438310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2438330 ns R psr 81000200 - 2438330 ns MR4_I 00000238 48054770 - 2438350 ns MR4_I 00000230 07d2684a - 2438370 ns IT 00000230 684a LDR r2,[r1,#4] - 2438390 ns MR4_I 00000234 6008d1fc - 2438450 ns MR4_D 40006004 00000001 - 2438450 ns R r2 00000001 - 2438450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2438470 ns R r2 80000000 - 2438470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2438490 ns R psr 81000200 - 2438490 ns MR4_I 00000238 48054770 - 2438510 ns MR4_I 00000230 07d2684a - 2438530 ns IT 00000230 684a LDR r2,[r1,#4] - 2438550 ns MR4_I 00000234 6008d1fc - 2438610 ns MR4_D 40006004 00000001 - 2438610 ns R r2 00000001 - 2438610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2438630 ns R r2 80000000 - 2438630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2438650 ns R psr 81000200 - 2438650 ns MR4_I 00000238 48054770 - 2438670 ns MR4_I 00000230 07d2684a - 2438690 ns IT 00000230 684a LDR r2,[r1,#4] - 2438710 ns MR4_I 00000234 6008d1fc - 2438770 ns MR4_D 40006004 00000001 - 2438770 ns R r2 00000001 - 2438770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2438790 ns R r2 80000000 - 2438790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2438810 ns R psr 81000200 - 2438810 ns MR4_I 00000238 48054770 - 2438830 ns MR4_I 00000230 07d2684a - 2438850 ns IT 00000230 684a LDR r2,[r1,#4] - 2438870 ns MR4_I 00000234 6008d1fc - 2438930 ns MR4_D 40006004 00000001 - 2438930 ns R r2 00000001 - 2438930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2438950 ns R r2 80000000 - 2438950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2438970 ns R psr 81000200 - 2438970 ns MR4_I 00000238 48054770 - 2438990 ns MR4_I 00000230 07d2684a - 2439010 ns IT 00000230 684a LDR r2,[r1,#4] - 2439030 ns MR4_I 00000234 6008d1fc - 2439090 ns MR4_D 40006004 00000001 - 2439090 ns R r2 00000001 - 2439090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2439110 ns R r2 80000000 - 2439110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2439130 ns R psr 81000200 - 2439130 ns MR4_I 00000238 48054770 - 2439150 ns MR4_I 00000230 07d2684a - 2439170 ns IT 00000230 684a LDR r2,[r1,#4] - 2439190 ns MR4_I 00000234 6008d1fc - 2439250 ns MR4_D 40006004 00000001 - 2439250 ns R r2 00000001 - 2439250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2439270 ns R r2 80000000 - 2439270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2439290 ns R psr 81000200 - 2439290 ns MR4_I 00000238 48054770 - 2439310 ns MR4_I 00000230 07d2684a - 2439330 ns IT 00000230 684a LDR r2,[r1,#4] - 2439350 ns MR4_I 00000234 6008d1fc - 2439410 ns MR4_D 40006004 00000001 - 2439410 ns R r2 00000001 - 2439410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2439430 ns R r2 80000000 - 2439430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2439450 ns R psr 81000200 - 2439450 ns MR4_I 00000238 48054770 - 2439470 ns MR4_I 00000230 07d2684a - 2439490 ns IT 00000230 684a LDR r2,[r1,#4] - 2439510 ns MR4_I 00000234 6008d1fc - 2439570 ns MR4_D 40006004 00000001 - 2439570 ns R r2 00000001 - 2439570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2439590 ns R r2 80000000 - 2439590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2439610 ns R psr 81000200 - 2439610 ns MR4_I 00000238 48054770 - 2439630 ns MR4_I 00000230 07d2684a - 2439650 ns IT 00000230 684a LDR r2,[r1,#4] - 2439670 ns MR4_I 00000234 6008d1fc - 2439730 ns MR4_D 40006004 00000001 - 2439730 ns R r2 00000001 - 2439730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2439750 ns R r2 80000000 - 2439750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2439770 ns R psr 81000200 - 2439770 ns MR4_I 00000238 48054770 - 2439790 ns MR4_I 00000230 07d2684a - 2439810 ns IT 00000230 684a LDR r2,[r1,#4] - 2439830 ns MR4_I 00000234 6008d1fc - 2439890 ns MR4_D 40006004 00000001 - 2439890 ns R r2 00000001 - 2439890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2439910 ns R r2 80000000 - 2439910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2439930 ns R psr 81000200 - 2439930 ns MR4_I 00000238 48054770 - 2439950 ns MR4_I 00000230 07d2684a - 2439970 ns IT 00000230 684a LDR r2,[r1,#4] - 2439990 ns MR4_I 00000234 6008d1fc - 2440050 ns MR4_D 40006004 00000001 - 2440050 ns R r2 00000001 - 2440050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2440070 ns R r2 80000000 - 2440070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2440090 ns R psr 81000200 - 2440090 ns MR4_I 00000238 48054770 - 2440110 ns MR4_I 00000230 07d2684a - 2440130 ns IT 00000230 684a LDR r2,[r1,#4] - 2440150 ns MR4_I 00000234 6008d1fc - 2440210 ns MR4_D 40006004 00000001 - 2440210 ns R r2 00000001 - 2440210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2440230 ns R r2 80000000 - 2440230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2440250 ns R psr 81000200 - 2440250 ns MR4_I 00000238 48054770 - 2440270 ns MR4_I 00000230 07d2684a - 2440290 ns IT 00000230 684a LDR r2,[r1,#4] - 2440310 ns MR4_I 00000234 6008d1fc - 2440370 ns MR4_D 40006004 00000001 - 2440370 ns R r2 00000001 - 2440370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2440390 ns R r2 80000000 - 2440390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2440410 ns R psr 81000200 - 2440410 ns MR4_I 00000238 48054770 - 2440430 ns MR4_I 00000230 07d2684a - 2440450 ns IT 00000230 684a LDR r2,[r1,#4] - 2440470 ns MR4_I 00000234 6008d1fc - 2440530 ns MR4_D 40006004 00000001 - 2440530 ns R r2 00000001 - 2440530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2440550 ns R r2 80000000 - 2440550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2440570 ns R psr 81000200 - 2440570 ns MR4_I 00000238 48054770 - 2440590 ns MR4_I 00000230 07d2684a - 2440610 ns IT 00000230 684a LDR r2,[r1,#4] - 2440630 ns MR4_I 00000234 6008d1fc - 2440690 ns MR4_D 40006004 00000001 - 2440690 ns R r2 00000001 - 2440690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2440710 ns R r2 80000000 - 2440710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2440730 ns R psr 81000200 - 2440730 ns MR4_I 00000238 48054770 - 2440750 ns MR4_I 00000230 07d2684a - 2440770 ns IT 00000230 684a LDR r2,[r1,#4] - 2440790 ns MR4_I 00000234 6008d1fc - 2440850 ns MR4_D 40006004 00000001 - 2440850 ns R r2 00000001 - 2440850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2440870 ns R r2 80000000 - 2440870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2440890 ns R psr 81000200 - 2440890 ns MR4_I 00000238 48054770 - 2440910 ns MR4_I 00000230 07d2684a - 2440930 ns IT 00000230 684a LDR r2,[r1,#4] - 2440950 ns MR4_I 00000234 6008d1fc - 2441010 ns MR4_D 40006004 00000001 - 2441010 ns R r2 00000001 - 2441010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2441030 ns R r2 80000000 - 2441030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2441050 ns R psr 81000200 - 2441050 ns MR4_I 00000238 48054770 - 2441070 ns MR4_I 00000230 07d2684a - 2441090 ns IT 00000230 684a LDR r2,[r1,#4] - 2441110 ns MR4_I 00000234 6008d1fc - 2441170 ns MR4_D 40006004 00000001 - 2441170 ns R r2 00000001 - 2441170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2441190 ns R r2 80000000 - 2441190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2441210 ns R psr 81000200 - 2441210 ns MR4_I 00000238 48054770 - 2441230 ns MR4_I 00000230 07d2684a - 2441250 ns IT 00000230 684a LDR r2,[r1,#4] - 2441270 ns MR4_I 00000234 6008d1fc - 2441330 ns MR4_D 40006004 00000001 - 2441330 ns R r2 00000001 - 2441330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2441350 ns R r2 80000000 - 2441350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2441370 ns R psr 81000200 - 2441370 ns MR4_I 00000238 48054770 - 2441390 ns MR4_I 00000230 07d2684a - 2441410 ns IT 00000230 684a LDR r2,[r1,#4] - 2441430 ns MR4_I 00000234 6008d1fc - 2441490 ns MR4_D 40006004 00000001 - 2441490 ns R r2 00000001 - 2441490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2441510 ns R r2 80000000 - 2441510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2441530 ns R psr 81000200 - 2441530 ns MR4_I 00000238 48054770 - 2441550 ns MR4_I 00000230 07d2684a - 2441570 ns IT 00000230 684a LDR r2,[r1,#4] - 2441590 ns MR4_I 00000234 6008d1fc - 2441650 ns MR4_D 40006004 00000001 - 2441650 ns R r2 00000001 - 2441650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2441670 ns R r2 80000000 - 2441670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2441690 ns R psr 81000200 - 2441690 ns MR4_I 00000238 48054770 - 2441710 ns MR4_I 00000230 07d2684a - 2441730 ns IT 00000230 684a LDR r2,[r1,#4] - 2441750 ns MR4_I 00000234 6008d1fc - 2441810 ns MR4_D 40006004 00000001 - 2441810 ns R r2 00000001 - 2441810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2441830 ns R r2 80000000 - 2441830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2441850 ns R psr 81000200 - 2441850 ns MR4_I 00000238 48054770 - 2441870 ns MR4_I 00000230 07d2684a - 2441890 ns IT 00000230 684a LDR r2,[r1,#4] - 2441910 ns MR4_I 00000234 6008d1fc - 2441970 ns MR4_D 40006004 00000001 - 2441970 ns R r2 00000001 - 2441970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2441990 ns R r2 80000000 - 2441990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2442010 ns R psr 81000200 - 2442010 ns MR4_I 00000238 48054770 - 2442030 ns MR4_I 00000230 07d2684a - 2442050 ns IT 00000230 684a LDR r2,[r1,#4] - 2442070 ns MR4_I 00000234 6008d1fc - 2442130 ns MR4_D 40006004 00000001 - 2442130 ns R r2 00000001 - 2442130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2442150 ns R r2 80000000 - 2442150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2442170 ns R psr 81000200 - 2442170 ns MR4_I 00000238 48054770 - 2442190 ns MR4_I 00000230 07d2684a - 2442210 ns IT 00000230 684a LDR r2,[r1,#4] - 2442230 ns MR4_I 00000234 6008d1fc - 2442290 ns MR4_D 40006004 00000001 - 2442290 ns R r2 00000001 - 2442290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2442310 ns R r2 80000000 - 2442310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2442330 ns R psr 81000200 - 2442330 ns MR4_I 00000238 48054770 - 2442350 ns MR4_I 00000230 07d2684a - 2442370 ns IT 00000230 684a LDR r2,[r1,#4] - 2442390 ns MR4_I 00000234 6008d1fc - 2442450 ns MR4_D 40006004 00000001 - 2442450 ns R r2 00000001 - 2442450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2442470 ns R r2 80000000 - 2442470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2442490 ns R psr 81000200 - 2442490 ns MR4_I 00000238 48054770 - 2442510 ns MR4_I 00000230 07d2684a - 2442530 ns IT 00000230 684a LDR r2,[r1,#4] - 2442550 ns MR4_I 00000234 6008d1fc - 2442610 ns MR4_D 40006004 00000001 - 2442610 ns R r2 00000001 - 2442610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2442630 ns R r2 80000000 - 2442630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2442650 ns R psr 81000200 - 2442650 ns MR4_I 00000238 48054770 - 2442670 ns MR4_I 00000230 07d2684a - 2442690 ns IT 00000230 684a LDR r2,[r1,#4] - 2442710 ns MR4_I 00000234 6008d1fc - 2442770 ns MR4_D 40006004 00000001 - 2442770 ns R r2 00000001 - 2442770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2442790 ns R r2 80000000 - 2442790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2442810 ns R psr 81000200 - 2442810 ns MR4_I 00000238 48054770 - 2442830 ns MR4_I 00000230 07d2684a - 2442850 ns IT 00000230 684a LDR r2,[r1,#4] - 2442870 ns MR4_I 00000234 6008d1fc - 2442930 ns MR4_D 40006004 00000001 - 2442930 ns R r2 00000001 - 2442930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2442950 ns R r2 80000000 - 2442950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2442970 ns R psr 81000200 - 2442970 ns MR4_I 00000238 48054770 - 2442990 ns MR4_I 00000230 07d2684a - 2443010 ns IT 00000230 684a LDR r2,[r1,#4] - 2443030 ns MR4_I 00000234 6008d1fc - 2443090 ns MR4_D 40006004 00000001 - 2443090 ns R r2 00000001 - 2443090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2443110 ns R r2 80000000 - 2443110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2443130 ns R psr 81000200 - 2443130 ns MR4_I 00000238 48054770 - 2443150 ns MR4_I 00000230 07d2684a - 2443170 ns IT 00000230 684a LDR r2,[r1,#4] - 2443190 ns MR4_I 00000234 6008d1fc - 2443250 ns MR4_D 40006004 00000001 - 2443250 ns R r2 00000001 - 2443250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2443270 ns R r2 80000000 - 2443270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2443290 ns R psr 81000200 - 2443290 ns MR4_I 00000238 48054770 - 2443310 ns MR4_I 00000230 07d2684a - 2443330 ns IT 00000230 684a LDR r2,[r1,#4] - 2443350 ns MR4_I 00000234 6008d1fc - 2443410 ns MR4_D 40006004 00000001 - 2443410 ns R r2 00000001 - 2443410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2443430 ns R r2 80000000 - 2443430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2443450 ns R psr 81000200 - 2443450 ns MR4_I 00000238 48054770 - 2443470 ns MR4_I 00000230 07d2684a - 2443490 ns IT 00000230 684a LDR r2,[r1,#4] - 2443510 ns MR4_I 00000234 6008d1fc - 2443570 ns MR4_D 40006004 00000001 - 2443570 ns R r2 00000001 - 2443570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2443590 ns R r2 80000000 - 2443590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2443610 ns R psr 81000200 - 2443610 ns MR4_I 00000238 48054770 - 2443630 ns MR4_I 00000230 07d2684a - 2443650 ns IT 00000230 684a LDR r2,[r1,#4] - 2443670 ns MR4_I 00000234 6008d1fc - 2443730 ns MR4_D 40006004 00000001 - 2443730 ns R r2 00000001 - 2443730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2443750 ns R r2 80000000 - 2443750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2443770 ns R psr 81000200 - 2443770 ns MR4_I 00000238 48054770 - 2443790 ns MR4_I 00000230 07d2684a - 2443810 ns IT 00000230 684a LDR r2,[r1,#4] - 2443830 ns MR4_I 00000234 6008d1fc - 2443890 ns MR4_D 40006004 00000001 - 2443890 ns R r2 00000001 - 2443890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2443910 ns R r2 80000000 - 2443910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2443930 ns R psr 81000200 - 2443930 ns MR4_I 00000238 48054770 - 2443950 ns MR4_I 00000230 07d2684a - 2443970 ns IT 00000230 684a LDR r2,[r1,#4] - 2443990 ns MR4_I 00000234 6008d1fc - 2444050 ns MR4_D 40006004 00000001 - 2444050 ns R r2 00000001 - 2444050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2444070 ns R r2 80000000 - 2444070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2444090 ns R psr 81000200 - 2444090 ns MR4_I 00000238 48054770 - 2444110 ns MR4_I 00000230 07d2684a - 2444130 ns IT 00000230 684a LDR r2,[r1,#4] - 2444150 ns MR4_I 00000234 6008d1fc - 2444210 ns MR4_D 40006004 00000001 - 2444210 ns R r2 00000001 - 2444210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2444230 ns R r2 80000000 - 2444230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2444250 ns R psr 81000200 - 2444250 ns MR4_I 00000238 48054770 - 2444270 ns MR4_I 00000230 07d2684a - 2444290 ns IT 00000230 684a LDR r2,[r1,#4] - 2444310 ns MR4_I 00000234 6008d1fc - 2444370 ns MR4_D 40006004 00000001 - 2444370 ns R r2 00000001 - 2444370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2444390 ns R r2 80000000 - 2444390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2444410 ns R psr 81000200 - 2444410 ns MR4_I 00000238 48054770 - 2444430 ns MR4_I 00000230 07d2684a - 2444450 ns IT 00000230 684a LDR r2,[r1,#4] - 2444470 ns MR4_I 00000234 6008d1fc - 2444530 ns MR4_D 40006004 00000001 - 2444530 ns R r2 00000001 - 2444530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2444550 ns R r2 80000000 - 2444550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2444570 ns R psr 81000200 - 2444570 ns MR4_I 00000238 48054770 - 2444590 ns MR4_I 00000230 07d2684a - 2444610 ns IT 00000230 684a LDR r2,[r1,#4] - 2444630 ns MR4_I 00000234 6008d1fc - 2444690 ns MR4_D 40006004 00000001 - 2444690 ns R r2 00000001 - 2444690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2444710 ns R r2 80000000 - 2444710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2444730 ns R psr 81000200 - 2444730 ns MR4_I 00000238 48054770 - 2444750 ns MR4_I 00000230 07d2684a - 2444770 ns IT 00000230 684a LDR r2,[r1,#4] - 2444790 ns MR4_I 00000234 6008d1fc - 2444850 ns MR4_D 40006004 00000001 - 2444850 ns R r2 00000001 - 2444850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2444870 ns R r2 80000000 - 2444870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2444890 ns R psr 81000200 - 2444890 ns MR4_I 00000238 48054770 - 2444910 ns MR4_I 00000230 07d2684a - 2444930 ns IT 00000230 684a LDR r2,[r1,#4] - 2444950 ns MR4_I 00000234 6008d1fc - 2445010 ns MR4_D 40006004 00000001 - 2445010 ns R r2 00000001 - 2445010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2445030 ns R r2 80000000 - 2445030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2445050 ns R psr 81000200 - 2445050 ns MR4_I 00000238 48054770 - 2445070 ns MR4_I 00000230 07d2684a - 2445090 ns IT 00000230 684a LDR r2,[r1,#4] - 2445110 ns MR4_I 00000234 6008d1fc - 2445170 ns MR4_D 40006004 00000001 - 2445170 ns R r2 00000001 - 2445170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2445190 ns R r2 80000000 - 2445190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2445210 ns R psr 81000200 - 2445210 ns MR4_I 00000238 48054770 - 2445230 ns MR4_I 00000230 07d2684a - 2445250 ns IT 00000230 684a LDR r2,[r1,#4] - 2445270 ns MR4_I 00000234 6008d1fc - 2445330 ns MR4_D 40006004 00000001 - 2445330 ns R r2 00000001 - 2445330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2445350 ns R r2 80000000 - 2445350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2445370 ns R psr 81000200 - 2445370 ns MR4_I 00000238 48054770 - 2445390 ns MR4_I 00000230 07d2684a - 2445410 ns IT 00000230 684a LDR r2,[r1,#4] - 2445430 ns MR4_I 00000234 6008d1fc - 2445490 ns MR4_D 40006004 00000001 - 2445490 ns R r2 00000001 - 2445490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2445510 ns R r2 80000000 - 2445510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2445530 ns R psr 81000200 - 2445530 ns MR4_I 00000238 48054770 - 2445550 ns MR4_I 00000230 07d2684a - 2445570 ns IT 00000230 684a LDR r2,[r1,#4] - 2445590 ns MR4_I 00000234 6008d1fc - 2445650 ns MR4_D 40006004 00000001 - 2445650 ns R r2 00000001 - 2445650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2445670 ns R r2 80000000 - 2445670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2445690 ns R psr 81000200 - 2445690 ns MR4_I 00000238 48054770 - 2445710 ns MR4_I 00000230 07d2684a - 2445730 ns IT 00000230 684a LDR r2,[r1,#4] - 2445750 ns MR4_I 00000234 6008d1fc - 2445810 ns MR4_D 40006004 00000001 - 2445810 ns R r2 00000001 - 2445810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2445830 ns R r2 80000000 - 2445830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2445850 ns R psr 81000200 - 2445850 ns MR4_I 00000238 48054770 - 2445870 ns MR4_I 00000230 07d2684a - 2445890 ns IT 00000230 684a LDR r2,[r1,#4] - 2445910 ns MR4_I 00000234 6008d1fc - 2445970 ns MR4_D 40006004 00000001 - 2445970 ns R r2 00000001 - 2445970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2445990 ns R r2 80000000 - 2445990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2446010 ns R psr 81000200 - 2446010 ns MR4_I 00000238 48054770 - 2446030 ns MR4_I 00000230 07d2684a - 2446050 ns IT 00000230 684a LDR r2,[r1,#4] - 2446070 ns MR4_I 00000234 6008d1fc - 2446130 ns MR4_D 40006004 00000001 - 2446130 ns R r2 00000001 - 2446130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2446150 ns R r2 80000000 - 2446150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2446170 ns R psr 81000200 - 2446170 ns MR4_I 00000238 48054770 - 2446190 ns MR4_I 00000230 07d2684a - 2446210 ns IT 00000230 684a LDR r2,[r1,#4] - 2446230 ns MR4_I 00000234 6008d1fc - 2446290 ns MR4_D 40006004 00000001 - 2446290 ns R r2 00000001 - 2446290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2446310 ns R r2 80000000 - 2446310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2446330 ns R psr 81000200 - 2446330 ns MR4_I 00000238 48054770 - 2446350 ns MR4_I 00000230 07d2684a - 2446370 ns IT 00000230 684a LDR r2,[r1,#4] - 2446390 ns MR4_I 00000234 6008d1fc - 2446450 ns MR4_D 40006004 00000001 - 2446450 ns R r2 00000001 - 2446450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2446470 ns R r2 80000000 - 2446470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2446490 ns R psr 81000200 - 2446490 ns MR4_I 00000238 48054770 - 2446510 ns MR4_I 00000230 07d2684a - 2446530 ns IT 00000230 684a LDR r2,[r1,#4] - 2446550 ns MR4_I 00000234 6008d1fc - 2446610 ns MR4_D 40006004 00000001 - 2446610 ns R r2 00000001 - 2446610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2446630 ns R r2 80000000 - 2446630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2446650 ns R psr 81000200 - 2446650 ns MR4_I 00000238 48054770 - 2446670 ns MR4_I 00000230 07d2684a - 2446690 ns IT 00000230 684a LDR r2,[r1,#4] - 2446710 ns MR4_I 00000234 6008d1fc - 2446770 ns MR4_D 40006004 00000001 - 2446770 ns R r2 00000001 - 2446770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2446790 ns R r2 80000000 - 2446790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2446810 ns R psr 81000200 - 2446810 ns MR4_I 00000238 48054770 - 2446830 ns MR4_I 00000230 07d2684a - 2446850 ns IT 00000230 684a LDR r2,[r1,#4] - 2446870 ns MR4_I 00000234 6008d1fc - 2446930 ns MR4_D 40006004 00000001 - 2446930 ns R r2 00000001 - 2446930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2446950 ns R r2 80000000 - 2446950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2446970 ns R psr 81000200 - 2446970 ns MR4_I 00000238 48054770 - 2446990 ns MR4_I 00000230 07d2684a - 2447010 ns IT 00000230 684a LDR r2,[r1,#4] - 2447030 ns MR4_I 00000234 6008d1fc - 2447090 ns MR4_D 40006004 00000001 - 2447090 ns R r2 00000001 - 2447090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2447110 ns R r2 80000000 - 2447110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2447130 ns R psr 81000200 - 2447130 ns MR4_I 00000238 48054770 - 2447150 ns MR4_I 00000230 07d2684a - 2447170 ns IT 00000230 684a LDR r2,[r1,#4] - 2447190 ns MR4_I 00000234 6008d1fc - 2447250 ns MR4_D 40006004 00000001 - 2447250 ns R r2 00000001 - 2447250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2447270 ns R r2 80000000 - 2447270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2447290 ns R psr 81000200 - 2447290 ns MR4_I 00000238 48054770 - 2447310 ns MR4_I 00000230 07d2684a - 2447330 ns IT 00000230 684a LDR r2,[r1,#4] - 2447350 ns MR4_I 00000234 6008d1fc - 2447410 ns MR4_D 40006004 00000001 - 2447410 ns R r2 00000001 - 2447410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2447430 ns R r2 80000000 - 2447430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2447450 ns R psr 81000200 - 2447450 ns MR4_I 00000238 48054770 - 2447470 ns MR4_I 00000230 07d2684a - 2447490 ns IT 00000230 684a LDR r2,[r1,#4] - 2447510 ns MR4_I 00000234 6008d1fc - 2447570 ns MR4_D 40006004 00000001 - 2447570 ns R r2 00000001 - 2447570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2447590 ns R r2 80000000 - 2447590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2447610 ns R psr 81000200 - 2447610 ns MR4_I 00000238 48054770 - 2447630 ns MR4_I 00000230 07d2684a - 2447650 ns IT 00000230 684a LDR r2,[r1,#4] - 2447670 ns MR4_I 00000234 6008d1fc - 2447730 ns MR4_D 40006004 00000001 - 2447730 ns R r2 00000001 - 2447730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2447750 ns R r2 80000000 - 2447750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2447770 ns R psr 81000200 - 2447770 ns MR4_I 00000238 48054770 - 2447790 ns MR4_I 00000230 07d2684a - 2447810 ns IT 00000230 684a LDR r2,[r1,#4] - 2447830 ns MR4_I 00000234 6008d1fc - 2447890 ns MR4_D 40006004 00000001 - 2447890 ns R r2 00000001 - 2447890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2447910 ns R r2 80000000 - 2447910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2447930 ns R psr 81000200 - 2447930 ns MR4_I 00000238 48054770 - 2447950 ns MR4_I 00000230 07d2684a - 2447970 ns IT 00000230 684a LDR r2,[r1,#4] - 2447990 ns MR4_I 00000234 6008d1fc - 2448050 ns MR4_D 40006004 00000001 - 2448050 ns R r2 00000001 - 2448050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2448070 ns R r2 80000000 - 2448070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2448090 ns R psr 81000200 - 2448090 ns MR4_I 00000238 48054770 - 2448110 ns MR4_I 00000230 07d2684a - 2448130 ns IT 00000230 684a LDR r2,[r1,#4] - 2448150 ns MR4_I 00000234 6008d1fc - 2448210 ns MR4_D 40006004 00000001 - 2448210 ns R r2 00000001 - 2448210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2448230 ns R r2 80000000 - 2448230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2448250 ns R psr 81000200 - 2448250 ns MR4_I 00000238 48054770 - 2448270 ns MR4_I 00000230 07d2684a - 2448290 ns IT 00000230 684a LDR r2,[r1,#4] - 2448310 ns MR4_I 00000234 6008d1fc - 2448370 ns MR4_D 40006004 00000001 - 2448370 ns R r2 00000001 - 2448370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2448390 ns R r2 80000000 - 2448390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2448410 ns R psr 81000200 - 2448410 ns MR4_I 00000238 48054770 - 2448430 ns MR4_I 00000230 07d2684a - 2448450 ns IT 00000230 684a LDR r2,[r1,#4] - 2448470 ns MR4_I 00000234 6008d1fc - 2448530 ns MR4_D 40006004 00000001 - 2448530 ns R r2 00000001 - 2448530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2448550 ns R r2 80000000 - 2448550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2448570 ns R psr 81000200 - 2448570 ns MR4_I 00000238 48054770 - 2448590 ns MR4_I 00000230 07d2684a - 2448610 ns IT 00000230 684a LDR r2,[r1,#4] - 2448630 ns MR4_I 00000234 6008d1fc - 2448690 ns MR4_D 40006004 00000001 - 2448690 ns R r2 00000001 - 2448690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2448710 ns R r2 80000000 - 2448710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2448730 ns R psr 81000200 - 2448730 ns MR4_I 00000238 48054770 - 2448750 ns MR4_I 00000230 07d2684a - 2448770 ns IT 00000230 684a LDR r2,[r1,#4] - 2448790 ns MR4_I 00000234 6008d1fc - 2448850 ns MR4_D 40006004 00000001 - 2448850 ns R r2 00000001 - 2448850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2448870 ns R r2 80000000 - 2448870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2448890 ns R psr 81000200 - 2448890 ns MR4_I 00000238 48054770 - 2448910 ns MR4_I 00000230 07d2684a - 2448930 ns IT 00000230 684a LDR r2,[r1,#4] - 2448950 ns MR4_I 00000234 6008d1fc - 2449010 ns MR4_D 40006004 00000001 - 2449010 ns R r2 00000001 - 2449010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2449030 ns R r2 80000000 - 2449030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2449050 ns R psr 81000200 - 2449050 ns MR4_I 00000238 48054770 - 2449070 ns MR4_I 00000230 07d2684a - 2449090 ns IT 00000230 684a LDR r2,[r1,#4] - 2449110 ns MR4_I 00000234 6008d1fc - 2449170 ns MR4_D 40006004 00000001 - 2449170 ns R r2 00000001 - 2449170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2449190 ns R r2 80000000 - 2449190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2449210 ns R psr 81000200 - 2449210 ns MR4_I 00000238 48054770 - 2449230 ns MR4_I 00000230 07d2684a - 2449250 ns IT 00000230 684a LDR r2,[r1,#4] - 2449270 ns MR4_I 00000234 6008d1fc - 2449330 ns MR4_D 40006004 00000001 - 2449330 ns R r2 00000001 - 2449330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2449350 ns R r2 80000000 - 2449350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2449370 ns R psr 81000200 - 2449370 ns MR4_I 00000238 48054770 - 2449390 ns MR4_I 00000230 07d2684a - 2449410 ns IT 00000230 684a LDR r2,[r1,#4] - 2449430 ns MR4_I 00000234 6008d1fc - 2449490 ns MR4_D 40006004 00000001 - 2449490 ns R r2 00000001 - 2449490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2449510 ns R r2 80000000 - 2449510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2449530 ns R psr 81000200 - 2449530 ns MR4_I 00000238 48054770 - 2449550 ns MR4_I 00000230 07d2684a - 2449570 ns IT 00000230 684a LDR r2,[r1,#4] - 2449590 ns MR4_I 00000234 6008d1fc - 2449650 ns MR4_D 40006004 00000001 - 2449650 ns R r2 00000001 - 2449650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2449670 ns R r2 80000000 - 2449670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2449690 ns R psr 81000200 - 2449690 ns MR4_I 00000238 48054770 - 2449710 ns MR4_I 00000230 07d2684a - 2449730 ns IT 00000230 684a LDR r2,[r1,#4] - 2449750 ns MR4_I 00000234 6008d1fc - 2449810 ns MR4_D 40006004 00000001 - 2449810 ns R r2 00000001 - 2449810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2449830 ns R r2 80000000 - 2449830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2449850 ns R psr 81000200 - 2449850 ns MR4_I 00000238 48054770 - 2449870 ns MR4_I 00000230 07d2684a - 2449890 ns IT 00000230 684a LDR r2,[r1,#4] - 2449910 ns MR4_I 00000234 6008d1fc - 2449970 ns MR4_D 40006004 00000001 - 2449970 ns R r2 00000001 - 2449970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2449990 ns R r2 80000000 - 2449990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2450010 ns R psr 81000200 - 2450010 ns MR4_I 00000238 48054770 - 2450030 ns MR4_I 00000230 07d2684a - 2450050 ns IT 00000230 684a LDR r2,[r1,#4] - 2450070 ns MR4_I 00000234 6008d1fc - 2450130 ns MR4_D 40006004 00000001 - 2450130 ns R r2 00000001 - 2450130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2450150 ns R r2 80000000 - 2450150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2450170 ns R psr 81000200 - 2450170 ns MR4_I 00000238 48054770 - 2450190 ns MR4_I 00000230 07d2684a - 2450210 ns IT 00000230 684a LDR r2,[r1,#4] - 2450230 ns MR4_I 00000234 6008d1fc - 2450290 ns MR4_D 40006004 00000001 - 2450290 ns R r2 00000001 - 2450290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2450310 ns R r2 80000000 - 2450310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2450330 ns R psr 81000200 - 2450330 ns MR4_I 00000238 48054770 - 2450350 ns MR4_I 00000230 07d2684a - 2450370 ns IT 00000230 684a LDR r2,[r1,#4] - 2450390 ns MR4_I 00000234 6008d1fc - 2450450 ns MR4_D 40006004 00000001 - 2450450 ns R r2 00000001 - 2450450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2450470 ns R r2 80000000 - 2450470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2450490 ns R psr 81000200 - 2450490 ns MR4_I 00000238 48054770 - 2450510 ns MR4_I 00000230 07d2684a - 2450530 ns IT 00000230 684a LDR r2,[r1,#4] - 2450550 ns MR4_I 00000234 6008d1fc - 2450610 ns MR4_D 40006004 00000001 - 2450610 ns R r2 00000001 - 2450610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2450630 ns R r2 80000000 - 2450630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2450650 ns R psr 81000200 - 2450650 ns MR4_I 00000238 48054770 - 2450670 ns MR4_I 00000230 07d2684a - 2450690 ns IT 00000230 684a LDR r2,[r1,#4] - 2450710 ns MR4_I 00000234 6008d1fc - 2450770 ns MR4_D 40006004 00000001 - 2450770 ns R r2 00000001 - 2450770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2450790 ns R r2 80000000 - 2450790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2450810 ns R psr 81000200 - 2450810 ns MR4_I 00000238 48054770 - 2450830 ns MR4_I 00000230 07d2684a - 2450850 ns IT 00000230 684a LDR r2,[r1,#4] - 2450870 ns MR4_I 00000234 6008d1fc - 2450930 ns MR4_D 40006004 00000001 - 2450930 ns R r2 00000001 - 2450930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2450950 ns R r2 80000000 - 2450950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2450970 ns R psr 81000200 - 2450970 ns MR4_I 00000238 48054770 - 2450990 ns MR4_I 00000230 07d2684a - 2451010 ns IT 00000230 684a LDR r2,[r1,#4] - 2451030 ns MR4_I 00000234 6008d1fc - 2451090 ns MR4_D 40006004 00000001 - 2451090 ns R r2 00000001 - 2451090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2451110 ns R r2 80000000 - 2451110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2451130 ns R psr 81000200 - 2451130 ns MR4_I 00000238 48054770 - 2451150 ns MR4_I 00000230 07d2684a - 2451170 ns IT 00000230 684a LDR r2,[r1,#4] - 2451190 ns MR4_I 00000234 6008d1fc - 2451250 ns MR4_D 40006004 00000001 - 2451250 ns R r2 00000001 - 2451250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2451270 ns R r2 80000000 - 2451270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2451290 ns R psr 81000200 - 2451290 ns MR4_I 00000238 48054770 - 2451310 ns MR4_I 00000230 07d2684a - 2451330 ns IT 00000230 684a LDR r2,[r1,#4] - 2451350 ns MR4_I 00000234 6008d1fc - 2451410 ns MR4_D 40006004 00000001 - 2451410 ns R r2 00000001 - 2451410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2451430 ns R r2 80000000 - 2451430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2451450 ns R psr 81000200 - 2451450 ns MR4_I 00000238 48054770 - 2451470 ns MR4_I 00000230 07d2684a - 2451490 ns IT 00000230 684a LDR r2,[r1,#4] - 2451510 ns MR4_I 00000234 6008d1fc - 2451570 ns MR4_D 40006004 00000001 - 2451570 ns R r2 00000001 - 2451570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2451590 ns R r2 80000000 - 2451590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2451610 ns R psr 81000200 - 2451610 ns MR4_I 00000238 48054770 - 2451630 ns MR4_I 00000230 07d2684a - 2451650 ns IT 00000230 684a LDR r2,[r1,#4] - 2451670 ns MR4_I 00000234 6008d1fc - 2451730 ns MR4_D 40006004 00000001 - 2451730 ns R r2 00000001 - 2451730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2451750 ns R r2 80000000 - 2451750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2451770 ns R psr 81000200 - 2451770 ns MR4_I 00000238 48054770 - 2451790 ns MR4_I 00000230 07d2684a - 2451810 ns IT 00000230 684a LDR r2,[r1,#4] - 2451830 ns MR4_I 00000234 6008d1fc - 2451890 ns MR4_D 40006004 00000001 - 2451890 ns R r2 00000001 - 2451890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2451910 ns R r2 80000000 - 2451910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2451930 ns R psr 81000200 - 2451930 ns MR4_I 00000238 48054770 - 2451950 ns MR4_I 00000230 07d2684a - 2451970 ns IT 00000230 684a LDR r2,[r1,#4] - 2451990 ns MR4_I 00000234 6008d1fc - 2452050 ns MR4_D 40006004 00000001 - 2452050 ns R r2 00000001 - 2452050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2452070 ns R r2 80000000 - 2452070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2452090 ns R psr 81000200 - 2452090 ns MR4_I 00000238 48054770 - 2452110 ns MR4_I 00000230 07d2684a - 2452130 ns IT 00000230 684a LDR r2,[r1,#4] - 2452150 ns MR4_I 00000234 6008d1fc - 2452210 ns MR4_D 40006004 00000001 - 2452210 ns R r2 00000001 - 2452210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2452230 ns R r2 80000000 - 2452230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2452250 ns R psr 81000200 - 2452250 ns MR4_I 00000238 48054770 - 2452270 ns MR4_I 00000230 07d2684a - 2452290 ns IT 00000230 684a LDR r2,[r1,#4] - 2452310 ns MR4_I 00000234 6008d1fc - 2452370 ns MR4_D 40006004 00000001 - 2452370 ns R r2 00000001 - 2452370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2452390 ns R r2 80000000 - 2452390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2452410 ns R psr 81000200 - 2452410 ns MR4_I 00000238 48054770 - 2452430 ns MR4_I 00000230 07d2684a - 2452450 ns IT 00000230 684a LDR r2,[r1,#4] - 2452470 ns MR4_I 00000234 6008d1fc - 2452530 ns MR4_D 40006004 00000001 - 2452530 ns R r2 00000001 - 2452530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2452550 ns R r2 80000000 - 2452550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2452570 ns R psr 81000200 - 2452570 ns MR4_I 00000238 48054770 - 2452590 ns MR4_I 00000230 07d2684a - 2452610 ns IT 00000230 684a LDR r2,[r1,#4] - 2452630 ns MR4_I 00000234 6008d1fc - 2452690 ns MR4_D 40006004 00000001 - 2452690 ns R r2 00000001 - 2452690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2452710 ns R r2 80000000 - 2452710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2452730 ns R psr 81000200 - 2452730 ns MR4_I 00000238 48054770 - 2452750 ns MR4_I 00000230 07d2684a - 2452770 ns IT 00000230 684a LDR r2,[r1,#4] - 2452790 ns MR4_I 00000234 6008d1fc - 2452850 ns MR4_D 40006004 00000001 - 2452850 ns R r2 00000001 - 2452850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2452870 ns R r2 80000000 - 2452870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2452890 ns R psr 81000200 - 2452890 ns MR4_I 00000238 48054770 - 2452910 ns MR4_I 00000230 07d2684a - 2452930 ns IT 00000230 684a LDR r2,[r1,#4] - 2452950 ns MR4_I 00000234 6008d1fc - 2453010 ns MR4_D 40006004 00000001 - 2453010 ns R r2 00000001 - 2453010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2453030 ns R r2 80000000 - 2453030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2453050 ns R psr 81000200 - 2453050 ns MR4_I 00000238 48054770 - 2453070 ns MR4_I 00000230 07d2684a - 2453090 ns IT 00000230 684a LDR r2,[r1,#4] - 2453110 ns MR4_I 00000234 6008d1fc - 2453170 ns MR4_D 40006004 00000001 - 2453170 ns R r2 00000001 - 2453170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2453190 ns R r2 80000000 - 2453190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2453210 ns R psr 81000200 - 2453210 ns MR4_I 00000238 48054770 - 2453230 ns MR4_I 00000230 07d2684a - 2453250 ns IT 00000230 684a LDR r2,[r1,#4] - 2453270 ns MR4_I 00000234 6008d1fc - 2453330 ns MR4_D 40006004 00000001 - 2453330 ns R r2 00000001 - 2453330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2453350 ns R r2 80000000 - 2453350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2453370 ns R psr 81000200 - 2453370 ns MR4_I 00000238 48054770 - 2453390 ns MR4_I 00000230 07d2684a - 2453410 ns IT 00000230 684a LDR r2,[r1,#4] - 2453430 ns MR4_I 00000234 6008d1fc - 2453490 ns MR4_D 40006004 00000001 - 2453490 ns R r2 00000001 - 2453490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2453510 ns R r2 80000000 - 2453510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2453530 ns R psr 81000200 - 2453530 ns MR4_I 00000238 48054770 - 2453550 ns MR4_I 00000230 07d2684a - 2453570 ns IT 00000230 684a LDR r2,[r1,#4] - 2453590 ns MR4_I 00000234 6008d1fc - 2453650 ns MR4_D 40006004 00000001 - 2453650 ns R r2 00000001 - 2453650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2453670 ns R r2 80000000 - 2453670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2453690 ns R psr 81000200 - 2453690 ns MR4_I 00000238 48054770 - 2453710 ns MR4_I 00000230 07d2684a - 2453730 ns IT 00000230 684a LDR r2,[r1,#4] - 2453750 ns MR4_I 00000234 6008d1fc - 2453810 ns MR4_D 40006004 00000001 - 2453810 ns R r2 00000001 - 2453810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2453830 ns R r2 80000000 - 2453830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2453850 ns R psr 81000200 - 2453850 ns MR4_I 00000238 48054770 - 2453870 ns MR4_I 00000230 07d2684a - 2453890 ns IT 00000230 684a LDR r2,[r1,#4] - 2453910 ns MR4_I 00000234 6008d1fc - 2453970 ns MR4_D 40006004 00000001 - 2453970 ns R r2 00000001 - 2453970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2453990 ns R r2 80000000 - 2453990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2454010 ns R psr 81000200 - 2454010 ns MR4_I 00000238 48054770 - 2454030 ns MR4_I 00000230 07d2684a - 2454050 ns IT 00000230 684a LDR r2,[r1,#4] - 2454070 ns MR4_I 00000234 6008d1fc - 2454130 ns MR4_D 40006004 00000001 - 2454130 ns R r2 00000001 - 2454130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2454150 ns R r2 80000000 - 2454150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2454170 ns R psr 81000200 - 2454170 ns MR4_I 00000238 48054770 - 2454190 ns MR4_I 00000230 07d2684a - 2454210 ns IT 00000230 684a LDR r2,[r1,#4] - 2454230 ns MR4_I 00000234 6008d1fc - 2454290 ns MR4_D 40006004 00000001 - 2454290 ns R r2 00000001 - 2454290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2454310 ns R r2 80000000 - 2454310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2454330 ns R psr 81000200 - 2454330 ns MR4_I 00000238 48054770 - 2454350 ns MR4_I 00000230 07d2684a - 2454370 ns IT 00000230 684a LDR r2,[r1,#4] - 2454390 ns MR4_I 00000234 6008d1fc - 2454450 ns MR4_D 40006004 00000001 - 2454450 ns R r2 00000001 - 2454450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2454470 ns R r2 80000000 - 2454470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2454490 ns R psr 81000200 - 2454490 ns MR4_I 00000238 48054770 - 2454510 ns MR4_I 00000230 07d2684a - 2454530 ns IT 00000230 684a LDR r2,[r1,#4] - 2454550 ns MR4_I 00000234 6008d1fc - 2454610 ns MR4_D 40006004 00000001 - 2454610 ns R r2 00000001 - 2454610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2454630 ns R r2 80000000 - 2454630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2454650 ns R psr 81000200 - 2454650 ns MR4_I 00000238 48054770 - 2454670 ns MR4_I 00000230 07d2684a - 2454690 ns IT 00000230 684a LDR r2,[r1,#4] - 2454710 ns MR4_I 00000234 6008d1fc - 2454770 ns MR4_D 40006004 00000001 - 2454770 ns R r2 00000001 - 2454770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2454790 ns R r2 80000000 - 2454790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2454810 ns R psr 81000200 - 2454810 ns MR4_I 00000238 48054770 - 2454830 ns MR4_I 00000230 07d2684a - 2454850 ns IT 00000230 684a LDR r2,[r1,#4] - 2454870 ns MR4_I 00000234 6008d1fc - 2454930 ns MR4_D 40006004 00000001 - 2454930 ns R r2 00000001 - 2454930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2454950 ns R r2 80000000 - 2454950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2454970 ns R psr 81000200 - 2454970 ns MR4_I 00000238 48054770 - 2454990 ns MR4_I 00000230 07d2684a - 2455010 ns IT 00000230 684a LDR r2,[r1,#4] - 2455030 ns MR4_I 00000234 6008d1fc - 2455090 ns MR4_D 40006004 00000001 - 2455090 ns R r2 00000001 - 2455090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2455110 ns R r2 80000000 - 2455110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2455130 ns R psr 81000200 - 2455130 ns MR4_I 00000238 48054770 - 2455150 ns MR4_I 00000230 07d2684a - 2455170 ns IT 00000230 684a LDR r2,[r1,#4] - 2455190 ns MR4_I 00000234 6008d1fc - 2455250 ns MR4_D 40006004 00000001 - 2455250 ns R r2 00000001 - 2455250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2455270 ns R r2 80000000 - 2455270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2455290 ns R psr 81000200 - 2455290 ns MR4_I 00000238 48054770 - 2455310 ns MR4_I 00000230 07d2684a - 2455330 ns IT 00000230 684a LDR r2,[r1,#4] - 2455350 ns MR4_I 00000234 6008d1fc - 2455410 ns MR4_D 40006004 00000001 - 2455410 ns R r2 00000001 - 2455410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2455430 ns R r2 80000000 - 2455430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2455450 ns R psr 81000200 - 2455450 ns MR4_I 00000238 48054770 - 2455470 ns MR4_I 00000230 07d2684a - 2455490 ns IT 00000230 684a LDR r2,[r1,#4] - 2455510 ns MR4_I 00000234 6008d1fc - 2455570 ns MR4_D 40006004 00000001 - 2455570 ns R r2 00000001 - 2455570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2455590 ns R r2 80000000 - 2455590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2455610 ns R psr 81000200 - 2455610 ns MR4_I 00000238 48054770 - 2455630 ns MR4_I 00000230 07d2684a - 2455650 ns IT 00000230 684a LDR r2,[r1,#4] - 2455670 ns MR4_I 00000234 6008d1fc - 2455730 ns MR4_D 40006004 00000001 - 2455730 ns R r2 00000001 - 2455730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2455750 ns R r2 80000000 - 2455750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2455770 ns R psr 81000200 - 2455770 ns MR4_I 00000238 48054770 - 2455790 ns MR4_I 00000230 07d2684a - 2455810 ns IT 00000230 684a LDR r2,[r1,#4] - 2455830 ns MR4_I 00000234 6008d1fc - 2455890 ns MR4_D 40006004 00000001 - 2455890 ns R r2 00000001 - 2455890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2455910 ns R r2 80000000 - 2455910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2455930 ns R psr 81000200 - 2455930 ns MR4_I 00000238 48054770 - 2455950 ns MR4_I 00000230 07d2684a - 2455970 ns IT 00000230 684a LDR r2,[r1,#4] - 2455990 ns MR4_I 00000234 6008d1fc - 2456050 ns MR4_D 40006004 00000001 - 2456050 ns R r2 00000001 - 2456050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2456070 ns R r2 80000000 - 2456070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2456090 ns R psr 81000200 - 2456090 ns MR4_I 00000238 48054770 - 2456110 ns MR4_I 00000230 07d2684a - 2456130 ns IT 00000230 684a LDR r2,[r1,#4] - 2456150 ns MR4_I 00000234 6008d1fc - 2456210 ns MR4_D 40006004 00000001 - 2456210 ns R r2 00000001 - 2456210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2456230 ns R r2 80000000 - 2456230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2456250 ns R psr 81000200 - 2456250 ns MR4_I 00000238 48054770 - 2456270 ns MR4_I 00000230 07d2684a - 2456290 ns IT 00000230 684a LDR r2,[r1,#4] - 2456310 ns MR4_I 00000234 6008d1fc - 2456370 ns MR4_D 40006004 00000001 - 2456370 ns R r2 00000001 - 2456370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2456390 ns R r2 80000000 - 2456390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2456410 ns R psr 81000200 - 2456410 ns MR4_I 00000238 48054770 - 2456430 ns MR4_I 00000230 07d2684a - 2456450 ns IT 00000230 684a LDR r2,[r1,#4] - 2456470 ns MR4_I 00000234 6008d1fc - 2456530 ns MR4_D 40006004 00000001 - 2456530 ns R r2 00000001 - 2456530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2456550 ns R r2 80000000 - 2456550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2456570 ns R psr 81000200 - 2456570 ns MR4_I 00000238 48054770 - 2456590 ns MR4_I 00000230 07d2684a - 2456610 ns IT 00000230 684a LDR r2,[r1,#4] - 2456630 ns MR4_I 00000234 6008d1fc - 2456690 ns MR4_D 40006004 00000001 - 2456690 ns R r2 00000001 - 2456690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2456710 ns R r2 80000000 - 2456710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2456730 ns R psr 81000200 - 2456730 ns MR4_I 00000238 48054770 - 2456750 ns MR4_I 00000230 07d2684a - 2456770 ns IT 00000230 684a LDR r2,[r1,#4] - 2456790 ns MR4_I 00000234 6008d1fc - 2456850 ns MR4_D 40006004 00000001 - 2456850 ns R r2 00000001 - 2456850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2456870 ns R r2 80000000 - 2456870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2456890 ns R psr 81000200 - 2456890 ns MR4_I 00000238 48054770 - 2456910 ns MR4_I 00000230 07d2684a - 2456930 ns IT 00000230 684a LDR r2,[r1,#4] - 2456950 ns MR4_I 00000234 6008d1fc - 2457010 ns MR4_D 40006004 00000001 - 2457010 ns R r2 00000001 - 2457010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2457030 ns R r2 80000000 - 2457030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2457050 ns R psr 81000200 - 2457050 ns MR4_I 00000238 48054770 - 2457070 ns MR4_I 00000230 07d2684a - 2457090 ns IT 00000230 684a LDR r2,[r1,#4] - 2457110 ns MR4_I 00000234 6008d1fc - 2457170 ns MR4_D 40006004 00000001 - 2457170 ns R r2 00000001 - 2457170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2457190 ns R r2 80000000 - 2457190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2457210 ns R psr 81000200 - 2457210 ns MR4_I 00000238 48054770 - 2457230 ns MR4_I 00000230 07d2684a - 2457250 ns IT 00000230 684a LDR r2,[r1,#4] - 2457270 ns MR4_I 00000234 6008d1fc - 2457330 ns MR4_D 40006004 00000001 - 2457330 ns R r2 00000001 - 2457330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2457350 ns R r2 80000000 - 2457350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2457370 ns R psr 81000200 - 2457370 ns MR4_I 00000238 48054770 - 2457390 ns MR4_I 00000230 07d2684a - 2457410 ns IT 00000230 684a LDR r2,[r1,#4] - 2457430 ns MR4_I 00000234 6008d1fc - 2457490 ns MR4_D 40006004 00000001 - 2457490 ns R r2 00000001 - 2457490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2457510 ns R r2 80000000 - 2457510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2457530 ns R psr 81000200 - 2457530 ns MR4_I 00000238 48054770 - 2457550 ns MR4_I 00000230 07d2684a - 2457570 ns IT 00000230 684a LDR r2,[r1,#4] - 2457590 ns MR4_I 00000234 6008d1fc - 2457650 ns MR4_D 40006004 00000001 - 2457650 ns R r2 00000001 - 2457650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2457670 ns R r2 80000000 - 2457670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2457690 ns R psr 81000200 - 2457690 ns MR4_I 00000238 48054770 - 2457710 ns MR4_I 00000230 07d2684a - 2457730 ns IT 00000230 684a LDR r2,[r1,#4] - 2457750 ns MR4_I 00000234 6008d1fc - 2457810 ns MR4_D 40006004 00000001 - 2457810 ns R r2 00000001 - 2457810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2457830 ns R r2 80000000 - 2457830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2457850 ns R psr 81000200 - 2457850 ns MR4_I 00000238 48054770 - 2457870 ns MR4_I 00000230 07d2684a - 2457890 ns IT 00000230 684a LDR r2,[r1,#4] - 2457910 ns MR4_I 00000234 6008d1fc - 2457970 ns MR4_D 40006004 00000001 - 2457970 ns R r2 00000001 - 2457970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2457990 ns R r2 80000000 - 2457990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2458010 ns R psr 81000200 - 2458010 ns MR4_I 00000238 48054770 - 2458030 ns MR4_I 00000230 07d2684a - 2458050 ns IT 00000230 684a LDR r2,[r1,#4] - 2458070 ns MR4_I 00000234 6008d1fc - 2458130 ns MR4_D 40006004 00000001 - 2458130 ns R r2 00000001 - 2458130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2458150 ns R r2 80000000 - 2458150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2458170 ns R psr 81000200 - 2458170 ns MR4_I 00000238 48054770 - 2458190 ns MR4_I 00000230 07d2684a - 2458210 ns IT 00000230 684a LDR r2,[r1,#4] - 2458230 ns MR4_I 00000234 6008d1fc - 2458290 ns MR4_D 40006004 00000001 - 2458290 ns R r2 00000001 - 2458290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2458310 ns R r2 80000000 - 2458310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2458330 ns R psr 81000200 - 2458330 ns MR4_I 00000238 48054770 - 2458350 ns MR4_I 00000230 07d2684a - 2458370 ns IT 00000230 684a LDR r2,[r1,#4] - 2458390 ns MR4_I 00000234 6008d1fc - 2458450 ns MR4_D 40006004 00000001 - 2458450 ns R r2 00000001 - 2458450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2458470 ns R r2 80000000 - 2458470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2458490 ns R psr 81000200 - 2458490 ns MR4_I 00000238 48054770 - 2458510 ns MR4_I 00000230 07d2684a - 2458530 ns IT 00000230 684a LDR r2,[r1,#4] - 2458550 ns MR4_I 00000234 6008d1fc - 2458610 ns MR4_D 40006004 00000001 - 2458610 ns R r2 00000001 - 2458610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2458630 ns R r2 80000000 - 2458630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2458650 ns R psr 81000200 - 2458650 ns MR4_I 00000238 48054770 - 2458670 ns MR4_I 00000230 07d2684a - 2458690 ns IT 00000230 684a LDR r2,[r1,#4] - 2458710 ns MR4_I 00000234 6008d1fc - 2458770 ns MR4_D 40006004 00000001 - 2458770 ns R r2 00000001 - 2458770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2458790 ns R r2 80000000 - 2458790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2458810 ns R psr 81000200 - 2458810 ns MR4_I 00000238 48054770 - 2458830 ns MR4_I 00000230 07d2684a - 2458850 ns IT 00000230 684a LDR r2,[r1,#4] - 2458870 ns MR4_I 00000234 6008d1fc - 2458930 ns MR4_D 40006004 00000001 - 2458930 ns R r2 00000001 - 2458930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2458950 ns R r2 80000000 - 2458950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2458970 ns R psr 81000200 - 2458970 ns MR4_I 00000238 48054770 - 2458990 ns MR4_I 00000230 07d2684a - 2459010 ns IT 00000230 684a LDR r2,[r1,#4] - 2459030 ns MR4_I 00000234 6008d1fc - 2459090 ns MR4_D 40006004 00000001 - 2459090 ns R r2 00000001 - 2459090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2459110 ns R r2 80000000 - 2459110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2459130 ns R psr 81000200 - 2459130 ns MR4_I 00000238 48054770 - 2459150 ns MR4_I 00000230 07d2684a - 2459170 ns IT 00000230 684a LDR r2,[r1,#4] - 2459190 ns MR4_I 00000234 6008d1fc - 2459250 ns MR4_D 40006004 00000001 - 2459250 ns R r2 00000001 - 2459250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2459270 ns R r2 80000000 - 2459270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2459290 ns R psr 81000200 - 2459290 ns MR4_I 00000238 48054770 - 2459310 ns MR4_I 00000230 07d2684a - 2459330 ns IT 00000230 684a LDR r2,[r1,#4] - 2459350 ns MR4_I 00000234 6008d1fc - 2459410 ns MR4_D 40006004 00000001 - 2459410 ns R r2 00000001 - 2459410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2459430 ns R r2 80000000 - 2459430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2459450 ns R psr 81000200 - 2459450 ns MR4_I 00000238 48054770 - 2459470 ns MR4_I 00000230 07d2684a - 2459490 ns IT 00000230 684a LDR r2,[r1,#4] - 2459510 ns MR4_I 00000234 6008d1fc - 2459570 ns MR4_D 40006004 00000001 - 2459570 ns R r2 00000001 - 2459570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2459590 ns R r2 80000000 - 2459590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2459610 ns R psr 81000200 - 2459610 ns MR4_I 00000238 48054770 - 2459630 ns MR4_I 00000230 07d2684a - 2459650 ns IT 00000230 684a LDR r2,[r1,#4] - 2459670 ns MR4_I 00000234 6008d1fc - 2459730 ns MR4_D 40006004 00000001 - 2459730 ns R r2 00000001 - 2459730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2459750 ns R r2 80000000 - 2459750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2459770 ns R psr 81000200 - 2459770 ns MR4_I 00000238 48054770 - 2459790 ns MR4_I 00000230 07d2684a - 2459810 ns IT 00000230 684a LDR r2,[r1,#4] - 2459830 ns MR4_I 00000234 6008d1fc - 2459890 ns MR4_D 40006004 00000001 - 2459890 ns R r2 00000001 - 2459890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2459910 ns R r2 80000000 - 2459910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2459930 ns R psr 81000200 - 2459930 ns MR4_I 00000238 48054770 - 2459950 ns MR4_I 00000230 07d2684a - 2459970 ns IT 00000230 684a LDR r2,[r1,#4] - 2459990 ns MR4_I 00000234 6008d1fc - 2460050 ns MR4_D 40006004 00000001 - 2460050 ns R r2 00000001 - 2460050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2460070 ns R r2 80000000 - 2460070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2460090 ns R psr 81000200 - 2460090 ns MR4_I 00000238 48054770 - 2460110 ns MR4_I 00000230 07d2684a - 2460130 ns IT 00000230 684a LDR r2,[r1,#4] - 2460150 ns MR4_I 00000234 6008d1fc - 2460210 ns MR4_D 40006004 00000001 - 2460210 ns R r2 00000001 - 2460210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2460230 ns R r2 80000000 - 2460230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2460250 ns R psr 81000200 - 2460250 ns MR4_I 00000238 48054770 - 2460270 ns MR4_I 00000230 07d2684a - 2460290 ns IT 00000230 684a LDR r2,[r1,#4] - 2460310 ns MR4_I 00000234 6008d1fc - 2460370 ns MR4_D 40006004 00000001 - 2460370 ns R r2 00000001 - 2460370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2460390 ns R r2 80000000 - 2460390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2460410 ns R psr 81000200 - 2460410 ns MR4_I 00000238 48054770 - 2460430 ns MR4_I 00000230 07d2684a - 2460450 ns IT 00000230 684a LDR r2,[r1,#4] - 2460470 ns MR4_I 00000234 6008d1fc - 2460530 ns MR4_D 40006004 00000001 - 2460530 ns R r2 00000001 - 2460530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2460550 ns R r2 80000000 - 2460550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2460570 ns R psr 81000200 - 2460570 ns MR4_I 00000238 48054770 - 2460590 ns MR4_I 00000230 07d2684a - 2460610 ns IT 00000230 684a LDR r2,[r1,#4] - 2460630 ns MR4_I 00000234 6008d1fc - 2460690 ns MR4_D 40006004 00000001 - 2460690 ns R r2 00000001 - 2460690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2460710 ns R r2 80000000 - 2460710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2460730 ns R psr 81000200 - 2460730 ns MR4_I 00000238 48054770 - 2460750 ns MR4_I 00000230 07d2684a - 2460770 ns IT 00000230 684a LDR r2,[r1,#4] - 2460790 ns MR4_I 00000234 6008d1fc - 2460850 ns MR4_D 40006004 00000001 - 2460850 ns R r2 00000001 - 2460850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2460870 ns R r2 80000000 - 2460870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2460890 ns R psr 81000200 - 2460890 ns MR4_I 00000238 48054770 - 2460910 ns MR4_I 00000230 07d2684a - 2460930 ns IT 00000230 684a LDR r2,[r1,#4] - 2460950 ns MR4_I 00000234 6008d1fc - 2461010 ns MR4_D 40006004 00000001 - 2461010 ns R r2 00000001 - 2461010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2461030 ns R r2 80000000 - 2461030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2461050 ns R psr 81000200 - 2461050 ns MR4_I 00000238 48054770 - 2461070 ns MR4_I 00000230 07d2684a - 2461090 ns IT 00000230 684a LDR r2,[r1,#4] - 2461110 ns MR4_I 00000234 6008d1fc - 2461170 ns MR4_D 40006004 00000001 - 2461170 ns R r2 00000001 - 2461170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2461190 ns R r2 80000000 - 2461190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2461210 ns R psr 81000200 - 2461210 ns MR4_I 00000238 48054770 - 2461230 ns MR4_I 00000230 07d2684a - 2461250 ns IT 00000230 684a LDR r2,[r1,#4] - 2461270 ns MR4_I 00000234 6008d1fc - 2461330 ns MR4_D 40006004 00000001 - 2461330 ns R r2 00000001 - 2461330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2461350 ns R r2 80000000 - 2461350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2461370 ns R psr 81000200 - 2461370 ns MR4_I 00000238 48054770 - 2461390 ns MR4_I 00000230 07d2684a - 2461410 ns IT 00000230 684a LDR r2,[r1,#4] - 2461430 ns MR4_I 00000234 6008d1fc - 2461490 ns MR4_D 40006004 00000001 - 2461490 ns R r2 00000001 - 2461490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2461510 ns R r2 80000000 - 2461510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2461530 ns R psr 81000200 - 2461530 ns MR4_I 00000238 48054770 - 2461550 ns MR4_I 00000230 07d2684a - 2461570 ns IT 00000230 684a LDR r2,[r1,#4] - 2461590 ns MR4_I 00000234 6008d1fc - 2461650 ns MR4_D 40006004 00000001 - 2461650 ns R r2 00000001 - 2461650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2461670 ns R r2 80000000 - 2461670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2461690 ns R psr 81000200 - 2461690 ns MR4_I 00000238 48054770 - 2461710 ns MR4_I 00000230 07d2684a - 2461730 ns IT 00000230 684a LDR r2,[r1,#4] - 2461750 ns MR4_I 00000234 6008d1fc - 2461810 ns MR4_D 40006004 00000001 - 2461810 ns R r2 00000001 - 2461810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2461830 ns R r2 80000000 - 2461830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2461850 ns R psr 81000200 - 2461850 ns MR4_I 00000238 48054770 - 2461870 ns MR4_I 00000230 07d2684a - 2461890 ns IT 00000230 684a LDR r2,[r1,#4] - 2461910 ns MR4_I 00000234 6008d1fc - 2461970 ns MR4_D 40006004 00000001 - 2461970 ns R r2 00000001 - 2461970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2461990 ns R r2 80000000 - 2461990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2462010 ns R psr 81000200 - 2462010 ns MR4_I 00000238 48054770 - 2462030 ns MR4_I 00000230 07d2684a - 2462050 ns IT 00000230 684a LDR r2,[r1,#4] - 2462070 ns MR4_I 00000234 6008d1fc - 2462130 ns MR4_D 40006004 00000001 - 2462130 ns R r2 00000001 - 2462130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2462150 ns R r2 80000000 - 2462150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2462170 ns R psr 81000200 - 2462170 ns MR4_I 00000238 48054770 - 2462190 ns MR4_I 00000230 07d2684a - 2462210 ns IT 00000230 684a LDR r2,[r1,#4] - 2462230 ns MR4_I 00000234 6008d1fc - 2462290 ns MR4_D 40006004 00000001 - 2462290 ns R r2 00000001 - 2462290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2462310 ns R r2 80000000 - 2462310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2462330 ns R psr 81000200 - 2462330 ns MR4_I 00000238 48054770 - 2462350 ns MR4_I 00000230 07d2684a - 2462370 ns IT 00000230 684a LDR r2,[r1,#4] - 2462390 ns MR4_I 00000234 6008d1fc - 2462450 ns MR4_D 40006004 00000001 - 2462450 ns R r2 00000001 - 2462450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2462470 ns R r2 80000000 - 2462470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2462490 ns R psr 81000200 - 2462490 ns MR4_I 00000238 48054770 - 2462510 ns MR4_I 00000230 07d2684a - 2462530 ns IT 00000230 684a LDR r2,[r1,#4] - 2462550 ns MR4_I 00000234 6008d1fc - 2462610 ns MR4_D 40006004 00000001 - 2462610 ns R r2 00000001 - 2462610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2462630 ns R r2 80000000 - 2462630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2462650 ns R psr 81000200 - 2462650 ns MR4_I 00000238 48054770 - 2462670 ns MR4_I 00000230 07d2684a - 2462690 ns IT 00000230 684a LDR r2,[r1,#4] - 2462710 ns MR4_I 00000234 6008d1fc - 2462770 ns MR4_D 40006004 00000001 - 2462770 ns R r2 00000001 - 2462770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2462790 ns R r2 80000000 - 2462790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2462810 ns R psr 81000200 - 2462810 ns MR4_I 00000238 48054770 - 2462830 ns MR4_I 00000230 07d2684a - 2462850 ns IT 00000230 684a LDR r2,[r1,#4] - 2462870 ns MR4_I 00000234 6008d1fc - 2462930 ns MR4_D 40006004 00000001 - 2462930 ns R r2 00000001 - 2462930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2462950 ns R r2 80000000 - 2462950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2462970 ns R psr 81000200 - 2462970 ns MR4_I 00000238 48054770 - 2462990 ns MR4_I 00000230 07d2684a - 2463010 ns IT 00000230 684a LDR r2,[r1,#4] - 2463030 ns MR4_I 00000234 6008d1fc - 2463090 ns MR4_D 40006004 00000001 - 2463090 ns R r2 00000001 - 2463090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2463110 ns R r2 80000000 - 2463110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2463130 ns R psr 81000200 - 2463130 ns MR4_I 00000238 48054770 - 2463150 ns MR4_I 00000230 07d2684a - 2463170 ns IT 00000230 684a LDR r2,[r1,#4] - 2463190 ns MR4_I 00000234 6008d1fc - 2463250 ns MR4_D 40006004 00000001 - 2463250 ns R r2 00000001 - 2463250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2463270 ns R r2 80000000 - 2463270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2463290 ns R psr 81000200 - 2463290 ns MR4_I 00000238 48054770 - 2463310 ns MR4_I 00000230 07d2684a - 2463330 ns IT 00000230 684a LDR r2,[r1,#4] - 2463350 ns MR4_I 00000234 6008d1fc - 2463410 ns MR4_D 40006004 00000001 - 2463410 ns R r2 00000001 - 2463410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2463430 ns R r2 80000000 - 2463430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2463450 ns R psr 81000200 - 2463450 ns MR4_I 00000238 48054770 - 2463470 ns MR4_I 00000230 07d2684a - 2463490 ns IT 00000230 684a LDR r2,[r1,#4] - 2463510 ns MR4_I 00000234 6008d1fc - 2463570 ns MR4_D 40006004 00000001 - 2463570 ns R r2 00000001 - 2463570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2463590 ns R r2 80000000 - 2463590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2463610 ns R psr 81000200 - 2463610 ns MR4_I 00000238 48054770 - 2463630 ns MR4_I 00000230 07d2684a - 2463650 ns IT 00000230 684a LDR r2,[r1,#4] - 2463670 ns MR4_I 00000234 6008d1fc - 2463730 ns MR4_D 40006004 00000001 - 2463730 ns R r2 00000001 - 2463730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2463750 ns R r2 80000000 - 2463750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2463770 ns R psr 81000200 - 2463770 ns MR4_I 00000238 48054770 - 2463790 ns MR4_I 00000230 07d2684a - 2463810 ns IT 00000230 684a LDR r2,[r1,#4] - 2463830 ns MR4_I 00000234 6008d1fc - 2463890 ns MR4_D 40006004 00000001 - 2463890 ns R r2 00000001 - 2463890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2463910 ns R r2 80000000 - 2463910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2463930 ns R psr 81000200 - 2463930 ns MR4_I 00000238 48054770 - 2463950 ns MR4_I 00000230 07d2684a - 2463970 ns IT 00000230 684a LDR r2,[r1,#4] - 2463990 ns MR4_I 00000234 6008d1fc - 2464050 ns MR4_D 40006004 00000001 - 2464050 ns R r2 00000001 - 2464050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2464070 ns R r2 80000000 - 2464070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2464090 ns R psr 81000200 - 2464090 ns MR4_I 00000238 48054770 - 2464110 ns MR4_I 00000230 07d2684a - 2464130 ns IT 00000230 684a LDR r2,[r1,#4] - 2464150 ns MR4_I 00000234 6008d1fc - 2464210 ns MR4_D 40006004 00000001 - 2464210 ns R r2 00000001 - 2464210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2464230 ns R r2 80000000 - 2464230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2464250 ns R psr 81000200 - 2464250 ns MR4_I 00000238 48054770 - 2464270 ns MR4_I 00000230 07d2684a - 2464290 ns IT 00000230 684a LDR r2,[r1,#4] - 2464310 ns MR4_I 00000234 6008d1fc - 2464370 ns MR4_D 40006004 00000001 - 2464370 ns R r2 00000001 - 2464370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2464390 ns R r2 80000000 - 2464390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2464410 ns R psr 81000200 - 2464410 ns MR4_I 00000238 48054770 - 2464430 ns MR4_I 00000230 07d2684a - 2464450 ns IT 00000230 684a LDR r2,[r1,#4] - 2464470 ns MR4_I 00000234 6008d1fc - 2464530 ns MR4_D 40006004 00000001 - 2464530 ns R r2 00000001 - 2464530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2464550 ns R r2 80000000 - 2464550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2464570 ns R psr 81000200 - 2464570 ns MR4_I 00000238 48054770 - 2464590 ns MR4_I 00000230 07d2684a - 2464610 ns IT 00000230 684a LDR r2,[r1,#4] - 2464630 ns MR4_I 00000234 6008d1fc - 2464690 ns MR4_D 40006004 00000001 - 2464690 ns R r2 00000001 - 2464690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2464710 ns R r2 80000000 - 2464710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2464730 ns R psr 81000200 - 2464730 ns MR4_I 00000238 48054770 - 2464750 ns MR4_I 00000230 07d2684a - 2464770 ns IT 00000230 684a LDR r2,[r1,#4] - 2464790 ns MR4_I 00000234 6008d1fc - 2464850 ns MR4_D 40006004 00000001 - 2464850 ns R r2 00000001 - 2464850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2464870 ns R r2 80000000 - 2464870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2464890 ns R psr 81000200 - 2464890 ns MR4_I 00000238 48054770 - 2464910 ns MR4_I 00000230 07d2684a - 2464930 ns IT 00000230 684a LDR r2,[r1,#4] - 2464950 ns MR4_I 00000234 6008d1fc - 2465010 ns MR4_D 40006004 00000001 - 2465010 ns R r2 00000001 - 2465010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2465030 ns R r2 80000000 - 2465030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2465050 ns R psr 81000200 - 2465050 ns MR4_I 00000238 48054770 - 2465070 ns MR4_I 00000230 07d2684a - 2465090 ns IT 00000230 684a LDR r2,[r1,#4] - 2465110 ns MR4_I 00000234 6008d1fc - 2465170 ns MR4_D 40006004 00000001 - 2465170 ns R r2 00000001 - 2465170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2465190 ns R r2 80000000 - 2465190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2465210 ns R psr 81000200 - 2465210 ns MR4_I 00000238 48054770 - 2465230 ns MR4_I 00000230 07d2684a - 2465250 ns IT 00000230 684a LDR r2,[r1,#4] - 2465270 ns MR4_I 00000234 6008d1fc - 2465330 ns MR4_D 40006004 00000001 - 2465330 ns R r2 00000001 - 2465330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2465350 ns R r2 80000000 - 2465350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2465370 ns R psr 81000200 - 2465370 ns MR4_I 00000238 48054770 - 2465390 ns MR4_I 00000230 07d2684a - 2465410 ns IT 00000230 684a LDR r2,[r1,#4] - 2465430 ns MR4_I 00000234 6008d1fc - 2465490 ns MR4_D 40006004 00000001 - 2465490 ns R r2 00000001 - 2465490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2465510 ns R r2 80000000 - 2465510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2465530 ns R psr 81000200 - 2465530 ns MR4_I 00000238 48054770 - 2465550 ns MR4_I 00000230 07d2684a - 2465570 ns IT 00000230 684a LDR r2,[r1,#4] - 2465590 ns MR4_I 00000234 6008d1fc - 2465650 ns MR4_D 40006004 00000001 - 2465650 ns R r2 00000001 - 2465650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2465670 ns R r2 80000000 - 2465670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2465690 ns R psr 81000200 - 2465690 ns MR4_I 00000238 48054770 - 2465710 ns MR4_I 00000230 07d2684a - 2465730 ns IT 00000230 684a LDR r2,[r1,#4] - 2465750 ns MR4_I 00000234 6008d1fc - 2465810 ns MR4_D 40006004 00000001 - 2465810 ns R r2 00000001 - 2465810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2465830 ns R r2 80000000 - 2465830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2465850 ns R psr 81000200 - 2465850 ns MR4_I 00000238 48054770 - 2465870 ns MR4_I 00000230 07d2684a - 2465890 ns IT 00000230 684a LDR r2,[r1,#4] - 2465910 ns MR4_I 00000234 6008d1fc - 2465970 ns MR4_D 40006004 00000001 - 2465970 ns R r2 00000001 - 2465970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2465990 ns R r2 80000000 - 2465990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2466010 ns R psr 81000200 - 2466010 ns MR4_I 00000238 48054770 - 2466030 ns MR4_I 00000230 07d2684a - 2466050 ns IT 00000230 684a LDR r2,[r1,#4] - 2466070 ns MR4_I 00000234 6008d1fc - 2466130 ns MR4_D 40006004 00000001 - 2466130 ns R r2 00000001 - 2466130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2466150 ns R r2 80000000 - 2466150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2466170 ns R psr 81000200 - 2466170 ns MR4_I 00000238 48054770 - 2466190 ns MR4_I 00000230 07d2684a - 2466210 ns IT 00000230 684a LDR r2,[r1,#4] - 2466230 ns MR4_I 00000234 6008d1fc - 2466290 ns MR4_D 40006004 00000001 - 2466290 ns R r2 00000001 - 2466290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2466310 ns R r2 80000000 - 2466310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2466330 ns R psr 81000200 - 2466330 ns MR4_I 00000238 48054770 - 2466350 ns MR4_I 00000230 07d2684a - 2466370 ns IT 00000230 684a LDR r2,[r1,#4] - 2466390 ns MR4_I 00000234 6008d1fc - 2466450 ns MR4_D 40006004 00000001 - 2466450 ns R r2 00000001 - 2466450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2466470 ns R r2 80000000 - 2466470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2466490 ns R psr 81000200 - 2466490 ns MR4_I 00000238 48054770 - 2466510 ns MR4_I 00000230 07d2684a - 2466530 ns IT 00000230 684a LDR r2,[r1,#4] - 2466550 ns MR4_I 00000234 6008d1fc - 2466610 ns MR4_D 40006004 00000001 - 2466610 ns R r2 00000001 - 2466610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2466630 ns R r2 80000000 - 2466630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2466650 ns R psr 81000200 - 2466650 ns MR4_I 00000238 48054770 - 2466670 ns MR4_I 00000230 07d2684a - 2466690 ns IT 00000230 684a LDR r2,[r1,#4] - 2466710 ns MR4_I 00000234 6008d1fc - 2466770 ns MR4_D 40006004 00000001 - 2466770 ns R r2 00000001 - 2466770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2466790 ns R r2 80000000 - 2466790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2466810 ns R psr 81000200 - 2466810 ns MR4_I 00000238 48054770 - 2466830 ns MR4_I 00000230 07d2684a - 2466850 ns IT 00000230 684a LDR r2,[r1,#4] - 2466870 ns MR4_I 00000234 6008d1fc - 2466930 ns MR4_D 40006004 00000001 - 2466930 ns R r2 00000001 - 2466930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2466950 ns R r2 80000000 - 2466950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2466970 ns R psr 81000200 - 2466970 ns MR4_I 00000238 48054770 - 2466990 ns MR4_I 00000230 07d2684a - 2467010 ns IT 00000230 684a LDR r2,[r1,#4] - 2467030 ns MR4_I 00000234 6008d1fc - 2467090 ns MR4_D 40006004 00000001 - 2467090 ns R r2 00000001 - 2467090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2467110 ns R r2 80000000 - 2467110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2467130 ns R psr 81000200 - 2467130 ns MR4_I 00000238 48054770 - 2467150 ns MR4_I 00000230 07d2684a - 2467170 ns IT 00000230 684a LDR r2,[r1,#4] - 2467190 ns MR4_I 00000234 6008d1fc - 2467250 ns MR4_D 40006004 00000001 - 2467250 ns R r2 00000001 - 2467250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2467270 ns R r2 80000000 - 2467270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2467290 ns R psr 81000200 - 2467290 ns MR4_I 00000238 48054770 - 2467310 ns MR4_I 00000230 07d2684a - 2467330 ns IT 00000230 684a LDR r2,[r1,#4] - 2467350 ns MR4_I 00000234 6008d1fc - 2467410 ns MR4_D 40006004 00000001 - 2467410 ns R r2 00000001 - 2467410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2467430 ns R r2 80000000 - 2467430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2467450 ns R psr 81000200 - 2467450 ns MR4_I 00000238 48054770 - 2467470 ns MR4_I 00000230 07d2684a - 2467490 ns IT 00000230 684a LDR r2,[r1,#4] - 2467510 ns MR4_I 00000234 6008d1fc - 2467570 ns MR4_D 40006004 00000001 - 2467570 ns R r2 00000001 - 2467570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2467590 ns R r2 80000000 - 2467590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2467610 ns R psr 81000200 - 2467610 ns MR4_I 00000238 48054770 - 2467630 ns MR4_I 00000230 07d2684a - 2467650 ns IT 00000230 684a LDR r2,[r1,#4] - 2467670 ns MR4_I 00000234 6008d1fc - 2467730 ns MR4_D 40006004 00000001 - 2467730 ns R r2 00000001 - 2467730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2467750 ns R r2 80000000 - 2467750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2467770 ns R psr 81000200 - 2467770 ns MR4_I 00000238 48054770 - 2467790 ns MR4_I 00000230 07d2684a - 2467810 ns IT 00000230 684a LDR r2,[r1,#4] - 2467830 ns MR4_I 00000234 6008d1fc - 2467890 ns MR4_D 40006004 00000001 - 2467890 ns R r2 00000001 - 2467890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2467910 ns R r2 80000000 - 2467910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2467930 ns R psr 81000200 - 2467930 ns MR4_I 00000238 48054770 - 2467950 ns MR4_I 00000230 07d2684a - 2467970 ns IT 00000230 684a LDR r2,[r1,#4] - 2467990 ns MR4_I 00000234 6008d1fc - 2468050 ns MR4_D 40006004 00000001 - 2468050 ns R r2 00000001 - 2468050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2468070 ns R r2 80000000 - 2468070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2468090 ns R psr 81000200 - 2468090 ns MR4_I 00000238 48054770 - 2468110 ns MR4_I 00000230 07d2684a - 2468130 ns IT 00000230 684a LDR r2,[r1,#4] - 2468150 ns MR4_I 00000234 6008d1fc - 2468210 ns MR4_D 40006004 00000001 - 2468210 ns R r2 00000001 - 2468210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2468230 ns R r2 80000000 - 2468230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2468250 ns R psr 81000200 - 2468250 ns MR4_I 00000238 48054770 - 2468270 ns MR4_I 00000230 07d2684a - 2468290 ns IT 00000230 684a LDR r2,[r1,#4] - 2468310 ns MR4_I 00000234 6008d1fc - 2468370 ns MR4_D 40006004 00000001 - 2468370 ns R r2 00000001 - 2468370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2468390 ns R r2 80000000 - 2468390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2468410 ns R psr 81000200 - 2468410 ns MR4_I 00000238 48054770 - 2468430 ns MR4_I 00000230 07d2684a - 2468450 ns IT 00000230 684a LDR r2,[r1,#4] - 2468470 ns MR4_I 00000234 6008d1fc - 2468530 ns MR4_D 40006004 00000001 - 2468530 ns R r2 00000001 - 2468530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2468550 ns R r2 80000000 - 2468550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2468570 ns R psr 81000200 - 2468570 ns MR4_I 00000238 48054770 - 2468590 ns MR4_I 00000230 07d2684a - 2468610 ns IT 00000230 684a LDR r2,[r1,#4] - 2468630 ns MR4_I 00000234 6008d1fc - 2468690 ns MR4_D 40006004 00000001 - 2468690 ns R r2 00000001 - 2468690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2468710 ns R r2 80000000 - 2468710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2468730 ns R psr 81000200 - 2468730 ns MR4_I 00000238 48054770 - 2468750 ns MR4_I 00000230 07d2684a - 2468770 ns IT 00000230 684a LDR r2,[r1,#4] - 2468790 ns MR4_I 00000234 6008d1fc - 2468850 ns MR4_D 40006004 00000001 - 2468850 ns R r2 00000001 - 2468850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2468870 ns R r2 80000000 - 2468870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2468890 ns R psr 81000200 - 2468890 ns MR4_I 00000238 48054770 - 2468910 ns MR4_I 00000230 07d2684a - 2468930 ns IT 00000230 684a LDR r2,[r1,#4] - 2468950 ns MR4_I 00000234 6008d1fc - 2469010 ns MR4_D 40006004 00000001 - 2469010 ns R r2 00000001 - 2469010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2469030 ns R r2 80000000 - 2469030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2469050 ns R psr 81000200 - 2469050 ns MR4_I 00000238 48054770 - 2469070 ns MR4_I 00000230 07d2684a - 2469090 ns IT 00000230 684a LDR r2,[r1,#4] - 2469110 ns MR4_I 00000234 6008d1fc - 2469170 ns MR4_D 40006004 00000001 - 2469170 ns R r2 00000001 - 2469170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2469190 ns R r2 80000000 - 2469190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2469210 ns R psr 81000200 - 2469210 ns MR4_I 00000238 48054770 - 2469230 ns MR4_I 00000230 07d2684a - 2469250 ns IT 00000230 684a LDR r2,[r1,#4] - 2469270 ns MR4_I 00000234 6008d1fc - 2469330 ns MR4_D 40006004 00000001 - 2469330 ns R r2 00000001 - 2469330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2469350 ns R r2 80000000 - 2469350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2469370 ns R psr 81000200 - 2469370 ns MR4_I 00000238 48054770 - 2469390 ns MR4_I 00000230 07d2684a - 2469410 ns IT 00000230 684a LDR r2,[r1,#4] - 2469430 ns MR4_I 00000234 6008d1fc - 2469490 ns MR4_D 40006004 00000001 - 2469490 ns R r2 00000001 - 2469490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2469510 ns R r2 80000000 - 2469510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2469530 ns R psr 81000200 - 2469530 ns MR4_I 00000238 48054770 - 2469550 ns MR4_I 00000230 07d2684a - 2469570 ns IT 00000230 684a LDR r2,[r1,#4] - 2469590 ns MR4_I 00000234 6008d1fc - 2469650 ns MR4_D 40006004 00000001 - 2469650 ns R r2 00000001 - 2469650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2469670 ns R r2 80000000 - 2469670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2469690 ns R psr 81000200 - 2469690 ns MR4_I 00000238 48054770 - 2469710 ns MR4_I 00000230 07d2684a - 2469730 ns IT 00000230 684a LDR r2,[r1,#4] - 2469750 ns MR4_I 00000234 6008d1fc - 2469810 ns MR4_D 40006004 00000001 - 2469810 ns R r2 00000001 - 2469810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2469830 ns R r2 80000000 - 2469830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2469850 ns R psr 81000200 - 2469850 ns MR4_I 00000238 48054770 - 2469870 ns MR4_I 00000230 07d2684a - 2469890 ns IT 00000230 684a LDR r2,[r1,#4] - 2469910 ns MR4_I 00000234 6008d1fc - 2469970 ns MR4_D 40006004 00000001 - 2469970 ns R r2 00000001 - 2469970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2469990 ns R r2 80000000 - 2469990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2470010 ns R psr 81000200 - 2470010 ns MR4_I 00000238 48054770 - 2470030 ns MR4_I 00000230 07d2684a - 2470050 ns IT 00000230 684a LDR r2,[r1,#4] - 2470070 ns MR4_I 00000234 6008d1fc - 2470130 ns MR4_D 40006004 00000001 - 2470130 ns R r2 00000001 - 2470130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2470150 ns R r2 80000000 - 2470150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2470170 ns R psr 81000200 - 2470170 ns MR4_I 00000238 48054770 - 2470190 ns MR4_I 00000230 07d2684a - 2470210 ns IT 00000230 684a LDR r2,[r1,#4] - 2470230 ns MR4_I 00000234 6008d1fc - 2470290 ns MR4_D 40006004 00000001 - 2470290 ns R r2 00000001 - 2470290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2470310 ns R r2 80000000 - 2470310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2470330 ns R psr 81000200 - 2470330 ns MR4_I 00000238 48054770 - 2470350 ns MR4_I 00000230 07d2684a - 2470370 ns IT 00000230 684a LDR r2,[r1,#4] - 2470390 ns MR4_I 00000234 6008d1fc - 2470450 ns MR4_D 40006004 00000001 - 2470450 ns R r2 00000001 - 2470450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2470470 ns R r2 80000000 - 2470470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2470490 ns R psr 81000200 - 2470490 ns MR4_I 00000238 48054770 - 2470510 ns MR4_I 00000230 07d2684a - 2470530 ns IT 00000230 684a LDR r2,[r1,#4] - 2470550 ns MR4_I 00000234 6008d1fc - 2470610 ns MR4_D 40006004 00000001 - 2470610 ns R r2 00000001 - 2470610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2470630 ns R r2 80000000 - 2470630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2470650 ns R psr 81000200 - 2470650 ns MR4_I 00000238 48054770 - 2470670 ns MR4_I 00000230 07d2684a - 2470690 ns IT 00000230 684a LDR r2,[r1,#4] - 2470710 ns MR4_I 00000234 6008d1fc - 2470770 ns MR4_D 40006004 00000001 - 2470770 ns R r2 00000001 - 2470770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2470790 ns R r2 80000000 - 2470790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2470810 ns R psr 81000200 - 2470810 ns MR4_I 00000238 48054770 - 2470830 ns MR4_I 00000230 07d2684a - 2470850 ns IT 00000230 684a LDR r2,[r1,#4] - 2470870 ns MR4_I 00000234 6008d1fc - 2470930 ns MR4_D 40006004 00000001 - 2470930 ns R r2 00000001 - 2470930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2470950 ns R r2 80000000 - 2470950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2470970 ns R psr 81000200 - 2470970 ns MR4_I 00000238 48054770 - 2470990 ns MR4_I 00000230 07d2684a - 2471010 ns IT 00000230 684a LDR r2,[r1,#4] - 2471030 ns MR4_I 00000234 6008d1fc - 2471090 ns MR4_D 40006004 00000001 - 2471090 ns R r2 00000001 - 2471090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2471110 ns R r2 80000000 - 2471110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2471130 ns R psr 81000200 - 2471130 ns MR4_I 00000238 48054770 - 2471150 ns MR4_I 00000230 07d2684a - 2471170 ns IT 00000230 684a LDR r2,[r1,#4] - 2471190 ns MR4_I 00000234 6008d1fc - 2471250 ns MR4_D 40006004 00000000 - 2471250 ns R r2 00000000 - 2471250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2471270 ns R r2 00000000 - 2471270 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2471290 ns R psr 41000200 - 2471290 ns MR4_I 00000238 48054770 - 2471290 ns IT 00000236 6008 STR r0,[r1,#0] - 2471370 ns MW4_D 40006000 0000002a - 2471370 ns IT 00000238 4770 BX lr - 2471390 ns MR4_I 0000023c 07896841 - 2471410 ns R psr 41000200 - 2471410 ns MR4_I 000001fc b510bd10 - 2471430 ns IT 000001fc bd10 POP {r4,pc} - 2471450 ns MR4_I 00000200 f81bf000 - 2471450 ns R r13 200002e0 (MSP) - 2471470 ns MR4_D 200002d8 00000000 - 2471470 ns R r4 00000000 - 2471490 ns MR4_D 200002dc 0000032d - 2471510 ns R psr 41000200 - 2471530 ns MR4_I 0000032c 46301c64 - 2471550 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 2471570 ns MR4_I 00000330 280047a8 - 2471570 ns R r4 00000001 - 2471570 ns IT 0000032e 4630 MOV r0,r6 - 2471590 ns R psr 01000200 - 2471590 ns R r0 200002f8 - 2471590 ns IT 00000330 47a8 BLX r5 - 2471610 ns MR4_I 00000334 4620d1f8 - 2471630 ns R psr 01000200 - 2471630 ns MR4_I 000002a8 1c4a6901 - 2471630 ns R r14 00000333 - 2471650 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2471670 ns MR4_I 000002ac 78086102 - 2471690 ns MR4_D 20000308 00000191 - 2471690 ns R r1 00000191 - 2471690 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2471710 ns R r2 00000192 - 2471710 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2471730 ns R psr 01000200 - 2471730 ns MR4_I 000002b0 b5004770 - 2471750 ns MW4_D 20000308 00000192 - 2471750 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2471790 ns MR1_D 00000191 54202a2a - 2471790 ns R r0 0000002a - 2471790 ns IT 000002b0 4770 BX lr - 2471810 ns MR4_I 000002b4 9102b08f - 2471830 ns R psr 01000200 - 2471830 ns MR4_I 00000330 280047a8 - 2471850 ns MR4_I 00000334 4620d1f8 - 2471850 ns IT 00000332 2800 CMP r0,#0 - 2471870 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2471890 ns R psr 21000200 - 2471890 ns MR4_I 00000338 b510bdf8 - 2471910 ns MR4_I 00000328 47b89900 - 2471930 ns IT 00000328 9900 LDR r1,[sp,#0] - 2471950 ns MR4_I 0000032c 46301c64 - 2471970 ns MR4_D 200002e0 20000004 - 2471970 ns R r1 20000004 - 2471970 ns IT 0000032a 47b8 BLX r7 - 2472010 ns R psr 21000200 - 2472010 ns MR4_I 000001f4 b2c0b510 - 2472010 ns R r14 0000032d - 2472030 ns IT 000001f4 b510 PUSH {r4,lr} - 2472050 ns MR4_I 000001f8 f819f000 - 2472070 ns MW4_D 200002d8 00000001 - 2472090 ns MW4_D 200002dc 0000032d - 2472090 ns R r13 200002d8 (MSP) - 2472090 ns IT 000001f6 b2c0 UXTB r0,r0 - 2472110 ns R r0 0000002a - 2472110 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 2472130 ns MR4_I 000001fc b510bd10 - 2472150 ns R r14 000001fd - 2472170 ns MR4_I 0000022c 49084770 - 2472190 ns MR4_I 00000230 07d2684a - 2472190 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 2472230 ns MR4_D 00000250 40006000 - 2472230 ns R r1 40006000 - 2472230 ns IT 00000230 684a LDR r2,[r1,#4] - 2472250 ns MR4_I 00000234 6008d1fc - 2472310 ns MR4_D 40006004 00000001 - 2472310 ns R r2 00000001 - 2472310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2472330 ns R r2 80000000 - 2472330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2472350 ns R psr 81000200 - 2472350 ns MR4_I 00000238 48054770 - 2472370 ns MR4_I 00000230 07d2684a - 2472390 ns IT 00000230 684a LDR r2,[r1,#4] - 2472410 ns MR4_I 00000234 6008d1fc - 2472470 ns MR4_D 40006004 00000001 - 2472470 ns R r2 00000001 - 2472470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2472490 ns R r2 80000000 - 2472490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2472510 ns R psr 81000200 - 2472510 ns MR4_I 00000238 48054770 - 2472530 ns MR4_I 00000230 07d2684a - 2472550 ns IT 00000230 684a LDR r2,[r1,#4] - 2472570 ns MR4_I 00000234 6008d1fc - 2472630 ns MR4_D 40006004 00000001 - 2472630 ns R r2 00000001 - 2472630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2472650 ns R r2 80000000 - 2472650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2472670 ns R psr 81000200 - 2472670 ns MR4_I 00000238 48054770 - 2472690 ns MR4_I 00000230 07d2684a - 2472710 ns IT 00000230 684a LDR r2,[r1,#4] - 2472730 ns MR4_I 00000234 6008d1fc - 2472790 ns MR4_D 40006004 00000001 - 2472790 ns R r2 00000001 - 2472790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2472810 ns R r2 80000000 - 2472810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2472830 ns R psr 81000200 - 2472830 ns MR4_I 00000238 48054770 - 2472850 ns MR4_I 00000230 07d2684a - 2472870 ns IT 00000230 684a LDR r2,[r1,#4] - 2472890 ns MR4_I 00000234 6008d1fc - 2472950 ns MR4_D 40006004 00000001 - 2472950 ns R r2 00000001 - 2472950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2472970 ns R r2 80000000 - 2472970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2472990 ns R psr 81000200 - 2472990 ns MR4_I 00000238 48054770 - 2473010 ns MR4_I 00000230 07d2684a - 2473030 ns IT 00000230 684a LDR r2,[r1,#4] - 2473050 ns MR4_I 00000234 6008d1fc - 2473110 ns MR4_D 40006004 00000001 - 2473110 ns R r2 00000001 - 2473110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2473130 ns R r2 80000000 - 2473130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2473150 ns R psr 81000200 - 2473150 ns MR4_I 00000238 48054770 - 2473170 ns MR4_I 00000230 07d2684a - 2473190 ns IT 00000230 684a LDR r2,[r1,#4] - 2473210 ns MR4_I 00000234 6008d1fc - 2473270 ns MR4_D 40006004 00000001 - 2473270 ns R r2 00000001 - 2473270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2473290 ns R r2 80000000 - 2473290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2473310 ns R psr 81000200 - 2473310 ns MR4_I 00000238 48054770 - 2473330 ns MR4_I 00000230 07d2684a - 2473350 ns IT 00000230 684a LDR r2,[r1,#4] - 2473370 ns MR4_I 00000234 6008d1fc - 2473430 ns MR4_D 40006004 00000001 - 2473430 ns R r2 00000001 - 2473430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2473450 ns R r2 80000000 - 2473450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2473470 ns R psr 81000200 - 2473470 ns MR4_I 00000238 48054770 - 2473490 ns MR4_I 00000230 07d2684a - 2473510 ns IT 00000230 684a LDR r2,[r1,#4] - 2473530 ns MR4_I 00000234 6008d1fc - 2473590 ns MR4_D 40006004 00000001 - 2473590 ns R r2 00000001 - 2473590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2473610 ns R r2 80000000 - 2473610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2473630 ns R psr 81000200 - 2473630 ns MR4_I 00000238 48054770 - 2473650 ns MR4_I 00000230 07d2684a - 2473670 ns IT 00000230 684a LDR r2,[r1,#4] - 2473690 ns MR4_I 00000234 6008d1fc - 2473750 ns MR4_D 40006004 00000001 - 2473750 ns R r2 00000001 - 2473750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2473770 ns R r2 80000000 - 2473770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2473790 ns R psr 81000200 - 2473790 ns MR4_I 00000238 48054770 - 2473810 ns MR4_I 00000230 07d2684a - 2473830 ns IT 00000230 684a LDR r2,[r1,#4] - 2473850 ns MR4_I 00000234 6008d1fc - 2473910 ns MR4_D 40006004 00000001 - 2473910 ns R r2 00000001 - 2473910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2473930 ns R r2 80000000 - 2473930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2473950 ns R psr 81000200 - 2473950 ns MR4_I 00000238 48054770 - 2473970 ns MR4_I 00000230 07d2684a - 2473990 ns IT 00000230 684a LDR r2,[r1,#4] - 2474010 ns MR4_I 00000234 6008d1fc - 2474070 ns MR4_D 40006004 00000001 - 2474070 ns R r2 00000001 - 2474070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2474090 ns R r2 80000000 - 2474090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2474110 ns R psr 81000200 - 2474110 ns MR4_I 00000238 48054770 - 2474130 ns MR4_I 00000230 07d2684a - 2474150 ns IT 00000230 684a LDR r2,[r1,#4] - 2474170 ns MR4_I 00000234 6008d1fc - 2474230 ns MR4_D 40006004 00000001 - 2474230 ns R r2 00000001 - 2474230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2474250 ns R r2 80000000 - 2474250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2474270 ns R psr 81000200 - 2474270 ns MR4_I 00000238 48054770 - 2474290 ns MR4_I 00000230 07d2684a - 2474310 ns IT 00000230 684a LDR r2,[r1,#4] - 2474330 ns MR4_I 00000234 6008d1fc - 2474390 ns MR4_D 40006004 00000001 - 2474390 ns R r2 00000001 - 2474390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2474410 ns R r2 80000000 - 2474410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2474430 ns R psr 81000200 - 2474430 ns MR4_I 00000238 48054770 - 2474450 ns MR4_I 00000230 07d2684a - 2474470 ns IT 00000230 684a LDR r2,[r1,#4] - 2474490 ns MR4_I 00000234 6008d1fc - 2474550 ns MR4_D 40006004 00000001 - 2474550 ns R r2 00000001 - 2474550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2474570 ns R r2 80000000 - 2474570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2474590 ns R psr 81000200 - 2474590 ns MR4_I 00000238 48054770 - 2474610 ns MR4_I 00000230 07d2684a - 2474630 ns IT 00000230 684a LDR r2,[r1,#4] - 2474650 ns MR4_I 00000234 6008d1fc - 2474710 ns MR4_D 40006004 00000001 - 2474710 ns R r2 00000001 - 2474710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2474730 ns R r2 80000000 - 2474730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2474750 ns R psr 81000200 - 2474750 ns MR4_I 00000238 48054770 - 2474770 ns MR4_I 00000230 07d2684a - 2474790 ns IT 00000230 684a LDR r2,[r1,#4] - 2474810 ns MR4_I 00000234 6008d1fc - 2474870 ns MR4_D 40006004 00000001 - 2474870 ns R r2 00000001 - 2474870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2474890 ns R r2 80000000 - 2474890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2474910 ns R psr 81000200 - 2474910 ns MR4_I 00000238 48054770 - 2474930 ns MR4_I 00000230 07d2684a - 2474950 ns IT 00000230 684a LDR r2,[r1,#4] - 2474970 ns MR4_I 00000234 6008d1fc - 2475030 ns MR4_D 40006004 00000001 - 2475030 ns R r2 00000001 - 2475030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2475050 ns R r2 80000000 - 2475050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2475070 ns R psr 81000200 - 2475070 ns MR4_I 00000238 48054770 - 2475090 ns MR4_I 00000230 07d2684a - 2475110 ns IT 00000230 684a LDR r2,[r1,#4] - 2475130 ns MR4_I 00000234 6008d1fc - 2475190 ns MR4_D 40006004 00000001 - 2475190 ns R r2 00000001 - 2475190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2475210 ns R r2 80000000 - 2475210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2475230 ns R psr 81000200 - 2475230 ns MR4_I 00000238 48054770 - 2475250 ns MR4_I 00000230 07d2684a - 2475270 ns IT 00000230 684a LDR r2,[r1,#4] - 2475290 ns MR4_I 00000234 6008d1fc - 2475350 ns MR4_D 40006004 00000001 - 2475350 ns R r2 00000001 - 2475350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2475370 ns R r2 80000000 - 2475370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2475390 ns R psr 81000200 - 2475390 ns MR4_I 00000238 48054770 - 2475410 ns MR4_I 00000230 07d2684a - 2475430 ns IT 00000230 684a LDR r2,[r1,#4] - 2475450 ns MR4_I 00000234 6008d1fc - 2475510 ns MR4_D 40006004 00000001 - 2475510 ns R r2 00000001 - 2475510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2475530 ns R r2 80000000 - 2475530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2475550 ns R psr 81000200 - 2475550 ns MR4_I 00000238 48054770 - 2475570 ns MR4_I 00000230 07d2684a - 2475590 ns IT 00000230 684a LDR r2,[r1,#4] - 2475610 ns MR4_I 00000234 6008d1fc - 2475670 ns MR4_D 40006004 00000001 - 2475670 ns R r2 00000001 - 2475670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2475690 ns R r2 80000000 - 2475690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2475710 ns R psr 81000200 - 2475710 ns MR4_I 00000238 48054770 - 2475730 ns MR4_I 00000230 07d2684a - 2475750 ns IT 00000230 684a LDR r2,[r1,#4] - 2475770 ns MR4_I 00000234 6008d1fc - 2475830 ns MR4_D 40006004 00000001 - 2475830 ns R r2 00000001 - 2475830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2475850 ns R r2 80000000 - 2475850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2475870 ns R psr 81000200 - 2475870 ns MR4_I 00000238 48054770 - 2475890 ns MR4_I 00000230 07d2684a - 2475910 ns IT 00000230 684a LDR r2,[r1,#4] - 2475930 ns MR4_I 00000234 6008d1fc - 2475990 ns MR4_D 40006004 00000001 - 2475990 ns R r2 00000001 - 2475990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2476010 ns R r2 80000000 - 2476010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2476030 ns R psr 81000200 - 2476030 ns MR4_I 00000238 48054770 - 2476050 ns MR4_I 00000230 07d2684a - 2476070 ns IT 00000230 684a LDR r2,[r1,#4] - 2476090 ns MR4_I 00000234 6008d1fc - 2476150 ns MR4_D 40006004 00000001 - 2476150 ns R r2 00000001 - 2476150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2476170 ns R r2 80000000 - 2476170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2476190 ns R psr 81000200 - 2476190 ns MR4_I 00000238 48054770 - 2476210 ns MR4_I 00000230 07d2684a - 2476230 ns IT 00000230 684a LDR r2,[r1,#4] - 2476250 ns MR4_I 00000234 6008d1fc - 2476310 ns MR4_D 40006004 00000001 - 2476310 ns R r2 00000001 - 2476310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2476330 ns R r2 80000000 - 2476330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2476350 ns R psr 81000200 - 2476350 ns MR4_I 00000238 48054770 - 2476370 ns MR4_I 00000230 07d2684a - 2476390 ns IT 00000230 684a LDR r2,[r1,#4] - 2476410 ns MR4_I 00000234 6008d1fc - 2476470 ns MR4_D 40006004 00000001 - 2476470 ns R r2 00000001 - 2476470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2476490 ns R r2 80000000 - 2476490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2476510 ns R psr 81000200 - 2476510 ns MR4_I 00000238 48054770 - 2476530 ns MR4_I 00000230 07d2684a - 2476550 ns IT 00000230 684a LDR r2,[r1,#4] - 2476570 ns MR4_I 00000234 6008d1fc - 2476630 ns MR4_D 40006004 00000001 - 2476630 ns R r2 00000001 - 2476630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2476650 ns R r2 80000000 - 2476650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2476670 ns R psr 81000200 - 2476670 ns MR4_I 00000238 48054770 - 2476690 ns MR4_I 00000230 07d2684a - 2476710 ns IT 00000230 684a LDR r2,[r1,#4] - 2476730 ns MR4_I 00000234 6008d1fc - 2476790 ns MR4_D 40006004 00000001 - 2476790 ns R r2 00000001 - 2476790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2476810 ns R r2 80000000 - 2476810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2476830 ns R psr 81000200 - 2476830 ns MR4_I 00000238 48054770 - 2476850 ns MR4_I 00000230 07d2684a - 2476870 ns IT 00000230 684a LDR r2,[r1,#4] - 2476890 ns MR4_I 00000234 6008d1fc - 2476950 ns MR4_D 40006004 00000001 - 2476950 ns R r2 00000001 - 2476950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2476970 ns R r2 80000000 - 2476970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2476990 ns R psr 81000200 - 2476990 ns MR4_I 00000238 48054770 - 2477010 ns MR4_I 00000230 07d2684a - 2477030 ns IT 00000230 684a LDR r2,[r1,#4] - 2477050 ns MR4_I 00000234 6008d1fc - 2477110 ns MR4_D 40006004 00000001 - 2477110 ns R r2 00000001 - 2477110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2477130 ns R r2 80000000 - 2477130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2477150 ns R psr 81000200 - 2477150 ns MR4_I 00000238 48054770 - 2477170 ns MR4_I 00000230 07d2684a - 2477190 ns IT 00000230 684a LDR r2,[r1,#4] - 2477210 ns MR4_I 00000234 6008d1fc - 2477270 ns MR4_D 40006004 00000001 - 2477270 ns R r2 00000001 - 2477270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2477290 ns R r2 80000000 - 2477290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2477310 ns R psr 81000200 - 2477310 ns MR4_I 00000238 48054770 - 2477330 ns MR4_I 00000230 07d2684a - 2477350 ns IT 00000230 684a LDR r2,[r1,#4] - 2477370 ns MR4_I 00000234 6008d1fc - 2477430 ns MR4_D 40006004 00000001 - 2477430 ns R r2 00000001 - 2477430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2477450 ns R r2 80000000 - 2477450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2477470 ns R psr 81000200 - 2477470 ns MR4_I 00000238 48054770 - 2477490 ns MR4_I 00000230 07d2684a - 2477510 ns IT 00000230 684a LDR r2,[r1,#4] - 2477530 ns MR4_I 00000234 6008d1fc - 2477590 ns MR4_D 40006004 00000001 - 2477590 ns R r2 00000001 - 2477590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2477610 ns R r2 80000000 - 2477610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2477630 ns R psr 81000200 - 2477630 ns MR4_I 00000238 48054770 - 2477650 ns MR4_I 00000230 07d2684a - 2477670 ns IT 00000230 684a LDR r2,[r1,#4] - 2477690 ns MR4_I 00000234 6008d1fc - 2477750 ns MR4_D 40006004 00000001 - 2477750 ns R r2 00000001 - 2477750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2477770 ns R r2 80000000 - 2477770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2477790 ns R psr 81000200 - 2477790 ns MR4_I 00000238 48054770 - 2477810 ns MR4_I 00000230 07d2684a - 2477830 ns IT 00000230 684a LDR r2,[r1,#4] - 2477850 ns MR4_I 00000234 6008d1fc - 2477910 ns MR4_D 40006004 00000001 - 2477910 ns R r2 00000001 - 2477910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2477930 ns R r2 80000000 - 2477930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2477950 ns R psr 81000200 - 2477950 ns MR4_I 00000238 48054770 - 2477970 ns MR4_I 00000230 07d2684a - 2477990 ns IT 00000230 684a LDR r2,[r1,#4] - 2478010 ns MR4_I 00000234 6008d1fc - 2478070 ns MR4_D 40006004 00000001 - 2478070 ns R r2 00000001 - 2478070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2478090 ns R r2 80000000 - 2478090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2478110 ns R psr 81000200 - 2478110 ns MR4_I 00000238 48054770 - 2478130 ns MR4_I 00000230 07d2684a - 2478150 ns IT 00000230 684a LDR r2,[r1,#4] - 2478170 ns MR4_I 00000234 6008d1fc - 2478230 ns MR4_D 40006004 00000001 - 2478230 ns R r2 00000001 - 2478230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2478250 ns R r2 80000000 - 2478250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2478270 ns R psr 81000200 - 2478270 ns MR4_I 00000238 48054770 - 2478290 ns MR4_I 00000230 07d2684a - 2478310 ns IT 00000230 684a LDR r2,[r1,#4] - 2478330 ns MR4_I 00000234 6008d1fc - 2478390 ns MR4_D 40006004 00000001 - 2478390 ns R r2 00000001 - 2478390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2478410 ns R r2 80000000 - 2478410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2478430 ns R psr 81000200 - 2478430 ns MR4_I 00000238 48054770 - 2478450 ns MR4_I 00000230 07d2684a - 2478470 ns IT 00000230 684a LDR r2,[r1,#4] - 2478490 ns MR4_I 00000234 6008d1fc - 2478550 ns MR4_D 40006004 00000001 - 2478550 ns R r2 00000001 - 2478550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2478570 ns R r2 80000000 - 2478570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2478590 ns R psr 81000200 - 2478590 ns MR4_I 00000238 48054770 - 2478610 ns MR4_I 00000230 07d2684a - 2478630 ns IT 00000230 684a LDR r2,[r1,#4] - 2478650 ns MR4_I 00000234 6008d1fc - 2478710 ns MR4_D 40006004 00000001 - 2478710 ns R r2 00000001 - 2478710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2478730 ns R r2 80000000 - 2478730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2478750 ns R psr 81000200 - 2478750 ns MR4_I 00000238 48054770 - 2478770 ns MR4_I 00000230 07d2684a - 2478790 ns IT 00000230 684a LDR r2,[r1,#4] - 2478810 ns MR4_I 00000234 6008d1fc - 2478870 ns MR4_D 40006004 00000001 - 2478870 ns R r2 00000001 - 2478870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2478890 ns R r2 80000000 - 2478890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2478910 ns R psr 81000200 - 2478910 ns MR4_I 00000238 48054770 - 2478930 ns MR4_I 00000230 07d2684a - 2478950 ns IT 00000230 684a LDR r2,[r1,#4] - 2478970 ns MR4_I 00000234 6008d1fc - 2479030 ns MR4_D 40006004 00000001 - 2479030 ns R r2 00000001 - 2479030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2479050 ns R r2 80000000 - 2479050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2479070 ns R psr 81000200 - 2479070 ns MR4_I 00000238 48054770 - 2479090 ns MR4_I 00000230 07d2684a - 2479110 ns IT 00000230 684a LDR r2,[r1,#4] - 2479130 ns MR4_I 00000234 6008d1fc - 2479190 ns MR4_D 40006004 00000001 - 2479190 ns R r2 00000001 - 2479190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2479210 ns R r2 80000000 - 2479210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2479230 ns R psr 81000200 - 2479230 ns MR4_I 00000238 48054770 - 2479250 ns MR4_I 00000230 07d2684a - 2479270 ns IT 00000230 684a LDR r2,[r1,#4] - 2479290 ns MR4_I 00000234 6008d1fc - 2479350 ns MR4_D 40006004 00000001 - 2479350 ns R r2 00000001 - 2479350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2479370 ns R r2 80000000 - 2479370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2479390 ns R psr 81000200 - 2479390 ns MR4_I 00000238 48054770 - 2479410 ns MR4_I 00000230 07d2684a - 2479430 ns IT 00000230 684a LDR r2,[r1,#4] - 2479450 ns MR4_I 00000234 6008d1fc - 2479510 ns MR4_D 40006004 00000001 - 2479510 ns R r2 00000001 - 2479510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2479530 ns R r2 80000000 - 2479530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2479550 ns R psr 81000200 - 2479550 ns MR4_I 00000238 48054770 - 2479570 ns MR4_I 00000230 07d2684a - 2479590 ns IT 00000230 684a LDR r2,[r1,#4] - 2479610 ns MR4_I 00000234 6008d1fc - 2479670 ns MR4_D 40006004 00000001 - 2479670 ns R r2 00000001 - 2479670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2479690 ns R r2 80000000 - 2479690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2479710 ns R psr 81000200 - 2479710 ns MR4_I 00000238 48054770 - 2479730 ns MR4_I 00000230 07d2684a - 2479750 ns IT 00000230 684a LDR r2,[r1,#4] - 2479770 ns MR4_I 00000234 6008d1fc - 2479830 ns MR4_D 40006004 00000001 - 2479830 ns R r2 00000001 - 2479830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2479850 ns R r2 80000000 - 2479850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2479870 ns R psr 81000200 - 2479870 ns MR4_I 00000238 48054770 - 2479890 ns MR4_I 00000230 07d2684a - 2479910 ns IT 00000230 684a LDR r2,[r1,#4] - 2479930 ns MR4_I 00000234 6008d1fc - 2479990 ns MR4_D 40006004 00000001 - 2479990 ns R r2 00000001 - 2479990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2480010 ns R r2 80000000 - 2480010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2480030 ns R psr 81000200 - 2480030 ns MR4_I 00000238 48054770 - 2480050 ns MR4_I 00000230 07d2684a - 2480070 ns IT 00000230 684a LDR r2,[r1,#4] - 2480090 ns MR4_I 00000234 6008d1fc - 2480150 ns MR4_D 40006004 00000001 - 2480150 ns R r2 00000001 - 2480150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2480170 ns R r2 80000000 - 2480170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2480190 ns R psr 81000200 - 2480190 ns MR4_I 00000238 48054770 - 2480210 ns MR4_I 00000230 07d2684a - 2480230 ns IT 00000230 684a LDR r2,[r1,#4] - 2480250 ns MR4_I 00000234 6008d1fc - 2480310 ns MR4_D 40006004 00000001 - 2480310 ns R r2 00000001 - 2480310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2480330 ns R r2 80000000 - 2480330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2480350 ns R psr 81000200 - 2480350 ns MR4_I 00000238 48054770 - 2480370 ns MR4_I 00000230 07d2684a - 2480390 ns IT 00000230 684a LDR r2,[r1,#4] - 2480410 ns MR4_I 00000234 6008d1fc - 2480470 ns MR4_D 40006004 00000001 - 2480470 ns R r2 00000001 - 2480470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2480490 ns R r2 80000000 - 2480490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2480510 ns R psr 81000200 - 2480510 ns MR4_I 00000238 48054770 - 2480530 ns MR4_I 00000230 07d2684a - 2480550 ns IT 00000230 684a LDR r2,[r1,#4] - 2480570 ns MR4_I 00000234 6008d1fc - 2480630 ns MR4_D 40006004 00000001 - 2480630 ns R r2 00000001 - 2480630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2480650 ns R r2 80000000 - 2480650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2480670 ns R psr 81000200 - 2480670 ns MR4_I 00000238 48054770 - 2480690 ns MR4_I 00000230 07d2684a - 2480710 ns IT 00000230 684a LDR r2,[r1,#4] - 2480730 ns MR4_I 00000234 6008d1fc - 2480790 ns MR4_D 40006004 00000001 - 2480790 ns R r2 00000001 - 2480790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2480810 ns R r2 80000000 - 2480810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2480830 ns R psr 81000200 - 2480830 ns MR4_I 00000238 48054770 - 2480850 ns MR4_I 00000230 07d2684a - 2480870 ns IT 00000230 684a LDR r2,[r1,#4] - 2480890 ns MR4_I 00000234 6008d1fc - 2480950 ns MR4_D 40006004 00000001 - 2480950 ns R r2 00000001 - 2480950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2480970 ns R r2 80000000 - 2480970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2480990 ns R psr 81000200 - 2480990 ns MR4_I 00000238 48054770 - 2481010 ns MR4_I 00000230 07d2684a - 2481030 ns IT 00000230 684a LDR r2,[r1,#4] - 2481050 ns MR4_I 00000234 6008d1fc - 2481110 ns MR4_D 40006004 00000001 - 2481110 ns R r2 00000001 - 2481110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2481130 ns R r2 80000000 - 2481130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2481150 ns R psr 81000200 - 2481150 ns MR4_I 00000238 48054770 - 2481170 ns MR4_I 00000230 07d2684a - 2481190 ns IT 00000230 684a LDR r2,[r1,#4] - 2481210 ns MR4_I 00000234 6008d1fc - 2481270 ns MR4_D 40006004 00000001 - 2481270 ns R r2 00000001 - 2481270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2481290 ns R r2 80000000 - 2481290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2481310 ns R psr 81000200 - 2481310 ns MR4_I 00000238 48054770 - 2481330 ns MR4_I 00000230 07d2684a - 2481350 ns IT 00000230 684a LDR r2,[r1,#4] - 2481370 ns MR4_I 00000234 6008d1fc - 2481430 ns MR4_D 40006004 00000001 - 2481430 ns R r2 00000001 - 2481430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2481450 ns R r2 80000000 - 2481450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2481470 ns R psr 81000200 - 2481470 ns MR4_I 00000238 48054770 - 2481490 ns MR4_I 00000230 07d2684a - 2481510 ns IT 00000230 684a LDR r2,[r1,#4] - 2481530 ns MR4_I 00000234 6008d1fc - 2481590 ns MR4_D 40006004 00000001 - 2481590 ns R r2 00000001 - 2481590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2481610 ns R r2 80000000 - 2481610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2481630 ns R psr 81000200 - 2481630 ns MR4_I 00000238 48054770 - 2481650 ns MR4_I 00000230 07d2684a - 2481670 ns IT 00000230 684a LDR r2,[r1,#4] - 2481690 ns MR4_I 00000234 6008d1fc - 2481750 ns MR4_D 40006004 00000001 - 2481750 ns R r2 00000001 - 2481750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2481770 ns R r2 80000000 - 2481770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2481790 ns R psr 81000200 - 2481790 ns MR4_I 00000238 48054770 - 2481810 ns MR4_I 00000230 07d2684a - 2481830 ns IT 00000230 684a LDR r2,[r1,#4] - 2481850 ns MR4_I 00000234 6008d1fc - 2481910 ns MR4_D 40006004 00000001 - 2481910 ns R r2 00000001 - 2481910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2481930 ns R r2 80000000 - 2481930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2481950 ns R psr 81000200 - 2481950 ns MR4_I 00000238 48054770 - 2481970 ns MR4_I 00000230 07d2684a - 2481990 ns IT 00000230 684a LDR r2,[r1,#4] - 2482010 ns MR4_I 00000234 6008d1fc - 2482070 ns MR4_D 40006004 00000001 - 2482070 ns R r2 00000001 - 2482070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2482090 ns R r2 80000000 - 2482090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2482110 ns R psr 81000200 - 2482110 ns MR4_I 00000238 48054770 - 2482130 ns MR4_I 00000230 07d2684a - 2482150 ns IT 00000230 684a LDR r2,[r1,#4] - 2482170 ns MR4_I 00000234 6008d1fc - 2482230 ns MR4_D 40006004 00000001 - 2482230 ns R r2 00000001 - 2482230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2482250 ns R r2 80000000 - 2482250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2482270 ns R psr 81000200 - 2482270 ns MR4_I 00000238 48054770 - 2482290 ns MR4_I 00000230 07d2684a - 2482310 ns IT 00000230 684a LDR r2,[r1,#4] - 2482330 ns MR4_I 00000234 6008d1fc - 2482390 ns MR4_D 40006004 00000001 - 2482390 ns R r2 00000001 - 2482390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2482410 ns R r2 80000000 - 2482410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2482430 ns R psr 81000200 - 2482430 ns MR4_I 00000238 48054770 - 2482450 ns MR4_I 00000230 07d2684a - 2482470 ns IT 00000230 684a LDR r2,[r1,#4] - 2482490 ns MR4_I 00000234 6008d1fc - 2482550 ns MR4_D 40006004 00000001 - 2482550 ns R r2 00000001 - 2482550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2482570 ns R r2 80000000 - 2482570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2482590 ns R psr 81000200 - 2482590 ns MR4_I 00000238 48054770 - 2482610 ns MR4_I 00000230 07d2684a - 2482630 ns IT 00000230 684a LDR r2,[r1,#4] - 2482650 ns MR4_I 00000234 6008d1fc - 2482710 ns MR4_D 40006004 00000001 - 2482710 ns R r2 00000001 - 2482710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2482730 ns R r2 80000000 - 2482730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2482750 ns R psr 81000200 - 2482750 ns MR4_I 00000238 48054770 - 2482770 ns MR4_I 00000230 07d2684a - 2482790 ns IT 00000230 684a LDR r2,[r1,#4] - 2482810 ns MR4_I 00000234 6008d1fc - 2482870 ns MR4_D 40006004 00000001 - 2482870 ns R r2 00000001 - 2482870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2482890 ns R r2 80000000 - 2482890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2482910 ns R psr 81000200 - 2482910 ns MR4_I 00000238 48054770 - 2482930 ns MR4_I 00000230 07d2684a - 2482950 ns IT 00000230 684a LDR r2,[r1,#4] - 2482970 ns MR4_I 00000234 6008d1fc - 2483030 ns MR4_D 40006004 00000001 - 2483030 ns R r2 00000001 - 2483030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2483050 ns R r2 80000000 - 2483050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2483070 ns R psr 81000200 - 2483070 ns MR4_I 00000238 48054770 - 2483090 ns MR4_I 00000230 07d2684a - 2483110 ns IT 00000230 684a LDR r2,[r1,#4] - 2483130 ns MR4_I 00000234 6008d1fc - 2483190 ns MR4_D 40006004 00000001 - 2483190 ns R r2 00000001 - 2483190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2483210 ns R r2 80000000 - 2483210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2483230 ns R psr 81000200 - 2483230 ns MR4_I 00000238 48054770 - 2483250 ns MR4_I 00000230 07d2684a - 2483270 ns IT 00000230 684a LDR r2,[r1,#4] - 2483290 ns MR4_I 00000234 6008d1fc - 2483350 ns MR4_D 40006004 00000001 - 2483350 ns R r2 00000001 - 2483350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2483370 ns R r2 80000000 - 2483370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2483390 ns R psr 81000200 - 2483390 ns MR4_I 00000238 48054770 - 2483410 ns MR4_I 00000230 07d2684a - 2483430 ns IT 00000230 684a LDR r2,[r1,#4] - 2483450 ns MR4_I 00000234 6008d1fc - 2483510 ns MR4_D 40006004 00000001 - 2483510 ns R r2 00000001 - 2483510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2483530 ns R r2 80000000 - 2483530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2483550 ns R psr 81000200 - 2483550 ns MR4_I 00000238 48054770 - 2483570 ns MR4_I 00000230 07d2684a - 2483590 ns IT 00000230 684a LDR r2,[r1,#4] - 2483610 ns MR4_I 00000234 6008d1fc - 2483670 ns MR4_D 40006004 00000001 - 2483670 ns R r2 00000001 - 2483670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2483690 ns R r2 80000000 - 2483690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2483710 ns R psr 81000200 - 2483710 ns MR4_I 00000238 48054770 - 2483730 ns MR4_I 00000230 07d2684a - 2483750 ns IT 00000230 684a LDR r2,[r1,#4] - 2483770 ns MR4_I 00000234 6008d1fc - 2483830 ns MR4_D 40006004 00000001 - 2483830 ns R r2 00000001 - 2483830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2483850 ns R r2 80000000 - 2483850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2483870 ns R psr 81000200 - 2483870 ns MR4_I 00000238 48054770 - 2483890 ns MR4_I 00000230 07d2684a - 2483910 ns IT 00000230 684a LDR r2,[r1,#4] - 2483930 ns MR4_I 00000234 6008d1fc - 2483990 ns MR4_D 40006004 00000001 - 2483990 ns R r2 00000001 - 2483990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2484010 ns R r2 80000000 - 2484010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2484030 ns R psr 81000200 - 2484030 ns MR4_I 00000238 48054770 - 2484050 ns MR4_I 00000230 07d2684a - 2484070 ns IT 00000230 684a LDR r2,[r1,#4] - 2484090 ns MR4_I 00000234 6008d1fc - 2484150 ns MR4_D 40006004 00000001 - 2484150 ns R r2 00000001 - 2484150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2484170 ns R r2 80000000 - 2484170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2484190 ns R psr 81000200 - 2484190 ns MR4_I 00000238 48054770 - 2484210 ns MR4_I 00000230 07d2684a - 2484230 ns IT 00000230 684a LDR r2,[r1,#4] - 2484250 ns MR4_I 00000234 6008d1fc - 2484310 ns MR4_D 40006004 00000001 - 2484310 ns R r2 00000001 - 2484310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2484330 ns R r2 80000000 - 2484330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2484350 ns R psr 81000200 - 2484350 ns MR4_I 00000238 48054770 - 2484370 ns MR4_I 00000230 07d2684a - 2484390 ns IT 00000230 684a LDR r2,[r1,#4] - 2484410 ns MR4_I 00000234 6008d1fc - 2484470 ns MR4_D 40006004 00000001 - 2484470 ns R r2 00000001 - 2484470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2484490 ns R r2 80000000 - 2484490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2484510 ns R psr 81000200 - 2484510 ns MR4_I 00000238 48054770 - 2484530 ns MR4_I 00000230 07d2684a - 2484550 ns IT 00000230 684a LDR r2,[r1,#4] - 2484570 ns MR4_I 00000234 6008d1fc - 2484630 ns MR4_D 40006004 00000001 - 2484630 ns R r2 00000001 - 2484630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2484650 ns R r2 80000000 - 2484650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2484670 ns R psr 81000200 - 2484670 ns MR4_I 00000238 48054770 - 2484690 ns MR4_I 00000230 07d2684a - 2484710 ns IT 00000230 684a LDR r2,[r1,#4] - 2484730 ns MR4_I 00000234 6008d1fc - 2484790 ns MR4_D 40006004 00000001 - 2484790 ns R r2 00000001 - 2484790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2484810 ns R r2 80000000 - 2484810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2484830 ns R psr 81000200 - 2484830 ns MR4_I 00000238 48054770 - 2484850 ns MR4_I 00000230 07d2684a - 2484870 ns IT 00000230 684a LDR r2,[r1,#4] - 2484890 ns MR4_I 00000234 6008d1fc - 2484950 ns MR4_D 40006004 00000001 - 2484950 ns R r2 00000001 - 2484950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2484970 ns R r2 80000000 - 2484970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2484990 ns R psr 81000200 - 2484990 ns MR4_I 00000238 48054770 - 2485010 ns MR4_I 00000230 07d2684a - 2485030 ns IT 00000230 684a LDR r2,[r1,#4] - 2485050 ns MR4_I 00000234 6008d1fc - 2485110 ns MR4_D 40006004 00000001 - 2485110 ns R r2 00000001 - 2485110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2485130 ns R r2 80000000 - 2485130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2485150 ns R psr 81000200 - 2485150 ns MR4_I 00000238 48054770 - 2485170 ns MR4_I 00000230 07d2684a - 2485190 ns IT 00000230 684a LDR r2,[r1,#4] - 2485210 ns MR4_I 00000234 6008d1fc - 2485270 ns MR4_D 40006004 00000001 - 2485270 ns R r2 00000001 - 2485270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2485290 ns R r2 80000000 - 2485290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2485310 ns R psr 81000200 - 2485310 ns MR4_I 00000238 48054770 - 2485330 ns MR4_I 00000230 07d2684a - 2485350 ns IT 00000230 684a LDR r2,[r1,#4] - 2485370 ns MR4_I 00000234 6008d1fc - 2485430 ns MR4_D 40006004 00000001 - 2485430 ns R r2 00000001 - 2485430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2485450 ns R r2 80000000 - 2485450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2485470 ns R psr 81000200 - 2485470 ns MR4_I 00000238 48054770 - 2485490 ns MR4_I 00000230 07d2684a - 2485510 ns IT 00000230 684a LDR r2,[r1,#4] - 2485530 ns MR4_I 00000234 6008d1fc - 2485590 ns MR4_D 40006004 00000001 - 2485590 ns R r2 00000001 - 2485590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2485610 ns R r2 80000000 - 2485610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2485630 ns R psr 81000200 - 2485630 ns MR4_I 00000238 48054770 - 2485650 ns MR4_I 00000230 07d2684a - 2485670 ns IT 00000230 684a LDR r2,[r1,#4] - 2485690 ns MR4_I 00000234 6008d1fc - 2485750 ns MR4_D 40006004 00000001 - 2485750 ns R r2 00000001 - 2485750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2485770 ns R r2 80000000 - 2485770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2485790 ns R psr 81000200 - 2485790 ns MR4_I 00000238 48054770 - 2485810 ns MR4_I 00000230 07d2684a - 2485830 ns IT 00000230 684a LDR r2,[r1,#4] - 2485850 ns MR4_I 00000234 6008d1fc - 2485910 ns MR4_D 40006004 00000001 - 2485910 ns R r2 00000001 - 2485910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2485930 ns R r2 80000000 - 2485930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2485950 ns R psr 81000200 - 2485950 ns MR4_I 00000238 48054770 - 2485970 ns MR4_I 00000230 07d2684a - 2485990 ns IT 00000230 684a LDR r2,[r1,#4] - 2486010 ns MR4_I 00000234 6008d1fc - 2486070 ns MR4_D 40006004 00000001 - 2486070 ns R r2 00000001 - 2486070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2486090 ns R r2 80000000 - 2486090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2486110 ns R psr 81000200 - 2486110 ns MR4_I 00000238 48054770 - 2486130 ns MR4_I 00000230 07d2684a - 2486150 ns IT 00000230 684a LDR r2,[r1,#4] - 2486170 ns MR4_I 00000234 6008d1fc - 2486230 ns MR4_D 40006004 00000001 - 2486230 ns R r2 00000001 - 2486230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2486250 ns R r2 80000000 - 2486250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2486270 ns R psr 81000200 - 2486270 ns MR4_I 00000238 48054770 - 2486290 ns MR4_I 00000230 07d2684a - 2486310 ns IT 00000230 684a LDR r2,[r1,#4] - 2486330 ns MR4_I 00000234 6008d1fc - 2486390 ns MR4_D 40006004 00000001 - 2486390 ns R r2 00000001 - 2486390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2486410 ns R r2 80000000 - 2486410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2486430 ns R psr 81000200 - 2486430 ns MR4_I 00000238 48054770 - 2486450 ns MR4_I 00000230 07d2684a - 2486470 ns IT 00000230 684a LDR r2,[r1,#4] - 2486490 ns MR4_I 00000234 6008d1fc - 2486550 ns MR4_D 40006004 00000001 - 2486550 ns R r2 00000001 - 2486550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2486570 ns R r2 80000000 - 2486570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2486590 ns R psr 81000200 - 2486590 ns MR4_I 00000238 48054770 - 2486610 ns MR4_I 00000230 07d2684a - 2486630 ns IT 00000230 684a LDR r2,[r1,#4] - 2486650 ns MR4_I 00000234 6008d1fc - 2486710 ns MR4_D 40006004 00000001 - 2486710 ns R r2 00000001 - 2486710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2486730 ns R r2 80000000 - 2486730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2486750 ns R psr 81000200 - 2486750 ns MR4_I 00000238 48054770 - 2486770 ns MR4_I 00000230 07d2684a - 2486790 ns IT 00000230 684a LDR r2,[r1,#4] - 2486810 ns MR4_I 00000234 6008d1fc - 2486870 ns MR4_D 40006004 00000001 - 2486870 ns R r2 00000001 - 2486870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2486890 ns R r2 80000000 - 2486890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2486910 ns R psr 81000200 - 2486910 ns MR4_I 00000238 48054770 - 2486930 ns MR4_I 00000230 07d2684a - 2486950 ns IT 00000230 684a LDR r2,[r1,#4] - 2486970 ns MR4_I 00000234 6008d1fc - 2487030 ns MR4_D 40006004 00000001 - 2487030 ns R r2 00000001 - 2487030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2487050 ns R r2 80000000 - 2487050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2487070 ns R psr 81000200 - 2487070 ns MR4_I 00000238 48054770 - 2487090 ns MR4_I 00000230 07d2684a - 2487110 ns IT 00000230 684a LDR r2,[r1,#4] - 2487130 ns MR4_I 00000234 6008d1fc - 2487190 ns MR4_D 40006004 00000001 - 2487190 ns R r2 00000001 - 2487190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2487210 ns R r2 80000000 - 2487210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2487230 ns R psr 81000200 - 2487230 ns MR4_I 00000238 48054770 - 2487250 ns MR4_I 00000230 07d2684a - 2487270 ns IT 00000230 684a LDR r2,[r1,#4] - 2487290 ns MR4_I 00000234 6008d1fc - 2487350 ns MR4_D 40006004 00000001 - 2487350 ns R r2 00000001 - 2487350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2487370 ns R r2 80000000 - 2487370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2487390 ns R psr 81000200 - 2487390 ns MR4_I 00000238 48054770 - 2487410 ns MR4_I 00000230 07d2684a - 2487430 ns IT 00000230 684a LDR r2,[r1,#4] - 2487450 ns MR4_I 00000234 6008d1fc - 2487510 ns MR4_D 40006004 00000001 - 2487510 ns R r2 00000001 - 2487510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2487530 ns R r2 80000000 - 2487530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2487550 ns R psr 81000200 - 2487550 ns MR4_I 00000238 48054770 - 2487570 ns MR4_I 00000230 07d2684a - 2487590 ns IT 00000230 684a LDR r2,[r1,#4] - 2487610 ns MR4_I 00000234 6008d1fc - 2487670 ns MR4_D 40006004 00000001 - 2487670 ns R r2 00000001 - 2487670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2487690 ns R r2 80000000 - 2487690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2487710 ns R psr 81000200 - 2487710 ns MR4_I 00000238 48054770 - 2487730 ns MR4_I 00000230 07d2684a - 2487750 ns IT 00000230 684a LDR r2,[r1,#4] - 2487770 ns MR4_I 00000234 6008d1fc - 2487830 ns MR4_D 40006004 00000001 - 2487830 ns R r2 00000001 - 2487830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2487850 ns R r2 80000000 - 2487850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2487870 ns R psr 81000200 - 2487870 ns MR4_I 00000238 48054770 - 2487890 ns MR4_I 00000230 07d2684a - 2487910 ns IT 00000230 684a LDR r2,[r1,#4] - 2487930 ns MR4_I 00000234 6008d1fc - 2487990 ns MR4_D 40006004 00000001 - 2487990 ns R r2 00000001 - 2487990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2488010 ns R r2 80000000 - 2488010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2488030 ns R psr 81000200 - 2488030 ns MR4_I 00000238 48054770 - 2488050 ns MR4_I 00000230 07d2684a - 2488070 ns IT 00000230 684a LDR r2,[r1,#4] - 2488090 ns MR4_I 00000234 6008d1fc - 2488150 ns MR4_D 40006004 00000001 - 2488150 ns R r2 00000001 - 2488150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2488170 ns R r2 80000000 - 2488170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2488190 ns R psr 81000200 - 2488190 ns MR4_I 00000238 48054770 - 2488210 ns MR4_I 00000230 07d2684a - 2488230 ns IT 00000230 684a LDR r2,[r1,#4] - 2488250 ns MR4_I 00000234 6008d1fc - 2488310 ns MR4_D 40006004 00000001 - 2488310 ns R r2 00000001 - 2488310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2488330 ns R r2 80000000 - 2488330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2488350 ns R psr 81000200 - 2488350 ns MR4_I 00000238 48054770 - 2488370 ns MR4_I 00000230 07d2684a - 2488390 ns IT 00000230 684a LDR r2,[r1,#4] - 2488410 ns MR4_I 00000234 6008d1fc - 2488470 ns MR4_D 40006004 00000001 - 2488470 ns R r2 00000001 - 2488470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2488490 ns R r2 80000000 - 2488490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2488510 ns R psr 81000200 - 2488510 ns MR4_I 00000238 48054770 - 2488530 ns MR4_I 00000230 07d2684a - 2488550 ns IT 00000230 684a LDR r2,[r1,#4] - 2488570 ns MR4_I 00000234 6008d1fc - 2488630 ns MR4_D 40006004 00000001 - 2488630 ns R r2 00000001 - 2488630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2488650 ns R r2 80000000 - 2488650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2488670 ns R psr 81000200 - 2488670 ns MR4_I 00000238 48054770 - 2488690 ns MR4_I 00000230 07d2684a - 2488710 ns IT 00000230 684a LDR r2,[r1,#4] - 2488730 ns MR4_I 00000234 6008d1fc - 2488790 ns MR4_D 40006004 00000001 - 2488790 ns R r2 00000001 - 2488790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2488810 ns R r2 80000000 - 2488810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2488830 ns R psr 81000200 - 2488830 ns MR4_I 00000238 48054770 - 2488850 ns MR4_I 00000230 07d2684a - 2488870 ns IT 00000230 684a LDR r2,[r1,#4] - 2488890 ns MR4_I 00000234 6008d1fc - 2488950 ns MR4_D 40006004 00000001 - 2488950 ns R r2 00000001 - 2488950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2488970 ns R r2 80000000 - 2488970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2488990 ns R psr 81000200 - 2488990 ns MR4_I 00000238 48054770 - 2489010 ns MR4_I 00000230 07d2684a - 2489030 ns IT 00000230 684a LDR r2,[r1,#4] - 2489050 ns MR4_I 00000234 6008d1fc - 2489110 ns MR4_D 40006004 00000001 - 2489110 ns R r2 00000001 - 2489110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2489130 ns R r2 80000000 - 2489130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2489150 ns R psr 81000200 - 2489150 ns MR4_I 00000238 48054770 - 2489170 ns MR4_I 00000230 07d2684a - 2489190 ns IT 00000230 684a LDR r2,[r1,#4] - 2489210 ns MR4_I 00000234 6008d1fc - 2489270 ns MR4_D 40006004 00000001 - 2489270 ns R r2 00000001 - 2489270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2489290 ns R r2 80000000 - 2489290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2489310 ns R psr 81000200 - 2489310 ns MR4_I 00000238 48054770 - 2489330 ns MR4_I 00000230 07d2684a - 2489350 ns IT 00000230 684a LDR r2,[r1,#4] - 2489370 ns MR4_I 00000234 6008d1fc - 2489430 ns MR4_D 40006004 00000001 - 2489430 ns R r2 00000001 - 2489430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2489450 ns R r2 80000000 - 2489450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2489470 ns R psr 81000200 - 2489470 ns MR4_I 00000238 48054770 - 2489490 ns MR4_I 00000230 07d2684a - 2489510 ns IT 00000230 684a LDR r2,[r1,#4] - 2489530 ns MR4_I 00000234 6008d1fc - 2489590 ns MR4_D 40006004 00000001 - 2489590 ns R r2 00000001 - 2489590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2489610 ns R r2 80000000 - 2489610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2489630 ns R psr 81000200 - 2489630 ns MR4_I 00000238 48054770 - 2489650 ns MR4_I 00000230 07d2684a - 2489670 ns IT 00000230 684a LDR r2,[r1,#4] - 2489690 ns MR4_I 00000234 6008d1fc - 2489750 ns MR4_D 40006004 00000001 - 2489750 ns R r2 00000001 - 2489750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2489770 ns R r2 80000000 - 2489770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2489790 ns R psr 81000200 - 2489790 ns MR4_I 00000238 48054770 - 2489810 ns MR4_I 00000230 07d2684a - 2489830 ns IT 00000230 684a LDR r2,[r1,#4] - 2489850 ns MR4_I 00000234 6008d1fc - 2489910 ns MR4_D 40006004 00000001 - 2489910 ns R r2 00000001 - 2489910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2489930 ns R r2 80000000 - 2489930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2489950 ns R psr 81000200 - 2489950 ns MR4_I 00000238 48054770 - 2489970 ns MR4_I 00000230 07d2684a - 2489990 ns IT 00000230 684a LDR r2,[r1,#4] - 2490010 ns MR4_I 00000234 6008d1fc - 2490070 ns MR4_D 40006004 00000001 - 2490070 ns R r2 00000001 - 2490070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2490090 ns R r2 80000000 - 2490090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2490110 ns R psr 81000200 - 2490110 ns MR4_I 00000238 48054770 - 2490130 ns MR4_I 00000230 07d2684a - 2490150 ns IT 00000230 684a LDR r2,[r1,#4] - 2490170 ns MR4_I 00000234 6008d1fc - 2490230 ns MR4_D 40006004 00000001 - 2490230 ns R r2 00000001 - 2490230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2490250 ns R r2 80000000 - 2490250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2490270 ns R psr 81000200 - 2490270 ns MR4_I 00000238 48054770 - 2490290 ns MR4_I 00000230 07d2684a - 2490310 ns IT 00000230 684a LDR r2,[r1,#4] - 2490330 ns MR4_I 00000234 6008d1fc - 2490390 ns MR4_D 40006004 00000001 - 2490390 ns R r2 00000001 - 2490390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2490410 ns R r2 80000000 - 2490410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2490430 ns R psr 81000200 - 2490430 ns MR4_I 00000238 48054770 - 2490450 ns MR4_I 00000230 07d2684a - 2490470 ns IT 00000230 684a LDR r2,[r1,#4] - 2490490 ns MR4_I 00000234 6008d1fc - 2490550 ns MR4_D 40006004 00000001 - 2490550 ns R r2 00000001 - 2490550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2490570 ns R r2 80000000 - 2490570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2490590 ns R psr 81000200 - 2490590 ns MR4_I 00000238 48054770 - 2490610 ns MR4_I 00000230 07d2684a - 2490630 ns IT 00000230 684a LDR r2,[r1,#4] - 2490650 ns MR4_I 00000234 6008d1fc - 2490710 ns MR4_D 40006004 00000001 - 2490710 ns R r2 00000001 - 2490710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2490730 ns R r2 80000000 - 2490730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2490750 ns R psr 81000200 - 2490750 ns MR4_I 00000238 48054770 - 2490770 ns MR4_I 00000230 07d2684a - 2490790 ns IT 00000230 684a LDR r2,[r1,#4] - 2490810 ns MR4_I 00000234 6008d1fc - 2490870 ns MR4_D 40006004 00000001 - 2490870 ns R r2 00000001 - 2490870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2490890 ns R r2 80000000 - 2490890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2490910 ns R psr 81000200 - 2490910 ns MR4_I 00000238 48054770 - 2490930 ns MR4_I 00000230 07d2684a - 2490950 ns IT 00000230 684a LDR r2,[r1,#4] - 2490970 ns MR4_I 00000234 6008d1fc - 2491030 ns MR4_D 40006004 00000001 - 2491030 ns R r2 00000001 - 2491030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2491050 ns R r2 80000000 - 2491050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2491070 ns R psr 81000200 - 2491070 ns MR4_I 00000238 48054770 - 2491090 ns MR4_I 00000230 07d2684a - 2491110 ns IT 00000230 684a LDR r2,[r1,#4] - 2491130 ns MR4_I 00000234 6008d1fc - 2491190 ns MR4_D 40006004 00000001 - 2491190 ns R r2 00000001 - 2491190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2491210 ns R r2 80000000 - 2491210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2491230 ns R psr 81000200 - 2491230 ns MR4_I 00000238 48054770 - 2491250 ns MR4_I 00000230 07d2684a - 2491270 ns IT 00000230 684a LDR r2,[r1,#4] - 2491290 ns MR4_I 00000234 6008d1fc - 2491350 ns MR4_D 40006004 00000001 - 2491350 ns R r2 00000001 - 2491350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2491370 ns R r2 80000000 - 2491370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2491390 ns R psr 81000200 - 2491390 ns MR4_I 00000238 48054770 - 2491410 ns MR4_I 00000230 07d2684a - 2491430 ns IT 00000230 684a LDR r2,[r1,#4] - 2491450 ns MR4_I 00000234 6008d1fc - 2491510 ns MR4_D 40006004 00000001 - 2491510 ns R r2 00000001 - 2491510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2491530 ns R r2 80000000 - 2491530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2491550 ns R psr 81000200 - 2491550 ns MR4_I 00000238 48054770 - 2491570 ns MR4_I 00000230 07d2684a - 2491590 ns IT 00000230 684a LDR r2,[r1,#4] - 2491610 ns MR4_I 00000234 6008d1fc - 2491670 ns MR4_D 40006004 00000001 - 2491670 ns R r2 00000001 - 2491670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2491690 ns R r2 80000000 - 2491690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2491710 ns R psr 81000200 - 2491710 ns MR4_I 00000238 48054770 - 2491730 ns MR4_I 00000230 07d2684a - 2491750 ns IT 00000230 684a LDR r2,[r1,#4] - 2491770 ns MR4_I 00000234 6008d1fc - 2491830 ns MR4_D 40006004 00000001 - 2491830 ns R r2 00000001 - 2491830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2491850 ns R r2 80000000 - 2491850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2491870 ns R psr 81000200 - 2491870 ns MR4_I 00000238 48054770 - 2491890 ns MR4_I 00000230 07d2684a - 2491910 ns IT 00000230 684a LDR r2,[r1,#4] - 2491930 ns MR4_I 00000234 6008d1fc - 2491990 ns MR4_D 40006004 00000001 - 2491990 ns R r2 00000001 - 2491990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2492010 ns R r2 80000000 - 2492010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2492030 ns R psr 81000200 - 2492030 ns MR4_I 00000238 48054770 - 2492050 ns MR4_I 00000230 07d2684a - 2492070 ns IT 00000230 684a LDR r2,[r1,#4] - 2492090 ns MR4_I 00000234 6008d1fc - 2492150 ns MR4_D 40006004 00000001 - 2492150 ns R r2 00000001 - 2492150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2492170 ns R r2 80000000 - 2492170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2492190 ns R psr 81000200 - 2492190 ns MR4_I 00000238 48054770 - 2492210 ns MR4_I 00000230 07d2684a - 2492230 ns IT 00000230 684a LDR r2,[r1,#4] - 2492250 ns MR4_I 00000234 6008d1fc - 2492310 ns MR4_D 40006004 00000001 - 2492310 ns R r2 00000001 - 2492310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2492330 ns R r2 80000000 - 2492330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2492350 ns R psr 81000200 - 2492350 ns MR4_I 00000238 48054770 - 2492370 ns MR4_I 00000230 07d2684a - 2492390 ns IT 00000230 684a LDR r2,[r1,#4] - 2492410 ns MR4_I 00000234 6008d1fc - 2492470 ns MR4_D 40006004 00000001 - 2492470 ns R r2 00000001 - 2492470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2492490 ns R r2 80000000 - 2492490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2492510 ns R psr 81000200 - 2492510 ns MR4_I 00000238 48054770 - 2492530 ns MR4_I 00000230 07d2684a - 2492550 ns IT 00000230 684a LDR r2,[r1,#4] - 2492570 ns MR4_I 00000234 6008d1fc - 2492630 ns MR4_D 40006004 00000001 - 2492630 ns R r2 00000001 - 2492630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2492650 ns R r2 80000000 - 2492650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2492670 ns R psr 81000200 - 2492670 ns MR4_I 00000238 48054770 - 2492690 ns MR4_I 00000230 07d2684a - 2492710 ns IT 00000230 684a LDR r2,[r1,#4] - 2492730 ns MR4_I 00000234 6008d1fc - 2492790 ns MR4_D 40006004 00000001 - 2492790 ns R r2 00000001 - 2492790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2492810 ns R r2 80000000 - 2492810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2492830 ns R psr 81000200 - 2492830 ns MR4_I 00000238 48054770 - 2492850 ns MR4_I 00000230 07d2684a - 2492870 ns IT 00000230 684a LDR r2,[r1,#4] - 2492890 ns MR4_I 00000234 6008d1fc - 2492950 ns MR4_D 40006004 00000001 - 2492950 ns R r2 00000001 - 2492950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2492970 ns R r2 80000000 - 2492970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2492990 ns R psr 81000200 - 2492990 ns MR4_I 00000238 48054770 - 2493010 ns MR4_I 00000230 07d2684a - 2493030 ns IT 00000230 684a LDR r2,[r1,#4] - 2493050 ns MR4_I 00000234 6008d1fc - 2493110 ns MR4_D 40006004 00000001 - 2493110 ns R r2 00000001 - 2493110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2493130 ns R r2 80000000 - 2493130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2493150 ns R psr 81000200 - 2493150 ns MR4_I 00000238 48054770 - 2493170 ns MR4_I 00000230 07d2684a - 2493190 ns IT 00000230 684a LDR r2,[r1,#4] - 2493210 ns MR4_I 00000234 6008d1fc - 2493270 ns MR4_D 40006004 00000001 - 2493270 ns R r2 00000001 - 2493270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2493290 ns R r2 80000000 - 2493290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2493310 ns R psr 81000200 - 2493310 ns MR4_I 00000238 48054770 - 2493330 ns MR4_I 00000230 07d2684a - 2493350 ns IT 00000230 684a LDR r2,[r1,#4] - 2493370 ns MR4_I 00000234 6008d1fc - 2493430 ns MR4_D 40006004 00000001 - 2493430 ns R r2 00000001 - 2493430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2493450 ns R r2 80000000 - 2493450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2493470 ns R psr 81000200 - 2493470 ns MR4_I 00000238 48054770 - 2493490 ns MR4_I 00000230 07d2684a - 2493510 ns IT 00000230 684a LDR r2,[r1,#4] - 2493530 ns MR4_I 00000234 6008d1fc - 2493590 ns MR4_D 40006004 00000001 - 2493590 ns R r2 00000001 - 2493590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2493610 ns R r2 80000000 - 2493610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2493630 ns R psr 81000200 - 2493630 ns MR4_I 00000238 48054770 - 2493650 ns MR4_I 00000230 07d2684a - 2493670 ns IT 00000230 684a LDR r2,[r1,#4] - 2493690 ns MR4_I 00000234 6008d1fc - 2493750 ns MR4_D 40006004 00000001 - 2493750 ns R r2 00000001 - 2493750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2493770 ns R r2 80000000 - 2493770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2493790 ns R psr 81000200 - 2493790 ns MR4_I 00000238 48054770 - 2493810 ns MR4_I 00000230 07d2684a - 2493830 ns IT 00000230 684a LDR r2,[r1,#4] - 2493850 ns MR4_I 00000234 6008d1fc - 2493910 ns MR4_D 40006004 00000001 - 2493910 ns R r2 00000001 - 2493910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2493930 ns R r2 80000000 - 2493930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2493950 ns R psr 81000200 - 2493950 ns MR4_I 00000238 48054770 - 2493970 ns MR4_I 00000230 07d2684a - 2493990 ns IT 00000230 684a LDR r2,[r1,#4] - 2494010 ns MR4_I 00000234 6008d1fc - 2494070 ns MR4_D 40006004 00000001 - 2494070 ns R r2 00000001 - 2494070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2494090 ns R r2 80000000 - 2494090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2494110 ns R psr 81000200 - 2494110 ns MR4_I 00000238 48054770 - 2494130 ns MR4_I 00000230 07d2684a - 2494150 ns IT 00000230 684a LDR r2,[r1,#4] - 2494170 ns MR4_I 00000234 6008d1fc - 2494230 ns MR4_D 40006004 00000001 - 2494230 ns R r2 00000001 - 2494230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2494250 ns R r2 80000000 - 2494250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2494270 ns R psr 81000200 - 2494270 ns MR4_I 00000238 48054770 - 2494290 ns MR4_I 00000230 07d2684a - 2494310 ns IT 00000230 684a LDR r2,[r1,#4] - 2494330 ns MR4_I 00000234 6008d1fc - 2494390 ns MR4_D 40006004 00000001 - 2494390 ns R r2 00000001 - 2494390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2494410 ns R r2 80000000 - 2494410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2494430 ns R psr 81000200 - 2494430 ns MR4_I 00000238 48054770 - 2494450 ns MR4_I 00000230 07d2684a - 2494470 ns IT 00000230 684a LDR r2,[r1,#4] - 2494490 ns MR4_I 00000234 6008d1fc - 2494550 ns MR4_D 40006004 00000001 - 2494550 ns R r2 00000001 - 2494550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2494570 ns R r2 80000000 - 2494570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2494590 ns R psr 81000200 - 2494590 ns MR4_I 00000238 48054770 - 2494610 ns MR4_I 00000230 07d2684a - 2494630 ns IT 00000230 684a LDR r2,[r1,#4] - 2494650 ns MR4_I 00000234 6008d1fc - 2494710 ns MR4_D 40006004 00000001 - 2494710 ns R r2 00000001 - 2494710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2494730 ns R r2 80000000 - 2494730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2494750 ns R psr 81000200 - 2494750 ns MR4_I 00000238 48054770 - 2494770 ns MR4_I 00000230 07d2684a - 2494790 ns IT 00000230 684a LDR r2,[r1,#4] - 2494810 ns MR4_I 00000234 6008d1fc - 2494870 ns MR4_D 40006004 00000001 - 2494870 ns R r2 00000001 - 2494870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2494890 ns R r2 80000000 - 2494890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2494910 ns R psr 81000200 - 2494910 ns MR4_I 00000238 48054770 - 2494930 ns MR4_I 00000230 07d2684a - 2494950 ns IT 00000230 684a LDR r2,[r1,#4] - 2494970 ns MR4_I 00000234 6008d1fc - 2495030 ns MR4_D 40006004 00000001 - 2495030 ns R r2 00000001 - 2495030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2495050 ns R r2 80000000 - 2495050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2495070 ns R psr 81000200 - 2495070 ns MR4_I 00000238 48054770 - 2495090 ns MR4_I 00000230 07d2684a - 2495110 ns IT 00000230 684a LDR r2,[r1,#4] - 2495130 ns MR4_I 00000234 6008d1fc - 2495190 ns MR4_D 40006004 00000001 - 2495190 ns R r2 00000001 - 2495190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2495210 ns R r2 80000000 - 2495210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2495230 ns R psr 81000200 - 2495230 ns MR4_I 00000238 48054770 - 2495250 ns MR4_I 00000230 07d2684a - 2495270 ns IT 00000230 684a LDR r2,[r1,#4] - 2495290 ns MR4_I 00000234 6008d1fc - 2495350 ns MR4_D 40006004 00000001 - 2495350 ns R r2 00000001 - 2495350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2495370 ns R r2 80000000 - 2495370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2495390 ns R psr 81000200 - 2495390 ns MR4_I 00000238 48054770 - 2495410 ns MR4_I 00000230 07d2684a - 2495430 ns IT 00000230 684a LDR r2,[r1,#4] - 2495450 ns MR4_I 00000234 6008d1fc - 2495510 ns MR4_D 40006004 00000001 - 2495510 ns R r2 00000001 - 2495510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2495530 ns R r2 80000000 - 2495530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2495550 ns R psr 81000200 - 2495550 ns MR4_I 00000238 48054770 - 2495570 ns MR4_I 00000230 07d2684a - 2495590 ns IT 00000230 684a LDR r2,[r1,#4] - 2495610 ns MR4_I 00000234 6008d1fc - 2495670 ns MR4_D 40006004 00000001 - 2495670 ns R r2 00000001 - 2495670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2495690 ns R r2 80000000 - 2495690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2495710 ns R psr 81000200 - 2495710 ns MR4_I 00000238 48054770 - 2495730 ns MR4_I 00000230 07d2684a - 2495750 ns IT 00000230 684a LDR r2,[r1,#4] - 2495770 ns MR4_I 00000234 6008d1fc - 2495830 ns MR4_D 40006004 00000001 - 2495830 ns R r2 00000001 - 2495830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2495850 ns R r2 80000000 - 2495850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2495870 ns R psr 81000200 - 2495870 ns MR4_I 00000238 48054770 - 2495890 ns MR4_I 00000230 07d2684a - 2495910 ns IT 00000230 684a LDR r2,[r1,#4] - 2495930 ns MR4_I 00000234 6008d1fc - 2495990 ns MR4_D 40006004 00000001 - 2495990 ns R r2 00000001 - 2495990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2496010 ns R r2 80000000 - 2496010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2496030 ns R psr 81000200 - 2496030 ns MR4_I 00000238 48054770 - 2496050 ns MR4_I 00000230 07d2684a - 2496070 ns IT 00000230 684a LDR r2,[r1,#4] - 2496090 ns MR4_I 00000234 6008d1fc - 2496150 ns MR4_D 40006004 00000001 - 2496150 ns R r2 00000001 - 2496150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2496170 ns R r2 80000000 - 2496170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2496190 ns R psr 81000200 - 2496190 ns MR4_I 00000238 48054770 - 2496210 ns MR4_I 00000230 07d2684a - 2496230 ns IT 00000230 684a LDR r2,[r1,#4] - 2496250 ns MR4_I 00000234 6008d1fc - 2496310 ns MR4_D 40006004 00000001 - 2496310 ns R r2 00000001 - 2496310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2496330 ns R r2 80000000 - 2496330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2496350 ns R psr 81000200 - 2496350 ns MR4_I 00000238 48054770 - 2496370 ns MR4_I 00000230 07d2684a - 2496390 ns IT 00000230 684a LDR r2,[r1,#4] - 2496410 ns MR4_I 00000234 6008d1fc - 2496470 ns MR4_D 40006004 00000001 - 2496470 ns R r2 00000001 - 2496470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2496490 ns R r2 80000000 - 2496490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2496510 ns R psr 81000200 - 2496510 ns MR4_I 00000238 48054770 - 2496530 ns MR4_I 00000230 07d2684a - 2496550 ns IT 00000230 684a LDR r2,[r1,#4] - 2496570 ns MR4_I 00000234 6008d1fc - 2496630 ns MR4_D 40006004 00000001 - 2496630 ns R r2 00000001 - 2496630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2496650 ns R r2 80000000 - 2496650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2496670 ns R psr 81000200 - 2496670 ns MR4_I 00000238 48054770 - 2496690 ns MR4_I 00000230 07d2684a - 2496710 ns IT 00000230 684a LDR r2,[r1,#4] - 2496730 ns MR4_I 00000234 6008d1fc - 2496790 ns MR4_D 40006004 00000001 - 2496790 ns R r2 00000001 - 2496790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2496810 ns R r2 80000000 - 2496810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2496830 ns R psr 81000200 - 2496830 ns MR4_I 00000238 48054770 - 2496850 ns MR4_I 00000230 07d2684a - 2496870 ns IT 00000230 684a LDR r2,[r1,#4] - 2496890 ns MR4_I 00000234 6008d1fc - 2496950 ns MR4_D 40006004 00000001 - 2496950 ns R r2 00000001 - 2496950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2496970 ns R r2 80000000 - 2496970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2496990 ns R psr 81000200 - 2496990 ns MR4_I 00000238 48054770 - 2497010 ns MR4_I 00000230 07d2684a - 2497030 ns IT 00000230 684a LDR r2,[r1,#4] - 2497050 ns MR4_I 00000234 6008d1fc - 2497110 ns MR4_D 40006004 00000001 - 2497110 ns R r2 00000001 - 2497110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2497130 ns R r2 80000000 - 2497130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2497150 ns R psr 81000200 - 2497150 ns MR4_I 00000238 48054770 - 2497170 ns MR4_I 00000230 07d2684a - 2497190 ns IT 00000230 684a LDR r2,[r1,#4] - 2497210 ns MR4_I 00000234 6008d1fc - 2497270 ns MR4_D 40006004 00000001 - 2497270 ns R r2 00000001 - 2497270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2497290 ns R r2 80000000 - 2497290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2497310 ns R psr 81000200 - 2497310 ns MR4_I 00000238 48054770 - 2497330 ns MR4_I 00000230 07d2684a - 2497350 ns IT 00000230 684a LDR r2,[r1,#4] - 2497370 ns MR4_I 00000234 6008d1fc - 2497430 ns MR4_D 40006004 00000001 - 2497430 ns R r2 00000001 - 2497430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2497450 ns R r2 80000000 - 2497450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2497470 ns R psr 81000200 - 2497470 ns MR4_I 00000238 48054770 - 2497490 ns MR4_I 00000230 07d2684a - 2497510 ns IT 00000230 684a LDR r2,[r1,#4] - 2497530 ns MR4_I 00000234 6008d1fc - 2497590 ns MR4_D 40006004 00000001 - 2497590 ns R r2 00000001 - 2497590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2497610 ns R r2 80000000 - 2497610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2497630 ns R psr 81000200 - 2497630 ns MR4_I 00000238 48054770 - 2497650 ns MR4_I 00000230 07d2684a - 2497670 ns IT 00000230 684a LDR r2,[r1,#4] - 2497690 ns MR4_I 00000234 6008d1fc - 2497750 ns MR4_D 40006004 00000001 - 2497750 ns R r2 00000001 - 2497750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2497770 ns R r2 80000000 - 2497770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2497790 ns R psr 81000200 - 2497790 ns MR4_I 00000238 48054770 - 2497810 ns MR4_I 00000230 07d2684a - 2497830 ns IT 00000230 684a LDR r2,[r1,#4] - 2497850 ns MR4_I 00000234 6008d1fc - 2497910 ns MR4_D 40006004 00000001 - 2497910 ns R r2 00000001 - 2497910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2497930 ns R r2 80000000 - 2497930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2497950 ns R psr 81000200 - 2497950 ns MR4_I 00000238 48054770 - 2497970 ns MR4_I 00000230 07d2684a - 2497990 ns IT 00000230 684a LDR r2,[r1,#4] - 2498010 ns MR4_I 00000234 6008d1fc - 2498070 ns MR4_D 40006004 00000001 - 2498070 ns R r2 00000001 - 2498070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2498090 ns R r2 80000000 - 2498090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2498110 ns R psr 81000200 - 2498110 ns MR4_I 00000238 48054770 - 2498130 ns MR4_I 00000230 07d2684a - 2498150 ns IT 00000230 684a LDR r2,[r1,#4] - 2498170 ns MR4_I 00000234 6008d1fc - 2498230 ns MR4_D 40006004 00000001 - 2498230 ns R r2 00000001 - 2498230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2498250 ns R r2 80000000 - 2498250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2498270 ns R psr 81000200 - 2498270 ns MR4_I 00000238 48054770 - 2498290 ns MR4_I 00000230 07d2684a - 2498310 ns IT 00000230 684a LDR r2,[r1,#4] - 2498330 ns MR4_I 00000234 6008d1fc - 2498390 ns MR4_D 40006004 00000001 - 2498390 ns R r2 00000001 - 2498390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2498410 ns R r2 80000000 - 2498410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2498430 ns R psr 81000200 - 2498430 ns MR4_I 00000238 48054770 - 2498450 ns MR4_I 00000230 07d2684a - 2498470 ns IT 00000230 684a LDR r2,[r1,#4] - 2498490 ns MR4_I 00000234 6008d1fc - 2498550 ns MR4_D 40006004 00000001 - 2498550 ns R r2 00000001 - 2498550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2498570 ns R r2 80000000 - 2498570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2498590 ns R psr 81000200 - 2498590 ns MR4_I 00000238 48054770 - 2498610 ns MR4_I 00000230 07d2684a - 2498630 ns IT 00000230 684a LDR r2,[r1,#4] - 2498650 ns MR4_I 00000234 6008d1fc - 2498710 ns MR4_D 40006004 00000001 - 2498710 ns R r2 00000001 - 2498710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2498730 ns R r2 80000000 - 2498730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2498750 ns R psr 81000200 - 2498750 ns MR4_I 00000238 48054770 - 2498770 ns MR4_I 00000230 07d2684a - 2498790 ns IT 00000230 684a LDR r2,[r1,#4] - 2498810 ns MR4_I 00000234 6008d1fc - 2498870 ns MR4_D 40006004 00000001 - 2498870 ns R r2 00000001 - 2498870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2498890 ns R r2 80000000 - 2498890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2498910 ns R psr 81000200 - 2498910 ns MR4_I 00000238 48054770 - 2498930 ns MR4_I 00000230 07d2684a - 2498950 ns IT 00000230 684a LDR r2,[r1,#4] - 2498970 ns MR4_I 00000234 6008d1fc - 2499030 ns MR4_D 40006004 00000001 - 2499030 ns R r2 00000001 - 2499030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2499050 ns R r2 80000000 - 2499050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2499070 ns R psr 81000200 - 2499070 ns MR4_I 00000238 48054770 - 2499090 ns MR4_I 00000230 07d2684a - 2499110 ns IT 00000230 684a LDR r2,[r1,#4] - 2499130 ns MR4_I 00000234 6008d1fc - 2499190 ns MR4_D 40006004 00000001 - 2499190 ns R r2 00000001 - 2499190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2499210 ns R r2 80000000 - 2499210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2499230 ns R psr 81000200 - 2499230 ns MR4_I 00000238 48054770 - 2499250 ns MR4_I 00000230 07d2684a - 2499270 ns IT 00000230 684a LDR r2,[r1,#4] - 2499290 ns MR4_I 00000234 6008d1fc - 2499350 ns MR4_D 40006004 00000001 - 2499350 ns R r2 00000001 - 2499350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2499370 ns R r2 80000000 - 2499370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2499390 ns R psr 81000200 - 2499390 ns MR4_I 00000238 48054770 - 2499410 ns MR4_I 00000230 07d2684a - 2499430 ns IT 00000230 684a LDR r2,[r1,#4] - 2499450 ns MR4_I 00000234 6008d1fc - 2499510 ns MR4_D 40006004 00000001 - 2499510 ns R r2 00000001 - 2499510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2499530 ns R r2 80000000 - 2499530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2499550 ns R psr 81000200 - 2499550 ns MR4_I 00000238 48054770 - 2499570 ns MR4_I 00000230 07d2684a - 2499590 ns IT 00000230 684a LDR r2,[r1,#4] - 2499610 ns MR4_I 00000234 6008d1fc - 2499670 ns MR4_D 40006004 00000001 - 2499670 ns R r2 00000001 - 2499670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2499690 ns R r2 80000000 - 2499690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2499710 ns R psr 81000200 - 2499710 ns MR4_I 00000238 48054770 - 2499730 ns MR4_I 00000230 07d2684a - 2499750 ns IT 00000230 684a LDR r2,[r1,#4] - 2499770 ns MR4_I 00000234 6008d1fc - 2499830 ns MR4_D 40006004 00000001 - 2499830 ns R r2 00000001 - 2499830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2499850 ns R r2 80000000 - 2499850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2499870 ns R psr 81000200 - 2499870 ns MR4_I 00000238 48054770 - 2499890 ns MR4_I 00000230 07d2684a - 2499910 ns IT 00000230 684a LDR r2,[r1,#4] - 2499930 ns MR4_I 00000234 6008d1fc - 2499990 ns MR4_D 40006004 00000001 - 2499990 ns R r2 00000001 - 2499990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2500010 ns R r2 80000000 - 2500010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2500030 ns R psr 81000200 - 2500030 ns MR4_I 00000238 48054770 - 2500050 ns MR4_I 00000230 07d2684a - 2500070 ns IT 00000230 684a LDR r2,[r1,#4] - 2500090 ns MR4_I 00000234 6008d1fc - 2500150 ns MR4_D 40006004 00000001 - 2500150 ns R r2 00000001 - 2500150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2500170 ns R r2 80000000 - 2500170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2500190 ns R psr 81000200 - 2500190 ns MR4_I 00000238 48054770 - 2500210 ns MR4_I 00000230 07d2684a - 2500230 ns IT 00000230 684a LDR r2,[r1,#4] - 2500250 ns MR4_I 00000234 6008d1fc - 2500310 ns MR4_D 40006004 00000001 - 2500310 ns R r2 00000001 - 2500310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2500330 ns R r2 80000000 - 2500330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2500350 ns R psr 81000200 - 2500350 ns MR4_I 00000238 48054770 - 2500370 ns MR4_I 00000230 07d2684a - 2500390 ns IT 00000230 684a LDR r2,[r1,#4] - 2500410 ns MR4_I 00000234 6008d1fc - 2500470 ns MR4_D 40006004 00000001 - 2500470 ns R r2 00000001 - 2500470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2500490 ns R r2 80000000 - 2500490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2500510 ns R psr 81000200 - 2500510 ns MR4_I 00000238 48054770 - 2500530 ns MR4_I 00000230 07d2684a - 2500550 ns IT 00000230 684a LDR r2,[r1,#4] - 2500570 ns MR4_I 00000234 6008d1fc - 2500630 ns MR4_D 40006004 00000001 - 2500630 ns R r2 00000001 - 2500630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2500650 ns R r2 80000000 - 2500650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2500670 ns R psr 81000200 - 2500670 ns MR4_I 00000238 48054770 - 2500690 ns MR4_I 00000230 07d2684a - 2500710 ns IT 00000230 684a LDR r2,[r1,#4] - 2500730 ns MR4_I 00000234 6008d1fc - 2500790 ns MR4_D 40006004 00000001 - 2500790 ns R r2 00000001 - 2500790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2500810 ns R r2 80000000 - 2500810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2500830 ns R psr 81000200 - 2500830 ns MR4_I 00000238 48054770 - 2500850 ns MR4_I 00000230 07d2684a - 2500870 ns IT 00000230 684a LDR r2,[r1,#4] - 2500890 ns MR4_I 00000234 6008d1fc - 2500950 ns MR4_D 40006004 00000001 - 2500950 ns R r2 00000001 - 2500950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2500970 ns R r2 80000000 - 2500970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2500990 ns R psr 81000200 - 2500990 ns MR4_I 00000238 48054770 - 2501010 ns MR4_I 00000230 07d2684a - 2501030 ns IT 00000230 684a LDR r2,[r1,#4] - 2501050 ns MR4_I 00000234 6008d1fc - 2501110 ns MR4_D 40006004 00000001 - 2501110 ns R r2 00000001 - 2501110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2501130 ns R r2 80000000 - 2501130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2501150 ns R psr 81000200 - 2501150 ns MR4_I 00000238 48054770 - 2501170 ns MR4_I 00000230 07d2684a - 2501190 ns IT 00000230 684a LDR r2,[r1,#4] - 2501210 ns MR4_I 00000234 6008d1fc - 2501270 ns MR4_D 40006004 00000001 - 2501270 ns R r2 00000001 - 2501270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2501290 ns R r2 80000000 - 2501290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2501310 ns R psr 81000200 - 2501310 ns MR4_I 00000238 48054770 - 2501330 ns MR4_I 00000230 07d2684a - 2501350 ns IT 00000230 684a LDR r2,[r1,#4] - 2501370 ns MR4_I 00000234 6008d1fc - 2501430 ns MR4_D 40006004 00000001 - 2501430 ns R r2 00000001 - 2501430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2501450 ns R r2 80000000 - 2501450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2501470 ns R psr 81000200 - 2501470 ns MR4_I 00000238 48054770 - 2501490 ns MR4_I 00000230 07d2684a - 2501510 ns IT 00000230 684a LDR r2,[r1,#4] - 2501530 ns MR4_I 00000234 6008d1fc - 2501590 ns MR4_D 40006004 00000001 - 2501590 ns R r2 00000001 - 2501590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2501610 ns R r2 80000000 - 2501610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2501630 ns R psr 81000200 - 2501630 ns MR4_I 00000238 48054770 - 2501650 ns MR4_I 00000230 07d2684a - 2501670 ns IT 00000230 684a LDR r2,[r1,#4] - 2501690 ns MR4_I 00000234 6008d1fc - 2501750 ns MR4_D 40006004 00000001 - 2501750 ns R r2 00000001 - 2501750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2501770 ns R r2 80000000 - 2501770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2501790 ns R psr 81000200 - 2501790 ns MR4_I 00000238 48054770 - 2501810 ns MR4_I 00000230 07d2684a - 2501830 ns IT 00000230 684a LDR r2,[r1,#4] - 2501850 ns MR4_I 00000234 6008d1fc - 2501910 ns MR4_D 40006004 00000001 - 2501910 ns R r2 00000001 - 2501910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2501930 ns R r2 80000000 - 2501930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2501950 ns R psr 81000200 - 2501950 ns MR4_I 00000238 48054770 - 2501970 ns MR4_I 00000230 07d2684a - 2501990 ns IT 00000230 684a LDR r2,[r1,#4] - 2502010 ns MR4_I 00000234 6008d1fc - 2502070 ns MR4_D 40006004 00000001 - 2502070 ns R r2 00000001 - 2502070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2502090 ns R r2 80000000 - 2502090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2502110 ns R psr 81000200 - 2502110 ns MR4_I 00000238 48054770 - 2502130 ns MR4_I 00000230 07d2684a - 2502150 ns IT 00000230 684a LDR r2,[r1,#4] - 2502170 ns MR4_I 00000234 6008d1fc - 2502230 ns MR4_D 40006004 00000001 - 2502230 ns R r2 00000001 - 2502230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2502250 ns R r2 80000000 - 2502250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2502270 ns R psr 81000200 - 2502270 ns MR4_I 00000238 48054770 - 2502290 ns MR4_I 00000230 07d2684a - 2502310 ns IT 00000230 684a LDR r2,[r1,#4] - 2502330 ns MR4_I 00000234 6008d1fc - 2502390 ns MR4_D 40006004 00000001 - 2502390 ns R r2 00000001 - 2502390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2502410 ns R r2 80000000 - 2502410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2502430 ns R psr 81000200 - 2502430 ns MR4_I 00000238 48054770 - 2502450 ns MR4_I 00000230 07d2684a - 2502470 ns IT 00000230 684a LDR r2,[r1,#4] - 2502490 ns MR4_I 00000234 6008d1fc - 2502550 ns MR4_D 40006004 00000001 - 2502550 ns R r2 00000001 - 2502550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2502570 ns R r2 80000000 - 2502570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2502590 ns R psr 81000200 - 2502590 ns MR4_I 00000238 48054770 - 2502610 ns MR4_I 00000230 07d2684a - 2502630 ns IT 00000230 684a LDR r2,[r1,#4] - 2502650 ns MR4_I 00000234 6008d1fc - 2502710 ns MR4_D 40006004 00000001 - 2502710 ns R r2 00000001 - 2502710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2502730 ns R r2 80000000 - 2502730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2502750 ns R psr 81000200 - 2502750 ns MR4_I 00000238 48054770 - 2502770 ns MR4_I 00000230 07d2684a - 2502790 ns IT 00000230 684a LDR r2,[r1,#4] - 2502810 ns MR4_I 00000234 6008d1fc - 2502870 ns MR4_D 40006004 00000001 - 2502870 ns R r2 00000001 - 2502870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2502890 ns R r2 80000000 - 2502890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2502910 ns R psr 81000200 - 2502910 ns MR4_I 00000238 48054770 - 2502930 ns MR4_I 00000230 07d2684a - 2502950 ns IT 00000230 684a LDR r2,[r1,#4] - 2502970 ns MR4_I 00000234 6008d1fc - 2503030 ns MR4_D 40006004 00000001 - 2503030 ns R r2 00000001 - 2503030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2503050 ns R r2 80000000 - 2503050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2503070 ns R psr 81000200 - 2503070 ns MR4_I 00000238 48054770 - 2503090 ns MR4_I 00000230 07d2684a - 2503110 ns IT 00000230 684a LDR r2,[r1,#4] - 2503130 ns MR4_I 00000234 6008d1fc - 2503190 ns MR4_D 40006004 00000001 - 2503190 ns R r2 00000001 - 2503190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2503210 ns R r2 80000000 - 2503210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2503230 ns R psr 81000200 - 2503230 ns MR4_I 00000238 48054770 - 2503250 ns MR4_I 00000230 07d2684a - 2503270 ns IT 00000230 684a LDR r2,[r1,#4] - 2503290 ns MR4_I 00000234 6008d1fc - 2503350 ns MR4_D 40006004 00000001 - 2503350 ns R r2 00000001 - 2503350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2503370 ns R r2 80000000 - 2503370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2503390 ns R psr 81000200 - 2503390 ns MR4_I 00000238 48054770 - 2503410 ns MR4_I 00000230 07d2684a - 2503430 ns IT 00000230 684a LDR r2,[r1,#4] - 2503450 ns MR4_I 00000234 6008d1fc - 2503510 ns MR4_D 40006004 00000001 - 2503510 ns R r2 00000001 - 2503510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2503530 ns R r2 80000000 - 2503530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2503550 ns R psr 81000200 - 2503550 ns MR4_I 00000238 48054770 - 2503570 ns MR4_I 00000230 07d2684a - 2503590 ns IT 00000230 684a LDR r2,[r1,#4] - 2503610 ns MR4_I 00000234 6008d1fc - 2503670 ns MR4_D 40006004 00000001 - 2503670 ns R r2 00000001 - 2503670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2503690 ns R r2 80000000 - 2503690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2503710 ns R psr 81000200 - 2503710 ns MR4_I 00000238 48054770 - 2503730 ns MR4_I 00000230 07d2684a - 2503750 ns IT 00000230 684a LDR r2,[r1,#4] - 2503770 ns MR4_I 00000234 6008d1fc - 2503830 ns MR4_D 40006004 00000001 - 2503830 ns R r2 00000001 - 2503830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2503850 ns R r2 80000000 - 2503850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2503870 ns R psr 81000200 - 2503870 ns MR4_I 00000238 48054770 - 2503890 ns MR4_I 00000230 07d2684a - 2503910 ns IT 00000230 684a LDR r2,[r1,#4] - 2503930 ns MR4_I 00000234 6008d1fc - 2503990 ns MR4_D 40006004 00000001 - 2503990 ns R r2 00000001 - 2503990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2504010 ns R r2 80000000 - 2504010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2504030 ns R psr 81000200 - 2504030 ns MR4_I 00000238 48054770 - 2504050 ns MR4_I 00000230 07d2684a - 2504070 ns IT 00000230 684a LDR r2,[r1,#4] - 2504090 ns MR4_I 00000234 6008d1fc - 2504150 ns MR4_D 40006004 00000001 - 2504150 ns R r2 00000001 - 2504150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2504170 ns R r2 80000000 - 2504170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2504190 ns R psr 81000200 - 2504190 ns MR4_I 00000238 48054770 - 2504210 ns MR4_I 00000230 07d2684a - 2504230 ns IT 00000230 684a LDR r2,[r1,#4] - 2504250 ns MR4_I 00000234 6008d1fc - 2504310 ns MR4_D 40006004 00000001 - 2504310 ns R r2 00000001 - 2504310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2504330 ns R r2 80000000 - 2504330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2504350 ns R psr 81000200 - 2504350 ns MR4_I 00000238 48054770 - 2504370 ns MR4_I 00000230 07d2684a - 2504390 ns IT 00000230 684a LDR r2,[r1,#4] - 2504410 ns MR4_I 00000234 6008d1fc - 2504470 ns MR4_D 40006004 00000001 - 2504470 ns R r2 00000001 - 2504470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2504490 ns R r2 80000000 - 2504490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2504510 ns R psr 81000200 - 2504510 ns MR4_I 00000238 48054770 - 2504530 ns MR4_I 00000230 07d2684a - 2504550 ns IT 00000230 684a LDR r2,[r1,#4] - 2504570 ns MR4_I 00000234 6008d1fc - 2504630 ns MR4_D 40006004 00000001 - 2504630 ns R r2 00000001 - 2504630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2504650 ns R r2 80000000 - 2504650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2504670 ns R psr 81000200 - 2504670 ns MR4_I 00000238 48054770 - 2504690 ns MR4_I 00000230 07d2684a - 2504710 ns IT 00000230 684a LDR r2,[r1,#4] - 2504730 ns MR4_I 00000234 6008d1fc - 2504790 ns MR4_D 40006004 00000001 - 2504790 ns R r2 00000001 - 2504790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2504810 ns R r2 80000000 - 2504810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2504830 ns R psr 81000200 - 2504830 ns MR4_I 00000238 48054770 - 2504850 ns MR4_I 00000230 07d2684a - 2504870 ns IT 00000230 684a LDR r2,[r1,#4] - 2504890 ns MR4_I 00000234 6008d1fc - 2504950 ns MR4_D 40006004 00000001 - 2504950 ns R r2 00000001 - 2504950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2504970 ns R r2 80000000 - 2504970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2504990 ns R psr 81000200 - 2504990 ns MR4_I 00000238 48054770 - 2505010 ns MR4_I 00000230 07d2684a - 2505030 ns IT 00000230 684a LDR r2,[r1,#4] - 2505050 ns MR4_I 00000234 6008d1fc - 2505110 ns MR4_D 40006004 00000001 - 2505110 ns R r2 00000001 - 2505110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2505130 ns R r2 80000000 - 2505130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2505150 ns R psr 81000200 - 2505150 ns MR4_I 00000238 48054770 - 2505170 ns MR4_I 00000230 07d2684a - 2505190 ns IT 00000230 684a LDR r2,[r1,#4] - 2505210 ns MR4_I 00000234 6008d1fc - 2505270 ns MR4_D 40006004 00000001 - 2505270 ns R r2 00000001 - 2505270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2505290 ns R r2 80000000 - 2505290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2505310 ns R psr 81000200 - 2505310 ns MR4_I 00000238 48054770 - 2505330 ns MR4_I 00000230 07d2684a - 2505350 ns IT 00000230 684a LDR r2,[r1,#4] - 2505370 ns MR4_I 00000234 6008d1fc - 2505430 ns MR4_D 40006004 00000001 - 2505430 ns R r2 00000001 - 2505430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2505450 ns R r2 80000000 - 2505450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2505470 ns R psr 81000200 - 2505470 ns MR4_I 00000238 48054770 - 2505490 ns MR4_I 00000230 07d2684a - 2505510 ns IT 00000230 684a LDR r2,[r1,#4] - 2505530 ns MR4_I 00000234 6008d1fc - 2505590 ns MR4_D 40006004 00000001 - 2505590 ns R r2 00000001 - 2505590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2505610 ns R r2 80000000 - 2505610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2505630 ns R psr 81000200 - 2505630 ns MR4_I 00000238 48054770 - 2505650 ns MR4_I 00000230 07d2684a - 2505670 ns IT 00000230 684a LDR r2,[r1,#4] - 2505690 ns MR4_I 00000234 6008d1fc - 2505750 ns MR4_D 40006004 00000001 - 2505750 ns R r2 00000001 - 2505750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2505770 ns R r2 80000000 - 2505770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2505790 ns R psr 81000200 - 2505790 ns MR4_I 00000238 48054770 - 2505810 ns MR4_I 00000230 07d2684a - 2505830 ns IT 00000230 684a LDR r2,[r1,#4] - 2505850 ns MR4_I 00000234 6008d1fc - 2505910 ns MR4_D 40006004 00000001 - 2505910 ns R r2 00000001 - 2505910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2505930 ns R r2 80000000 - 2505930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2505950 ns R psr 81000200 - 2505950 ns MR4_I 00000238 48054770 - 2505970 ns MR4_I 00000230 07d2684a - 2505990 ns IT 00000230 684a LDR r2,[r1,#4] - 2506010 ns MR4_I 00000234 6008d1fc - 2506070 ns MR4_D 40006004 00000001 - 2506070 ns R r2 00000001 - 2506070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2506090 ns R r2 80000000 - 2506090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2506110 ns R psr 81000200 - 2506110 ns MR4_I 00000238 48054770 - 2506130 ns MR4_I 00000230 07d2684a - 2506150 ns IT 00000230 684a LDR r2,[r1,#4] - 2506170 ns MR4_I 00000234 6008d1fc - 2506230 ns MR4_D 40006004 00000001 - 2506230 ns R r2 00000001 - 2506230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2506250 ns R r2 80000000 - 2506250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2506270 ns R psr 81000200 - 2506270 ns MR4_I 00000238 48054770 - 2506290 ns MR4_I 00000230 07d2684a - 2506310 ns IT 00000230 684a LDR r2,[r1,#4] - 2506330 ns MR4_I 00000234 6008d1fc - 2506390 ns MR4_D 40006004 00000001 - 2506390 ns R r2 00000001 - 2506390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2506410 ns R r2 80000000 - 2506410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2506430 ns R psr 81000200 - 2506430 ns MR4_I 00000238 48054770 - 2506450 ns MR4_I 00000230 07d2684a - 2506470 ns IT 00000230 684a LDR r2,[r1,#4] - 2506490 ns MR4_I 00000234 6008d1fc - 2506550 ns MR4_D 40006004 00000001 - 2506550 ns R r2 00000001 - 2506550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2506570 ns R r2 80000000 - 2506570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2506590 ns R psr 81000200 - 2506590 ns MR4_I 00000238 48054770 - 2506610 ns MR4_I 00000230 07d2684a - 2506630 ns IT 00000230 684a LDR r2,[r1,#4] - 2506650 ns MR4_I 00000234 6008d1fc - 2506710 ns MR4_D 40006004 00000001 - 2506710 ns R r2 00000001 - 2506710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2506730 ns R r2 80000000 - 2506730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2506750 ns R psr 81000200 - 2506750 ns MR4_I 00000238 48054770 - 2506770 ns MR4_I 00000230 07d2684a - 2506790 ns IT 00000230 684a LDR r2,[r1,#4] - 2506810 ns MR4_I 00000234 6008d1fc - 2506870 ns MR4_D 40006004 00000001 - 2506870 ns R r2 00000001 - 2506870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2506890 ns R r2 80000000 - 2506890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2506910 ns R psr 81000200 - 2506910 ns MR4_I 00000238 48054770 - 2506930 ns MR4_I 00000230 07d2684a - 2506950 ns IT 00000230 684a LDR r2,[r1,#4] - 2506970 ns MR4_I 00000234 6008d1fc - 2507030 ns MR4_D 40006004 00000001 - 2507030 ns R r2 00000001 - 2507030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2507050 ns R r2 80000000 - 2507050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2507070 ns R psr 81000200 - 2507070 ns MR4_I 00000238 48054770 - 2507090 ns MR4_I 00000230 07d2684a - 2507110 ns IT 00000230 684a LDR r2,[r1,#4] - 2507130 ns MR4_I 00000234 6008d1fc - 2507190 ns MR4_D 40006004 00000001 - 2507190 ns R r2 00000001 - 2507190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2507210 ns R r2 80000000 - 2507210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2507230 ns R psr 81000200 - 2507230 ns MR4_I 00000238 48054770 - 2507250 ns MR4_I 00000230 07d2684a - 2507270 ns IT 00000230 684a LDR r2,[r1,#4] - 2507290 ns MR4_I 00000234 6008d1fc - 2507350 ns MR4_D 40006004 00000001 - 2507350 ns R r2 00000001 - 2507350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2507370 ns R r2 80000000 - 2507370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2507390 ns R psr 81000200 - 2507390 ns MR4_I 00000238 48054770 - 2507410 ns MR4_I 00000230 07d2684a - 2507430 ns IT 00000230 684a LDR r2,[r1,#4] - 2507450 ns MR4_I 00000234 6008d1fc - 2507510 ns MR4_D 40006004 00000001 - 2507510 ns R r2 00000001 - 2507510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2507530 ns R r2 80000000 - 2507530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2507550 ns R psr 81000200 - 2507550 ns MR4_I 00000238 48054770 - 2507570 ns MR4_I 00000230 07d2684a - 2507590 ns IT 00000230 684a LDR r2,[r1,#4] - 2507610 ns MR4_I 00000234 6008d1fc - 2507670 ns MR4_D 40006004 00000001 - 2507670 ns R r2 00000001 - 2507670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2507690 ns R r2 80000000 - 2507690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2507710 ns R psr 81000200 - 2507710 ns MR4_I 00000238 48054770 - 2507730 ns MR4_I 00000230 07d2684a - 2507750 ns IT 00000230 684a LDR r2,[r1,#4] - 2507770 ns MR4_I 00000234 6008d1fc - 2507830 ns MR4_D 40006004 00000001 - 2507830 ns R r2 00000001 - 2507830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2507850 ns R r2 80000000 - 2507850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2507870 ns R psr 81000200 - 2507870 ns MR4_I 00000238 48054770 - 2507890 ns MR4_I 00000230 07d2684a - 2507910 ns IT 00000230 684a LDR r2,[r1,#4] - 2507930 ns MR4_I 00000234 6008d1fc - 2507990 ns MR4_D 40006004 00000001 - 2507990 ns R r2 00000001 - 2507990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2508010 ns R r2 80000000 - 2508010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2508030 ns R psr 81000200 - 2508030 ns MR4_I 00000238 48054770 - 2508050 ns MR4_I 00000230 07d2684a - 2508070 ns IT 00000230 684a LDR r2,[r1,#4] - 2508090 ns MR4_I 00000234 6008d1fc - 2508150 ns MR4_D 40006004 00000001 - 2508150 ns R r2 00000001 - 2508150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2508170 ns R r2 80000000 - 2508170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2508190 ns R psr 81000200 - 2508190 ns MR4_I 00000238 48054770 - 2508210 ns MR4_I 00000230 07d2684a - 2508230 ns IT 00000230 684a LDR r2,[r1,#4] - 2508250 ns MR4_I 00000234 6008d1fc - 2508310 ns MR4_D 40006004 00000001 - 2508310 ns R r2 00000001 - 2508310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2508330 ns R r2 80000000 - 2508330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2508350 ns R psr 81000200 - 2508350 ns MR4_I 00000238 48054770 - 2508370 ns MR4_I 00000230 07d2684a - 2508390 ns IT 00000230 684a LDR r2,[r1,#4] - 2508410 ns MR4_I 00000234 6008d1fc - 2508470 ns MR4_D 40006004 00000001 - 2508470 ns R r2 00000001 - 2508470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2508490 ns R r2 80000000 - 2508490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2508510 ns R psr 81000200 - 2508510 ns MR4_I 00000238 48054770 - 2508530 ns MR4_I 00000230 07d2684a - 2508550 ns IT 00000230 684a LDR r2,[r1,#4] - 2508570 ns MR4_I 00000234 6008d1fc - 2508630 ns MR4_D 40006004 00000001 - 2508630 ns R r2 00000001 - 2508630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2508650 ns R r2 80000000 - 2508650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2508670 ns R psr 81000200 - 2508670 ns MR4_I 00000238 48054770 - 2508690 ns MR4_I 00000230 07d2684a - 2508710 ns IT 00000230 684a LDR r2,[r1,#4] - 2508730 ns MR4_I 00000234 6008d1fc - 2508790 ns MR4_D 40006004 00000001 - 2508790 ns R r2 00000001 - 2508790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2508810 ns R r2 80000000 - 2508810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2508830 ns R psr 81000200 - 2508830 ns MR4_I 00000238 48054770 - 2508850 ns MR4_I 00000230 07d2684a - 2508870 ns IT 00000230 684a LDR r2,[r1,#4] - 2508890 ns MR4_I 00000234 6008d1fc - 2508950 ns MR4_D 40006004 00000001 - 2508950 ns R r2 00000001 - 2508950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2508970 ns R r2 80000000 - 2508970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2508990 ns R psr 81000200 - 2508990 ns MR4_I 00000238 48054770 - 2509010 ns MR4_I 00000230 07d2684a - 2509030 ns IT 00000230 684a LDR r2,[r1,#4] - 2509050 ns MR4_I 00000234 6008d1fc - 2509110 ns MR4_D 40006004 00000001 - 2509110 ns R r2 00000001 - 2509110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2509130 ns R r2 80000000 - 2509130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2509150 ns R psr 81000200 - 2509150 ns MR4_I 00000238 48054770 - 2509170 ns MR4_I 00000230 07d2684a - 2509190 ns IT 00000230 684a LDR r2,[r1,#4] - 2509210 ns MR4_I 00000234 6008d1fc - 2509270 ns MR4_D 40006004 00000001 - 2509270 ns R r2 00000001 - 2509270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2509290 ns R r2 80000000 - 2509290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2509310 ns R psr 81000200 - 2509310 ns MR4_I 00000238 48054770 - 2509330 ns MR4_I 00000230 07d2684a - 2509350 ns IT 00000230 684a LDR r2,[r1,#4] - 2509370 ns MR4_I 00000234 6008d1fc - 2509430 ns MR4_D 40006004 00000001 - 2509430 ns R r2 00000001 - 2509430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2509450 ns R r2 80000000 - 2509450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2509470 ns R psr 81000200 - 2509470 ns MR4_I 00000238 48054770 - 2509490 ns MR4_I 00000230 07d2684a - 2509510 ns IT 00000230 684a LDR r2,[r1,#4] - 2509530 ns MR4_I 00000234 6008d1fc - 2509590 ns MR4_D 40006004 00000001 - 2509590 ns R r2 00000001 - 2509590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2509610 ns R r2 80000000 - 2509610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2509630 ns R psr 81000200 - 2509630 ns MR4_I 00000238 48054770 - 2509650 ns MR4_I 00000230 07d2684a - 2509670 ns IT 00000230 684a LDR r2,[r1,#4] - 2509690 ns MR4_I 00000234 6008d1fc - 2509750 ns MR4_D 40006004 00000001 - 2509750 ns R r2 00000001 - 2509750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2509770 ns R r2 80000000 - 2509770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2509790 ns R psr 81000200 - 2509790 ns MR4_I 00000238 48054770 - 2509810 ns MR4_I 00000230 07d2684a - 2509830 ns IT 00000230 684a LDR r2,[r1,#4] - 2509850 ns MR4_I 00000234 6008d1fc - 2509910 ns MR4_D 40006004 00000001 - 2509910 ns R r2 00000001 - 2509910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2509930 ns R r2 80000000 - 2509930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2509950 ns R psr 81000200 - 2509950 ns MR4_I 00000238 48054770 - 2509970 ns MR4_I 00000230 07d2684a - 2509990 ns IT 00000230 684a LDR r2,[r1,#4] - 2510010 ns MR4_I 00000234 6008d1fc - 2510070 ns MR4_D 40006004 00000001 - 2510070 ns R r2 00000001 - 2510070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2510090 ns R r2 80000000 - 2510090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2510110 ns R psr 81000200 - 2510110 ns MR4_I 00000238 48054770 - 2510130 ns MR4_I 00000230 07d2684a - 2510150 ns IT 00000230 684a LDR r2,[r1,#4] - 2510170 ns MR4_I 00000234 6008d1fc - 2510230 ns MR4_D 40006004 00000001 - 2510230 ns R r2 00000001 - 2510230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2510250 ns R r2 80000000 - 2510250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2510270 ns R psr 81000200 - 2510270 ns MR4_I 00000238 48054770 - 2510290 ns MR4_I 00000230 07d2684a - 2510310 ns IT 00000230 684a LDR r2,[r1,#4] - 2510330 ns MR4_I 00000234 6008d1fc - 2510390 ns MR4_D 40006004 00000001 - 2510390 ns R r2 00000001 - 2510390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2510410 ns R r2 80000000 - 2510410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2510430 ns R psr 81000200 - 2510430 ns MR4_I 00000238 48054770 - 2510450 ns MR4_I 00000230 07d2684a - 2510470 ns IT 00000230 684a LDR r2,[r1,#4] - 2510490 ns MR4_I 00000234 6008d1fc - 2510550 ns MR4_D 40006004 00000001 - 2510550 ns R r2 00000001 - 2510550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2510570 ns R r2 80000000 - 2510570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2510590 ns R psr 81000200 - 2510590 ns MR4_I 00000238 48054770 - 2510610 ns MR4_I 00000230 07d2684a - 2510630 ns IT 00000230 684a LDR r2,[r1,#4] - 2510650 ns MR4_I 00000234 6008d1fc - 2510710 ns MR4_D 40006004 00000001 - 2510710 ns R r2 00000001 - 2510710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2510730 ns R r2 80000000 - 2510730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2510750 ns R psr 81000200 - 2510750 ns MR4_I 00000238 48054770 - 2510770 ns MR4_I 00000230 07d2684a - 2510790 ns IT 00000230 684a LDR r2,[r1,#4] - 2510810 ns MR4_I 00000234 6008d1fc - 2510870 ns MR4_D 40006004 00000001 - 2510870 ns R r2 00000001 - 2510870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2510890 ns R r2 80000000 - 2510890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2510910 ns R psr 81000200 - 2510910 ns MR4_I 00000238 48054770 - 2510930 ns MR4_I 00000230 07d2684a - 2510950 ns IT 00000230 684a LDR r2,[r1,#4] - 2510970 ns MR4_I 00000234 6008d1fc - 2511030 ns MR4_D 40006004 00000001 - 2511030 ns R r2 00000001 - 2511030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2511050 ns R r2 80000000 - 2511050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2511070 ns R psr 81000200 - 2511070 ns MR4_I 00000238 48054770 - 2511090 ns MR4_I 00000230 07d2684a - 2511110 ns IT 00000230 684a LDR r2,[r1,#4] - 2511130 ns MR4_I 00000234 6008d1fc - 2511190 ns MR4_D 40006004 00000001 - 2511190 ns R r2 00000001 - 2511190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2511210 ns R r2 80000000 - 2511210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2511230 ns R psr 81000200 - 2511230 ns MR4_I 00000238 48054770 - 2511250 ns MR4_I 00000230 07d2684a - 2511270 ns IT 00000230 684a LDR r2,[r1,#4] - 2511290 ns MR4_I 00000234 6008d1fc - 2511350 ns MR4_D 40006004 00000001 - 2511350 ns R r2 00000001 - 2511350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2511370 ns R r2 80000000 - 2511370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2511390 ns R psr 81000200 - 2511390 ns MR4_I 00000238 48054770 - 2511410 ns MR4_I 00000230 07d2684a - 2511430 ns IT 00000230 684a LDR r2,[r1,#4] - 2511450 ns MR4_I 00000234 6008d1fc - 2511510 ns MR4_D 40006004 00000001 - 2511510 ns R r2 00000001 - 2511510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2511530 ns R r2 80000000 - 2511530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2511550 ns R psr 81000200 - 2511550 ns MR4_I 00000238 48054770 - 2511570 ns MR4_I 00000230 07d2684a - 2511590 ns IT 00000230 684a LDR r2,[r1,#4] - 2511610 ns MR4_I 00000234 6008d1fc - 2511670 ns MR4_D 40006004 00000001 - 2511670 ns R r2 00000001 - 2511670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2511690 ns R r2 80000000 - 2511690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2511710 ns R psr 81000200 - 2511710 ns MR4_I 00000238 48054770 - 2511730 ns MR4_I 00000230 07d2684a - 2511750 ns IT 00000230 684a LDR r2,[r1,#4] - 2511770 ns MR4_I 00000234 6008d1fc - 2511830 ns MR4_D 40006004 00000001 - 2511830 ns R r2 00000001 - 2511830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2511850 ns R r2 80000000 - 2511850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2511870 ns R psr 81000200 - 2511870 ns MR4_I 00000238 48054770 - 2511890 ns MR4_I 00000230 07d2684a - 2511910 ns IT 00000230 684a LDR r2,[r1,#4] - 2511930 ns MR4_I 00000234 6008d1fc - 2511990 ns MR4_D 40006004 00000001 - 2511990 ns R r2 00000001 - 2511990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2512010 ns R r2 80000000 - 2512010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2512030 ns R psr 81000200 - 2512030 ns MR4_I 00000238 48054770 - 2512050 ns MR4_I 00000230 07d2684a - 2512070 ns IT 00000230 684a LDR r2,[r1,#4] - 2512090 ns MR4_I 00000234 6008d1fc - 2512150 ns MR4_D 40006004 00000001 - 2512150 ns R r2 00000001 - 2512150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2512170 ns R r2 80000000 - 2512170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2512190 ns R psr 81000200 - 2512190 ns MR4_I 00000238 48054770 - 2512210 ns MR4_I 00000230 07d2684a - 2512230 ns IT 00000230 684a LDR r2,[r1,#4] - 2512250 ns MR4_I 00000234 6008d1fc - 2512310 ns MR4_D 40006004 00000001 - 2512310 ns R r2 00000001 - 2512310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2512330 ns R r2 80000000 - 2512330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2512350 ns R psr 81000200 - 2512350 ns MR4_I 00000238 48054770 - 2512370 ns MR4_I 00000230 07d2684a - 2512390 ns IT 00000230 684a LDR r2,[r1,#4] - 2512410 ns MR4_I 00000234 6008d1fc - 2512470 ns MR4_D 40006004 00000001 - 2512470 ns R r2 00000001 - 2512470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2512490 ns R r2 80000000 - 2512490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2512510 ns R psr 81000200 - 2512510 ns MR4_I 00000238 48054770 - 2512530 ns MR4_I 00000230 07d2684a - 2512550 ns IT 00000230 684a LDR r2,[r1,#4] - 2512570 ns MR4_I 00000234 6008d1fc - 2512630 ns MR4_D 40006004 00000001 - 2512630 ns R r2 00000001 - 2512630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2512650 ns R r2 80000000 - 2512650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2512670 ns R psr 81000200 - 2512670 ns MR4_I 00000238 48054770 - 2512690 ns MR4_I 00000230 07d2684a - 2512710 ns IT 00000230 684a LDR r2,[r1,#4] - 2512730 ns MR4_I 00000234 6008d1fc - 2512790 ns MR4_D 40006004 00000000 - 2512790 ns R r2 00000000 - 2512790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2512810 ns R r2 00000000 - 2512810 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2512830 ns R psr 41000200 - 2512830 ns MR4_I 00000238 48054770 - 2512830 ns IT 00000236 6008 STR r0,[r1,#0] - 2512910 ns MW4_D 40006000 0000002a - 2512910 ns IT 00000238 4770 BX lr - 2512930 ns MR4_I 0000023c 07896841 - 2512950 ns R psr 41000200 - 2512950 ns MR4_I 000001fc b510bd10 - 2512970 ns IT 000001fc bd10 POP {r4,pc} - 2512990 ns MR4_I 00000200 f81bf000 - 2512990 ns R r13 200002e0 (MSP) - 2513010 ns MR4_D 200002d8 00000001 - 2513010 ns R r4 00000001 - 2513030 ns MR4_D 200002dc 0000032d - 2513050 ns R psr 41000200 - 2513070 ns MR4_I 0000032c 46301c64 - 2513090 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 2513110 ns MR4_I 00000330 280047a8 - 2513110 ns R r4 00000002 - 2513110 ns IT 0000032e 4630 MOV r0,r6 - 2513130 ns R psr 01000200 - 2513130 ns R r0 200002f8 - 2513130 ns IT 00000330 47a8 BLX r5 - 2513150 ns MR4_I 00000334 4620d1f8 - 2513170 ns R psr 01000200 - 2513170 ns MR4_I 000002a8 1c4a6901 - 2513170 ns R r14 00000333 - 2513190 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2513210 ns MR4_I 000002ac 78086102 - 2513230 ns MR4_D 20000308 00000192 - 2513230 ns R r1 00000192 - 2513230 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2513250 ns R r2 00000193 - 2513250 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2513270 ns R psr 01000200 - 2513270 ns MR4_I 000002b0 b5004770 - 2513290 ns MW4_D 20000308 00000193 - 2513290 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2513330 ns MR1_D 00000192 54202a2a - 2513330 ns R r0 00000020 - 2513330 ns IT 000002b0 4770 BX lr - 2513350 ns MR4_I 000002b4 9102b08f - 2513370 ns R psr 01000200 - 2513370 ns MR4_I 00000330 280047a8 - 2513390 ns MR4_I 00000334 4620d1f8 - 2513390 ns IT 00000332 2800 CMP r0,#0 - 2513410 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2513430 ns R psr 21000200 - 2513430 ns MR4_I 00000338 b510bdf8 - 2513450 ns MR4_I 00000328 47b89900 - 2513470 ns IT 00000328 9900 LDR r1,[sp,#0] - 2513490 ns MR4_I 0000032c 46301c64 - 2513510 ns MR4_D 200002e0 20000004 - 2513510 ns R r1 20000004 - 2513510 ns IT 0000032a 47b8 BLX r7 - 2513550 ns R psr 21000200 - 2513550 ns MR4_I 000001f4 b2c0b510 - 2513550 ns R r14 0000032d - 2513570 ns IT 000001f4 b510 PUSH {r4,lr} - 2513590 ns MR4_I 000001f8 f819f000 - 2513610 ns MW4_D 200002d8 00000002 - 2513630 ns MW4_D 200002dc 0000032d - 2513630 ns R r13 200002d8 (MSP) - 2513630 ns IT 000001f6 b2c0 UXTB r0,r0 - 2513650 ns R r0 00000020 - 2513650 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 2513670 ns MR4_I 000001fc b510bd10 - 2513690 ns R r14 000001fd - 2513710 ns MR4_I 0000022c 49084770 - 2513730 ns MR4_I 00000230 07d2684a - 2513730 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 2513770 ns MR4_D 00000250 40006000 - 2513770 ns R r1 40006000 - 2513770 ns IT 00000230 684a LDR r2,[r1,#4] - 2513790 ns MR4_I 00000234 6008d1fc - 2513850 ns MR4_D 40006004 00000001 - 2513850 ns R r2 00000001 - 2513850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2513870 ns R r2 80000000 - 2513870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2513890 ns R psr 81000200 - 2513890 ns MR4_I 00000238 48054770 - 2513910 ns MR4_I 00000230 07d2684a - 2513930 ns IT 00000230 684a LDR r2,[r1,#4] - 2513950 ns MR4_I 00000234 6008d1fc - 2514010 ns MR4_D 40006004 00000001 - 2514010 ns R r2 00000001 - 2514010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2514030 ns R r2 80000000 - 2514030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2514050 ns R psr 81000200 - 2514050 ns MR4_I 00000238 48054770 - 2514070 ns MR4_I 00000230 07d2684a - 2514090 ns IT 00000230 684a LDR r2,[r1,#4] - 2514110 ns MR4_I 00000234 6008d1fc - 2514170 ns MR4_D 40006004 00000001 - 2514170 ns R r2 00000001 - 2514170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2514190 ns R r2 80000000 - 2514190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2514210 ns R psr 81000200 - 2514210 ns MR4_I 00000238 48054770 - 2514230 ns MR4_I 00000230 07d2684a - 2514250 ns IT 00000230 684a LDR r2,[r1,#4] - 2514270 ns MR4_I 00000234 6008d1fc - 2514330 ns MR4_D 40006004 00000001 - 2514330 ns R r2 00000001 - 2514330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2514350 ns R r2 80000000 - 2514350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2514370 ns R psr 81000200 - 2514370 ns MR4_I 00000238 48054770 - 2514390 ns MR4_I 00000230 07d2684a - 2514410 ns IT 00000230 684a LDR r2,[r1,#4] - 2514430 ns MR4_I 00000234 6008d1fc - 2514490 ns MR4_D 40006004 00000001 - 2514490 ns R r2 00000001 - 2514490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2514510 ns R r2 80000000 - 2514510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2514530 ns R psr 81000200 - 2514530 ns MR4_I 00000238 48054770 - 2514550 ns MR4_I 00000230 07d2684a - 2514570 ns IT 00000230 684a LDR r2,[r1,#4] - 2514590 ns MR4_I 00000234 6008d1fc - 2514650 ns MR4_D 40006004 00000001 - 2514650 ns R r2 00000001 - 2514650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2514670 ns R r2 80000000 - 2514670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2514690 ns R psr 81000200 - 2514690 ns MR4_I 00000238 48054770 - 2514710 ns MR4_I 00000230 07d2684a - 2514730 ns IT 00000230 684a LDR r2,[r1,#4] - 2514750 ns MR4_I 00000234 6008d1fc - 2514810 ns MR4_D 40006004 00000001 - 2514810 ns R r2 00000001 - 2514810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2514830 ns R r2 80000000 - 2514830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2514850 ns R psr 81000200 - 2514850 ns MR4_I 00000238 48054770 - 2514870 ns MR4_I 00000230 07d2684a - 2514890 ns IT 00000230 684a LDR r2,[r1,#4] - 2514910 ns MR4_I 00000234 6008d1fc - 2514970 ns MR4_D 40006004 00000001 - 2514970 ns R r2 00000001 - 2514970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2514990 ns R r2 80000000 - 2514990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2515010 ns R psr 81000200 - 2515010 ns MR4_I 00000238 48054770 - 2515030 ns MR4_I 00000230 07d2684a - 2515050 ns IT 00000230 684a LDR r2,[r1,#4] - 2515070 ns MR4_I 00000234 6008d1fc - 2515130 ns MR4_D 40006004 00000001 - 2515130 ns R r2 00000001 - 2515130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2515150 ns R r2 80000000 - 2515150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2515170 ns R psr 81000200 - 2515170 ns MR4_I 00000238 48054770 - 2515190 ns MR4_I 00000230 07d2684a - 2515210 ns IT 00000230 684a LDR r2,[r1,#4] - 2515230 ns MR4_I 00000234 6008d1fc - 2515290 ns MR4_D 40006004 00000001 - 2515290 ns R r2 00000001 - 2515290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2515310 ns R r2 80000000 - 2515310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2515330 ns R psr 81000200 - 2515330 ns MR4_I 00000238 48054770 - 2515350 ns MR4_I 00000230 07d2684a - 2515370 ns IT 00000230 684a LDR r2,[r1,#4] - 2515390 ns MR4_I 00000234 6008d1fc - 2515450 ns MR4_D 40006004 00000001 - 2515450 ns R r2 00000001 - 2515450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2515470 ns R r2 80000000 - 2515470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2515490 ns R psr 81000200 - 2515490 ns MR4_I 00000238 48054770 - 2515510 ns MR4_I 00000230 07d2684a - 2515530 ns IT 00000230 684a LDR r2,[r1,#4] - 2515550 ns MR4_I 00000234 6008d1fc - 2515610 ns MR4_D 40006004 00000001 - 2515610 ns R r2 00000001 - 2515610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2515630 ns R r2 80000000 - 2515630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2515650 ns R psr 81000200 - 2515650 ns MR4_I 00000238 48054770 - 2515670 ns MR4_I 00000230 07d2684a - 2515690 ns IT 00000230 684a LDR r2,[r1,#4] - 2515710 ns MR4_I 00000234 6008d1fc - 2515770 ns MR4_D 40006004 00000001 - 2515770 ns R r2 00000001 - 2515770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2515790 ns R r2 80000000 - 2515790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2515810 ns R psr 81000200 - 2515810 ns MR4_I 00000238 48054770 - 2515830 ns MR4_I 00000230 07d2684a - 2515850 ns IT 00000230 684a LDR r2,[r1,#4] - 2515870 ns MR4_I 00000234 6008d1fc - 2515930 ns MR4_D 40006004 00000001 - 2515930 ns R r2 00000001 - 2515930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2515950 ns R r2 80000000 - 2515950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2515970 ns R psr 81000200 - 2515970 ns MR4_I 00000238 48054770 - 2515990 ns MR4_I 00000230 07d2684a - 2516010 ns IT 00000230 684a LDR r2,[r1,#4] - 2516030 ns MR4_I 00000234 6008d1fc - 2516090 ns MR4_D 40006004 00000001 - 2516090 ns R r2 00000001 - 2516090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2516110 ns R r2 80000000 - 2516110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2516130 ns R psr 81000200 - 2516130 ns MR4_I 00000238 48054770 - 2516150 ns MR4_I 00000230 07d2684a - 2516170 ns IT 00000230 684a LDR r2,[r1,#4] - 2516190 ns MR4_I 00000234 6008d1fc - 2516250 ns MR4_D 40006004 00000001 - 2516250 ns R r2 00000001 - 2516250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2516270 ns R r2 80000000 - 2516270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2516290 ns R psr 81000200 - 2516290 ns MR4_I 00000238 48054770 - 2516310 ns MR4_I 00000230 07d2684a - 2516330 ns IT 00000230 684a LDR r2,[r1,#4] - 2516350 ns MR4_I 00000234 6008d1fc - 2516410 ns MR4_D 40006004 00000001 - 2516410 ns R r2 00000001 - 2516410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2516430 ns R r2 80000000 - 2516430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2516450 ns R psr 81000200 - 2516450 ns MR4_I 00000238 48054770 - 2516470 ns MR4_I 00000230 07d2684a - 2516490 ns IT 00000230 684a LDR r2,[r1,#4] - 2516510 ns MR4_I 00000234 6008d1fc - 2516570 ns MR4_D 40006004 00000001 - 2516570 ns R r2 00000001 - 2516570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2516590 ns R r2 80000000 - 2516590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2516610 ns R psr 81000200 - 2516610 ns MR4_I 00000238 48054770 - 2516630 ns MR4_I 00000230 07d2684a - 2516650 ns IT 00000230 684a LDR r2,[r1,#4] - 2516670 ns MR4_I 00000234 6008d1fc - 2516730 ns MR4_D 40006004 00000001 - 2516730 ns R r2 00000001 - 2516730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2516750 ns R r2 80000000 - 2516750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2516770 ns R psr 81000200 - 2516770 ns MR4_I 00000238 48054770 - 2516790 ns MR4_I 00000230 07d2684a - 2516810 ns IT 00000230 684a LDR r2,[r1,#4] - 2516830 ns MR4_I 00000234 6008d1fc - 2516890 ns MR4_D 40006004 00000001 - 2516890 ns R r2 00000001 - 2516890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2516910 ns R r2 80000000 - 2516910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2516930 ns R psr 81000200 - 2516930 ns MR4_I 00000238 48054770 - 2516950 ns MR4_I 00000230 07d2684a - 2516970 ns IT 00000230 684a LDR r2,[r1,#4] - 2516990 ns MR4_I 00000234 6008d1fc - 2517050 ns MR4_D 40006004 00000001 - 2517050 ns R r2 00000001 - 2517050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2517070 ns R r2 80000000 - 2517070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2517090 ns R psr 81000200 - 2517090 ns MR4_I 00000238 48054770 - 2517110 ns MR4_I 00000230 07d2684a - 2517130 ns IT 00000230 684a LDR r2,[r1,#4] - 2517150 ns MR4_I 00000234 6008d1fc - 2517210 ns MR4_D 40006004 00000001 - 2517210 ns R r2 00000001 - 2517210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2517230 ns R r2 80000000 - 2517230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2517250 ns R psr 81000200 - 2517250 ns MR4_I 00000238 48054770 - 2517270 ns MR4_I 00000230 07d2684a - 2517290 ns IT 00000230 684a LDR r2,[r1,#4] - 2517310 ns MR4_I 00000234 6008d1fc - 2517370 ns MR4_D 40006004 00000001 - 2517370 ns R r2 00000001 - 2517370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2517390 ns R r2 80000000 - 2517390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2517410 ns R psr 81000200 - 2517410 ns MR4_I 00000238 48054770 - 2517430 ns MR4_I 00000230 07d2684a - 2517450 ns IT 00000230 684a LDR r2,[r1,#4] - 2517470 ns MR4_I 00000234 6008d1fc - 2517530 ns MR4_D 40006004 00000001 - 2517530 ns R r2 00000001 - 2517530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2517550 ns R r2 80000000 - 2517550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2517570 ns R psr 81000200 - 2517570 ns MR4_I 00000238 48054770 - 2517590 ns MR4_I 00000230 07d2684a - 2517610 ns IT 00000230 684a LDR r2,[r1,#4] - 2517630 ns MR4_I 00000234 6008d1fc - 2517690 ns MR4_D 40006004 00000001 - 2517690 ns R r2 00000001 - 2517690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2517710 ns R r2 80000000 - 2517710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2517730 ns R psr 81000200 - 2517730 ns MR4_I 00000238 48054770 - 2517750 ns MR4_I 00000230 07d2684a - 2517770 ns IT 00000230 684a LDR r2,[r1,#4] - 2517790 ns MR4_I 00000234 6008d1fc - 2517850 ns MR4_D 40006004 00000001 - 2517850 ns R r2 00000001 - 2517850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2517870 ns R r2 80000000 - 2517870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2517890 ns R psr 81000200 - 2517890 ns MR4_I 00000238 48054770 - 2517910 ns MR4_I 00000230 07d2684a - 2517930 ns IT 00000230 684a LDR r2,[r1,#4] - 2517950 ns MR4_I 00000234 6008d1fc - 2518010 ns MR4_D 40006004 00000001 - 2518010 ns R r2 00000001 - 2518010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2518030 ns R r2 80000000 - 2518030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2518050 ns R psr 81000200 - 2518050 ns MR4_I 00000238 48054770 - 2518070 ns MR4_I 00000230 07d2684a - 2518090 ns IT 00000230 684a LDR r2,[r1,#4] - 2518110 ns MR4_I 00000234 6008d1fc - 2518170 ns MR4_D 40006004 00000001 - 2518170 ns R r2 00000001 - 2518170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2518190 ns R r2 80000000 - 2518190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2518210 ns R psr 81000200 - 2518210 ns MR4_I 00000238 48054770 - 2518230 ns MR4_I 00000230 07d2684a - 2518250 ns IT 00000230 684a LDR r2,[r1,#4] - 2518270 ns MR4_I 00000234 6008d1fc - 2518330 ns MR4_D 40006004 00000001 - 2518330 ns R r2 00000001 - 2518330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2518350 ns R r2 80000000 - 2518350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2518370 ns R psr 81000200 - 2518370 ns MR4_I 00000238 48054770 - 2518390 ns MR4_I 00000230 07d2684a - 2518410 ns IT 00000230 684a LDR r2,[r1,#4] - 2518430 ns MR4_I 00000234 6008d1fc - 2518490 ns MR4_D 40006004 00000001 - 2518490 ns R r2 00000001 - 2518490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2518510 ns R r2 80000000 - 2518510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2518530 ns R psr 81000200 - 2518530 ns MR4_I 00000238 48054770 - 2518550 ns MR4_I 00000230 07d2684a - 2518570 ns IT 00000230 684a LDR r2,[r1,#4] - 2518590 ns MR4_I 00000234 6008d1fc - 2518650 ns MR4_D 40006004 00000001 - 2518650 ns R r2 00000001 - 2518650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2518670 ns R r2 80000000 - 2518670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2518690 ns R psr 81000200 - 2518690 ns MR4_I 00000238 48054770 - 2518710 ns MR4_I 00000230 07d2684a - 2518730 ns IT 00000230 684a LDR r2,[r1,#4] - 2518750 ns MR4_I 00000234 6008d1fc - 2518810 ns MR4_D 40006004 00000001 - 2518810 ns R r2 00000001 - 2518810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2518830 ns R r2 80000000 - 2518830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2518850 ns R psr 81000200 - 2518850 ns MR4_I 00000238 48054770 - 2518870 ns MR4_I 00000230 07d2684a - 2518890 ns IT 00000230 684a LDR r2,[r1,#4] - 2518910 ns MR4_I 00000234 6008d1fc - 2518970 ns MR4_D 40006004 00000001 - 2518970 ns R r2 00000001 - 2518970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2518990 ns R r2 80000000 - 2518990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2519010 ns R psr 81000200 - 2519010 ns MR4_I 00000238 48054770 - 2519030 ns MR4_I 00000230 07d2684a - 2519050 ns IT 00000230 684a LDR r2,[r1,#4] - 2519070 ns MR4_I 00000234 6008d1fc - 2519130 ns MR4_D 40006004 00000001 - 2519130 ns R r2 00000001 - 2519130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2519150 ns R r2 80000000 - 2519150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2519170 ns R psr 81000200 - 2519170 ns MR4_I 00000238 48054770 - 2519190 ns MR4_I 00000230 07d2684a - 2519210 ns IT 00000230 684a LDR r2,[r1,#4] - 2519230 ns MR4_I 00000234 6008d1fc - 2519290 ns MR4_D 40006004 00000001 - 2519290 ns R r2 00000001 - 2519290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2519310 ns R r2 80000000 - 2519310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2519330 ns R psr 81000200 - 2519330 ns MR4_I 00000238 48054770 - 2519350 ns MR4_I 00000230 07d2684a - 2519370 ns IT 00000230 684a LDR r2,[r1,#4] - 2519390 ns MR4_I 00000234 6008d1fc - 2519450 ns MR4_D 40006004 00000001 - 2519450 ns R r2 00000001 - 2519450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2519470 ns R r2 80000000 - 2519470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2519490 ns R psr 81000200 - 2519490 ns MR4_I 00000238 48054770 - 2519510 ns MR4_I 00000230 07d2684a - 2519530 ns IT 00000230 684a LDR r2,[r1,#4] - 2519550 ns MR4_I 00000234 6008d1fc - 2519610 ns MR4_D 40006004 00000001 - 2519610 ns R r2 00000001 - 2519610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2519630 ns R r2 80000000 - 2519630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2519650 ns R psr 81000200 - 2519650 ns MR4_I 00000238 48054770 - 2519670 ns MR4_I 00000230 07d2684a - 2519690 ns IT 00000230 684a LDR r2,[r1,#4] - 2519710 ns MR4_I 00000234 6008d1fc - 2519770 ns MR4_D 40006004 00000001 - 2519770 ns R r2 00000001 - 2519770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2519790 ns R r2 80000000 - 2519790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2519810 ns R psr 81000200 - 2519810 ns MR4_I 00000238 48054770 - 2519830 ns MR4_I 00000230 07d2684a - 2519850 ns IT 00000230 684a LDR r2,[r1,#4] - 2519870 ns MR4_I 00000234 6008d1fc - 2519930 ns MR4_D 40006004 00000001 - 2519930 ns R r2 00000001 - 2519930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2519950 ns R r2 80000000 - 2519950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2519970 ns R psr 81000200 - 2519970 ns MR4_I 00000238 48054770 - 2519990 ns MR4_I 00000230 07d2684a - 2520010 ns IT 00000230 684a LDR r2,[r1,#4] - 2520030 ns MR4_I 00000234 6008d1fc - 2520090 ns MR4_D 40006004 00000001 - 2520090 ns R r2 00000001 - 2520090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2520110 ns R r2 80000000 - 2520110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2520130 ns R psr 81000200 - 2520130 ns MR4_I 00000238 48054770 - 2520150 ns MR4_I 00000230 07d2684a - 2520170 ns IT 00000230 684a LDR r2,[r1,#4] - 2520190 ns MR4_I 00000234 6008d1fc - 2520250 ns MR4_D 40006004 00000001 - 2520250 ns R r2 00000001 - 2520250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2520270 ns R r2 80000000 - 2520270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2520290 ns R psr 81000200 - 2520290 ns MR4_I 00000238 48054770 - 2520310 ns MR4_I 00000230 07d2684a - 2520330 ns IT 00000230 684a LDR r2,[r1,#4] - 2520350 ns MR4_I 00000234 6008d1fc - 2520410 ns MR4_D 40006004 00000001 - 2520410 ns R r2 00000001 - 2520410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2520430 ns R r2 80000000 - 2520430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2520450 ns R psr 81000200 - 2520450 ns MR4_I 00000238 48054770 - 2520470 ns MR4_I 00000230 07d2684a - 2520490 ns IT 00000230 684a LDR r2,[r1,#4] - 2520510 ns MR4_I 00000234 6008d1fc - 2520570 ns MR4_D 40006004 00000001 - 2520570 ns R r2 00000001 - 2520570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2520590 ns R r2 80000000 - 2520590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2520610 ns R psr 81000200 - 2520610 ns MR4_I 00000238 48054770 - 2520630 ns MR4_I 00000230 07d2684a - 2520650 ns IT 00000230 684a LDR r2,[r1,#4] - 2520670 ns MR4_I 00000234 6008d1fc - 2520730 ns MR4_D 40006004 00000001 - 2520730 ns R r2 00000001 - 2520730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2520750 ns R r2 80000000 - 2520750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2520770 ns R psr 81000200 - 2520770 ns MR4_I 00000238 48054770 - 2520790 ns MR4_I 00000230 07d2684a - 2520810 ns IT 00000230 684a LDR r2,[r1,#4] - 2520830 ns MR4_I 00000234 6008d1fc - 2520890 ns MR4_D 40006004 00000001 - 2520890 ns R r2 00000001 - 2520890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2520910 ns R r2 80000000 - 2520910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2520930 ns R psr 81000200 - 2520930 ns MR4_I 00000238 48054770 - 2520950 ns MR4_I 00000230 07d2684a - 2520970 ns IT 00000230 684a LDR r2,[r1,#4] - 2520990 ns MR4_I 00000234 6008d1fc - 2521050 ns MR4_D 40006004 00000001 - 2521050 ns R r2 00000001 - 2521050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2521070 ns R r2 80000000 - 2521070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2521090 ns R psr 81000200 - 2521090 ns MR4_I 00000238 48054770 - 2521110 ns MR4_I 00000230 07d2684a - 2521130 ns IT 00000230 684a LDR r2,[r1,#4] - 2521150 ns MR4_I 00000234 6008d1fc - 2521210 ns MR4_D 40006004 00000001 - 2521210 ns R r2 00000001 - 2521210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2521230 ns R r2 80000000 - 2521230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2521250 ns R psr 81000200 - 2521250 ns MR4_I 00000238 48054770 - 2521270 ns MR4_I 00000230 07d2684a - 2521290 ns IT 00000230 684a LDR r2,[r1,#4] - 2521310 ns MR4_I 00000234 6008d1fc - 2521370 ns MR4_D 40006004 00000001 - 2521370 ns R r2 00000001 - 2521370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2521390 ns R r2 80000000 - 2521390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2521410 ns R psr 81000200 - 2521410 ns MR4_I 00000238 48054770 - 2521430 ns MR4_I 00000230 07d2684a - 2521450 ns IT 00000230 684a LDR r2,[r1,#4] - 2521470 ns MR4_I 00000234 6008d1fc - 2521530 ns MR4_D 40006004 00000001 - 2521530 ns R r2 00000001 - 2521530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2521550 ns R r2 80000000 - 2521550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2521570 ns R psr 81000200 - 2521570 ns MR4_I 00000238 48054770 - 2521590 ns MR4_I 00000230 07d2684a - 2521610 ns IT 00000230 684a LDR r2,[r1,#4] - 2521630 ns MR4_I 00000234 6008d1fc - 2521690 ns MR4_D 40006004 00000001 - 2521690 ns R r2 00000001 - 2521690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2521710 ns R r2 80000000 - 2521710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2521730 ns R psr 81000200 - 2521730 ns MR4_I 00000238 48054770 - 2521750 ns MR4_I 00000230 07d2684a - 2521770 ns IT 00000230 684a LDR r2,[r1,#4] - 2521790 ns MR4_I 00000234 6008d1fc - 2521850 ns MR4_D 40006004 00000001 - 2521850 ns R r2 00000001 - 2521850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2521870 ns R r2 80000000 - 2521870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2521890 ns R psr 81000200 - 2521890 ns MR4_I 00000238 48054770 - 2521910 ns MR4_I 00000230 07d2684a - 2521930 ns IT 00000230 684a LDR r2,[r1,#4] - 2521950 ns MR4_I 00000234 6008d1fc - 2522010 ns MR4_D 40006004 00000001 - 2522010 ns R r2 00000001 - 2522010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2522030 ns R r2 80000000 - 2522030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2522050 ns R psr 81000200 - 2522050 ns MR4_I 00000238 48054770 - 2522070 ns MR4_I 00000230 07d2684a - 2522090 ns IT 00000230 684a LDR r2,[r1,#4] - 2522110 ns MR4_I 00000234 6008d1fc - 2522170 ns MR4_D 40006004 00000001 - 2522170 ns R r2 00000001 - 2522170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2522190 ns R r2 80000000 - 2522190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2522210 ns R psr 81000200 - 2522210 ns MR4_I 00000238 48054770 - 2522230 ns MR4_I 00000230 07d2684a - 2522250 ns IT 00000230 684a LDR r2,[r1,#4] - 2522270 ns MR4_I 00000234 6008d1fc - 2522330 ns MR4_D 40006004 00000001 - 2522330 ns R r2 00000001 - 2522330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2522350 ns R r2 80000000 - 2522350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2522370 ns R psr 81000200 - 2522370 ns MR4_I 00000238 48054770 - 2522390 ns MR4_I 00000230 07d2684a - 2522410 ns IT 00000230 684a LDR r2,[r1,#4] - 2522430 ns MR4_I 00000234 6008d1fc - 2522490 ns MR4_D 40006004 00000001 - 2522490 ns R r2 00000001 - 2522490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2522510 ns R r2 80000000 - 2522510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2522530 ns R psr 81000200 - 2522530 ns MR4_I 00000238 48054770 - 2522550 ns MR4_I 00000230 07d2684a - 2522570 ns IT 00000230 684a LDR r2,[r1,#4] - 2522590 ns MR4_I 00000234 6008d1fc - 2522650 ns MR4_D 40006004 00000001 - 2522650 ns R r2 00000001 - 2522650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2522670 ns R r2 80000000 - 2522670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2522690 ns R psr 81000200 - 2522690 ns MR4_I 00000238 48054770 - 2522710 ns MR4_I 00000230 07d2684a - 2522730 ns IT 00000230 684a LDR r2,[r1,#4] - 2522750 ns MR4_I 00000234 6008d1fc - 2522810 ns MR4_D 40006004 00000001 - 2522810 ns R r2 00000001 - 2522810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2522830 ns R r2 80000000 - 2522830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2522850 ns R psr 81000200 - 2522850 ns MR4_I 00000238 48054770 - 2522870 ns MR4_I 00000230 07d2684a - 2522890 ns IT 00000230 684a LDR r2,[r1,#4] - 2522910 ns MR4_I 00000234 6008d1fc - 2522970 ns MR4_D 40006004 00000001 - 2522970 ns R r2 00000001 - 2522970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2522990 ns R r2 80000000 - 2522990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2523010 ns R psr 81000200 - 2523010 ns MR4_I 00000238 48054770 - 2523030 ns MR4_I 00000230 07d2684a - 2523050 ns IT 00000230 684a LDR r2,[r1,#4] - 2523070 ns MR4_I 00000234 6008d1fc - 2523130 ns MR4_D 40006004 00000001 - 2523130 ns R r2 00000001 - 2523130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2523150 ns R r2 80000000 - 2523150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2523170 ns R psr 81000200 - 2523170 ns MR4_I 00000238 48054770 - 2523190 ns MR4_I 00000230 07d2684a - 2523210 ns IT 00000230 684a LDR r2,[r1,#4] - 2523230 ns MR4_I 00000234 6008d1fc - 2523290 ns MR4_D 40006004 00000001 - 2523290 ns R r2 00000001 - 2523290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2523310 ns R r2 80000000 - 2523310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2523330 ns R psr 81000200 - 2523330 ns MR4_I 00000238 48054770 - 2523350 ns MR4_I 00000230 07d2684a - 2523370 ns IT 00000230 684a LDR r2,[r1,#4] - 2523390 ns MR4_I 00000234 6008d1fc - 2523450 ns MR4_D 40006004 00000001 - 2523450 ns R r2 00000001 - 2523450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2523470 ns R r2 80000000 - 2523470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2523490 ns R psr 81000200 - 2523490 ns MR4_I 00000238 48054770 - 2523510 ns MR4_I 00000230 07d2684a - 2523530 ns IT 00000230 684a LDR r2,[r1,#4] - 2523550 ns MR4_I 00000234 6008d1fc - 2523610 ns MR4_D 40006004 00000001 - 2523610 ns R r2 00000001 - 2523610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2523630 ns R r2 80000000 - 2523630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2523650 ns R psr 81000200 - 2523650 ns MR4_I 00000238 48054770 - 2523670 ns MR4_I 00000230 07d2684a - 2523690 ns IT 00000230 684a LDR r2,[r1,#4] - 2523710 ns MR4_I 00000234 6008d1fc - 2523770 ns MR4_D 40006004 00000001 - 2523770 ns R r2 00000001 - 2523770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2523790 ns R r2 80000000 - 2523790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2523810 ns R psr 81000200 - 2523810 ns MR4_I 00000238 48054770 - 2523830 ns MR4_I 00000230 07d2684a - 2523850 ns IT 00000230 684a LDR r2,[r1,#4] - 2523870 ns MR4_I 00000234 6008d1fc - 2523930 ns MR4_D 40006004 00000001 - 2523930 ns R r2 00000001 - 2523930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2523950 ns R r2 80000000 - 2523950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2523970 ns R psr 81000200 - 2523970 ns MR4_I 00000238 48054770 - 2523990 ns MR4_I 00000230 07d2684a - 2524010 ns IT 00000230 684a LDR r2,[r1,#4] - 2524030 ns MR4_I 00000234 6008d1fc - 2524090 ns MR4_D 40006004 00000001 - 2524090 ns R r2 00000001 - 2524090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2524110 ns R r2 80000000 - 2524110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2524130 ns R psr 81000200 - 2524130 ns MR4_I 00000238 48054770 - 2524150 ns MR4_I 00000230 07d2684a - 2524170 ns IT 00000230 684a LDR r2,[r1,#4] - 2524190 ns MR4_I 00000234 6008d1fc - 2524250 ns MR4_D 40006004 00000001 - 2524250 ns R r2 00000001 - 2524250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2524270 ns R r2 80000000 - 2524270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2524290 ns R psr 81000200 - 2524290 ns MR4_I 00000238 48054770 - 2524310 ns MR4_I 00000230 07d2684a - 2524330 ns IT 00000230 684a LDR r2,[r1,#4] - 2524350 ns MR4_I 00000234 6008d1fc - 2524410 ns MR4_D 40006004 00000001 - 2524410 ns R r2 00000001 - 2524410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2524430 ns R r2 80000000 - 2524430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2524450 ns R psr 81000200 - 2524450 ns MR4_I 00000238 48054770 - 2524470 ns MR4_I 00000230 07d2684a - 2524490 ns IT 00000230 684a LDR r2,[r1,#4] - 2524510 ns MR4_I 00000234 6008d1fc - 2524570 ns MR4_D 40006004 00000001 - 2524570 ns R r2 00000001 - 2524570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2524590 ns R r2 80000000 - 2524590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2524610 ns R psr 81000200 - 2524610 ns MR4_I 00000238 48054770 - 2524630 ns MR4_I 00000230 07d2684a - 2524650 ns IT 00000230 684a LDR r2,[r1,#4] - 2524670 ns MR4_I 00000234 6008d1fc - 2524730 ns MR4_D 40006004 00000001 - 2524730 ns R r2 00000001 - 2524730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2524750 ns R r2 80000000 - 2524750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2524770 ns R psr 81000200 - 2524770 ns MR4_I 00000238 48054770 - 2524790 ns MR4_I 00000230 07d2684a - 2524810 ns IT 00000230 684a LDR r2,[r1,#4] - 2524830 ns MR4_I 00000234 6008d1fc - 2524890 ns MR4_D 40006004 00000001 - 2524890 ns R r2 00000001 - 2524890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2524910 ns R r2 80000000 - 2524910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2524930 ns R psr 81000200 - 2524930 ns MR4_I 00000238 48054770 - 2524950 ns MR4_I 00000230 07d2684a - 2524970 ns IT 00000230 684a LDR r2,[r1,#4] - 2524990 ns MR4_I 00000234 6008d1fc - 2525050 ns MR4_D 40006004 00000001 - 2525050 ns R r2 00000001 - 2525050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2525070 ns R r2 80000000 - 2525070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2525090 ns R psr 81000200 - 2525090 ns MR4_I 00000238 48054770 - 2525110 ns MR4_I 00000230 07d2684a - 2525130 ns IT 00000230 684a LDR r2,[r1,#4] - 2525150 ns MR4_I 00000234 6008d1fc - 2525210 ns MR4_D 40006004 00000001 - 2525210 ns R r2 00000001 - 2525210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2525230 ns R r2 80000000 - 2525230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2525250 ns R psr 81000200 - 2525250 ns MR4_I 00000238 48054770 - 2525270 ns MR4_I 00000230 07d2684a - 2525290 ns IT 00000230 684a LDR r2,[r1,#4] - 2525310 ns MR4_I 00000234 6008d1fc - 2525370 ns MR4_D 40006004 00000001 - 2525370 ns R r2 00000001 - 2525370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2525390 ns R r2 80000000 - 2525390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2525410 ns R psr 81000200 - 2525410 ns MR4_I 00000238 48054770 - 2525430 ns MR4_I 00000230 07d2684a - 2525450 ns IT 00000230 684a LDR r2,[r1,#4] - 2525470 ns MR4_I 00000234 6008d1fc - 2525530 ns MR4_D 40006004 00000001 - 2525530 ns R r2 00000001 - 2525530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2525550 ns R r2 80000000 - 2525550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2525570 ns R psr 81000200 - 2525570 ns MR4_I 00000238 48054770 - 2525590 ns MR4_I 00000230 07d2684a - 2525610 ns IT 00000230 684a LDR r2,[r1,#4] - 2525630 ns MR4_I 00000234 6008d1fc - 2525690 ns MR4_D 40006004 00000001 - 2525690 ns R r2 00000001 - 2525690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2525710 ns R r2 80000000 - 2525710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2525730 ns R psr 81000200 - 2525730 ns MR4_I 00000238 48054770 - 2525750 ns MR4_I 00000230 07d2684a - 2525770 ns IT 00000230 684a LDR r2,[r1,#4] - 2525790 ns MR4_I 00000234 6008d1fc - 2525850 ns MR4_D 40006004 00000001 - 2525850 ns R r2 00000001 - 2525850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2525870 ns R r2 80000000 - 2525870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2525890 ns R psr 81000200 - 2525890 ns MR4_I 00000238 48054770 - 2525910 ns MR4_I 00000230 07d2684a - 2525930 ns IT 00000230 684a LDR r2,[r1,#4] - 2525950 ns MR4_I 00000234 6008d1fc - 2526010 ns MR4_D 40006004 00000001 - 2526010 ns R r2 00000001 - 2526010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2526030 ns R r2 80000000 - 2526030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2526050 ns R psr 81000200 - 2526050 ns MR4_I 00000238 48054770 - 2526070 ns MR4_I 00000230 07d2684a - 2526090 ns IT 00000230 684a LDR r2,[r1,#4] - 2526110 ns MR4_I 00000234 6008d1fc - 2526170 ns MR4_D 40006004 00000001 - 2526170 ns R r2 00000001 - 2526170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2526190 ns R r2 80000000 - 2526190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2526210 ns R psr 81000200 - 2526210 ns MR4_I 00000238 48054770 - 2526230 ns MR4_I 00000230 07d2684a - 2526250 ns IT 00000230 684a LDR r2,[r1,#4] - 2526270 ns MR4_I 00000234 6008d1fc - 2526330 ns MR4_D 40006004 00000001 - 2526330 ns R r2 00000001 - 2526330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2526350 ns R r2 80000000 - 2526350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2526370 ns R psr 81000200 - 2526370 ns MR4_I 00000238 48054770 - 2526390 ns MR4_I 00000230 07d2684a - 2526410 ns IT 00000230 684a LDR r2,[r1,#4] - 2526430 ns MR4_I 00000234 6008d1fc - 2526490 ns MR4_D 40006004 00000001 - 2526490 ns R r2 00000001 - 2526490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2526510 ns R r2 80000000 - 2526510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2526530 ns R psr 81000200 - 2526530 ns MR4_I 00000238 48054770 - 2526550 ns MR4_I 00000230 07d2684a - 2526570 ns IT 00000230 684a LDR r2,[r1,#4] - 2526590 ns MR4_I 00000234 6008d1fc - 2526650 ns MR4_D 40006004 00000001 - 2526650 ns R r2 00000001 - 2526650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2526670 ns R r2 80000000 - 2526670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2526690 ns R psr 81000200 - 2526690 ns MR4_I 00000238 48054770 - 2526710 ns MR4_I 00000230 07d2684a - 2526730 ns IT 00000230 684a LDR r2,[r1,#4] - 2526750 ns MR4_I 00000234 6008d1fc - 2526810 ns MR4_D 40006004 00000001 - 2526810 ns R r2 00000001 - 2526810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2526830 ns R r2 80000000 - 2526830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2526850 ns R psr 81000200 - 2526850 ns MR4_I 00000238 48054770 - 2526870 ns MR4_I 00000230 07d2684a - 2526890 ns IT 00000230 684a LDR r2,[r1,#4] - 2526910 ns MR4_I 00000234 6008d1fc - 2526970 ns MR4_D 40006004 00000001 - 2526970 ns R r2 00000001 - 2526970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2526990 ns R r2 80000000 - 2526990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2527010 ns R psr 81000200 - 2527010 ns MR4_I 00000238 48054770 - 2527030 ns MR4_I 00000230 07d2684a - 2527050 ns IT 00000230 684a LDR r2,[r1,#4] - 2527070 ns MR4_I 00000234 6008d1fc - 2527130 ns MR4_D 40006004 00000001 - 2527130 ns R r2 00000001 - 2527130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2527150 ns R r2 80000000 - 2527150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2527170 ns R psr 81000200 - 2527170 ns MR4_I 00000238 48054770 - 2527190 ns MR4_I 00000230 07d2684a - 2527210 ns IT 00000230 684a LDR r2,[r1,#4] - 2527230 ns MR4_I 00000234 6008d1fc - 2527290 ns MR4_D 40006004 00000001 - 2527290 ns R r2 00000001 - 2527290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2527310 ns R r2 80000000 - 2527310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2527330 ns R psr 81000200 - 2527330 ns MR4_I 00000238 48054770 - 2527350 ns MR4_I 00000230 07d2684a - 2527370 ns IT 00000230 684a LDR r2,[r1,#4] - 2527390 ns MR4_I 00000234 6008d1fc - 2527450 ns MR4_D 40006004 00000001 - 2527450 ns R r2 00000001 - 2527450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2527470 ns R r2 80000000 - 2527470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2527490 ns R psr 81000200 - 2527490 ns MR4_I 00000238 48054770 - 2527510 ns MR4_I 00000230 07d2684a - 2527530 ns IT 00000230 684a LDR r2,[r1,#4] - 2527550 ns MR4_I 00000234 6008d1fc - 2527610 ns MR4_D 40006004 00000001 - 2527610 ns R r2 00000001 - 2527610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2527630 ns R r2 80000000 - 2527630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2527650 ns R psr 81000200 - 2527650 ns MR4_I 00000238 48054770 - 2527670 ns MR4_I 00000230 07d2684a - 2527690 ns IT 00000230 684a LDR r2,[r1,#4] - 2527710 ns MR4_I 00000234 6008d1fc - 2527770 ns MR4_D 40006004 00000001 - 2527770 ns R r2 00000001 - 2527770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2527790 ns R r2 80000000 - 2527790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2527810 ns R psr 81000200 - 2527810 ns MR4_I 00000238 48054770 - 2527830 ns MR4_I 00000230 07d2684a - 2527850 ns IT 00000230 684a LDR r2,[r1,#4] - 2527870 ns MR4_I 00000234 6008d1fc - 2527930 ns MR4_D 40006004 00000001 - 2527930 ns R r2 00000001 - 2527930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2527950 ns R r2 80000000 - 2527950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2527970 ns R psr 81000200 - 2527970 ns MR4_I 00000238 48054770 - 2527990 ns MR4_I 00000230 07d2684a - 2528010 ns IT 00000230 684a LDR r2,[r1,#4] - 2528030 ns MR4_I 00000234 6008d1fc - 2528090 ns MR4_D 40006004 00000001 - 2528090 ns R r2 00000001 - 2528090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2528110 ns R r2 80000000 - 2528110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2528130 ns R psr 81000200 - 2528130 ns MR4_I 00000238 48054770 - 2528150 ns MR4_I 00000230 07d2684a - 2528170 ns IT 00000230 684a LDR r2,[r1,#4] - 2528190 ns MR4_I 00000234 6008d1fc - 2528250 ns MR4_D 40006004 00000001 - 2528250 ns R r2 00000001 - 2528250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2528270 ns R r2 80000000 - 2528270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2528290 ns R psr 81000200 - 2528290 ns MR4_I 00000238 48054770 - 2528310 ns MR4_I 00000230 07d2684a - 2528330 ns IT 00000230 684a LDR r2,[r1,#4] - 2528350 ns MR4_I 00000234 6008d1fc - 2528410 ns MR4_D 40006004 00000001 - 2528410 ns R r2 00000001 - 2528410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2528430 ns R r2 80000000 - 2528430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2528450 ns R psr 81000200 - 2528450 ns MR4_I 00000238 48054770 - 2528470 ns MR4_I 00000230 07d2684a - 2528490 ns IT 00000230 684a LDR r2,[r1,#4] - 2528510 ns MR4_I 00000234 6008d1fc - 2528570 ns MR4_D 40006004 00000001 - 2528570 ns R r2 00000001 - 2528570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2528590 ns R r2 80000000 - 2528590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2528610 ns R psr 81000200 - 2528610 ns MR4_I 00000238 48054770 - 2528630 ns MR4_I 00000230 07d2684a - 2528650 ns IT 00000230 684a LDR r2,[r1,#4] - 2528670 ns MR4_I 00000234 6008d1fc - 2528730 ns MR4_D 40006004 00000001 - 2528730 ns R r2 00000001 - 2528730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2528750 ns R r2 80000000 - 2528750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2528770 ns R psr 81000200 - 2528770 ns MR4_I 00000238 48054770 - 2528790 ns MR4_I 00000230 07d2684a - 2528810 ns IT 00000230 684a LDR r2,[r1,#4] - 2528830 ns MR4_I 00000234 6008d1fc - 2528890 ns MR4_D 40006004 00000001 - 2528890 ns R r2 00000001 - 2528890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2528910 ns R r2 80000000 - 2528910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2528930 ns R psr 81000200 - 2528930 ns MR4_I 00000238 48054770 - 2528950 ns MR4_I 00000230 07d2684a - 2528970 ns IT 00000230 684a LDR r2,[r1,#4] - 2528990 ns MR4_I 00000234 6008d1fc - 2529050 ns MR4_D 40006004 00000001 - 2529050 ns R r2 00000001 - 2529050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2529070 ns R r2 80000000 - 2529070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2529090 ns R psr 81000200 - 2529090 ns MR4_I 00000238 48054770 - 2529110 ns MR4_I 00000230 07d2684a - 2529130 ns IT 00000230 684a LDR r2,[r1,#4] - 2529150 ns MR4_I 00000234 6008d1fc - 2529210 ns MR4_D 40006004 00000001 - 2529210 ns R r2 00000001 - 2529210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2529230 ns R r2 80000000 - 2529230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2529250 ns R psr 81000200 - 2529250 ns MR4_I 00000238 48054770 - 2529270 ns MR4_I 00000230 07d2684a - 2529290 ns IT 00000230 684a LDR r2,[r1,#4] - 2529310 ns MR4_I 00000234 6008d1fc - 2529370 ns MR4_D 40006004 00000001 - 2529370 ns R r2 00000001 - 2529370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2529390 ns R r2 80000000 - 2529390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2529410 ns R psr 81000200 - 2529410 ns MR4_I 00000238 48054770 - 2529430 ns MR4_I 00000230 07d2684a - 2529450 ns IT 00000230 684a LDR r2,[r1,#4] - 2529470 ns MR4_I 00000234 6008d1fc - 2529530 ns MR4_D 40006004 00000001 - 2529530 ns R r2 00000001 - 2529530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2529550 ns R r2 80000000 - 2529550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2529570 ns R psr 81000200 - 2529570 ns MR4_I 00000238 48054770 - 2529590 ns MR4_I 00000230 07d2684a - 2529610 ns IT 00000230 684a LDR r2,[r1,#4] - 2529630 ns MR4_I 00000234 6008d1fc - 2529690 ns MR4_D 40006004 00000001 - 2529690 ns R r2 00000001 - 2529690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2529710 ns R r2 80000000 - 2529710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2529730 ns R psr 81000200 - 2529730 ns MR4_I 00000238 48054770 - 2529750 ns MR4_I 00000230 07d2684a - 2529770 ns IT 00000230 684a LDR r2,[r1,#4] - 2529790 ns MR4_I 00000234 6008d1fc - 2529850 ns MR4_D 40006004 00000001 - 2529850 ns R r2 00000001 - 2529850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2529870 ns R r2 80000000 - 2529870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2529890 ns R psr 81000200 - 2529890 ns MR4_I 00000238 48054770 - 2529910 ns MR4_I 00000230 07d2684a - 2529930 ns IT 00000230 684a LDR r2,[r1,#4] - 2529950 ns MR4_I 00000234 6008d1fc - 2530010 ns MR4_D 40006004 00000001 - 2530010 ns R r2 00000001 - 2530010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2530030 ns R r2 80000000 - 2530030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2530050 ns R psr 81000200 - 2530050 ns MR4_I 00000238 48054770 - 2530070 ns MR4_I 00000230 07d2684a - 2530090 ns IT 00000230 684a LDR r2,[r1,#4] - 2530110 ns MR4_I 00000234 6008d1fc - 2530170 ns MR4_D 40006004 00000001 - 2530170 ns R r2 00000001 - 2530170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2530190 ns R r2 80000000 - 2530190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2530210 ns R psr 81000200 - 2530210 ns MR4_I 00000238 48054770 - 2530230 ns MR4_I 00000230 07d2684a - 2530250 ns IT 00000230 684a LDR r2,[r1,#4] - 2530270 ns MR4_I 00000234 6008d1fc - 2530330 ns MR4_D 40006004 00000001 - 2530330 ns R r2 00000001 - 2530330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2530350 ns R r2 80000000 - 2530350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2530370 ns R psr 81000200 - 2530370 ns MR4_I 00000238 48054770 - 2530390 ns MR4_I 00000230 07d2684a - 2530410 ns IT 00000230 684a LDR r2,[r1,#4] - 2530430 ns MR4_I 00000234 6008d1fc - 2530490 ns MR4_D 40006004 00000001 - 2530490 ns R r2 00000001 - 2530490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2530510 ns R r2 80000000 - 2530510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2530530 ns R psr 81000200 - 2530530 ns MR4_I 00000238 48054770 - 2530550 ns MR4_I 00000230 07d2684a - 2530570 ns IT 00000230 684a LDR r2,[r1,#4] - 2530590 ns MR4_I 00000234 6008d1fc - 2530650 ns MR4_D 40006004 00000001 - 2530650 ns R r2 00000001 - 2530650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2530670 ns R r2 80000000 - 2530670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2530690 ns R psr 81000200 - 2530690 ns MR4_I 00000238 48054770 - 2530710 ns MR4_I 00000230 07d2684a - 2530730 ns IT 00000230 684a LDR r2,[r1,#4] - 2530750 ns MR4_I 00000234 6008d1fc - 2530810 ns MR4_D 40006004 00000001 - 2530810 ns R r2 00000001 - 2530810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2530830 ns R r2 80000000 - 2530830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2530850 ns R psr 81000200 - 2530850 ns MR4_I 00000238 48054770 - 2530870 ns MR4_I 00000230 07d2684a - 2530890 ns IT 00000230 684a LDR r2,[r1,#4] - 2530910 ns MR4_I 00000234 6008d1fc - 2530970 ns MR4_D 40006004 00000001 - 2530970 ns R r2 00000001 - 2530970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2530990 ns R r2 80000000 - 2530990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2531010 ns R psr 81000200 - 2531010 ns MR4_I 00000238 48054770 - 2531030 ns MR4_I 00000230 07d2684a - 2531050 ns IT 00000230 684a LDR r2,[r1,#4] - 2531070 ns MR4_I 00000234 6008d1fc - 2531130 ns MR4_D 40006004 00000001 - 2531130 ns R r2 00000001 - 2531130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2531150 ns R r2 80000000 - 2531150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2531170 ns R psr 81000200 - 2531170 ns MR4_I 00000238 48054770 - 2531190 ns MR4_I 00000230 07d2684a - 2531210 ns IT 00000230 684a LDR r2,[r1,#4] - 2531230 ns MR4_I 00000234 6008d1fc - 2531290 ns MR4_D 40006004 00000001 - 2531290 ns R r2 00000001 - 2531290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2531310 ns R r2 80000000 - 2531310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2531330 ns R psr 81000200 - 2531330 ns MR4_I 00000238 48054770 - 2531350 ns MR4_I 00000230 07d2684a - 2531370 ns IT 00000230 684a LDR r2,[r1,#4] - 2531390 ns MR4_I 00000234 6008d1fc - 2531450 ns MR4_D 40006004 00000001 - 2531450 ns R r2 00000001 - 2531450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2531470 ns R r2 80000000 - 2531470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2531490 ns R psr 81000200 - 2531490 ns MR4_I 00000238 48054770 - 2531510 ns MR4_I 00000230 07d2684a - 2531530 ns IT 00000230 684a LDR r2,[r1,#4] - 2531550 ns MR4_I 00000234 6008d1fc - 2531610 ns MR4_D 40006004 00000001 - 2531610 ns R r2 00000001 - 2531610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2531630 ns R r2 80000000 - 2531630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2531650 ns R psr 81000200 - 2531650 ns MR4_I 00000238 48054770 - 2531670 ns MR4_I 00000230 07d2684a - 2531690 ns IT 00000230 684a LDR r2,[r1,#4] - 2531710 ns MR4_I 00000234 6008d1fc - 2531770 ns MR4_D 40006004 00000001 - 2531770 ns R r2 00000001 - 2531770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2531790 ns R r2 80000000 - 2531790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2531810 ns R psr 81000200 - 2531810 ns MR4_I 00000238 48054770 - 2531830 ns MR4_I 00000230 07d2684a - 2531850 ns IT 00000230 684a LDR r2,[r1,#4] - 2531870 ns MR4_I 00000234 6008d1fc - 2531930 ns MR4_D 40006004 00000001 - 2531930 ns R r2 00000001 - 2531930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2531950 ns R r2 80000000 - 2531950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2531970 ns R psr 81000200 - 2531970 ns MR4_I 00000238 48054770 - 2531990 ns MR4_I 00000230 07d2684a - 2532010 ns IT 00000230 684a LDR r2,[r1,#4] - 2532030 ns MR4_I 00000234 6008d1fc - 2532090 ns MR4_D 40006004 00000001 - 2532090 ns R r2 00000001 - 2532090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2532110 ns R r2 80000000 - 2532110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2532130 ns R psr 81000200 - 2532130 ns MR4_I 00000238 48054770 - 2532150 ns MR4_I 00000230 07d2684a - 2532170 ns IT 00000230 684a LDR r2,[r1,#4] - 2532190 ns MR4_I 00000234 6008d1fc - 2532250 ns MR4_D 40006004 00000001 - 2532250 ns R r2 00000001 - 2532250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2532270 ns R r2 80000000 - 2532270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2532290 ns R psr 81000200 - 2532290 ns MR4_I 00000238 48054770 - 2532310 ns MR4_I 00000230 07d2684a - 2532330 ns IT 00000230 684a LDR r2,[r1,#4] - 2532350 ns MR4_I 00000234 6008d1fc - 2532410 ns MR4_D 40006004 00000001 - 2532410 ns R r2 00000001 - 2532410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2532430 ns R r2 80000000 - 2532430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2532450 ns R psr 81000200 - 2532450 ns MR4_I 00000238 48054770 - 2532470 ns MR4_I 00000230 07d2684a - 2532490 ns IT 00000230 684a LDR r2,[r1,#4] - 2532510 ns MR4_I 00000234 6008d1fc - 2532570 ns MR4_D 40006004 00000001 - 2532570 ns R r2 00000001 - 2532570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2532590 ns R r2 80000000 - 2532590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2532610 ns R psr 81000200 - 2532610 ns MR4_I 00000238 48054770 - 2532630 ns MR4_I 00000230 07d2684a - 2532650 ns IT 00000230 684a LDR r2,[r1,#4] - 2532670 ns MR4_I 00000234 6008d1fc - 2532730 ns MR4_D 40006004 00000001 - 2532730 ns R r2 00000001 - 2532730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2532750 ns R r2 80000000 - 2532750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2532770 ns R psr 81000200 - 2532770 ns MR4_I 00000238 48054770 - 2532790 ns MR4_I 00000230 07d2684a - 2532810 ns IT 00000230 684a LDR r2,[r1,#4] - 2532830 ns MR4_I 00000234 6008d1fc - 2532890 ns MR4_D 40006004 00000001 - 2532890 ns R r2 00000001 - 2532890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2532910 ns R r2 80000000 - 2532910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2532930 ns R psr 81000200 - 2532930 ns MR4_I 00000238 48054770 - 2532950 ns MR4_I 00000230 07d2684a - 2532970 ns IT 00000230 684a LDR r2,[r1,#4] - 2532990 ns MR4_I 00000234 6008d1fc - 2533050 ns MR4_D 40006004 00000001 - 2533050 ns R r2 00000001 - 2533050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2533070 ns R r2 80000000 - 2533070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2533090 ns R psr 81000200 - 2533090 ns MR4_I 00000238 48054770 - 2533110 ns MR4_I 00000230 07d2684a - 2533130 ns IT 00000230 684a LDR r2,[r1,#4] - 2533150 ns MR4_I 00000234 6008d1fc - 2533210 ns MR4_D 40006004 00000001 - 2533210 ns R r2 00000001 - 2533210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2533230 ns R r2 80000000 - 2533230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2533250 ns R psr 81000200 - 2533250 ns MR4_I 00000238 48054770 - 2533270 ns MR4_I 00000230 07d2684a - 2533290 ns IT 00000230 684a LDR r2,[r1,#4] - 2533310 ns MR4_I 00000234 6008d1fc - 2533370 ns MR4_D 40006004 00000001 - 2533370 ns R r2 00000001 - 2533370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2533390 ns R r2 80000000 - 2533390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2533410 ns R psr 81000200 - 2533410 ns MR4_I 00000238 48054770 - 2533430 ns MR4_I 00000230 07d2684a - 2533450 ns IT 00000230 684a LDR r2,[r1,#4] - 2533470 ns MR4_I 00000234 6008d1fc - 2533530 ns MR4_D 40006004 00000001 - 2533530 ns R r2 00000001 - 2533530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2533550 ns R r2 80000000 - 2533550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2533570 ns R psr 81000200 - 2533570 ns MR4_I 00000238 48054770 - 2533590 ns MR4_I 00000230 07d2684a - 2533610 ns IT 00000230 684a LDR r2,[r1,#4] - 2533630 ns MR4_I 00000234 6008d1fc - 2533690 ns MR4_D 40006004 00000001 - 2533690 ns R r2 00000001 - 2533690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2533710 ns R r2 80000000 - 2533710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2533730 ns R psr 81000200 - 2533730 ns MR4_I 00000238 48054770 - 2533750 ns MR4_I 00000230 07d2684a - 2533770 ns IT 00000230 684a LDR r2,[r1,#4] - 2533790 ns MR4_I 00000234 6008d1fc - 2533850 ns MR4_D 40006004 00000001 - 2533850 ns R r2 00000001 - 2533850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2533870 ns R r2 80000000 - 2533870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2533890 ns R psr 81000200 - 2533890 ns MR4_I 00000238 48054770 - 2533910 ns MR4_I 00000230 07d2684a - 2533930 ns IT 00000230 684a LDR r2,[r1,#4] - 2533950 ns MR4_I 00000234 6008d1fc - 2534010 ns MR4_D 40006004 00000001 - 2534010 ns R r2 00000001 - 2534010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2534030 ns R r2 80000000 - 2534030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2534050 ns R psr 81000200 - 2534050 ns MR4_I 00000238 48054770 - 2534070 ns MR4_I 00000230 07d2684a - 2534090 ns IT 00000230 684a LDR r2,[r1,#4] - 2534110 ns MR4_I 00000234 6008d1fc - 2534170 ns MR4_D 40006004 00000001 - 2534170 ns R r2 00000001 - 2534170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2534190 ns R r2 80000000 - 2534190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2534210 ns R psr 81000200 - 2534210 ns MR4_I 00000238 48054770 - 2534230 ns MR4_I 00000230 07d2684a - 2534250 ns IT 00000230 684a LDR r2,[r1,#4] - 2534270 ns MR4_I 00000234 6008d1fc - 2534330 ns MR4_D 40006004 00000001 - 2534330 ns R r2 00000001 - 2534330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2534350 ns R r2 80000000 - 2534350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2534370 ns R psr 81000200 - 2534370 ns MR4_I 00000238 48054770 - 2534390 ns MR4_I 00000230 07d2684a - 2534410 ns IT 00000230 684a LDR r2,[r1,#4] - 2534430 ns MR4_I 00000234 6008d1fc - 2534490 ns MR4_D 40006004 00000001 - 2534490 ns R r2 00000001 - 2534490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2534510 ns R r2 80000000 - 2534510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2534530 ns R psr 81000200 - 2534530 ns MR4_I 00000238 48054770 - 2534550 ns MR4_I 00000230 07d2684a - 2534570 ns IT 00000230 684a LDR r2,[r1,#4] - 2534590 ns MR4_I 00000234 6008d1fc - 2534650 ns MR4_D 40006004 00000001 - 2534650 ns R r2 00000001 - 2534650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2534670 ns R r2 80000000 - 2534670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2534690 ns R psr 81000200 - 2534690 ns MR4_I 00000238 48054770 - 2534710 ns MR4_I 00000230 07d2684a - 2534730 ns IT 00000230 684a LDR r2,[r1,#4] - 2534750 ns MR4_I 00000234 6008d1fc - 2534810 ns MR4_D 40006004 00000001 - 2534810 ns R r2 00000001 - 2534810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2534830 ns R r2 80000000 - 2534830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2534850 ns R psr 81000200 - 2534850 ns MR4_I 00000238 48054770 - 2534870 ns MR4_I 00000230 07d2684a - 2534890 ns IT 00000230 684a LDR r2,[r1,#4] - 2534910 ns MR4_I 00000234 6008d1fc - 2534970 ns MR4_D 40006004 00000001 - 2534970 ns R r2 00000001 - 2534970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2534990 ns R r2 80000000 - 2534990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2535010 ns R psr 81000200 - 2535010 ns MR4_I 00000238 48054770 - 2535030 ns MR4_I 00000230 07d2684a - 2535050 ns IT 00000230 684a LDR r2,[r1,#4] - 2535070 ns MR4_I 00000234 6008d1fc - 2535130 ns MR4_D 40006004 00000001 - 2535130 ns R r2 00000001 - 2535130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2535150 ns R r2 80000000 - 2535150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2535170 ns R psr 81000200 - 2535170 ns MR4_I 00000238 48054770 - 2535190 ns MR4_I 00000230 07d2684a - 2535210 ns IT 00000230 684a LDR r2,[r1,#4] - 2535230 ns MR4_I 00000234 6008d1fc - 2535290 ns MR4_D 40006004 00000001 - 2535290 ns R r2 00000001 - 2535290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2535310 ns R r2 80000000 - 2535310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2535330 ns R psr 81000200 - 2535330 ns MR4_I 00000238 48054770 - 2535350 ns MR4_I 00000230 07d2684a - 2535370 ns IT 00000230 684a LDR r2,[r1,#4] - 2535390 ns MR4_I 00000234 6008d1fc - 2535450 ns MR4_D 40006004 00000001 - 2535450 ns R r2 00000001 - 2535450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2535470 ns R r2 80000000 - 2535470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2535490 ns R psr 81000200 - 2535490 ns MR4_I 00000238 48054770 - 2535510 ns MR4_I 00000230 07d2684a - 2535530 ns IT 00000230 684a LDR r2,[r1,#4] - 2535550 ns MR4_I 00000234 6008d1fc - 2535610 ns MR4_D 40006004 00000001 - 2535610 ns R r2 00000001 - 2535610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2535630 ns R r2 80000000 - 2535630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2535650 ns R psr 81000200 - 2535650 ns MR4_I 00000238 48054770 - 2535670 ns MR4_I 00000230 07d2684a - 2535690 ns IT 00000230 684a LDR r2,[r1,#4] - 2535710 ns MR4_I 00000234 6008d1fc - 2535770 ns MR4_D 40006004 00000001 - 2535770 ns R r2 00000001 - 2535770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2535790 ns R r2 80000000 - 2535790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2535810 ns R psr 81000200 - 2535810 ns MR4_I 00000238 48054770 - 2535830 ns MR4_I 00000230 07d2684a - 2535850 ns IT 00000230 684a LDR r2,[r1,#4] - 2535870 ns MR4_I 00000234 6008d1fc - 2535930 ns MR4_D 40006004 00000001 - 2535930 ns R r2 00000001 - 2535930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2535950 ns R r2 80000000 - 2535950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2535970 ns R psr 81000200 - 2535970 ns MR4_I 00000238 48054770 - 2535990 ns MR4_I 00000230 07d2684a - 2536010 ns IT 00000230 684a LDR r2,[r1,#4] - 2536030 ns MR4_I 00000234 6008d1fc - 2536090 ns MR4_D 40006004 00000001 - 2536090 ns R r2 00000001 - 2536090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2536110 ns R r2 80000000 - 2536110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2536130 ns R psr 81000200 - 2536130 ns MR4_I 00000238 48054770 - 2536150 ns MR4_I 00000230 07d2684a - 2536170 ns IT 00000230 684a LDR r2,[r1,#4] - 2536190 ns MR4_I 00000234 6008d1fc - 2536250 ns MR4_D 40006004 00000001 - 2536250 ns R r2 00000001 - 2536250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2536270 ns R r2 80000000 - 2536270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2536290 ns R psr 81000200 - 2536290 ns MR4_I 00000238 48054770 - 2536310 ns MR4_I 00000230 07d2684a - 2536330 ns IT 00000230 684a LDR r2,[r1,#4] - 2536350 ns MR4_I 00000234 6008d1fc - 2536410 ns MR4_D 40006004 00000001 - 2536410 ns R r2 00000001 - 2536410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2536430 ns R r2 80000000 - 2536430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2536450 ns R psr 81000200 - 2536450 ns MR4_I 00000238 48054770 - 2536470 ns MR4_I 00000230 07d2684a - 2536490 ns IT 00000230 684a LDR r2,[r1,#4] - 2536510 ns MR4_I 00000234 6008d1fc - 2536570 ns MR4_D 40006004 00000001 - 2536570 ns R r2 00000001 - 2536570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2536590 ns R r2 80000000 - 2536590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2536610 ns R psr 81000200 - 2536610 ns MR4_I 00000238 48054770 - 2536630 ns MR4_I 00000230 07d2684a - 2536650 ns IT 00000230 684a LDR r2,[r1,#4] - 2536670 ns MR4_I 00000234 6008d1fc - 2536730 ns MR4_D 40006004 00000001 - 2536730 ns R r2 00000001 - 2536730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2536750 ns R r2 80000000 - 2536750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2536770 ns R psr 81000200 - 2536770 ns MR4_I 00000238 48054770 - 2536790 ns MR4_I 00000230 07d2684a - 2536810 ns IT 00000230 684a LDR r2,[r1,#4] - 2536830 ns MR4_I 00000234 6008d1fc - 2536890 ns MR4_D 40006004 00000001 - 2536890 ns R r2 00000001 - 2536890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2536910 ns R r2 80000000 - 2536910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2536930 ns R psr 81000200 - 2536930 ns MR4_I 00000238 48054770 - 2536950 ns MR4_I 00000230 07d2684a - 2536970 ns IT 00000230 684a LDR r2,[r1,#4] - 2536990 ns MR4_I 00000234 6008d1fc - 2537050 ns MR4_D 40006004 00000001 - 2537050 ns R r2 00000001 - 2537050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2537070 ns R r2 80000000 - 2537070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2537090 ns R psr 81000200 - 2537090 ns MR4_I 00000238 48054770 - 2537110 ns MR4_I 00000230 07d2684a - 2537130 ns IT 00000230 684a LDR r2,[r1,#4] - 2537150 ns MR4_I 00000234 6008d1fc - 2537210 ns MR4_D 40006004 00000001 - 2537210 ns R r2 00000001 - 2537210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2537230 ns R r2 80000000 - 2537230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2537250 ns R psr 81000200 - 2537250 ns MR4_I 00000238 48054770 - 2537270 ns MR4_I 00000230 07d2684a - 2537290 ns IT 00000230 684a LDR r2,[r1,#4] - 2537310 ns MR4_I 00000234 6008d1fc - 2537370 ns MR4_D 40006004 00000001 - 2537370 ns R r2 00000001 - 2537370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2537390 ns R r2 80000000 - 2537390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2537410 ns R psr 81000200 - 2537410 ns MR4_I 00000238 48054770 - 2537430 ns MR4_I 00000230 07d2684a - 2537450 ns IT 00000230 684a LDR r2,[r1,#4] - 2537470 ns MR4_I 00000234 6008d1fc - 2537530 ns MR4_D 40006004 00000001 - 2537530 ns R r2 00000001 - 2537530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2537550 ns R r2 80000000 - 2537550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2537570 ns R psr 81000200 - 2537570 ns MR4_I 00000238 48054770 - 2537590 ns MR4_I 00000230 07d2684a - 2537610 ns IT 00000230 684a LDR r2,[r1,#4] - 2537630 ns MR4_I 00000234 6008d1fc - 2537690 ns MR4_D 40006004 00000001 - 2537690 ns R r2 00000001 - 2537690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2537710 ns R r2 80000000 - 2537710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2537730 ns R psr 81000200 - 2537730 ns MR4_I 00000238 48054770 - 2537750 ns MR4_I 00000230 07d2684a - 2537770 ns IT 00000230 684a LDR r2,[r1,#4] - 2537790 ns MR4_I 00000234 6008d1fc - 2537850 ns MR4_D 40006004 00000001 - 2537850 ns R r2 00000001 - 2537850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2537870 ns R r2 80000000 - 2537870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2537890 ns R psr 81000200 - 2537890 ns MR4_I 00000238 48054770 - 2537910 ns MR4_I 00000230 07d2684a - 2537930 ns IT 00000230 684a LDR r2,[r1,#4] - 2537950 ns MR4_I 00000234 6008d1fc - 2538010 ns MR4_D 40006004 00000001 - 2538010 ns R r2 00000001 - 2538010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2538030 ns R r2 80000000 - 2538030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2538050 ns R psr 81000200 - 2538050 ns MR4_I 00000238 48054770 - 2538070 ns MR4_I 00000230 07d2684a - 2538090 ns IT 00000230 684a LDR r2,[r1,#4] - 2538110 ns MR4_I 00000234 6008d1fc - 2538170 ns MR4_D 40006004 00000001 - 2538170 ns R r2 00000001 - 2538170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2538190 ns R r2 80000000 - 2538190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2538210 ns R psr 81000200 - 2538210 ns MR4_I 00000238 48054770 - 2538230 ns MR4_I 00000230 07d2684a - 2538250 ns IT 00000230 684a LDR r2,[r1,#4] - 2538270 ns MR4_I 00000234 6008d1fc - 2538330 ns MR4_D 40006004 00000001 - 2538330 ns R r2 00000001 - 2538330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2538350 ns R r2 80000000 - 2538350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2538370 ns R psr 81000200 - 2538370 ns MR4_I 00000238 48054770 - 2538390 ns MR4_I 00000230 07d2684a - 2538410 ns IT 00000230 684a LDR r2,[r1,#4] - 2538430 ns MR4_I 00000234 6008d1fc - 2538490 ns MR4_D 40006004 00000001 - 2538490 ns R r2 00000001 - 2538490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2538510 ns R r2 80000000 - 2538510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2538530 ns R psr 81000200 - 2538530 ns MR4_I 00000238 48054770 - 2538550 ns MR4_I 00000230 07d2684a - 2538570 ns IT 00000230 684a LDR r2,[r1,#4] - 2538590 ns MR4_I 00000234 6008d1fc - 2538650 ns MR4_D 40006004 00000001 - 2538650 ns R r2 00000001 - 2538650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2538670 ns R r2 80000000 - 2538670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2538690 ns R psr 81000200 - 2538690 ns MR4_I 00000238 48054770 - 2538710 ns MR4_I 00000230 07d2684a - 2538730 ns IT 00000230 684a LDR r2,[r1,#4] - 2538750 ns MR4_I 00000234 6008d1fc - 2538810 ns MR4_D 40006004 00000001 - 2538810 ns R r2 00000001 - 2538810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2538830 ns R r2 80000000 - 2538830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2538850 ns R psr 81000200 - 2538850 ns MR4_I 00000238 48054770 - 2538870 ns MR4_I 00000230 07d2684a - 2538890 ns IT 00000230 684a LDR r2,[r1,#4] - 2538910 ns MR4_I 00000234 6008d1fc - 2538970 ns MR4_D 40006004 00000001 - 2538970 ns R r2 00000001 - 2538970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2538990 ns R r2 80000000 - 2538990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2539010 ns R psr 81000200 - 2539010 ns MR4_I 00000238 48054770 - 2539030 ns MR4_I 00000230 07d2684a - 2539050 ns IT 00000230 684a LDR r2,[r1,#4] - 2539070 ns MR4_I 00000234 6008d1fc - 2539130 ns MR4_D 40006004 00000001 - 2539130 ns R r2 00000001 - 2539130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2539150 ns R r2 80000000 - 2539150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2539170 ns R psr 81000200 - 2539170 ns MR4_I 00000238 48054770 - 2539190 ns MR4_I 00000230 07d2684a - 2539210 ns IT 00000230 684a LDR r2,[r1,#4] - 2539230 ns MR4_I 00000234 6008d1fc - 2539290 ns MR4_D 40006004 00000001 - 2539290 ns R r2 00000001 - 2539290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2539310 ns R r2 80000000 - 2539310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2539330 ns R psr 81000200 - 2539330 ns MR4_I 00000238 48054770 - 2539350 ns MR4_I 00000230 07d2684a - 2539370 ns IT 00000230 684a LDR r2,[r1,#4] - 2539390 ns MR4_I 00000234 6008d1fc - 2539450 ns MR4_D 40006004 00000001 - 2539450 ns R r2 00000001 - 2539450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2539470 ns R r2 80000000 - 2539470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2539490 ns R psr 81000200 - 2539490 ns MR4_I 00000238 48054770 - 2539510 ns MR4_I 00000230 07d2684a - 2539530 ns IT 00000230 684a LDR r2,[r1,#4] - 2539550 ns MR4_I 00000234 6008d1fc - 2539610 ns MR4_D 40006004 00000001 - 2539610 ns R r2 00000001 - 2539610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2539630 ns R r2 80000000 - 2539630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2539650 ns R psr 81000200 - 2539650 ns MR4_I 00000238 48054770 - 2539670 ns MR4_I 00000230 07d2684a - 2539690 ns IT 00000230 684a LDR r2,[r1,#4] - 2539710 ns MR4_I 00000234 6008d1fc - 2539770 ns MR4_D 40006004 00000001 - 2539770 ns R r2 00000001 - 2539770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2539790 ns R r2 80000000 - 2539790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2539810 ns R psr 81000200 - 2539810 ns MR4_I 00000238 48054770 - 2539830 ns MR4_I 00000230 07d2684a - 2539850 ns IT 00000230 684a LDR r2,[r1,#4] - 2539870 ns MR4_I 00000234 6008d1fc - 2539930 ns MR4_D 40006004 00000001 - 2539930 ns R r2 00000001 - 2539930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2539950 ns R r2 80000000 - 2539950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2539970 ns R psr 81000200 - 2539970 ns MR4_I 00000238 48054770 - 2539990 ns MR4_I 00000230 07d2684a - 2540010 ns IT 00000230 684a LDR r2,[r1,#4] - 2540030 ns MR4_I 00000234 6008d1fc - 2540090 ns MR4_D 40006004 00000001 - 2540090 ns R r2 00000001 - 2540090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2540110 ns R r2 80000000 - 2540110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2540130 ns R psr 81000200 - 2540130 ns MR4_I 00000238 48054770 - 2540150 ns MR4_I 00000230 07d2684a - 2540170 ns IT 00000230 684a LDR r2,[r1,#4] - 2540190 ns MR4_I 00000234 6008d1fc - 2540250 ns MR4_D 40006004 00000001 - 2540250 ns R r2 00000001 - 2540250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2540270 ns R r2 80000000 - 2540270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2540290 ns R psr 81000200 - 2540290 ns MR4_I 00000238 48054770 - 2540310 ns MR4_I 00000230 07d2684a - 2540330 ns IT 00000230 684a LDR r2,[r1,#4] - 2540350 ns MR4_I 00000234 6008d1fc - 2540410 ns MR4_D 40006004 00000001 - 2540410 ns R r2 00000001 - 2540410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2540430 ns R r2 80000000 - 2540430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2540450 ns R psr 81000200 - 2540450 ns MR4_I 00000238 48054770 - 2540470 ns MR4_I 00000230 07d2684a - 2540490 ns IT 00000230 684a LDR r2,[r1,#4] - 2540510 ns MR4_I 00000234 6008d1fc - 2540570 ns MR4_D 40006004 00000001 - 2540570 ns R r2 00000001 - 2540570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2540590 ns R r2 80000000 - 2540590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2540610 ns R psr 81000200 - 2540610 ns MR4_I 00000238 48054770 - 2540630 ns MR4_I 00000230 07d2684a - 2540650 ns IT 00000230 684a LDR r2,[r1,#4] - 2540670 ns MR4_I 00000234 6008d1fc - 2540730 ns MR4_D 40006004 00000001 - 2540730 ns R r2 00000001 - 2540730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2540750 ns R r2 80000000 - 2540750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2540770 ns R psr 81000200 - 2540770 ns MR4_I 00000238 48054770 - 2540790 ns MR4_I 00000230 07d2684a - 2540810 ns IT 00000230 684a LDR r2,[r1,#4] - 2540830 ns MR4_I 00000234 6008d1fc - 2540890 ns MR4_D 40006004 00000001 - 2540890 ns R r2 00000001 - 2540890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2540910 ns R r2 80000000 - 2540910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2540930 ns R psr 81000200 - 2540930 ns MR4_I 00000238 48054770 - 2540950 ns MR4_I 00000230 07d2684a - 2540970 ns IT 00000230 684a LDR r2,[r1,#4] - 2540990 ns MR4_I 00000234 6008d1fc - 2541050 ns MR4_D 40006004 00000001 - 2541050 ns R r2 00000001 - 2541050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2541070 ns R r2 80000000 - 2541070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2541090 ns R psr 81000200 - 2541090 ns MR4_I 00000238 48054770 - 2541110 ns MR4_I 00000230 07d2684a - 2541130 ns IT 00000230 684a LDR r2,[r1,#4] - 2541150 ns MR4_I 00000234 6008d1fc - 2541210 ns MR4_D 40006004 00000001 - 2541210 ns R r2 00000001 - 2541210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2541230 ns R r2 80000000 - 2541230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2541250 ns R psr 81000200 - 2541250 ns MR4_I 00000238 48054770 - 2541270 ns MR4_I 00000230 07d2684a - 2541290 ns IT 00000230 684a LDR r2,[r1,#4] - 2541310 ns MR4_I 00000234 6008d1fc - 2541370 ns MR4_D 40006004 00000001 - 2541370 ns R r2 00000001 - 2541370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2541390 ns R r2 80000000 - 2541390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2541410 ns R psr 81000200 - 2541410 ns MR4_I 00000238 48054770 - 2541430 ns MR4_I 00000230 07d2684a - 2541450 ns IT 00000230 684a LDR r2,[r1,#4] - 2541470 ns MR4_I 00000234 6008d1fc - 2541530 ns MR4_D 40006004 00000001 - 2541530 ns R r2 00000001 - 2541530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2541550 ns R r2 80000000 - 2541550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2541570 ns R psr 81000200 - 2541570 ns MR4_I 00000238 48054770 - 2541590 ns MR4_I 00000230 07d2684a - 2541610 ns IT 00000230 684a LDR r2,[r1,#4] - 2541630 ns MR4_I 00000234 6008d1fc - 2541690 ns MR4_D 40006004 00000001 - 2541690 ns R r2 00000001 - 2541690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2541710 ns R r2 80000000 - 2541710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2541730 ns R psr 81000200 - 2541730 ns MR4_I 00000238 48054770 - 2541750 ns MR4_I 00000230 07d2684a - 2541770 ns IT 00000230 684a LDR r2,[r1,#4] - 2541790 ns MR4_I 00000234 6008d1fc - 2541850 ns MR4_D 40006004 00000001 - 2541850 ns R r2 00000001 - 2541850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2541870 ns R r2 80000000 - 2541870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2541890 ns R psr 81000200 - 2541890 ns MR4_I 00000238 48054770 - 2541910 ns MR4_I 00000230 07d2684a - 2541930 ns IT 00000230 684a LDR r2,[r1,#4] - 2541950 ns MR4_I 00000234 6008d1fc - 2542010 ns MR4_D 40006004 00000001 - 2542010 ns R r2 00000001 - 2542010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2542030 ns R r2 80000000 - 2542030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2542050 ns R psr 81000200 - 2542050 ns MR4_I 00000238 48054770 - 2542070 ns MR4_I 00000230 07d2684a - 2542090 ns IT 00000230 684a LDR r2,[r1,#4] - 2542110 ns MR4_I 00000234 6008d1fc - 2542170 ns MR4_D 40006004 00000001 - 2542170 ns R r2 00000001 - 2542170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2542190 ns R r2 80000000 - 2542190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2542210 ns R psr 81000200 - 2542210 ns MR4_I 00000238 48054770 - 2542230 ns MR4_I 00000230 07d2684a - 2542250 ns IT 00000230 684a LDR r2,[r1,#4] - 2542270 ns MR4_I 00000234 6008d1fc - 2542330 ns MR4_D 40006004 00000001 - 2542330 ns R r2 00000001 - 2542330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2542350 ns R r2 80000000 - 2542350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2542370 ns R psr 81000200 - 2542370 ns MR4_I 00000238 48054770 - 2542390 ns MR4_I 00000230 07d2684a - 2542410 ns IT 00000230 684a LDR r2,[r1,#4] - 2542430 ns MR4_I 00000234 6008d1fc - 2542490 ns MR4_D 40006004 00000001 - 2542490 ns R r2 00000001 - 2542490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2542510 ns R r2 80000000 - 2542510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2542530 ns R psr 81000200 - 2542530 ns MR4_I 00000238 48054770 - 2542550 ns MR4_I 00000230 07d2684a - 2542570 ns IT 00000230 684a LDR r2,[r1,#4] - 2542590 ns MR4_I 00000234 6008d1fc - 2542650 ns MR4_D 40006004 00000001 - 2542650 ns R r2 00000001 - 2542650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2542670 ns R r2 80000000 - 2542670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2542690 ns R psr 81000200 - 2542690 ns MR4_I 00000238 48054770 - 2542710 ns MR4_I 00000230 07d2684a - 2542730 ns IT 00000230 684a LDR r2,[r1,#4] - 2542750 ns MR4_I 00000234 6008d1fc - 2542810 ns MR4_D 40006004 00000001 - 2542810 ns R r2 00000001 - 2542810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2542830 ns R r2 80000000 - 2542830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2542850 ns R psr 81000200 - 2542850 ns MR4_I 00000238 48054770 - 2542870 ns MR4_I 00000230 07d2684a - 2542890 ns IT 00000230 684a LDR r2,[r1,#4] - 2542910 ns MR4_I 00000234 6008d1fc - 2542970 ns MR4_D 40006004 00000001 - 2542970 ns R r2 00000001 - 2542970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2542990 ns R r2 80000000 - 2542990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2543010 ns R psr 81000200 - 2543010 ns MR4_I 00000238 48054770 - 2543030 ns MR4_I 00000230 07d2684a - 2543050 ns IT 00000230 684a LDR r2,[r1,#4] - 2543070 ns MR4_I 00000234 6008d1fc - 2543130 ns MR4_D 40006004 00000001 - 2543130 ns R r2 00000001 - 2543130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2543150 ns R r2 80000000 - 2543150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2543170 ns R psr 81000200 - 2543170 ns MR4_I 00000238 48054770 - 2543190 ns MR4_I 00000230 07d2684a - 2543210 ns IT 00000230 684a LDR r2,[r1,#4] - 2543230 ns MR4_I 00000234 6008d1fc - 2543290 ns MR4_D 40006004 00000001 - 2543290 ns R r2 00000001 - 2543290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2543310 ns R r2 80000000 - 2543310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2543330 ns R psr 81000200 - 2543330 ns MR4_I 00000238 48054770 - 2543350 ns MR4_I 00000230 07d2684a - 2543370 ns IT 00000230 684a LDR r2,[r1,#4] - 2543390 ns MR4_I 00000234 6008d1fc - 2543450 ns MR4_D 40006004 00000001 - 2543450 ns R r2 00000001 - 2543450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2543470 ns R r2 80000000 - 2543470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2543490 ns R psr 81000200 - 2543490 ns MR4_I 00000238 48054770 - 2543510 ns MR4_I 00000230 07d2684a - 2543530 ns IT 00000230 684a LDR r2,[r1,#4] - 2543550 ns MR4_I 00000234 6008d1fc - 2543610 ns MR4_D 40006004 00000001 - 2543610 ns R r2 00000001 - 2543610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2543630 ns R r2 80000000 - 2543630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2543650 ns R psr 81000200 - 2543650 ns MR4_I 00000238 48054770 - 2543670 ns MR4_I 00000230 07d2684a - 2543690 ns IT 00000230 684a LDR r2,[r1,#4] - 2543710 ns MR4_I 00000234 6008d1fc - 2543770 ns MR4_D 40006004 00000001 - 2543770 ns R r2 00000001 - 2543770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2543790 ns R r2 80000000 - 2543790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2543810 ns R psr 81000200 - 2543810 ns MR4_I 00000238 48054770 - 2543830 ns MR4_I 00000230 07d2684a - 2543850 ns IT 00000230 684a LDR r2,[r1,#4] - 2543870 ns MR4_I 00000234 6008d1fc - 2543930 ns MR4_D 40006004 00000001 - 2543930 ns R r2 00000001 - 2543930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2543950 ns R r2 80000000 - 2543950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2543970 ns R psr 81000200 - 2543970 ns MR4_I 00000238 48054770 - 2543990 ns MR4_I 00000230 07d2684a - 2544010 ns IT 00000230 684a LDR r2,[r1,#4] - 2544030 ns MR4_I 00000234 6008d1fc - 2544090 ns MR4_D 40006004 00000001 - 2544090 ns R r2 00000001 - 2544090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2544110 ns R r2 80000000 - 2544110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2544130 ns R psr 81000200 - 2544130 ns MR4_I 00000238 48054770 - 2544150 ns MR4_I 00000230 07d2684a - 2544170 ns IT 00000230 684a LDR r2,[r1,#4] - 2544190 ns MR4_I 00000234 6008d1fc - 2544250 ns MR4_D 40006004 00000001 - 2544250 ns R r2 00000001 - 2544250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2544270 ns R r2 80000000 - 2544270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2544290 ns R psr 81000200 - 2544290 ns MR4_I 00000238 48054770 - 2544310 ns MR4_I 00000230 07d2684a - 2544330 ns IT 00000230 684a LDR r2,[r1,#4] - 2544350 ns MR4_I 00000234 6008d1fc - 2544410 ns MR4_D 40006004 00000001 - 2544410 ns R r2 00000001 - 2544410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2544430 ns R r2 80000000 - 2544430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2544450 ns R psr 81000200 - 2544450 ns MR4_I 00000238 48054770 - 2544470 ns MR4_I 00000230 07d2684a - 2544490 ns IT 00000230 684a LDR r2,[r1,#4] - 2544510 ns MR4_I 00000234 6008d1fc - 2544570 ns MR4_D 40006004 00000001 - 2544570 ns R r2 00000001 - 2544570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2544590 ns R r2 80000000 - 2544590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2544610 ns R psr 81000200 - 2544610 ns MR4_I 00000238 48054770 - 2544630 ns MR4_I 00000230 07d2684a - 2544650 ns IT 00000230 684a LDR r2,[r1,#4] - 2544670 ns MR4_I 00000234 6008d1fc - 2544730 ns MR4_D 40006004 00000001 - 2544730 ns R r2 00000001 - 2544730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2544750 ns R r2 80000000 - 2544750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2544770 ns R psr 81000200 - 2544770 ns MR4_I 00000238 48054770 - 2544790 ns MR4_I 00000230 07d2684a - 2544810 ns IT 00000230 684a LDR r2,[r1,#4] - 2544830 ns MR4_I 00000234 6008d1fc - 2544890 ns MR4_D 40006004 00000001 - 2544890 ns R r2 00000001 - 2544890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2544910 ns R r2 80000000 - 2544910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2544930 ns R psr 81000200 - 2544930 ns MR4_I 00000238 48054770 - 2544950 ns MR4_I 00000230 07d2684a - 2544970 ns IT 00000230 684a LDR r2,[r1,#4] - 2544990 ns MR4_I 00000234 6008d1fc - 2545050 ns MR4_D 40006004 00000001 - 2545050 ns R r2 00000001 - 2545050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2545070 ns R r2 80000000 - 2545070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2545090 ns R psr 81000200 - 2545090 ns MR4_I 00000238 48054770 - 2545110 ns MR4_I 00000230 07d2684a - 2545130 ns IT 00000230 684a LDR r2,[r1,#4] - 2545150 ns MR4_I 00000234 6008d1fc - 2545210 ns MR4_D 40006004 00000001 - 2545210 ns R r2 00000001 - 2545210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2545230 ns R r2 80000000 - 2545230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2545250 ns R psr 81000200 - 2545250 ns MR4_I 00000238 48054770 - 2545270 ns MR4_I 00000230 07d2684a - 2545290 ns IT 00000230 684a LDR r2,[r1,#4] - 2545310 ns MR4_I 00000234 6008d1fc - 2545370 ns MR4_D 40006004 00000001 - 2545370 ns R r2 00000001 - 2545370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2545390 ns R r2 80000000 - 2545390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2545410 ns R psr 81000200 - 2545410 ns MR4_I 00000238 48054770 - 2545430 ns MR4_I 00000230 07d2684a - 2545450 ns IT 00000230 684a LDR r2,[r1,#4] - 2545470 ns MR4_I 00000234 6008d1fc - 2545530 ns MR4_D 40006004 00000001 - 2545530 ns R r2 00000001 - 2545530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2545550 ns R r2 80000000 - 2545550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2545570 ns R psr 81000200 - 2545570 ns MR4_I 00000238 48054770 - 2545590 ns MR4_I 00000230 07d2684a - 2545610 ns IT 00000230 684a LDR r2,[r1,#4] - 2545630 ns MR4_I 00000234 6008d1fc - 2545690 ns MR4_D 40006004 00000001 - 2545690 ns R r2 00000001 - 2545690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2545710 ns R r2 80000000 - 2545710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2545730 ns R psr 81000200 - 2545730 ns MR4_I 00000238 48054770 - 2545750 ns MR4_I 00000230 07d2684a - 2545770 ns IT 00000230 684a LDR r2,[r1,#4] - 2545790 ns MR4_I 00000234 6008d1fc - 2545850 ns MR4_D 40006004 00000001 - 2545850 ns R r2 00000001 - 2545850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2545870 ns R r2 80000000 - 2545870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2545890 ns R psr 81000200 - 2545890 ns MR4_I 00000238 48054770 - 2545910 ns MR4_I 00000230 07d2684a - 2545930 ns IT 00000230 684a LDR r2,[r1,#4] - 2545950 ns MR4_I 00000234 6008d1fc - 2546010 ns MR4_D 40006004 00000001 - 2546010 ns R r2 00000001 - 2546010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2546030 ns R r2 80000000 - 2546030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2546050 ns R psr 81000200 - 2546050 ns MR4_I 00000238 48054770 - 2546070 ns MR4_I 00000230 07d2684a - 2546090 ns IT 00000230 684a LDR r2,[r1,#4] - 2546110 ns MR4_I 00000234 6008d1fc - 2546170 ns MR4_D 40006004 00000001 - 2546170 ns R r2 00000001 - 2546170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2546190 ns R r2 80000000 - 2546190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2546210 ns R psr 81000200 - 2546210 ns MR4_I 00000238 48054770 - 2546230 ns MR4_I 00000230 07d2684a - 2546250 ns IT 00000230 684a LDR r2,[r1,#4] - 2546270 ns MR4_I 00000234 6008d1fc - 2546330 ns MR4_D 40006004 00000001 - 2546330 ns R r2 00000001 - 2546330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2546350 ns R r2 80000000 - 2546350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2546370 ns R psr 81000200 - 2546370 ns MR4_I 00000238 48054770 - 2546390 ns MR4_I 00000230 07d2684a - 2546410 ns IT 00000230 684a LDR r2,[r1,#4] - 2546430 ns MR4_I 00000234 6008d1fc - 2546490 ns MR4_D 40006004 00000001 - 2546490 ns R r2 00000001 - 2546490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2546510 ns R r2 80000000 - 2546510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2546530 ns R psr 81000200 - 2546530 ns MR4_I 00000238 48054770 - 2546550 ns MR4_I 00000230 07d2684a - 2546570 ns IT 00000230 684a LDR r2,[r1,#4] - 2546590 ns MR4_I 00000234 6008d1fc - 2546650 ns MR4_D 40006004 00000001 - 2546650 ns R r2 00000001 - 2546650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2546670 ns R r2 80000000 - 2546670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2546690 ns R psr 81000200 - 2546690 ns MR4_I 00000238 48054770 - 2546710 ns MR4_I 00000230 07d2684a - 2546730 ns IT 00000230 684a LDR r2,[r1,#4] - 2546750 ns MR4_I 00000234 6008d1fc - 2546810 ns MR4_D 40006004 00000001 - 2546810 ns R r2 00000001 - 2546810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2546830 ns R r2 80000000 - 2546830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2546850 ns R psr 81000200 - 2546850 ns MR4_I 00000238 48054770 - 2546870 ns MR4_I 00000230 07d2684a - 2546890 ns IT 00000230 684a LDR r2,[r1,#4] - 2546910 ns MR4_I 00000234 6008d1fc - 2546970 ns MR4_D 40006004 00000001 - 2546970 ns R r2 00000001 - 2546970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2546990 ns R r2 80000000 - 2546990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2547010 ns R psr 81000200 - 2547010 ns MR4_I 00000238 48054770 - 2547030 ns MR4_I 00000230 07d2684a - 2547050 ns IT 00000230 684a LDR r2,[r1,#4] - 2547070 ns MR4_I 00000234 6008d1fc - 2547130 ns MR4_D 40006004 00000001 - 2547130 ns R r2 00000001 - 2547130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2547150 ns R r2 80000000 - 2547150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2547170 ns R psr 81000200 - 2547170 ns MR4_I 00000238 48054770 - 2547190 ns MR4_I 00000230 07d2684a - 2547210 ns IT 00000230 684a LDR r2,[r1,#4] - 2547230 ns MR4_I 00000234 6008d1fc - 2547290 ns MR4_D 40006004 00000001 - 2547290 ns R r2 00000001 - 2547290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2547310 ns R r2 80000000 - 2547310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2547330 ns R psr 81000200 - 2547330 ns MR4_I 00000238 48054770 - 2547350 ns MR4_I 00000230 07d2684a - 2547370 ns IT 00000230 684a LDR r2,[r1,#4] - 2547390 ns MR4_I 00000234 6008d1fc - 2547450 ns MR4_D 40006004 00000001 - 2547450 ns R r2 00000001 - 2547450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2547470 ns R r2 80000000 - 2547470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2547490 ns R psr 81000200 - 2547490 ns MR4_I 00000238 48054770 - 2547510 ns MR4_I 00000230 07d2684a - 2547530 ns IT 00000230 684a LDR r2,[r1,#4] - 2547550 ns MR4_I 00000234 6008d1fc - 2547610 ns MR4_D 40006004 00000001 - 2547610 ns R r2 00000001 - 2547610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2547630 ns R r2 80000000 - 2547630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2547650 ns R psr 81000200 - 2547650 ns MR4_I 00000238 48054770 - 2547670 ns MR4_I 00000230 07d2684a - 2547690 ns IT 00000230 684a LDR r2,[r1,#4] - 2547710 ns MR4_I 00000234 6008d1fc - 2547770 ns MR4_D 40006004 00000001 - 2547770 ns R r2 00000001 - 2547770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2547790 ns R r2 80000000 - 2547790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2547810 ns R psr 81000200 - 2547810 ns MR4_I 00000238 48054770 - 2547830 ns MR4_I 00000230 07d2684a - 2547850 ns IT 00000230 684a LDR r2,[r1,#4] - 2547870 ns MR4_I 00000234 6008d1fc - 2547930 ns MR4_D 40006004 00000001 - 2547930 ns R r2 00000001 - 2547930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2547950 ns R r2 80000000 - 2547950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2547970 ns R psr 81000200 - 2547970 ns MR4_I 00000238 48054770 - 2547990 ns MR4_I 00000230 07d2684a - 2548010 ns IT 00000230 684a LDR r2,[r1,#4] - 2548030 ns MR4_I 00000234 6008d1fc - 2548090 ns MR4_D 40006004 00000001 - 2548090 ns R r2 00000001 - 2548090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2548110 ns R r2 80000000 - 2548110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2548130 ns R psr 81000200 - 2548130 ns MR4_I 00000238 48054770 - 2548150 ns MR4_I 00000230 07d2684a - 2548170 ns IT 00000230 684a LDR r2,[r1,#4] - 2548190 ns MR4_I 00000234 6008d1fc - 2548250 ns MR4_D 40006004 00000001 - 2548250 ns R r2 00000001 - 2548250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2548270 ns R r2 80000000 - 2548270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2548290 ns R psr 81000200 - 2548290 ns MR4_I 00000238 48054770 - 2548310 ns MR4_I 00000230 07d2684a - 2548330 ns IT 00000230 684a LDR r2,[r1,#4] - 2548350 ns MR4_I 00000234 6008d1fc - 2548410 ns MR4_D 40006004 00000001 - 2548410 ns R r2 00000001 - 2548410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2548430 ns R r2 80000000 - 2548430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2548450 ns R psr 81000200 - 2548450 ns MR4_I 00000238 48054770 - 2548470 ns MR4_I 00000230 07d2684a - 2548490 ns IT 00000230 684a LDR r2,[r1,#4] - 2548510 ns MR4_I 00000234 6008d1fc - 2548570 ns MR4_D 40006004 00000001 - 2548570 ns R r2 00000001 - 2548570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2548590 ns R r2 80000000 - 2548590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2548610 ns R psr 81000200 - 2548610 ns MR4_I 00000238 48054770 - 2548630 ns MR4_I 00000230 07d2684a - 2548650 ns IT 00000230 684a LDR r2,[r1,#4] - 2548670 ns MR4_I 00000234 6008d1fc - 2548730 ns MR4_D 40006004 00000001 - 2548730 ns R r2 00000001 - 2548730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2548750 ns R r2 80000000 - 2548750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2548770 ns R psr 81000200 - 2548770 ns MR4_I 00000238 48054770 - 2548790 ns MR4_I 00000230 07d2684a - 2548810 ns IT 00000230 684a LDR r2,[r1,#4] - 2548830 ns MR4_I 00000234 6008d1fc - 2548890 ns MR4_D 40006004 00000001 - 2548890 ns R r2 00000001 - 2548890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2548910 ns R r2 80000000 - 2548910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2548930 ns R psr 81000200 - 2548930 ns MR4_I 00000238 48054770 - 2548950 ns MR4_I 00000230 07d2684a - 2548970 ns IT 00000230 684a LDR r2,[r1,#4] - 2548990 ns MR4_I 00000234 6008d1fc - 2549050 ns MR4_D 40006004 00000001 - 2549050 ns R r2 00000001 - 2549050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2549070 ns R r2 80000000 - 2549070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2549090 ns R psr 81000200 - 2549090 ns MR4_I 00000238 48054770 - 2549110 ns MR4_I 00000230 07d2684a - 2549130 ns IT 00000230 684a LDR r2,[r1,#4] - 2549150 ns MR4_I 00000234 6008d1fc - 2549210 ns MR4_D 40006004 00000001 - 2549210 ns R r2 00000001 - 2549210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2549230 ns R r2 80000000 - 2549230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2549250 ns R psr 81000200 - 2549250 ns MR4_I 00000238 48054770 - 2549270 ns MR4_I 00000230 07d2684a - 2549290 ns IT 00000230 684a LDR r2,[r1,#4] - 2549310 ns MR4_I 00000234 6008d1fc - 2549370 ns MR4_D 40006004 00000001 - 2549370 ns R r2 00000001 - 2549370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2549390 ns R r2 80000000 - 2549390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2549410 ns R psr 81000200 - 2549410 ns MR4_I 00000238 48054770 - 2549430 ns MR4_I 00000230 07d2684a - 2549450 ns IT 00000230 684a LDR r2,[r1,#4] - 2549470 ns MR4_I 00000234 6008d1fc - 2549530 ns MR4_D 40006004 00000001 - 2549530 ns R r2 00000001 - 2549530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2549550 ns R r2 80000000 - 2549550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2549570 ns R psr 81000200 - 2549570 ns MR4_I 00000238 48054770 - 2549590 ns MR4_I 00000230 07d2684a - 2549610 ns IT 00000230 684a LDR r2,[r1,#4] - 2549630 ns MR4_I 00000234 6008d1fc - 2549690 ns MR4_D 40006004 00000001 - 2549690 ns R r2 00000001 - 2549690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2549710 ns R r2 80000000 - 2549710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2549730 ns R psr 81000200 - 2549730 ns MR4_I 00000238 48054770 - 2549750 ns MR4_I 00000230 07d2684a - 2549770 ns IT 00000230 684a LDR r2,[r1,#4] - 2549790 ns MR4_I 00000234 6008d1fc - 2549850 ns MR4_D 40006004 00000001 - 2549850 ns R r2 00000001 - 2549850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2549870 ns R r2 80000000 - 2549870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2549890 ns R psr 81000200 - 2549890 ns MR4_I 00000238 48054770 - 2549910 ns MR4_I 00000230 07d2684a - 2549930 ns IT 00000230 684a LDR r2,[r1,#4] - 2549950 ns MR4_I 00000234 6008d1fc - 2550010 ns MR4_D 40006004 00000001 - 2550010 ns R r2 00000001 - 2550010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2550030 ns R r2 80000000 - 2550030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2550050 ns R psr 81000200 - 2550050 ns MR4_I 00000238 48054770 - 2550070 ns MR4_I 00000230 07d2684a - 2550090 ns IT 00000230 684a LDR r2,[r1,#4] - 2550110 ns MR4_I 00000234 6008d1fc - 2550170 ns MR4_D 40006004 00000001 - 2550170 ns R r2 00000001 - 2550170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2550190 ns R r2 80000000 - 2550190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2550210 ns R psr 81000200 - 2550210 ns MR4_I 00000238 48054770 - 2550230 ns MR4_I 00000230 07d2684a - 2550250 ns IT 00000230 684a LDR r2,[r1,#4] - 2550270 ns MR4_I 00000234 6008d1fc - 2550330 ns MR4_D 40006004 00000001 - 2550330 ns R r2 00000001 - 2550330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2550350 ns R r2 80000000 - 2550350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2550370 ns R psr 81000200 - 2550370 ns MR4_I 00000238 48054770 - 2550390 ns MR4_I 00000230 07d2684a - 2550410 ns IT 00000230 684a LDR r2,[r1,#4] - 2550430 ns MR4_I 00000234 6008d1fc - 2550490 ns MR4_D 40006004 00000001 - 2550490 ns R r2 00000001 - 2550490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2550510 ns R r2 80000000 - 2550510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2550530 ns R psr 81000200 - 2550530 ns MR4_I 00000238 48054770 - 2550550 ns MR4_I 00000230 07d2684a - 2550570 ns IT 00000230 684a LDR r2,[r1,#4] - 2550590 ns MR4_I 00000234 6008d1fc - 2550650 ns MR4_D 40006004 00000001 - 2550650 ns R r2 00000001 - 2550650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2550670 ns R r2 80000000 - 2550670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2550690 ns R psr 81000200 - 2550690 ns MR4_I 00000238 48054770 - 2550710 ns MR4_I 00000230 07d2684a - 2550730 ns IT 00000230 684a LDR r2,[r1,#4] - 2550750 ns MR4_I 00000234 6008d1fc - 2550810 ns MR4_D 40006004 00000001 - 2550810 ns R r2 00000001 - 2550810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2550830 ns R r2 80000000 - 2550830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2550850 ns R psr 81000200 - 2550850 ns MR4_I 00000238 48054770 - 2550870 ns MR4_I 00000230 07d2684a - 2550890 ns IT 00000230 684a LDR r2,[r1,#4] - 2550910 ns MR4_I 00000234 6008d1fc - 2550970 ns MR4_D 40006004 00000001 - 2550970 ns R r2 00000001 - 2550970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2550990 ns R r2 80000000 - 2550990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2551010 ns R psr 81000200 - 2551010 ns MR4_I 00000238 48054770 - 2551030 ns MR4_I 00000230 07d2684a - 2551050 ns IT 00000230 684a LDR r2,[r1,#4] - 2551070 ns MR4_I 00000234 6008d1fc - 2551130 ns MR4_D 40006004 00000001 - 2551130 ns R r2 00000001 - 2551130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2551150 ns R r2 80000000 - 2551150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2551170 ns R psr 81000200 - 2551170 ns MR4_I 00000238 48054770 - 2551190 ns MR4_I 00000230 07d2684a - 2551210 ns IT 00000230 684a LDR r2,[r1,#4] - 2551230 ns MR4_I 00000234 6008d1fc - 2551290 ns MR4_D 40006004 00000001 - 2551290 ns R r2 00000001 - 2551290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2551310 ns R r2 80000000 - 2551310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2551330 ns R psr 81000200 - 2551330 ns MR4_I 00000238 48054770 - 2551350 ns MR4_I 00000230 07d2684a - 2551370 ns IT 00000230 684a LDR r2,[r1,#4] - 2551390 ns MR4_I 00000234 6008d1fc - 2551450 ns MR4_D 40006004 00000001 - 2551450 ns R r2 00000001 - 2551450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2551470 ns R r2 80000000 - 2551470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2551490 ns R psr 81000200 - 2551490 ns MR4_I 00000238 48054770 - 2551510 ns MR4_I 00000230 07d2684a - 2551530 ns IT 00000230 684a LDR r2,[r1,#4] - 2551550 ns MR4_I 00000234 6008d1fc - 2551610 ns MR4_D 40006004 00000001 - 2551610 ns R r2 00000001 - 2551610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2551630 ns R r2 80000000 - 2551630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2551650 ns R psr 81000200 - 2551650 ns MR4_I 00000238 48054770 - 2551670 ns MR4_I 00000230 07d2684a - 2551690 ns IT 00000230 684a LDR r2,[r1,#4] - 2551710 ns MR4_I 00000234 6008d1fc - 2551770 ns MR4_D 40006004 00000001 - 2551770 ns R r2 00000001 - 2551770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2551790 ns R r2 80000000 - 2551790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2551810 ns R psr 81000200 - 2551810 ns MR4_I 00000238 48054770 - 2551830 ns MR4_I 00000230 07d2684a - 2551850 ns IT 00000230 684a LDR r2,[r1,#4] - 2551870 ns MR4_I 00000234 6008d1fc - 2551930 ns MR4_D 40006004 00000001 - 2551930 ns R r2 00000001 - 2551930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2551950 ns R r2 80000000 - 2551950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2551970 ns R psr 81000200 - 2551970 ns MR4_I 00000238 48054770 - 2551990 ns MR4_I 00000230 07d2684a - 2552010 ns IT 00000230 684a LDR r2,[r1,#4] - 2552030 ns MR4_I 00000234 6008d1fc - 2552090 ns MR4_D 40006004 00000001 - 2552090 ns R r2 00000001 - 2552090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2552110 ns R r2 80000000 - 2552110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2552130 ns R psr 81000200 - 2552130 ns MR4_I 00000238 48054770 - 2552150 ns MR4_I 00000230 07d2684a - 2552170 ns IT 00000230 684a LDR r2,[r1,#4] - 2552190 ns MR4_I 00000234 6008d1fc - 2552250 ns MR4_D 40006004 00000001 - 2552250 ns R r2 00000001 - 2552250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2552270 ns R r2 80000000 - 2552270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2552290 ns R psr 81000200 - 2552290 ns MR4_I 00000238 48054770 - 2552310 ns MR4_I 00000230 07d2684a - 2552330 ns IT 00000230 684a LDR r2,[r1,#4] - 2552350 ns MR4_I 00000234 6008d1fc - 2552410 ns MR4_D 40006004 00000001 - 2552410 ns R r2 00000001 - 2552410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2552430 ns R r2 80000000 - 2552430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2552450 ns R psr 81000200 - 2552450 ns MR4_I 00000238 48054770 - 2552470 ns MR4_I 00000230 07d2684a - 2552490 ns IT 00000230 684a LDR r2,[r1,#4] - 2552510 ns MR4_I 00000234 6008d1fc - 2552570 ns MR4_D 40006004 00000001 - 2552570 ns R r2 00000001 - 2552570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2552590 ns R r2 80000000 - 2552590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2552610 ns R psr 81000200 - 2552610 ns MR4_I 00000238 48054770 - 2552630 ns MR4_I 00000230 07d2684a - 2552650 ns IT 00000230 684a LDR r2,[r1,#4] - 2552670 ns MR4_I 00000234 6008d1fc - 2552730 ns MR4_D 40006004 00000001 - 2552730 ns R r2 00000001 - 2552730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2552750 ns R r2 80000000 - 2552750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2552770 ns R psr 81000200 - 2552770 ns MR4_I 00000238 48054770 - 2552790 ns MR4_I 00000230 07d2684a - 2552810 ns IT 00000230 684a LDR r2,[r1,#4] - 2552830 ns MR4_I 00000234 6008d1fc - 2552890 ns MR4_D 40006004 00000001 - 2552890 ns R r2 00000001 - 2552890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2552910 ns R r2 80000000 - 2552910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2552930 ns R psr 81000200 - 2552930 ns MR4_I 00000238 48054770 - 2552950 ns MR4_I 00000230 07d2684a - 2552970 ns IT 00000230 684a LDR r2,[r1,#4] - 2552990 ns MR4_I 00000234 6008d1fc - 2553050 ns MR4_D 40006004 00000001 - 2553050 ns R r2 00000001 - 2553050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2553070 ns R r2 80000000 - 2553070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2553090 ns R psr 81000200 - 2553090 ns MR4_I 00000238 48054770 - 2553110 ns MR4_I 00000230 07d2684a - 2553130 ns IT 00000230 684a LDR r2,[r1,#4] - 2553150 ns MR4_I 00000234 6008d1fc - 2553210 ns MR4_D 40006004 00000001 - 2553210 ns R r2 00000001 - 2553210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2553230 ns R r2 80000000 - 2553230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2553250 ns R psr 81000200 - 2553250 ns MR4_I 00000238 48054770 - 2553270 ns MR4_I 00000230 07d2684a - 2553290 ns IT 00000230 684a LDR r2,[r1,#4] - 2553310 ns MR4_I 00000234 6008d1fc - 2553370 ns MR4_D 40006004 00000001 - 2553370 ns R r2 00000001 - 2553370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2553390 ns R r2 80000000 - 2553390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2553410 ns R psr 81000200 - 2553410 ns MR4_I 00000238 48054770 - 2553430 ns MR4_I 00000230 07d2684a - 2553450 ns IT 00000230 684a LDR r2,[r1,#4] - 2553470 ns MR4_I 00000234 6008d1fc - 2553530 ns MR4_D 40006004 00000001 - 2553530 ns R r2 00000001 - 2553530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2553550 ns R r2 80000000 - 2553550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2553570 ns R psr 81000200 - 2553570 ns MR4_I 00000238 48054770 - 2553590 ns MR4_I 00000230 07d2684a - 2553610 ns IT 00000230 684a LDR r2,[r1,#4] - 2553630 ns MR4_I 00000234 6008d1fc - 2553690 ns MR4_D 40006004 00000001 - 2553690 ns R r2 00000001 - 2553690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2553710 ns R r2 80000000 - 2553710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2553730 ns R psr 81000200 - 2553730 ns MR4_I 00000238 48054770 - 2553750 ns MR4_I 00000230 07d2684a - 2553770 ns IT 00000230 684a LDR r2,[r1,#4] - 2553790 ns MR4_I 00000234 6008d1fc - 2553850 ns MR4_D 40006004 00000001 - 2553850 ns R r2 00000001 - 2553850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2553870 ns R r2 80000000 - 2553870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2553890 ns R psr 81000200 - 2553890 ns MR4_I 00000238 48054770 - 2553910 ns MR4_I 00000230 07d2684a - 2553930 ns IT 00000230 684a LDR r2,[r1,#4] - 2553950 ns MR4_I 00000234 6008d1fc - 2554010 ns MR4_D 40006004 00000001 - 2554010 ns R r2 00000001 - 2554010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2554030 ns R r2 80000000 - 2554030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2554050 ns R psr 81000200 - 2554050 ns MR4_I 00000238 48054770 - 2554070 ns MR4_I 00000230 07d2684a - 2554090 ns IT 00000230 684a LDR r2,[r1,#4] - 2554110 ns MR4_I 00000234 6008d1fc - 2554170 ns MR4_D 40006004 00000001 - 2554170 ns R r2 00000001 - 2554170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2554190 ns R r2 80000000 - 2554190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2554210 ns R psr 81000200 - 2554210 ns MR4_I 00000238 48054770 - 2554230 ns MR4_I 00000230 07d2684a - 2554250 ns IT 00000230 684a LDR r2,[r1,#4] - 2554270 ns MR4_I 00000234 6008d1fc - 2554330 ns MR4_D 40006004 00000000 - 2554330 ns R r2 00000000 - 2554330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2554350 ns R r2 00000000 - 2554350 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2554370 ns R psr 41000200 - 2554370 ns MR4_I 00000238 48054770 - 2554370 ns IT 00000236 6008 STR r0,[r1,#0] - 2554450 ns MW4_D 40006000 00000020 - 2554450 ns IT 00000238 4770 BX lr - 2554470 ns MR4_I 0000023c 07896841 - 2554490 ns R psr 41000200 - 2554490 ns MR4_I 000001fc b510bd10 - 2554510 ns IT 000001fc bd10 POP {r4,pc} - 2554530 ns MR4_I 00000200 f81bf000 - 2554530 ns R r13 200002e0 (MSP) - 2554550 ns MR4_D 200002d8 00000002 - 2554550 ns R r4 00000002 - 2554570 ns MR4_D 200002dc 0000032d - 2554590 ns R psr 41000200 - 2554610 ns MR4_I 0000032c 46301c64 - 2554630 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 2554650 ns MR4_I 00000330 280047a8 - 2554650 ns R r4 00000003 - 2554650 ns IT 0000032e 4630 MOV r0,r6 - 2554670 ns R psr 01000200 - 2554670 ns R r0 200002f8 - 2554670 ns IT 00000330 47a8 BLX r5 - 2554690 ns MR4_I 00000334 4620d1f8 - 2554710 ns R psr 01000200 - 2554710 ns MR4_I 000002a8 1c4a6901 - 2554710 ns R r14 00000333 - 2554730 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2554750 ns MR4_I 000002ac 78086102 - 2554770 ns MR4_D 20000308 00000193 - 2554770 ns R r1 00000193 - 2554770 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2554790 ns R r2 00000194 - 2554790 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2554810 ns R psr 01000200 - 2554810 ns MR4_I 000002b0 b5004770 - 2554830 ns MW4_D 20000308 00000194 - 2554830 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2554870 ns MR1_D 00000193 54202a2a - 2554870 ns R r0 00000054 - 2554870 ns IT 000002b0 4770 BX lr - 2554890 ns MR4_I 000002b4 9102b08f - 2554910 ns R psr 01000200 - 2554910 ns MR4_I 00000330 280047a8 - 2554930 ns MR4_I 00000334 4620d1f8 - 2554930 ns IT 00000332 2800 CMP r0,#0 - 2554950 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2554970 ns R psr 21000200 - 2554970 ns MR4_I 00000338 b510bdf8 - 2554990 ns MR4_I 00000328 47b89900 - 2555010 ns IT 00000328 9900 LDR r1,[sp,#0] - 2555030 ns MR4_I 0000032c 46301c64 - 2555050 ns MR4_D 200002e0 20000004 - 2555050 ns R r1 20000004 - 2555050 ns IT 0000032a 47b8 BLX r7 - 2555090 ns R psr 21000200 - 2555090 ns MR4_I 000001f4 b2c0b510 - 2555090 ns R r14 0000032d - 2555110 ns IT 000001f4 b510 PUSH {r4,lr} - 2555130 ns MR4_I 000001f8 f819f000 - 2555150 ns MW4_D 200002d8 00000003 - 2555170 ns MW4_D 200002dc 0000032d - 2555170 ns R r13 200002d8 (MSP) - 2555170 ns IT 000001f6 b2c0 UXTB r0,r0 - 2555190 ns R r0 00000054 - 2555190 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 2555210 ns MR4_I 000001fc b510bd10 - 2555230 ns R r14 000001fd - 2555250 ns MR4_I 0000022c 49084770 - 2555270 ns MR4_I 00000230 07d2684a - 2555270 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 2555310 ns MR4_D 00000250 40006000 - 2555310 ns R r1 40006000 - 2555310 ns IT 00000230 684a LDR r2,[r1,#4] - 2555330 ns MR4_I 00000234 6008d1fc - 2555390 ns MR4_D 40006004 00000001 - 2555390 ns R r2 00000001 - 2555390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2555410 ns R r2 80000000 - 2555410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2555430 ns R psr 81000200 - 2555430 ns MR4_I 00000238 48054770 - 2555450 ns MR4_I 00000230 07d2684a - 2555470 ns IT 00000230 684a LDR r2,[r1,#4] - 2555490 ns MR4_I 00000234 6008d1fc - 2555550 ns MR4_D 40006004 00000001 - 2555550 ns R r2 00000001 - 2555550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2555570 ns R r2 80000000 - 2555570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2555590 ns R psr 81000200 - 2555590 ns MR4_I 00000238 48054770 - 2555610 ns MR4_I 00000230 07d2684a - 2555630 ns IT 00000230 684a LDR r2,[r1,#4] - 2555650 ns MR4_I 00000234 6008d1fc - 2555710 ns MR4_D 40006004 00000001 - 2555710 ns R r2 00000001 - 2555710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2555730 ns R r2 80000000 - 2555730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2555750 ns R psr 81000200 - 2555750 ns MR4_I 00000238 48054770 - 2555770 ns MR4_I 00000230 07d2684a - 2555790 ns IT 00000230 684a LDR r2,[r1,#4] - 2555810 ns MR4_I 00000234 6008d1fc - 2555870 ns MR4_D 40006004 00000001 - 2555870 ns R r2 00000001 - 2555870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2555890 ns R r2 80000000 - 2555890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2555910 ns R psr 81000200 - 2555910 ns MR4_I 00000238 48054770 - 2555930 ns MR4_I 00000230 07d2684a - 2555950 ns IT 00000230 684a LDR r2,[r1,#4] - 2555970 ns MR4_I 00000234 6008d1fc - 2556030 ns MR4_D 40006004 00000001 - 2556030 ns R r2 00000001 - 2556030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2556050 ns R r2 80000000 - 2556050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2556070 ns R psr 81000200 - 2556070 ns MR4_I 00000238 48054770 - 2556090 ns MR4_I 00000230 07d2684a - 2556110 ns IT 00000230 684a LDR r2,[r1,#4] - 2556130 ns MR4_I 00000234 6008d1fc - 2556190 ns MR4_D 40006004 00000001 - 2556190 ns R r2 00000001 - 2556190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2556210 ns R r2 80000000 - 2556210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2556230 ns R psr 81000200 - 2556230 ns MR4_I 00000238 48054770 - 2556250 ns MR4_I 00000230 07d2684a - 2556270 ns IT 00000230 684a LDR r2,[r1,#4] - 2556290 ns MR4_I 00000234 6008d1fc - 2556350 ns MR4_D 40006004 00000001 - 2556350 ns R r2 00000001 - 2556350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2556370 ns R r2 80000000 - 2556370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2556390 ns R psr 81000200 - 2556390 ns MR4_I 00000238 48054770 - 2556410 ns MR4_I 00000230 07d2684a - 2556430 ns IT 00000230 684a LDR r2,[r1,#4] - 2556450 ns MR4_I 00000234 6008d1fc - 2556510 ns MR4_D 40006004 00000001 - 2556510 ns R r2 00000001 - 2556510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2556530 ns R r2 80000000 - 2556530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2556550 ns R psr 81000200 - 2556550 ns MR4_I 00000238 48054770 - 2556570 ns MR4_I 00000230 07d2684a - 2556590 ns IT 00000230 684a LDR r2,[r1,#4] - 2556610 ns MR4_I 00000234 6008d1fc - 2556670 ns MR4_D 40006004 00000001 - 2556670 ns R r2 00000001 - 2556670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2556690 ns R r2 80000000 - 2556690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2556710 ns R psr 81000200 - 2556710 ns MR4_I 00000238 48054770 - 2556730 ns MR4_I 00000230 07d2684a - 2556750 ns IT 00000230 684a LDR r2,[r1,#4] - 2556770 ns MR4_I 00000234 6008d1fc - 2556830 ns MR4_D 40006004 00000001 - 2556830 ns R r2 00000001 - 2556830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2556850 ns R r2 80000000 - 2556850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2556870 ns R psr 81000200 - 2556870 ns MR4_I 00000238 48054770 - 2556890 ns MR4_I 00000230 07d2684a - 2556910 ns IT 00000230 684a LDR r2,[r1,#4] - 2556930 ns MR4_I 00000234 6008d1fc - 2556990 ns MR4_D 40006004 00000001 - 2556990 ns R r2 00000001 - 2556990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2557010 ns R r2 80000000 - 2557010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2557030 ns R psr 81000200 - 2557030 ns MR4_I 00000238 48054770 - 2557050 ns MR4_I 00000230 07d2684a - 2557070 ns IT 00000230 684a LDR r2,[r1,#4] - 2557090 ns MR4_I 00000234 6008d1fc - 2557150 ns MR4_D 40006004 00000001 - 2557150 ns R r2 00000001 - 2557150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2557170 ns R r2 80000000 - 2557170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2557190 ns R psr 81000200 - 2557190 ns MR4_I 00000238 48054770 - 2557210 ns MR4_I 00000230 07d2684a - 2557230 ns IT 00000230 684a LDR r2,[r1,#4] - 2557250 ns MR4_I 00000234 6008d1fc - 2557310 ns MR4_D 40006004 00000001 - 2557310 ns R r2 00000001 - 2557310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2557330 ns R r2 80000000 - 2557330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2557350 ns R psr 81000200 - 2557350 ns MR4_I 00000238 48054770 - 2557370 ns MR4_I 00000230 07d2684a - 2557390 ns IT 00000230 684a LDR r2,[r1,#4] - 2557410 ns MR4_I 00000234 6008d1fc - 2557470 ns MR4_D 40006004 00000001 - 2557470 ns R r2 00000001 - 2557470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2557490 ns R r2 80000000 - 2557490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2557510 ns R psr 81000200 - 2557510 ns MR4_I 00000238 48054770 - 2557530 ns MR4_I 00000230 07d2684a - 2557550 ns IT 00000230 684a LDR r2,[r1,#4] - 2557570 ns MR4_I 00000234 6008d1fc - 2557630 ns MR4_D 40006004 00000001 - 2557630 ns R r2 00000001 - 2557630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2557650 ns R r2 80000000 - 2557650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2557670 ns R psr 81000200 - 2557670 ns MR4_I 00000238 48054770 - 2557690 ns MR4_I 00000230 07d2684a - 2557710 ns IT 00000230 684a LDR r2,[r1,#4] - 2557730 ns MR4_I 00000234 6008d1fc - 2557790 ns MR4_D 40006004 00000001 - 2557790 ns R r2 00000001 - 2557790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2557810 ns R r2 80000000 - 2557810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2557830 ns R psr 81000200 - 2557830 ns MR4_I 00000238 48054770 - 2557850 ns MR4_I 00000230 07d2684a - 2557870 ns IT 00000230 684a LDR r2,[r1,#4] - 2557890 ns MR4_I 00000234 6008d1fc - 2557950 ns MR4_D 40006004 00000001 - 2557950 ns R r2 00000001 - 2557950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2557970 ns R r2 80000000 - 2557970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2557990 ns R psr 81000200 - 2557990 ns MR4_I 00000238 48054770 - 2558010 ns MR4_I 00000230 07d2684a - 2558030 ns IT 00000230 684a LDR r2,[r1,#4] - 2558050 ns MR4_I 00000234 6008d1fc - 2558110 ns MR4_D 40006004 00000001 - 2558110 ns R r2 00000001 - 2558110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2558130 ns R r2 80000000 - 2558130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2558150 ns R psr 81000200 - 2558150 ns MR4_I 00000238 48054770 - 2558170 ns MR4_I 00000230 07d2684a - 2558190 ns IT 00000230 684a LDR r2,[r1,#4] - 2558210 ns MR4_I 00000234 6008d1fc - 2558270 ns MR4_D 40006004 00000001 - 2558270 ns R r2 00000001 - 2558270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2558290 ns R r2 80000000 - 2558290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2558310 ns R psr 81000200 - 2558310 ns MR4_I 00000238 48054770 - 2558330 ns MR4_I 00000230 07d2684a - 2558350 ns IT 00000230 684a LDR r2,[r1,#4] - 2558370 ns MR4_I 00000234 6008d1fc - 2558430 ns MR4_D 40006004 00000001 - 2558430 ns R r2 00000001 - 2558430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2558450 ns R r2 80000000 - 2558450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2558470 ns R psr 81000200 - 2558470 ns MR4_I 00000238 48054770 - 2558490 ns MR4_I 00000230 07d2684a - 2558510 ns IT 00000230 684a LDR r2,[r1,#4] - 2558530 ns MR4_I 00000234 6008d1fc - 2558590 ns MR4_D 40006004 00000001 - 2558590 ns R r2 00000001 - 2558590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2558610 ns R r2 80000000 - 2558610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2558630 ns R psr 81000200 - 2558630 ns MR4_I 00000238 48054770 - 2558650 ns MR4_I 00000230 07d2684a - 2558670 ns IT 00000230 684a LDR r2,[r1,#4] - 2558690 ns MR4_I 00000234 6008d1fc - 2558750 ns MR4_D 40006004 00000001 - 2558750 ns R r2 00000001 - 2558750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2558770 ns R r2 80000000 - 2558770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2558790 ns R psr 81000200 - 2558790 ns MR4_I 00000238 48054770 - 2558810 ns MR4_I 00000230 07d2684a - 2558830 ns IT 00000230 684a LDR r2,[r1,#4] - 2558850 ns MR4_I 00000234 6008d1fc - 2558910 ns MR4_D 40006004 00000001 - 2558910 ns R r2 00000001 - 2558910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2558930 ns R r2 80000000 - 2558930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2558950 ns R psr 81000200 - 2558950 ns MR4_I 00000238 48054770 - 2558970 ns MR4_I 00000230 07d2684a - 2558990 ns IT 00000230 684a LDR r2,[r1,#4] - 2559010 ns MR4_I 00000234 6008d1fc - 2559070 ns MR4_D 40006004 00000001 - 2559070 ns R r2 00000001 - 2559070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2559090 ns R r2 80000000 - 2559090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2559110 ns R psr 81000200 - 2559110 ns MR4_I 00000238 48054770 - 2559130 ns MR4_I 00000230 07d2684a - 2559150 ns IT 00000230 684a LDR r2,[r1,#4] - 2559170 ns MR4_I 00000234 6008d1fc - 2559230 ns MR4_D 40006004 00000001 - 2559230 ns R r2 00000001 - 2559230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2559250 ns R r2 80000000 - 2559250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2559270 ns R psr 81000200 - 2559270 ns MR4_I 00000238 48054770 - 2559290 ns MR4_I 00000230 07d2684a - 2559310 ns IT 00000230 684a LDR r2,[r1,#4] - 2559330 ns MR4_I 00000234 6008d1fc - 2559390 ns MR4_D 40006004 00000001 - 2559390 ns R r2 00000001 - 2559390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2559410 ns R r2 80000000 - 2559410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2559430 ns R psr 81000200 - 2559430 ns MR4_I 00000238 48054770 - 2559450 ns MR4_I 00000230 07d2684a - 2559470 ns IT 00000230 684a LDR r2,[r1,#4] - 2559490 ns MR4_I 00000234 6008d1fc - 2559550 ns MR4_D 40006004 00000001 - 2559550 ns R r2 00000001 - 2559550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2559570 ns R r2 80000000 - 2559570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2559590 ns R psr 81000200 - 2559590 ns MR4_I 00000238 48054770 - 2559610 ns MR4_I 00000230 07d2684a - 2559630 ns IT 00000230 684a LDR r2,[r1,#4] - 2559650 ns MR4_I 00000234 6008d1fc - 2559710 ns MR4_D 40006004 00000001 - 2559710 ns R r2 00000001 - 2559710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2559730 ns R r2 80000000 - 2559730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2559750 ns R psr 81000200 - 2559750 ns MR4_I 00000238 48054770 - 2559770 ns MR4_I 00000230 07d2684a - 2559790 ns IT 00000230 684a LDR r2,[r1,#4] - 2559810 ns MR4_I 00000234 6008d1fc - 2559870 ns MR4_D 40006004 00000001 - 2559870 ns R r2 00000001 - 2559870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2559890 ns R r2 80000000 - 2559890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2559910 ns R psr 81000200 - 2559910 ns MR4_I 00000238 48054770 - 2559930 ns MR4_I 00000230 07d2684a - 2559950 ns IT 00000230 684a LDR r2,[r1,#4] - 2559970 ns MR4_I 00000234 6008d1fc - 2560030 ns MR4_D 40006004 00000001 - 2560030 ns R r2 00000001 - 2560030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2560050 ns R r2 80000000 - 2560050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2560070 ns R psr 81000200 - 2560070 ns MR4_I 00000238 48054770 - 2560090 ns MR4_I 00000230 07d2684a - 2560110 ns IT 00000230 684a LDR r2,[r1,#4] - 2560130 ns MR4_I 00000234 6008d1fc - 2560190 ns MR4_D 40006004 00000001 - 2560190 ns R r2 00000001 - 2560190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2560210 ns R r2 80000000 - 2560210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2560230 ns R psr 81000200 - 2560230 ns MR4_I 00000238 48054770 - 2560250 ns MR4_I 00000230 07d2684a - 2560270 ns IT 00000230 684a LDR r2,[r1,#4] - 2560290 ns MR4_I 00000234 6008d1fc - 2560350 ns MR4_D 40006004 00000001 - 2560350 ns R r2 00000001 - 2560350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2560370 ns R r2 80000000 - 2560370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2560390 ns R psr 81000200 - 2560390 ns MR4_I 00000238 48054770 - 2560410 ns MR4_I 00000230 07d2684a - 2560430 ns IT 00000230 684a LDR r2,[r1,#4] - 2560450 ns MR4_I 00000234 6008d1fc - 2560510 ns MR4_D 40006004 00000001 - 2560510 ns R r2 00000001 - 2560510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2560530 ns R r2 80000000 - 2560530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2560550 ns R psr 81000200 - 2560550 ns MR4_I 00000238 48054770 - 2560570 ns MR4_I 00000230 07d2684a - 2560590 ns IT 00000230 684a LDR r2,[r1,#4] - 2560610 ns MR4_I 00000234 6008d1fc - 2560670 ns MR4_D 40006004 00000001 - 2560670 ns R r2 00000001 - 2560670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2560690 ns R r2 80000000 - 2560690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2560710 ns R psr 81000200 - 2560710 ns MR4_I 00000238 48054770 - 2560730 ns MR4_I 00000230 07d2684a - 2560750 ns IT 00000230 684a LDR r2,[r1,#4] - 2560770 ns MR4_I 00000234 6008d1fc - 2560830 ns MR4_D 40006004 00000001 - 2560830 ns R r2 00000001 - 2560830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2560850 ns R r2 80000000 - 2560850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2560870 ns R psr 81000200 - 2560870 ns MR4_I 00000238 48054770 - 2560890 ns MR4_I 00000230 07d2684a - 2560910 ns IT 00000230 684a LDR r2,[r1,#4] - 2560930 ns MR4_I 00000234 6008d1fc - 2560990 ns MR4_D 40006004 00000001 - 2560990 ns R r2 00000001 - 2560990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2561010 ns R r2 80000000 - 2561010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2561030 ns R psr 81000200 - 2561030 ns MR4_I 00000238 48054770 - 2561050 ns MR4_I 00000230 07d2684a - 2561070 ns IT 00000230 684a LDR r2,[r1,#4] - 2561090 ns MR4_I 00000234 6008d1fc - 2561150 ns MR4_D 40006004 00000001 - 2561150 ns R r2 00000001 - 2561150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2561170 ns R r2 80000000 - 2561170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2561190 ns R psr 81000200 - 2561190 ns MR4_I 00000238 48054770 - 2561210 ns MR4_I 00000230 07d2684a - 2561230 ns IT 00000230 684a LDR r2,[r1,#4] - 2561250 ns MR4_I 00000234 6008d1fc - 2561310 ns MR4_D 40006004 00000001 - 2561310 ns R r2 00000001 - 2561310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2561330 ns R r2 80000000 - 2561330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2561350 ns R psr 81000200 - 2561350 ns MR4_I 00000238 48054770 - 2561370 ns MR4_I 00000230 07d2684a - 2561390 ns IT 00000230 684a LDR r2,[r1,#4] - 2561410 ns MR4_I 00000234 6008d1fc - 2561470 ns MR4_D 40006004 00000001 - 2561470 ns R r2 00000001 - 2561470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2561490 ns R r2 80000000 - 2561490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2561510 ns R psr 81000200 - 2561510 ns MR4_I 00000238 48054770 - 2561530 ns MR4_I 00000230 07d2684a - 2561550 ns IT 00000230 684a LDR r2,[r1,#4] - 2561570 ns MR4_I 00000234 6008d1fc - 2561630 ns MR4_D 40006004 00000001 - 2561630 ns R r2 00000001 - 2561630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2561650 ns R r2 80000000 - 2561650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2561670 ns R psr 81000200 - 2561670 ns MR4_I 00000238 48054770 - 2561690 ns MR4_I 00000230 07d2684a - 2561710 ns IT 00000230 684a LDR r2,[r1,#4] - 2561730 ns MR4_I 00000234 6008d1fc - 2561790 ns MR4_D 40006004 00000001 - 2561790 ns R r2 00000001 - 2561790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2561810 ns R r2 80000000 - 2561810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2561830 ns R psr 81000200 - 2561830 ns MR4_I 00000238 48054770 - 2561850 ns MR4_I 00000230 07d2684a - 2561870 ns IT 00000230 684a LDR r2,[r1,#4] - 2561890 ns MR4_I 00000234 6008d1fc - 2561950 ns MR4_D 40006004 00000001 - 2561950 ns R r2 00000001 - 2561950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2561970 ns R r2 80000000 - 2561970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2561990 ns R psr 81000200 - 2561990 ns MR4_I 00000238 48054770 - 2562010 ns MR4_I 00000230 07d2684a - 2562030 ns IT 00000230 684a LDR r2,[r1,#4] - 2562050 ns MR4_I 00000234 6008d1fc - 2562110 ns MR4_D 40006004 00000001 - 2562110 ns R r2 00000001 - 2562110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2562130 ns R r2 80000000 - 2562130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2562150 ns R psr 81000200 - 2562150 ns MR4_I 00000238 48054770 - 2562170 ns MR4_I 00000230 07d2684a - 2562190 ns IT 00000230 684a LDR r2,[r1,#4] - 2562210 ns MR4_I 00000234 6008d1fc - 2562270 ns MR4_D 40006004 00000001 - 2562270 ns R r2 00000001 - 2562270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2562290 ns R r2 80000000 - 2562290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2562310 ns R psr 81000200 - 2562310 ns MR4_I 00000238 48054770 - 2562330 ns MR4_I 00000230 07d2684a - 2562350 ns IT 00000230 684a LDR r2,[r1,#4] - 2562370 ns MR4_I 00000234 6008d1fc - 2562430 ns MR4_D 40006004 00000001 - 2562430 ns R r2 00000001 - 2562430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2562450 ns R r2 80000000 - 2562450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2562470 ns R psr 81000200 - 2562470 ns MR4_I 00000238 48054770 - 2562490 ns MR4_I 00000230 07d2684a - 2562510 ns IT 00000230 684a LDR r2,[r1,#4] - 2562530 ns MR4_I 00000234 6008d1fc - 2562590 ns MR4_D 40006004 00000001 - 2562590 ns R r2 00000001 - 2562590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2562610 ns R r2 80000000 - 2562610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2562630 ns R psr 81000200 - 2562630 ns MR4_I 00000238 48054770 - 2562650 ns MR4_I 00000230 07d2684a - 2562670 ns IT 00000230 684a LDR r2,[r1,#4] - 2562690 ns MR4_I 00000234 6008d1fc - 2562750 ns MR4_D 40006004 00000001 - 2562750 ns R r2 00000001 - 2562750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2562770 ns R r2 80000000 - 2562770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2562790 ns R psr 81000200 - 2562790 ns MR4_I 00000238 48054770 - 2562810 ns MR4_I 00000230 07d2684a - 2562830 ns IT 00000230 684a LDR r2,[r1,#4] - 2562850 ns MR4_I 00000234 6008d1fc - 2562910 ns MR4_D 40006004 00000001 - 2562910 ns R r2 00000001 - 2562910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2562930 ns R r2 80000000 - 2562930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2562950 ns R psr 81000200 - 2562950 ns MR4_I 00000238 48054770 - 2562970 ns MR4_I 00000230 07d2684a - 2562990 ns IT 00000230 684a LDR r2,[r1,#4] - 2563010 ns MR4_I 00000234 6008d1fc - 2563070 ns MR4_D 40006004 00000001 - 2563070 ns R r2 00000001 - 2563070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2563090 ns R r2 80000000 - 2563090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2563110 ns R psr 81000200 - 2563110 ns MR4_I 00000238 48054770 - 2563130 ns MR4_I 00000230 07d2684a - 2563150 ns IT 00000230 684a LDR r2,[r1,#4] - 2563170 ns MR4_I 00000234 6008d1fc - 2563230 ns MR4_D 40006004 00000001 - 2563230 ns R r2 00000001 - 2563230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2563250 ns R r2 80000000 - 2563250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2563270 ns R psr 81000200 - 2563270 ns MR4_I 00000238 48054770 - 2563290 ns MR4_I 00000230 07d2684a - 2563310 ns IT 00000230 684a LDR r2,[r1,#4] - 2563330 ns MR4_I 00000234 6008d1fc - 2563390 ns MR4_D 40006004 00000001 - 2563390 ns R r2 00000001 - 2563390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2563410 ns R r2 80000000 - 2563410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2563430 ns R psr 81000200 - 2563430 ns MR4_I 00000238 48054770 - 2563450 ns MR4_I 00000230 07d2684a - 2563470 ns IT 00000230 684a LDR r2,[r1,#4] - 2563490 ns MR4_I 00000234 6008d1fc - 2563550 ns MR4_D 40006004 00000001 - 2563550 ns R r2 00000001 - 2563550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2563570 ns R r2 80000000 - 2563570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2563590 ns R psr 81000200 - 2563590 ns MR4_I 00000238 48054770 - 2563610 ns MR4_I 00000230 07d2684a - 2563630 ns IT 00000230 684a LDR r2,[r1,#4] - 2563650 ns MR4_I 00000234 6008d1fc - 2563710 ns MR4_D 40006004 00000001 - 2563710 ns R r2 00000001 - 2563710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2563730 ns R r2 80000000 - 2563730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2563750 ns R psr 81000200 - 2563750 ns MR4_I 00000238 48054770 - 2563770 ns MR4_I 00000230 07d2684a - 2563790 ns IT 00000230 684a LDR r2,[r1,#4] - 2563810 ns MR4_I 00000234 6008d1fc - 2563870 ns MR4_D 40006004 00000001 - 2563870 ns R r2 00000001 - 2563870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2563890 ns R r2 80000000 - 2563890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2563910 ns R psr 81000200 - 2563910 ns MR4_I 00000238 48054770 - 2563930 ns MR4_I 00000230 07d2684a - 2563950 ns IT 00000230 684a LDR r2,[r1,#4] - 2563970 ns MR4_I 00000234 6008d1fc - 2564030 ns MR4_D 40006004 00000001 - 2564030 ns R r2 00000001 - 2564030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2564050 ns R r2 80000000 - 2564050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2564070 ns R psr 81000200 - 2564070 ns MR4_I 00000238 48054770 - 2564090 ns MR4_I 00000230 07d2684a - 2564110 ns IT 00000230 684a LDR r2,[r1,#4] - 2564130 ns MR4_I 00000234 6008d1fc - 2564190 ns MR4_D 40006004 00000001 - 2564190 ns R r2 00000001 - 2564190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2564210 ns R r2 80000000 - 2564210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2564230 ns R psr 81000200 - 2564230 ns MR4_I 00000238 48054770 - 2564250 ns MR4_I 00000230 07d2684a - 2564270 ns IT 00000230 684a LDR r2,[r1,#4] - 2564290 ns MR4_I 00000234 6008d1fc - 2564350 ns MR4_D 40006004 00000001 - 2564350 ns R r2 00000001 - 2564350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2564370 ns R r2 80000000 - 2564370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2564390 ns R psr 81000200 - 2564390 ns MR4_I 00000238 48054770 - 2564410 ns MR4_I 00000230 07d2684a - 2564430 ns IT 00000230 684a LDR r2,[r1,#4] - 2564450 ns MR4_I 00000234 6008d1fc - 2564510 ns MR4_D 40006004 00000001 - 2564510 ns R r2 00000001 - 2564510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2564530 ns R r2 80000000 - 2564530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2564550 ns R psr 81000200 - 2564550 ns MR4_I 00000238 48054770 - 2564570 ns MR4_I 00000230 07d2684a - 2564590 ns IT 00000230 684a LDR r2,[r1,#4] - 2564610 ns MR4_I 00000234 6008d1fc - 2564670 ns MR4_D 40006004 00000001 - 2564670 ns R r2 00000001 - 2564670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2564690 ns R r2 80000000 - 2564690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2564710 ns R psr 81000200 - 2564710 ns MR4_I 00000238 48054770 - 2564730 ns MR4_I 00000230 07d2684a - 2564750 ns IT 00000230 684a LDR r2,[r1,#4] - 2564770 ns MR4_I 00000234 6008d1fc - 2564830 ns MR4_D 40006004 00000001 - 2564830 ns R r2 00000001 - 2564830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2564850 ns R r2 80000000 - 2564850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2564870 ns R psr 81000200 - 2564870 ns MR4_I 00000238 48054770 - 2564890 ns MR4_I 00000230 07d2684a - 2564910 ns IT 00000230 684a LDR r2,[r1,#4] - 2564930 ns MR4_I 00000234 6008d1fc - 2564990 ns MR4_D 40006004 00000001 - 2564990 ns R r2 00000001 - 2564990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2565010 ns R r2 80000000 - 2565010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2565030 ns R psr 81000200 - 2565030 ns MR4_I 00000238 48054770 - 2565050 ns MR4_I 00000230 07d2684a - 2565070 ns IT 00000230 684a LDR r2,[r1,#4] - 2565090 ns MR4_I 00000234 6008d1fc - 2565150 ns MR4_D 40006004 00000001 - 2565150 ns R r2 00000001 - 2565150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2565170 ns R r2 80000000 - 2565170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2565190 ns R psr 81000200 - 2565190 ns MR4_I 00000238 48054770 - 2565210 ns MR4_I 00000230 07d2684a - 2565230 ns IT 00000230 684a LDR r2,[r1,#4] - 2565250 ns MR4_I 00000234 6008d1fc - 2565310 ns MR4_D 40006004 00000001 - 2565310 ns R r2 00000001 - 2565310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2565330 ns R r2 80000000 - 2565330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2565350 ns R psr 81000200 - 2565350 ns MR4_I 00000238 48054770 - 2565370 ns MR4_I 00000230 07d2684a - 2565390 ns IT 00000230 684a LDR r2,[r1,#4] - 2565410 ns MR4_I 00000234 6008d1fc - 2565470 ns MR4_D 40006004 00000001 - 2565470 ns R r2 00000001 - 2565470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2565490 ns R r2 80000000 - 2565490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2565510 ns R psr 81000200 - 2565510 ns MR4_I 00000238 48054770 - 2565530 ns MR4_I 00000230 07d2684a - 2565550 ns IT 00000230 684a LDR r2,[r1,#4] - 2565570 ns MR4_I 00000234 6008d1fc - 2565630 ns MR4_D 40006004 00000001 - 2565630 ns R r2 00000001 - 2565630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2565650 ns R r2 80000000 - 2565650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2565670 ns R psr 81000200 - 2565670 ns MR4_I 00000238 48054770 - 2565690 ns MR4_I 00000230 07d2684a - 2565710 ns IT 00000230 684a LDR r2,[r1,#4] - 2565730 ns MR4_I 00000234 6008d1fc - 2565790 ns MR4_D 40006004 00000001 - 2565790 ns R r2 00000001 - 2565790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2565810 ns R r2 80000000 - 2565810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2565830 ns R psr 81000200 - 2565830 ns MR4_I 00000238 48054770 - 2565850 ns MR4_I 00000230 07d2684a - 2565870 ns IT 00000230 684a LDR r2,[r1,#4] - 2565890 ns MR4_I 00000234 6008d1fc - 2565950 ns MR4_D 40006004 00000001 - 2565950 ns R r2 00000001 - 2565950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2565970 ns R r2 80000000 - 2565970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2565990 ns R psr 81000200 - 2565990 ns MR4_I 00000238 48054770 - 2566010 ns MR4_I 00000230 07d2684a - 2566030 ns IT 00000230 684a LDR r2,[r1,#4] - 2566050 ns MR4_I 00000234 6008d1fc - 2566110 ns MR4_D 40006004 00000001 - 2566110 ns R r2 00000001 - 2566110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2566130 ns R r2 80000000 - 2566130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2566150 ns R psr 81000200 - 2566150 ns MR4_I 00000238 48054770 - 2566170 ns MR4_I 00000230 07d2684a - 2566190 ns IT 00000230 684a LDR r2,[r1,#4] - 2566210 ns MR4_I 00000234 6008d1fc - 2566270 ns MR4_D 40006004 00000001 - 2566270 ns R r2 00000001 - 2566270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2566290 ns R r2 80000000 - 2566290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2566310 ns R psr 81000200 - 2566310 ns MR4_I 00000238 48054770 - 2566330 ns MR4_I 00000230 07d2684a - 2566350 ns IT 00000230 684a LDR r2,[r1,#4] - 2566370 ns MR4_I 00000234 6008d1fc - 2566430 ns MR4_D 40006004 00000001 - 2566430 ns R r2 00000001 - 2566430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2566450 ns R r2 80000000 - 2566450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2566470 ns R psr 81000200 - 2566470 ns MR4_I 00000238 48054770 - 2566490 ns MR4_I 00000230 07d2684a - 2566510 ns IT 00000230 684a LDR r2,[r1,#4] - 2566530 ns MR4_I 00000234 6008d1fc - 2566590 ns MR4_D 40006004 00000001 - 2566590 ns R r2 00000001 - 2566590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2566610 ns R r2 80000000 - 2566610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2566630 ns R psr 81000200 - 2566630 ns MR4_I 00000238 48054770 - 2566650 ns MR4_I 00000230 07d2684a - 2566670 ns IT 00000230 684a LDR r2,[r1,#4] - 2566690 ns MR4_I 00000234 6008d1fc - 2566750 ns MR4_D 40006004 00000001 - 2566750 ns R r2 00000001 - 2566750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2566770 ns R r2 80000000 - 2566770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2566790 ns R psr 81000200 - 2566790 ns MR4_I 00000238 48054770 - 2566810 ns MR4_I 00000230 07d2684a - 2566830 ns IT 00000230 684a LDR r2,[r1,#4] - 2566850 ns MR4_I 00000234 6008d1fc - 2566910 ns MR4_D 40006004 00000001 - 2566910 ns R r2 00000001 - 2566910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2566930 ns R r2 80000000 - 2566930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2566950 ns R psr 81000200 - 2566950 ns MR4_I 00000238 48054770 - 2566970 ns MR4_I 00000230 07d2684a - 2566990 ns IT 00000230 684a LDR r2,[r1,#4] - 2567010 ns MR4_I 00000234 6008d1fc - 2567070 ns MR4_D 40006004 00000001 - 2567070 ns R r2 00000001 - 2567070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2567090 ns R r2 80000000 - 2567090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2567110 ns R psr 81000200 - 2567110 ns MR4_I 00000238 48054770 - 2567130 ns MR4_I 00000230 07d2684a - 2567150 ns IT 00000230 684a LDR r2,[r1,#4] - 2567170 ns MR4_I 00000234 6008d1fc - 2567230 ns MR4_D 40006004 00000001 - 2567230 ns R r2 00000001 - 2567230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2567250 ns R r2 80000000 - 2567250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2567270 ns R psr 81000200 - 2567270 ns MR4_I 00000238 48054770 - 2567290 ns MR4_I 00000230 07d2684a - 2567310 ns IT 00000230 684a LDR r2,[r1,#4] - 2567330 ns MR4_I 00000234 6008d1fc - 2567390 ns MR4_D 40006004 00000001 - 2567390 ns R r2 00000001 - 2567390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2567410 ns R r2 80000000 - 2567410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2567430 ns R psr 81000200 - 2567430 ns MR4_I 00000238 48054770 - 2567450 ns MR4_I 00000230 07d2684a - 2567470 ns IT 00000230 684a LDR r2,[r1,#4] - 2567490 ns MR4_I 00000234 6008d1fc - 2567550 ns MR4_D 40006004 00000001 - 2567550 ns R r2 00000001 - 2567550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2567570 ns R r2 80000000 - 2567570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2567590 ns R psr 81000200 - 2567590 ns MR4_I 00000238 48054770 - 2567610 ns MR4_I 00000230 07d2684a - 2567630 ns IT 00000230 684a LDR r2,[r1,#4] - 2567650 ns MR4_I 00000234 6008d1fc - 2567710 ns MR4_D 40006004 00000001 - 2567710 ns R r2 00000001 - 2567710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2567730 ns R r2 80000000 - 2567730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2567750 ns R psr 81000200 - 2567750 ns MR4_I 00000238 48054770 - 2567770 ns MR4_I 00000230 07d2684a - 2567790 ns IT 00000230 684a LDR r2,[r1,#4] - 2567810 ns MR4_I 00000234 6008d1fc - 2567870 ns MR4_D 40006004 00000001 - 2567870 ns R r2 00000001 - 2567870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2567890 ns R r2 80000000 - 2567890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2567910 ns R psr 81000200 - 2567910 ns MR4_I 00000238 48054770 - 2567930 ns MR4_I 00000230 07d2684a - 2567950 ns IT 00000230 684a LDR r2,[r1,#4] - 2567970 ns MR4_I 00000234 6008d1fc - 2568030 ns MR4_D 40006004 00000001 - 2568030 ns R r2 00000001 - 2568030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2568050 ns R r2 80000000 - 2568050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2568070 ns R psr 81000200 - 2568070 ns MR4_I 00000238 48054770 - 2568090 ns MR4_I 00000230 07d2684a - 2568110 ns IT 00000230 684a LDR r2,[r1,#4] - 2568130 ns MR4_I 00000234 6008d1fc - 2568190 ns MR4_D 40006004 00000001 - 2568190 ns R r2 00000001 - 2568190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2568210 ns R r2 80000000 - 2568210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2568230 ns R psr 81000200 - 2568230 ns MR4_I 00000238 48054770 - 2568250 ns MR4_I 00000230 07d2684a - 2568270 ns IT 00000230 684a LDR r2,[r1,#4] - 2568290 ns MR4_I 00000234 6008d1fc - 2568350 ns MR4_D 40006004 00000001 - 2568350 ns R r2 00000001 - 2568350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2568370 ns R r2 80000000 - 2568370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2568390 ns R psr 81000200 - 2568390 ns MR4_I 00000238 48054770 - 2568410 ns MR4_I 00000230 07d2684a - 2568430 ns IT 00000230 684a LDR r2,[r1,#4] - 2568450 ns MR4_I 00000234 6008d1fc - 2568510 ns MR4_D 40006004 00000001 - 2568510 ns R r2 00000001 - 2568510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2568530 ns R r2 80000000 - 2568530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2568550 ns R psr 81000200 - 2568550 ns MR4_I 00000238 48054770 - 2568570 ns MR4_I 00000230 07d2684a - 2568590 ns IT 00000230 684a LDR r2,[r1,#4] - 2568610 ns MR4_I 00000234 6008d1fc - 2568670 ns MR4_D 40006004 00000001 - 2568670 ns R r2 00000001 - 2568670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2568690 ns R r2 80000000 - 2568690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2568710 ns R psr 81000200 - 2568710 ns MR4_I 00000238 48054770 - 2568730 ns MR4_I 00000230 07d2684a - 2568750 ns IT 00000230 684a LDR r2,[r1,#4] - 2568770 ns MR4_I 00000234 6008d1fc - 2568830 ns MR4_D 40006004 00000001 - 2568830 ns R r2 00000001 - 2568830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2568850 ns R r2 80000000 - 2568850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2568870 ns R psr 81000200 - 2568870 ns MR4_I 00000238 48054770 - 2568890 ns MR4_I 00000230 07d2684a - 2568910 ns IT 00000230 684a LDR r2,[r1,#4] - 2568930 ns MR4_I 00000234 6008d1fc - 2568990 ns MR4_D 40006004 00000001 - 2568990 ns R r2 00000001 - 2568990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2569010 ns R r2 80000000 - 2569010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2569030 ns R psr 81000200 - 2569030 ns MR4_I 00000238 48054770 - 2569050 ns MR4_I 00000230 07d2684a - 2569070 ns IT 00000230 684a LDR r2,[r1,#4] - 2569090 ns MR4_I 00000234 6008d1fc - 2569150 ns MR4_D 40006004 00000001 - 2569150 ns R r2 00000001 - 2569150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2569170 ns R r2 80000000 - 2569170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2569190 ns R psr 81000200 - 2569190 ns MR4_I 00000238 48054770 - 2569210 ns MR4_I 00000230 07d2684a - 2569230 ns IT 00000230 684a LDR r2,[r1,#4] - 2569250 ns MR4_I 00000234 6008d1fc - 2569310 ns MR4_D 40006004 00000001 - 2569310 ns R r2 00000001 - 2569310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2569330 ns R r2 80000000 - 2569330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2569350 ns R psr 81000200 - 2569350 ns MR4_I 00000238 48054770 - 2569370 ns MR4_I 00000230 07d2684a - 2569390 ns IT 00000230 684a LDR r2,[r1,#4] - 2569410 ns MR4_I 00000234 6008d1fc - 2569470 ns MR4_D 40006004 00000001 - 2569470 ns R r2 00000001 - 2569470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2569490 ns R r2 80000000 - 2569490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2569510 ns R psr 81000200 - 2569510 ns MR4_I 00000238 48054770 - 2569530 ns MR4_I 00000230 07d2684a - 2569550 ns IT 00000230 684a LDR r2,[r1,#4] - 2569570 ns MR4_I 00000234 6008d1fc - 2569630 ns MR4_D 40006004 00000001 - 2569630 ns R r2 00000001 - 2569630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2569650 ns R r2 80000000 - 2569650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2569670 ns R psr 81000200 - 2569670 ns MR4_I 00000238 48054770 - 2569690 ns MR4_I 00000230 07d2684a - 2569710 ns IT 00000230 684a LDR r2,[r1,#4] - 2569730 ns MR4_I 00000234 6008d1fc - 2569790 ns MR4_D 40006004 00000001 - 2569790 ns R r2 00000001 - 2569790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2569810 ns R r2 80000000 - 2569810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2569830 ns R psr 81000200 - 2569830 ns MR4_I 00000238 48054770 - 2569850 ns MR4_I 00000230 07d2684a - 2569870 ns IT 00000230 684a LDR r2,[r1,#4] - 2569890 ns MR4_I 00000234 6008d1fc - 2569950 ns MR4_D 40006004 00000001 - 2569950 ns R r2 00000001 - 2569950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2569970 ns R r2 80000000 - 2569970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2569990 ns R psr 81000200 - 2569990 ns MR4_I 00000238 48054770 - 2570010 ns MR4_I 00000230 07d2684a - 2570030 ns IT 00000230 684a LDR r2,[r1,#4] - 2570050 ns MR4_I 00000234 6008d1fc - 2570110 ns MR4_D 40006004 00000001 - 2570110 ns R r2 00000001 - 2570110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2570130 ns R r2 80000000 - 2570130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2570150 ns R psr 81000200 - 2570150 ns MR4_I 00000238 48054770 - 2570170 ns MR4_I 00000230 07d2684a - 2570190 ns IT 00000230 684a LDR r2,[r1,#4] - 2570210 ns MR4_I 00000234 6008d1fc - 2570270 ns MR4_D 40006004 00000001 - 2570270 ns R r2 00000001 - 2570270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2570290 ns R r2 80000000 - 2570290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2570310 ns R psr 81000200 - 2570310 ns MR4_I 00000238 48054770 - 2570330 ns MR4_I 00000230 07d2684a - 2570350 ns IT 00000230 684a LDR r2,[r1,#4] - 2570370 ns MR4_I 00000234 6008d1fc - 2570430 ns MR4_D 40006004 00000001 - 2570430 ns R r2 00000001 - 2570430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2570450 ns R r2 80000000 - 2570450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2570470 ns R psr 81000200 - 2570470 ns MR4_I 00000238 48054770 - 2570490 ns MR4_I 00000230 07d2684a - 2570510 ns IT 00000230 684a LDR r2,[r1,#4] - 2570530 ns MR4_I 00000234 6008d1fc - 2570590 ns MR4_D 40006004 00000001 - 2570590 ns R r2 00000001 - 2570590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2570610 ns R r2 80000000 - 2570610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2570630 ns R psr 81000200 - 2570630 ns MR4_I 00000238 48054770 - 2570650 ns MR4_I 00000230 07d2684a - 2570670 ns IT 00000230 684a LDR r2,[r1,#4] - 2570690 ns MR4_I 00000234 6008d1fc - 2570750 ns MR4_D 40006004 00000001 - 2570750 ns R r2 00000001 - 2570750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2570770 ns R r2 80000000 - 2570770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2570790 ns R psr 81000200 - 2570790 ns MR4_I 00000238 48054770 - 2570810 ns MR4_I 00000230 07d2684a - 2570830 ns IT 00000230 684a LDR r2,[r1,#4] - 2570850 ns MR4_I 00000234 6008d1fc - 2570910 ns MR4_D 40006004 00000001 - 2570910 ns R r2 00000001 - 2570910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2570930 ns R r2 80000000 - 2570930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2570950 ns R psr 81000200 - 2570950 ns MR4_I 00000238 48054770 - 2570970 ns MR4_I 00000230 07d2684a - 2570990 ns IT 00000230 684a LDR r2,[r1,#4] - 2571010 ns MR4_I 00000234 6008d1fc - 2571070 ns MR4_D 40006004 00000001 - 2571070 ns R r2 00000001 - 2571070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2571090 ns R r2 80000000 - 2571090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2571110 ns R psr 81000200 - 2571110 ns MR4_I 00000238 48054770 - 2571130 ns MR4_I 00000230 07d2684a - 2571150 ns IT 00000230 684a LDR r2,[r1,#4] - 2571170 ns MR4_I 00000234 6008d1fc - 2571230 ns MR4_D 40006004 00000001 - 2571230 ns R r2 00000001 - 2571230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2571250 ns R r2 80000000 - 2571250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2571270 ns R psr 81000200 - 2571270 ns MR4_I 00000238 48054770 - 2571290 ns MR4_I 00000230 07d2684a - 2571310 ns IT 00000230 684a LDR r2,[r1,#4] - 2571330 ns MR4_I 00000234 6008d1fc - 2571390 ns MR4_D 40006004 00000001 - 2571390 ns R r2 00000001 - 2571390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2571410 ns R r2 80000000 - 2571410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2571430 ns R psr 81000200 - 2571430 ns MR4_I 00000238 48054770 - 2571450 ns MR4_I 00000230 07d2684a - 2571470 ns IT 00000230 684a LDR r2,[r1,#4] - 2571490 ns MR4_I 00000234 6008d1fc - 2571550 ns MR4_D 40006004 00000001 - 2571550 ns R r2 00000001 - 2571550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2571570 ns R r2 80000000 - 2571570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2571590 ns R psr 81000200 - 2571590 ns MR4_I 00000238 48054770 - 2571610 ns MR4_I 00000230 07d2684a - 2571630 ns IT 00000230 684a LDR r2,[r1,#4] - 2571650 ns MR4_I 00000234 6008d1fc - 2571710 ns MR4_D 40006004 00000001 - 2571710 ns R r2 00000001 - 2571710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2571730 ns R r2 80000000 - 2571730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2571750 ns R psr 81000200 - 2571750 ns MR4_I 00000238 48054770 - 2571770 ns MR4_I 00000230 07d2684a - 2571790 ns IT 00000230 684a LDR r2,[r1,#4] - 2571810 ns MR4_I 00000234 6008d1fc - 2571870 ns MR4_D 40006004 00000001 - 2571870 ns R r2 00000001 - 2571870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2571890 ns R r2 80000000 - 2571890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2571910 ns R psr 81000200 - 2571910 ns MR4_I 00000238 48054770 - 2571930 ns MR4_I 00000230 07d2684a - 2571950 ns IT 00000230 684a LDR r2,[r1,#4] - 2571970 ns MR4_I 00000234 6008d1fc - 2572030 ns MR4_D 40006004 00000001 - 2572030 ns R r2 00000001 - 2572030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2572050 ns R r2 80000000 - 2572050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2572070 ns R psr 81000200 - 2572070 ns MR4_I 00000238 48054770 - 2572090 ns MR4_I 00000230 07d2684a - 2572110 ns IT 00000230 684a LDR r2,[r1,#4] - 2572130 ns MR4_I 00000234 6008d1fc - 2572190 ns MR4_D 40006004 00000001 - 2572190 ns R r2 00000001 - 2572190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2572210 ns R r2 80000000 - 2572210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2572230 ns R psr 81000200 - 2572230 ns MR4_I 00000238 48054770 - 2572250 ns MR4_I 00000230 07d2684a - 2572270 ns IT 00000230 684a LDR r2,[r1,#4] - 2572290 ns MR4_I 00000234 6008d1fc - 2572350 ns MR4_D 40006004 00000001 - 2572350 ns R r2 00000001 - 2572350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2572370 ns R r2 80000000 - 2572370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2572390 ns R psr 81000200 - 2572390 ns MR4_I 00000238 48054770 - 2572410 ns MR4_I 00000230 07d2684a - 2572430 ns IT 00000230 684a LDR r2,[r1,#4] - 2572450 ns MR4_I 00000234 6008d1fc - 2572510 ns MR4_D 40006004 00000001 - 2572510 ns R r2 00000001 - 2572510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2572530 ns R r2 80000000 - 2572530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2572550 ns R psr 81000200 - 2572550 ns MR4_I 00000238 48054770 - 2572570 ns MR4_I 00000230 07d2684a - 2572590 ns IT 00000230 684a LDR r2,[r1,#4] - 2572610 ns MR4_I 00000234 6008d1fc - 2572670 ns MR4_D 40006004 00000001 - 2572670 ns R r2 00000001 - 2572670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2572690 ns R r2 80000000 - 2572690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2572710 ns R psr 81000200 - 2572710 ns MR4_I 00000238 48054770 - 2572730 ns MR4_I 00000230 07d2684a - 2572750 ns IT 00000230 684a LDR r2,[r1,#4] - 2572770 ns MR4_I 00000234 6008d1fc - 2572830 ns MR4_D 40006004 00000001 - 2572830 ns R r2 00000001 - 2572830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2572850 ns R r2 80000000 - 2572850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2572870 ns R psr 81000200 - 2572870 ns MR4_I 00000238 48054770 - 2572890 ns MR4_I 00000230 07d2684a - 2572910 ns IT 00000230 684a LDR r2,[r1,#4] - 2572930 ns MR4_I 00000234 6008d1fc - 2572990 ns MR4_D 40006004 00000001 - 2572990 ns R r2 00000001 - 2572990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2573010 ns R r2 80000000 - 2573010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2573030 ns R psr 81000200 - 2573030 ns MR4_I 00000238 48054770 - 2573050 ns MR4_I 00000230 07d2684a - 2573070 ns IT 00000230 684a LDR r2,[r1,#4] - 2573090 ns MR4_I 00000234 6008d1fc - 2573150 ns MR4_D 40006004 00000001 - 2573150 ns R r2 00000001 - 2573150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2573170 ns R r2 80000000 - 2573170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2573190 ns R psr 81000200 - 2573190 ns MR4_I 00000238 48054770 - 2573210 ns MR4_I 00000230 07d2684a - 2573230 ns IT 00000230 684a LDR r2,[r1,#4] - 2573250 ns MR4_I 00000234 6008d1fc - 2573310 ns MR4_D 40006004 00000001 - 2573310 ns R r2 00000001 - 2573310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2573330 ns R r2 80000000 - 2573330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2573350 ns R psr 81000200 - 2573350 ns MR4_I 00000238 48054770 - 2573370 ns MR4_I 00000230 07d2684a - 2573390 ns IT 00000230 684a LDR r2,[r1,#4] - 2573410 ns MR4_I 00000234 6008d1fc - 2573470 ns MR4_D 40006004 00000001 - 2573470 ns R r2 00000001 - 2573470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2573490 ns R r2 80000000 - 2573490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2573510 ns R psr 81000200 - 2573510 ns MR4_I 00000238 48054770 - 2573530 ns MR4_I 00000230 07d2684a - 2573550 ns IT 00000230 684a LDR r2,[r1,#4] - 2573570 ns MR4_I 00000234 6008d1fc - 2573630 ns MR4_D 40006004 00000001 - 2573630 ns R r2 00000001 - 2573630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2573650 ns R r2 80000000 - 2573650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2573670 ns R psr 81000200 - 2573670 ns MR4_I 00000238 48054770 - 2573690 ns MR4_I 00000230 07d2684a - 2573710 ns IT 00000230 684a LDR r2,[r1,#4] - 2573730 ns MR4_I 00000234 6008d1fc - 2573790 ns MR4_D 40006004 00000001 - 2573790 ns R r2 00000001 - 2573790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2573810 ns R r2 80000000 - 2573810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2573830 ns R psr 81000200 - 2573830 ns MR4_I 00000238 48054770 - 2573850 ns MR4_I 00000230 07d2684a - 2573870 ns IT 00000230 684a LDR r2,[r1,#4] - 2573890 ns MR4_I 00000234 6008d1fc - 2573950 ns MR4_D 40006004 00000001 - 2573950 ns R r2 00000001 - 2573950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2573970 ns R r2 80000000 - 2573970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2573990 ns R psr 81000200 - 2573990 ns MR4_I 00000238 48054770 - 2574010 ns MR4_I 00000230 07d2684a - 2574030 ns IT 00000230 684a LDR r2,[r1,#4] - 2574050 ns MR4_I 00000234 6008d1fc - 2574110 ns MR4_D 40006004 00000001 - 2574110 ns R r2 00000001 - 2574110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2574130 ns R r2 80000000 - 2574130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2574150 ns R psr 81000200 - 2574150 ns MR4_I 00000238 48054770 - 2574170 ns MR4_I 00000230 07d2684a - 2574190 ns IT 00000230 684a LDR r2,[r1,#4] - 2574210 ns MR4_I 00000234 6008d1fc - 2574270 ns MR4_D 40006004 00000001 - 2574270 ns R r2 00000001 - 2574270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2574290 ns R r2 80000000 - 2574290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2574310 ns R psr 81000200 - 2574310 ns MR4_I 00000238 48054770 - 2574330 ns MR4_I 00000230 07d2684a - 2574350 ns IT 00000230 684a LDR r2,[r1,#4] - 2574370 ns MR4_I 00000234 6008d1fc - 2574430 ns MR4_D 40006004 00000001 - 2574430 ns R r2 00000001 - 2574430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2574450 ns R r2 80000000 - 2574450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2574470 ns R psr 81000200 - 2574470 ns MR4_I 00000238 48054770 - 2574490 ns MR4_I 00000230 07d2684a - 2574510 ns IT 00000230 684a LDR r2,[r1,#4] - 2574530 ns MR4_I 00000234 6008d1fc - 2574590 ns MR4_D 40006004 00000001 - 2574590 ns R r2 00000001 - 2574590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2574610 ns R r2 80000000 - 2574610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2574630 ns R psr 81000200 - 2574630 ns MR4_I 00000238 48054770 - 2574650 ns MR4_I 00000230 07d2684a - 2574670 ns IT 00000230 684a LDR r2,[r1,#4] - 2574690 ns MR4_I 00000234 6008d1fc - 2574750 ns MR4_D 40006004 00000001 - 2574750 ns R r2 00000001 - 2574750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2574770 ns R r2 80000000 - 2574770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2574790 ns R psr 81000200 - 2574790 ns MR4_I 00000238 48054770 - 2574810 ns MR4_I 00000230 07d2684a - 2574830 ns IT 00000230 684a LDR r2,[r1,#4] - 2574850 ns MR4_I 00000234 6008d1fc - 2574910 ns MR4_D 40006004 00000001 - 2574910 ns R r2 00000001 - 2574910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2574930 ns R r2 80000000 - 2574930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2574950 ns R psr 81000200 - 2574950 ns MR4_I 00000238 48054770 - 2574970 ns MR4_I 00000230 07d2684a - 2574990 ns IT 00000230 684a LDR r2,[r1,#4] - 2575010 ns MR4_I 00000234 6008d1fc - 2575070 ns MR4_D 40006004 00000001 - 2575070 ns R r2 00000001 - 2575070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2575090 ns R r2 80000000 - 2575090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2575110 ns R psr 81000200 - 2575110 ns MR4_I 00000238 48054770 - 2575130 ns MR4_I 00000230 07d2684a - 2575150 ns IT 00000230 684a LDR r2,[r1,#4] - 2575170 ns MR4_I 00000234 6008d1fc - 2575230 ns MR4_D 40006004 00000001 - 2575230 ns R r2 00000001 - 2575230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2575250 ns R r2 80000000 - 2575250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2575270 ns R psr 81000200 - 2575270 ns MR4_I 00000238 48054770 - 2575290 ns MR4_I 00000230 07d2684a - 2575310 ns IT 00000230 684a LDR r2,[r1,#4] - 2575330 ns MR4_I 00000234 6008d1fc - 2575390 ns MR4_D 40006004 00000001 - 2575390 ns R r2 00000001 - 2575390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2575410 ns R r2 80000000 - 2575410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2575430 ns R psr 81000200 - 2575430 ns MR4_I 00000238 48054770 - 2575450 ns MR4_I 00000230 07d2684a - 2575470 ns IT 00000230 684a LDR r2,[r1,#4] - 2575490 ns MR4_I 00000234 6008d1fc - 2575550 ns MR4_D 40006004 00000001 - 2575550 ns R r2 00000001 - 2575550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2575570 ns R r2 80000000 - 2575570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2575590 ns R psr 81000200 - 2575590 ns MR4_I 00000238 48054770 - 2575610 ns MR4_I 00000230 07d2684a - 2575630 ns IT 00000230 684a LDR r2,[r1,#4] - 2575650 ns MR4_I 00000234 6008d1fc - 2575710 ns MR4_D 40006004 00000001 - 2575710 ns R r2 00000001 - 2575710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2575730 ns R r2 80000000 - 2575730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2575750 ns R psr 81000200 - 2575750 ns MR4_I 00000238 48054770 - 2575770 ns MR4_I 00000230 07d2684a - 2575790 ns IT 00000230 684a LDR r2,[r1,#4] - 2575810 ns MR4_I 00000234 6008d1fc - 2575870 ns MR4_D 40006004 00000001 - 2575870 ns R r2 00000001 - 2575870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2575890 ns R r2 80000000 - 2575890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2575910 ns R psr 81000200 - 2575910 ns MR4_I 00000238 48054770 - 2575930 ns MR4_I 00000230 07d2684a - 2575950 ns IT 00000230 684a LDR r2,[r1,#4] - 2575970 ns MR4_I 00000234 6008d1fc - 2576030 ns MR4_D 40006004 00000001 - 2576030 ns R r2 00000001 - 2576030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2576050 ns R r2 80000000 - 2576050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2576070 ns R psr 81000200 - 2576070 ns MR4_I 00000238 48054770 - 2576090 ns MR4_I 00000230 07d2684a - 2576110 ns IT 00000230 684a LDR r2,[r1,#4] - 2576130 ns MR4_I 00000234 6008d1fc - 2576190 ns MR4_D 40006004 00000001 - 2576190 ns R r2 00000001 - 2576190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2576210 ns R r2 80000000 - 2576210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2576230 ns R psr 81000200 - 2576230 ns MR4_I 00000238 48054770 - 2576250 ns MR4_I 00000230 07d2684a - 2576270 ns IT 00000230 684a LDR r2,[r1,#4] - 2576290 ns MR4_I 00000234 6008d1fc - 2576350 ns MR4_D 40006004 00000001 - 2576350 ns R r2 00000001 - 2576350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2576370 ns R r2 80000000 - 2576370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2576390 ns R psr 81000200 - 2576390 ns MR4_I 00000238 48054770 - 2576410 ns MR4_I 00000230 07d2684a - 2576430 ns IT 00000230 684a LDR r2,[r1,#4] - 2576450 ns MR4_I 00000234 6008d1fc - 2576510 ns MR4_D 40006004 00000001 - 2576510 ns R r2 00000001 - 2576510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2576530 ns R r2 80000000 - 2576530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2576550 ns R psr 81000200 - 2576550 ns MR4_I 00000238 48054770 - 2576570 ns MR4_I 00000230 07d2684a - 2576590 ns IT 00000230 684a LDR r2,[r1,#4] - 2576610 ns MR4_I 00000234 6008d1fc - 2576670 ns MR4_D 40006004 00000001 - 2576670 ns R r2 00000001 - 2576670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2576690 ns R r2 80000000 - 2576690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2576710 ns R psr 81000200 - 2576710 ns MR4_I 00000238 48054770 - 2576730 ns MR4_I 00000230 07d2684a - 2576750 ns IT 00000230 684a LDR r2,[r1,#4] - 2576770 ns MR4_I 00000234 6008d1fc - 2576830 ns MR4_D 40006004 00000001 - 2576830 ns R r2 00000001 - 2576830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2576850 ns R r2 80000000 - 2576850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2576870 ns R psr 81000200 - 2576870 ns MR4_I 00000238 48054770 - 2576890 ns MR4_I 00000230 07d2684a - 2576910 ns IT 00000230 684a LDR r2,[r1,#4] - 2576930 ns MR4_I 00000234 6008d1fc - 2576990 ns MR4_D 40006004 00000001 - 2576990 ns R r2 00000001 - 2576990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2577010 ns R r2 80000000 - 2577010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2577030 ns R psr 81000200 - 2577030 ns MR4_I 00000238 48054770 - 2577050 ns MR4_I 00000230 07d2684a - 2577070 ns IT 00000230 684a LDR r2,[r1,#4] - 2577090 ns MR4_I 00000234 6008d1fc - 2577150 ns MR4_D 40006004 00000001 - 2577150 ns R r2 00000001 - 2577150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2577170 ns R r2 80000000 - 2577170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2577190 ns R psr 81000200 - 2577190 ns MR4_I 00000238 48054770 - 2577210 ns MR4_I 00000230 07d2684a - 2577230 ns IT 00000230 684a LDR r2,[r1,#4] - 2577250 ns MR4_I 00000234 6008d1fc - 2577310 ns MR4_D 40006004 00000001 - 2577310 ns R r2 00000001 - 2577310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2577330 ns R r2 80000000 - 2577330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2577350 ns R psr 81000200 - 2577350 ns MR4_I 00000238 48054770 - 2577370 ns MR4_I 00000230 07d2684a - 2577390 ns IT 00000230 684a LDR r2,[r1,#4] - 2577410 ns MR4_I 00000234 6008d1fc - 2577470 ns MR4_D 40006004 00000001 - 2577470 ns R r2 00000001 - 2577470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2577490 ns R r2 80000000 - 2577490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2577510 ns R psr 81000200 - 2577510 ns MR4_I 00000238 48054770 - 2577530 ns MR4_I 00000230 07d2684a - 2577550 ns IT 00000230 684a LDR r2,[r1,#4] - 2577570 ns MR4_I 00000234 6008d1fc - 2577630 ns MR4_D 40006004 00000001 - 2577630 ns R r2 00000001 - 2577630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2577650 ns R r2 80000000 - 2577650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2577670 ns R psr 81000200 - 2577670 ns MR4_I 00000238 48054770 - 2577690 ns MR4_I 00000230 07d2684a - 2577710 ns IT 00000230 684a LDR r2,[r1,#4] - 2577730 ns MR4_I 00000234 6008d1fc - 2577790 ns MR4_D 40006004 00000001 - 2577790 ns R r2 00000001 - 2577790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2577810 ns R r2 80000000 - 2577810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2577830 ns R psr 81000200 - 2577830 ns MR4_I 00000238 48054770 - 2577850 ns MR4_I 00000230 07d2684a - 2577870 ns IT 00000230 684a LDR r2,[r1,#4] - 2577890 ns MR4_I 00000234 6008d1fc - 2577950 ns MR4_D 40006004 00000001 - 2577950 ns R r2 00000001 - 2577950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2577970 ns R r2 80000000 - 2577970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2577990 ns R psr 81000200 - 2577990 ns MR4_I 00000238 48054770 - 2578010 ns MR4_I 00000230 07d2684a - 2578030 ns IT 00000230 684a LDR r2,[r1,#4] - 2578050 ns MR4_I 00000234 6008d1fc - 2578110 ns MR4_D 40006004 00000001 - 2578110 ns R r2 00000001 - 2578110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2578130 ns R r2 80000000 - 2578130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2578150 ns R psr 81000200 - 2578150 ns MR4_I 00000238 48054770 - 2578170 ns MR4_I 00000230 07d2684a - 2578190 ns IT 00000230 684a LDR r2,[r1,#4] - 2578210 ns MR4_I 00000234 6008d1fc - 2578270 ns MR4_D 40006004 00000001 - 2578270 ns R r2 00000001 - 2578270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2578290 ns R r2 80000000 - 2578290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2578310 ns R psr 81000200 - 2578310 ns MR4_I 00000238 48054770 - 2578330 ns MR4_I 00000230 07d2684a - 2578350 ns IT 00000230 684a LDR r2,[r1,#4] - 2578370 ns MR4_I 00000234 6008d1fc - 2578430 ns MR4_D 40006004 00000001 - 2578430 ns R r2 00000001 - 2578430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2578450 ns R r2 80000000 - 2578450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2578470 ns R psr 81000200 - 2578470 ns MR4_I 00000238 48054770 - 2578490 ns MR4_I 00000230 07d2684a - 2578510 ns IT 00000230 684a LDR r2,[r1,#4] - 2578530 ns MR4_I 00000234 6008d1fc - 2578590 ns MR4_D 40006004 00000001 - 2578590 ns R r2 00000001 - 2578590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2578610 ns R r2 80000000 - 2578610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2578630 ns R psr 81000200 - 2578630 ns MR4_I 00000238 48054770 - 2578650 ns MR4_I 00000230 07d2684a - 2578670 ns IT 00000230 684a LDR r2,[r1,#4] - 2578690 ns MR4_I 00000234 6008d1fc - 2578750 ns MR4_D 40006004 00000001 - 2578750 ns R r2 00000001 - 2578750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2578770 ns R r2 80000000 - 2578770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2578790 ns R psr 81000200 - 2578790 ns MR4_I 00000238 48054770 - 2578810 ns MR4_I 00000230 07d2684a - 2578830 ns IT 00000230 684a LDR r2,[r1,#4] - 2578850 ns MR4_I 00000234 6008d1fc - 2578910 ns MR4_D 40006004 00000001 - 2578910 ns R r2 00000001 - 2578910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2578930 ns R r2 80000000 - 2578930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2578950 ns R psr 81000200 - 2578950 ns MR4_I 00000238 48054770 - 2578970 ns MR4_I 00000230 07d2684a - 2578990 ns IT 00000230 684a LDR r2,[r1,#4] - 2579010 ns MR4_I 00000234 6008d1fc - 2579070 ns MR4_D 40006004 00000001 - 2579070 ns R r2 00000001 - 2579070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2579090 ns R r2 80000000 - 2579090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2579110 ns R psr 81000200 - 2579110 ns MR4_I 00000238 48054770 - 2579130 ns MR4_I 00000230 07d2684a - 2579150 ns IT 00000230 684a LDR r2,[r1,#4] - 2579170 ns MR4_I 00000234 6008d1fc - 2579230 ns MR4_D 40006004 00000001 - 2579230 ns R r2 00000001 - 2579230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2579250 ns R r2 80000000 - 2579250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2579270 ns R psr 81000200 - 2579270 ns MR4_I 00000238 48054770 - 2579290 ns MR4_I 00000230 07d2684a - 2579310 ns IT 00000230 684a LDR r2,[r1,#4] - 2579330 ns MR4_I 00000234 6008d1fc - 2579390 ns MR4_D 40006004 00000001 - 2579390 ns R r2 00000001 - 2579390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2579410 ns R r2 80000000 - 2579410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2579430 ns R psr 81000200 - 2579430 ns MR4_I 00000238 48054770 - 2579450 ns MR4_I 00000230 07d2684a - 2579470 ns IT 00000230 684a LDR r2,[r1,#4] - 2579490 ns MR4_I 00000234 6008d1fc - 2579550 ns MR4_D 40006004 00000001 - 2579550 ns R r2 00000001 - 2579550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2579570 ns R r2 80000000 - 2579570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2579590 ns R psr 81000200 - 2579590 ns MR4_I 00000238 48054770 - 2579610 ns MR4_I 00000230 07d2684a - 2579630 ns IT 00000230 684a LDR r2,[r1,#4] - 2579650 ns MR4_I 00000234 6008d1fc - 2579710 ns MR4_D 40006004 00000001 - 2579710 ns R r2 00000001 - 2579710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2579730 ns R r2 80000000 - 2579730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2579750 ns R psr 81000200 - 2579750 ns MR4_I 00000238 48054770 - 2579770 ns MR4_I 00000230 07d2684a - 2579790 ns IT 00000230 684a LDR r2,[r1,#4] - 2579810 ns MR4_I 00000234 6008d1fc - 2579870 ns MR4_D 40006004 00000001 - 2579870 ns R r2 00000001 - 2579870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2579890 ns R r2 80000000 - 2579890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2579910 ns R psr 81000200 - 2579910 ns MR4_I 00000238 48054770 - 2579930 ns MR4_I 00000230 07d2684a - 2579950 ns IT 00000230 684a LDR r2,[r1,#4] - 2579970 ns MR4_I 00000234 6008d1fc - 2580030 ns MR4_D 40006004 00000001 - 2580030 ns R r2 00000001 - 2580030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2580050 ns R r2 80000000 - 2580050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2580070 ns R psr 81000200 - 2580070 ns MR4_I 00000238 48054770 - 2580090 ns MR4_I 00000230 07d2684a - 2580110 ns IT 00000230 684a LDR r2,[r1,#4] - 2580130 ns MR4_I 00000234 6008d1fc - 2580190 ns MR4_D 40006004 00000001 - 2580190 ns R r2 00000001 - 2580190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2580210 ns R r2 80000000 - 2580210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2580230 ns R psr 81000200 - 2580230 ns MR4_I 00000238 48054770 - 2580250 ns MR4_I 00000230 07d2684a - 2580270 ns IT 00000230 684a LDR r2,[r1,#4] - 2580290 ns MR4_I 00000234 6008d1fc - 2580350 ns MR4_D 40006004 00000001 - 2580350 ns R r2 00000001 - 2580350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2580370 ns R r2 80000000 - 2580370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2580390 ns R psr 81000200 - 2580390 ns MR4_I 00000238 48054770 - 2580410 ns MR4_I 00000230 07d2684a - 2580430 ns IT 00000230 684a LDR r2,[r1,#4] - 2580450 ns MR4_I 00000234 6008d1fc - 2580510 ns MR4_D 40006004 00000001 - 2580510 ns R r2 00000001 - 2580510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2580530 ns R r2 80000000 - 2580530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2580550 ns R psr 81000200 - 2580550 ns MR4_I 00000238 48054770 - 2580570 ns MR4_I 00000230 07d2684a - 2580590 ns IT 00000230 684a LDR r2,[r1,#4] - 2580610 ns MR4_I 00000234 6008d1fc - 2580670 ns MR4_D 40006004 00000001 - 2580670 ns R r2 00000001 - 2580670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2580690 ns R r2 80000000 - 2580690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2580710 ns R psr 81000200 - 2580710 ns MR4_I 00000238 48054770 - 2580730 ns MR4_I 00000230 07d2684a - 2580750 ns IT 00000230 684a LDR r2,[r1,#4] - 2580770 ns MR4_I 00000234 6008d1fc - 2580830 ns MR4_D 40006004 00000001 - 2580830 ns R r2 00000001 - 2580830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2580850 ns R r2 80000000 - 2580850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2580870 ns R psr 81000200 - 2580870 ns MR4_I 00000238 48054770 - 2580890 ns MR4_I 00000230 07d2684a - 2580910 ns IT 00000230 684a LDR r2,[r1,#4] - 2580930 ns MR4_I 00000234 6008d1fc - 2580990 ns MR4_D 40006004 00000001 - 2580990 ns R r2 00000001 - 2580990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2581010 ns R r2 80000000 - 2581010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2581030 ns R psr 81000200 - 2581030 ns MR4_I 00000238 48054770 - 2581050 ns MR4_I 00000230 07d2684a - 2581070 ns IT 00000230 684a LDR r2,[r1,#4] - 2581090 ns MR4_I 00000234 6008d1fc - 2581150 ns MR4_D 40006004 00000001 - 2581150 ns R r2 00000001 - 2581150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2581170 ns R r2 80000000 - 2581170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2581190 ns R psr 81000200 - 2581190 ns MR4_I 00000238 48054770 - 2581210 ns MR4_I 00000230 07d2684a - 2581230 ns IT 00000230 684a LDR r2,[r1,#4] - 2581250 ns MR4_I 00000234 6008d1fc - 2581310 ns MR4_D 40006004 00000001 - 2581310 ns R r2 00000001 - 2581310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2581330 ns R r2 80000000 - 2581330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2581350 ns R psr 81000200 - 2581350 ns MR4_I 00000238 48054770 - 2581370 ns MR4_I 00000230 07d2684a - 2581390 ns IT 00000230 684a LDR r2,[r1,#4] - 2581410 ns MR4_I 00000234 6008d1fc - 2581470 ns MR4_D 40006004 00000001 - 2581470 ns R r2 00000001 - 2581470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2581490 ns R r2 80000000 - 2581490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2581510 ns R psr 81000200 - 2581510 ns MR4_I 00000238 48054770 - 2581530 ns MR4_I 00000230 07d2684a - 2581550 ns IT 00000230 684a LDR r2,[r1,#4] - 2581570 ns MR4_I 00000234 6008d1fc - 2581630 ns MR4_D 40006004 00000001 - 2581630 ns R r2 00000001 - 2581630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2581650 ns R r2 80000000 - 2581650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2581670 ns R psr 81000200 - 2581670 ns MR4_I 00000238 48054770 - 2581690 ns MR4_I 00000230 07d2684a - 2581710 ns IT 00000230 684a LDR r2,[r1,#4] - 2581730 ns MR4_I 00000234 6008d1fc - 2581790 ns MR4_D 40006004 00000001 - 2581790 ns R r2 00000001 - 2581790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2581810 ns R r2 80000000 - 2581810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2581830 ns R psr 81000200 - 2581830 ns MR4_I 00000238 48054770 - 2581850 ns MR4_I 00000230 07d2684a - 2581870 ns IT 00000230 684a LDR r2,[r1,#4] - 2581890 ns MR4_I 00000234 6008d1fc - 2581950 ns MR4_D 40006004 00000001 - 2581950 ns R r2 00000001 - 2581950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2581970 ns R r2 80000000 - 2581970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2581990 ns R psr 81000200 - 2581990 ns MR4_I 00000238 48054770 - 2582010 ns MR4_I 00000230 07d2684a - 2582030 ns IT 00000230 684a LDR r2,[r1,#4] - 2582050 ns MR4_I 00000234 6008d1fc - 2582110 ns MR4_D 40006004 00000001 - 2582110 ns R r2 00000001 - 2582110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2582130 ns R r2 80000000 - 2582130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2582150 ns R psr 81000200 - 2582150 ns MR4_I 00000238 48054770 - 2582170 ns MR4_I 00000230 07d2684a - 2582190 ns IT 00000230 684a LDR r2,[r1,#4] - 2582210 ns MR4_I 00000234 6008d1fc - 2582270 ns MR4_D 40006004 00000001 - 2582270 ns R r2 00000001 - 2582270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2582290 ns R r2 80000000 - 2582290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2582310 ns R psr 81000200 - 2582310 ns MR4_I 00000238 48054770 - 2582330 ns MR4_I 00000230 07d2684a - 2582350 ns IT 00000230 684a LDR r2,[r1,#4] - 2582370 ns MR4_I 00000234 6008d1fc - 2582430 ns MR4_D 40006004 00000001 - 2582430 ns R r2 00000001 - 2582430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2582450 ns R r2 80000000 - 2582450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2582470 ns R psr 81000200 - 2582470 ns MR4_I 00000238 48054770 - 2582490 ns MR4_I 00000230 07d2684a - 2582510 ns IT 00000230 684a LDR r2,[r1,#4] - 2582530 ns MR4_I 00000234 6008d1fc - 2582590 ns MR4_D 40006004 00000001 - 2582590 ns R r2 00000001 - 2582590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2582610 ns R r2 80000000 - 2582610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2582630 ns R psr 81000200 - 2582630 ns MR4_I 00000238 48054770 - 2582650 ns MR4_I 00000230 07d2684a - 2582670 ns IT 00000230 684a LDR r2,[r1,#4] - 2582690 ns MR4_I 00000234 6008d1fc - 2582750 ns MR4_D 40006004 00000001 - 2582750 ns R r2 00000001 - 2582750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2582770 ns R r2 80000000 - 2582770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2582790 ns R psr 81000200 - 2582790 ns MR4_I 00000238 48054770 - 2582810 ns MR4_I 00000230 07d2684a - 2582830 ns IT 00000230 684a LDR r2,[r1,#4] - 2582850 ns MR4_I 00000234 6008d1fc - 2582910 ns MR4_D 40006004 00000001 - 2582910 ns R r2 00000001 - 2582910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2582930 ns R r2 80000000 - 2582930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2582950 ns R psr 81000200 - 2582950 ns MR4_I 00000238 48054770 - 2582970 ns MR4_I 00000230 07d2684a - 2582990 ns IT 00000230 684a LDR r2,[r1,#4] - 2583010 ns MR4_I 00000234 6008d1fc - 2583070 ns MR4_D 40006004 00000001 - 2583070 ns R r2 00000001 - 2583070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2583090 ns R r2 80000000 - 2583090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2583110 ns R psr 81000200 - 2583110 ns MR4_I 00000238 48054770 - 2583130 ns MR4_I 00000230 07d2684a - 2583150 ns IT 00000230 684a LDR r2,[r1,#4] - 2583170 ns MR4_I 00000234 6008d1fc - 2583230 ns MR4_D 40006004 00000001 - 2583230 ns R r2 00000001 - 2583230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2583250 ns R r2 80000000 - 2583250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2583270 ns R psr 81000200 - 2583270 ns MR4_I 00000238 48054770 - 2583290 ns MR4_I 00000230 07d2684a - 2583310 ns IT 00000230 684a LDR r2,[r1,#4] - 2583330 ns MR4_I 00000234 6008d1fc - 2583390 ns MR4_D 40006004 00000001 - 2583390 ns R r2 00000001 - 2583390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2583410 ns R r2 80000000 - 2583410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2583430 ns R psr 81000200 - 2583430 ns MR4_I 00000238 48054770 - 2583450 ns MR4_I 00000230 07d2684a - 2583470 ns IT 00000230 684a LDR r2,[r1,#4] - 2583490 ns MR4_I 00000234 6008d1fc - 2583550 ns MR4_D 40006004 00000001 - 2583550 ns R r2 00000001 - 2583550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2583570 ns R r2 80000000 - 2583570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2583590 ns R psr 81000200 - 2583590 ns MR4_I 00000238 48054770 - 2583610 ns MR4_I 00000230 07d2684a - 2583630 ns IT 00000230 684a LDR r2,[r1,#4] - 2583650 ns MR4_I 00000234 6008d1fc - 2583710 ns MR4_D 40006004 00000001 - 2583710 ns R r2 00000001 - 2583710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2583730 ns R r2 80000000 - 2583730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2583750 ns R psr 81000200 - 2583750 ns MR4_I 00000238 48054770 - 2583770 ns MR4_I 00000230 07d2684a - 2583790 ns IT 00000230 684a LDR r2,[r1,#4] - 2583810 ns MR4_I 00000234 6008d1fc - 2583870 ns MR4_D 40006004 00000001 - 2583870 ns R r2 00000001 - 2583870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2583890 ns R r2 80000000 - 2583890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2583910 ns R psr 81000200 - 2583910 ns MR4_I 00000238 48054770 - 2583930 ns MR4_I 00000230 07d2684a - 2583950 ns IT 00000230 684a LDR r2,[r1,#4] - 2583970 ns MR4_I 00000234 6008d1fc - 2584030 ns MR4_D 40006004 00000001 - 2584030 ns R r2 00000001 - 2584030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2584050 ns R r2 80000000 - 2584050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2584070 ns R psr 81000200 - 2584070 ns MR4_I 00000238 48054770 - 2584090 ns MR4_I 00000230 07d2684a - 2584110 ns IT 00000230 684a LDR r2,[r1,#4] - 2584130 ns MR4_I 00000234 6008d1fc - 2584190 ns MR4_D 40006004 00000001 - 2584190 ns R r2 00000001 - 2584190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2584210 ns R r2 80000000 - 2584210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2584230 ns R psr 81000200 - 2584230 ns MR4_I 00000238 48054770 - 2584250 ns MR4_I 00000230 07d2684a - 2584270 ns IT 00000230 684a LDR r2,[r1,#4] - 2584290 ns MR4_I 00000234 6008d1fc - 2584350 ns MR4_D 40006004 00000001 - 2584350 ns R r2 00000001 - 2584350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2584370 ns R r2 80000000 - 2584370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2584390 ns R psr 81000200 - 2584390 ns MR4_I 00000238 48054770 - 2584410 ns MR4_I 00000230 07d2684a - 2584430 ns IT 00000230 684a LDR r2,[r1,#4] - 2584450 ns MR4_I 00000234 6008d1fc - 2584510 ns MR4_D 40006004 00000001 - 2584510 ns R r2 00000001 - 2584510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2584530 ns R r2 80000000 - 2584530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2584550 ns R psr 81000200 - 2584550 ns MR4_I 00000238 48054770 - 2584570 ns MR4_I 00000230 07d2684a - 2584590 ns IT 00000230 684a LDR r2,[r1,#4] - 2584610 ns MR4_I 00000234 6008d1fc - 2584670 ns MR4_D 40006004 00000001 - 2584670 ns R r2 00000001 - 2584670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2584690 ns R r2 80000000 - 2584690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2584710 ns R psr 81000200 - 2584710 ns MR4_I 00000238 48054770 - 2584730 ns MR4_I 00000230 07d2684a - 2584750 ns IT 00000230 684a LDR r2,[r1,#4] - 2584770 ns MR4_I 00000234 6008d1fc - 2584830 ns MR4_D 40006004 00000001 - 2584830 ns R r2 00000001 - 2584830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2584850 ns R r2 80000000 - 2584850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2584870 ns R psr 81000200 - 2584870 ns MR4_I 00000238 48054770 - 2584890 ns MR4_I 00000230 07d2684a - 2584910 ns IT 00000230 684a LDR r2,[r1,#4] - 2584930 ns MR4_I 00000234 6008d1fc - 2584990 ns MR4_D 40006004 00000001 - 2584990 ns R r2 00000001 - 2584990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2585010 ns R r2 80000000 - 2585010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2585030 ns R psr 81000200 - 2585030 ns MR4_I 00000238 48054770 - 2585050 ns MR4_I 00000230 07d2684a - 2585070 ns IT 00000230 684a LDR r2,[r1,#4] - 2585090 ns MR4_I 00000234 6008d1fc - 2585150 ns MR4_D 40006004 00000001 - 2585150 ns R r2 00000001 - 2585150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2585170 ns R r2 80000000 - 2585170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2585190 ns R psr 81000200 - 2585190 ns MR4_I 00000238 48054770 - 2585210 ns MR4_I 00000230 07d2684a - 2585230 ns IT 00000230 684a LDR r2,[r1,#4] - 2585250 ns MR4_I 00000234 6008d1fc - 2585310 ns MR4_D 40006004 00000001 - 2585310 ns R r2 00000001 - 2585310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2585330 ns R r2 80000000 - 2585330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2585350 ns R psr 81000200 - 2585350 ns MR4_I 00000238 48054770 - 2585370 ns MR4_I 00000230 07d2684a - 2585390 ns IT 00000230 684a LDR r2,[r1,#4] - 2585410 ns MR4_I 00000234 6008d1fc - 2585470 ns MR4_D 40006004 00000001 - 2585470 ns R r2 00000001 - 2585470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2585490 ns R r2 80000000 - 2585490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2585510 ns R psr 81000200 - 2585510 ns MR4_I 00000238 48054770 - 2585530 ns MR4_I 00000230 07d2684a - 2585550 ns IT 00000230 684a LDR r2,[r1,#4] - 2585570 ns MR4_I 00000234 6008d1fc - 2585630 ns MR4_D 40006004 00000001 - 2585630 ns R r2 00000001 - 2585630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2585650 ns R r2 80000000 - 2585650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2585670 ns R psr 81000200 - 2585670 ns MR4_I 00000238 48054770 - 2585690 ns MR4_I 00000230 07d2684a - 2585710 ns IT 00000230 684a LDR r2,[r1,#4] - 2585730 ns MR4_I 00000234 6008d1fc - 2585790 ns MR4_D 40006004 00000001 - 2585790 ns R r2 00000001 - 2585790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2585810 ns R r2 80000000 - 2585810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2585830 ns R psr 81000200 - 2585830 ns MR4_I 00000238 48054770 - 2585850 ns MR4_I 00000230 07d2684a - 2585870 ns IT 00000230 684a LDR r2,[r1,#4] - 2585890 ns MR4_I 00000234 6008d1fc - 2585950 ns MR4_D 40006004 00000001 - 2585950 ns R r2 00000001 - 2585950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2585970 ns R r2 80000000 - 2585970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2585990 ns R psr 81000200 - 2585990 ns MR4_I 00000238 48054770 - 2586010 ns MR4_I 00000230 07d2684a - 2586030 ns IT 00000230 684a LDR r2,[r1,#4] - 2586050 ns MR4_I 00000234 6008d1fc - 2586110 ns MR4_D 40006004 00000001 - 2586110 ns R r2 00000001 - 2586110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2586130 ns R r2 80000000 - 2586130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2586150 ns R psr 81000200 - 2586150 ns MR4_I 00000238 48054770 - 2586170 ns MR4_I 00000230 07d2684a - 2586190 ns IT 00000230 684a LDR r2,[r1,#4] - 2586210 ns MR4_I 00000234 6008d1fc - 2586270 ns MR4_D 40006004 00000001 - 2586270 ns R r2 00000001 - 2586270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2586290 ns R r2 80000000 - 2586290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2586310 ns R psr 81000200 - 2586310 ns MR4_I 00000238 48054770 - 2586330 ns MR4_I 00000230 07d2684a - 2586350 ns IT 00000230 684a LDR r2,[r1,#4] - 2586370 ns MR4_I 00000234 6008d1fc - 2586430 ns MR4_D 40006004 00000001 - 2586430 ns R r2 00000001 - 2586430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2586450 ns R r2 80000000 - 2586450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2586470 ns R psr 81000200 - 2586470 ns MR4_I 00000238 48054770 - 2586490 ns MR4_I 00000230 07d2684a - 2586510 ns IT 00000230 684a LDR r2,[r1,#4] - 2586530 ns MR4_I 00000234 6008d1fc - 2586590 ns MR4_D 40006004 00000001 - 2586590 ns R r2 00000001 - 2586590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2586610 ns R r2 80000000 - 2586610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2586630 ns R psr 81000200 - 2586630 ns MR4_I 00000238 48054770 - 2586650 ns MR4_I 00000230 07d2684a - 2586670 ns IT 00000230 684a LDR r2,[r1,#4] - 2586690 ns MR4_I 00000234 6008d1fc - 2586750 ns MR4_D 40006004 00000001 - 2586750 ns R r2 00000001 - 2586750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2586770 ns R r2 80000000 - 2586770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2586790 ns R psr 81000200 - 2586790 ns MR4_I 00000238 48054770 - 2586810 ns MR4_I 00000230 07d2684a - 2586830 ns IT 00000230 684a LDR r2,[r1,#4] - 2586850 ns MR4_I 00000234 6008d1fc - 2586910 ns MR4_D 40006004 00000001 - 2586910 ns R r2 00000001 - 2586910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2586930 ns R r2 80000000 - 2586930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2586950 ns R psr 81000200 - 2586950 ns MR4_I 00000238 48054770 - 2586970 ns MR4_I 00000230 07d2684a - 2586990 ns IT 00000230 684a LDR r2,[r1,#4] - 2587010 ns MR4_I 00000234 6008d1fc - 2587070 ns MR4_D 40006004 00000001 - 2587070 ns R r2 00000001 - 2587070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2587090 ns R r2 80000000 - 2587090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2587110 ns R psr 81000200 - 2587110 ns MR4_I 00000238 48054770 - 2587130 ns MR4_I 00000230 07d2684a - 2587150 ns IT 00000230 684a LDR r2,[r1,#4] - 2587170 ns MR4_I 00000234 6008d1fc - 2587230 ns MR4_D 40006004 00000001 - 2587230 ns R r2 00000001 - 2587230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2587250 ns R r2 80000000 - 2587250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2587270 ns R psr 81000200 - 2587270 ns MR4_I 00000238 48054770 - 2587290 ns MR4_I 00000230 07d2684a - 2587310 ns IT 00000230 684a LDR r2,[r1,#4] - 2587330 ns MR4_I 00000234 6008d1fc - 2587390 ns MR4_D 40006004 00000001 - 2587390 ns R r2 00000001 - 2587390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2587410 ns R r2 80000000 - 2587410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2587430 ns R psr 81000200 - 2587430 ns MR4_I 00000238 48054770 - 2587450 ns MR4_I 00000230 07d2684a - 2587470 ns IT 00000230 684a LDR r2,[r1,#4] - 2587490 ns MR4_I 00000234 6008d1fc - 2587550 ns MR4_D 40006004 00000001 - 2587550 ns R r2 00000001 - 2587550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2587570 ns R r2 80000000 - 2587570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2587590 ns R psr 81000200 - 2587590 ns MR4_I 00000238 48054770 - 2587610 ns MR4_I 00000230 07d2684a - 2587630 ns IT 00000230 684a LDR r2,[r1,#4] - 2587650 ns MR4_I 00000234 6008d1fc - 2587710 ns MR4_D 40006004 00000001 - 2587710 ns R r2 00000001 - 2587710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2587730 ns R r2 80000000 - 2587730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2587750 ns R psr 81000200 - 2587750 ns MR4_I 00000238 48054770 - 2587770 ns MR4_I 00000230 07d2684a - 2587790 ns IT 00000230 684a LDR r2,[r1,#4] - 2587810 ns MR4_I 00000234 6008d1fc - 2587870 ns MR4_D 40006004 00000001 - 2587870 ns R r2 00000001 - 2587870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2587890 ns R r2 80000000 - 2587890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2587910 ns R psr 81000200 - 2587910 ns MR4_I 00000238 48054770 - 2587930 ns MR4_I 00000230 07d2684a - 2587950 ns IT 00000230 684a LDR r2,[r1,#4] - 2587970 ns MR4_I 00000234 6008d1fc - 2588030 ns MR4_D 40006004 00000001 - 2588030 ns R r2 00000001 - 2588030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2588050 ns R r2 80000000 - 2588050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2588070 ns R psr 81000200 - 2588070 ns MR4_I 00000238 48054770 - 2588090 ns MR4_I 00000230 07d2684a - 2588110 ns IT 00000230 684a LDR r2,[r1,#4] - 2588130 ns MR4_I 00000234 6008d1fc - 2588190 ns MR4_D 40006004 00000001 - 2588190 ns R r2 00000001 - 2588190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2588210 ns R r2 80000000 - 2588210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2588230 ns R psr 81000200 - 2588230 ns MR4_I 00000238 48054770 - 2588250 ns MR4_I 00000230 07d2684a - 2588270 ns IT 00000230 684a LDR r2,[r1,#4] - 2588290 ns MR4_I 00000234 6008d1fc - 2588350 ns MR4_D 40006004 00000001 - 2588350 ns R r2 00000001 - 2588350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2588370 ns R r2 80000000 - 2588370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2588390 ns R psr 81000200 - 2588390 ns MR4_I 00000238 48054770 - 2588410 ns MR4_I 00000230 07d2684a - 2588430 ns IT 00000230 684a LDR r2,[r1,#4] - 2588450 ns MR4_I 00000234 6008d1fc - 2588510 ns MR4_D 40006004 00000001 - 2588510 ns R r2 00000001 - 2588510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2588530 ns R r2 80000000 - 2588530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2588550 ns R psr 81000200 - 2588550 ns MR4_I 00000238 48054770 - 2588570 ns MR4_I 00000230 07d2684a - 2588590 ns IT 00000230 684a LDR r2,[r1,#4] - 2588610 ns MR4_I 00000234 6008d1fc - 2588670 ns MR4_D 40006004 00000001 - 2588670 ns R r2 00000001 - 2588670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2588690 ns R r2 80000000 - 2588690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2588710 ns R psr 81000200 - 2588710 ns MR4_I 00000238 48054770 - 2588730 ns MR4_I 00000230 07d2684a - 2588750 ns IT 00000230 684a LDR r2,[r1,#4] - 2588770 ns MR4_I 00000234 6008d1fc - 2588830 ns MR4_D 40006004 00000001 - 2588830 ns R r2 00000001 - 2588830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2588850 ns R r2 80000000 - 2588850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2588870 ns R psr 81000200 - 2588870 ns MR4_I 00000238 48054770 - 2588890 ns MR4_I 00000230 07d2684a - 2588910 ns IT 00000230 684a LDR r2,[r1,#4] - 2588930 ns MR4_I 00000234 6008d1fc - 2588990 ns MR4_D 40006004 00000001 - 2588990 ns R r2 00000001 - 2588990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2589010 ns R r2 80000000 - 2589010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2589030 ns R psr 81000200 - 2589030 ns MR4_I 00000238 48054770 - 2589050 ns MR4_I 00000230 07d2684a - 2589070 ns IT 00000230 684a LDR r2,[r1,#4] - 2589090 ns MR4_I 00000234 6008d1fc - 2589150 ns MR4_D 40006004 00000001 - 2589150 ns R r2 00000001 - 2589150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2589170 ns R r2 80000000 - 2589170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2589190 ns R psr 81000200 - 2589190 ns MR4_I 00000238 48054770 - 2589210 ns MR4_I 00000230 07d2684a - 2589230 ns IT 00000230 684a LDR r2,[r1,#4] - 2589250 ns MR4_I 00000234 6008d1fc - 2589310 ns MR4_D 40006004 00000001 - 2589310 ns R r2 00000001 - 2589310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2589330 ns R r2 80000000 - 2589330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2589350 ns R psr 81000200 - 2589350 ns MR4_I 00000238 48054770 - 2589370 ns MR4_I 00000230 07d2684a - 2589390 ns IT 00000230 684a LDR r2,[r1,#4] - 2589410 ns MR4_I 00000234 6008d1fc - 2589470 ns MR4_D 40006004 00000001 - 2589470 ns R r2 00000001 - 2589470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2589490 ns R r2 80000000 - 2589490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2589510 ns R psr 81000200 - 2589510 ns MR4_I 00000238 48054770 - 2589530 ns MR4_I 00000230 07d2684a - 2589550 ns IT 00000230 684a LDR r2,[r1,#4] - 2589570 ns MR4_I 00000234 6008d1fc - 2589630 ns MR4_D 40006004 00000001 - 2589630 ns R r2 00000001 - 2589630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2589650 ns R r2 80000000 - 2589650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2589670 ns R psr 81000200 - 2589670 ns MR4_I 00000238 48054770 - 2589690 ns MR4_I 00000230 07d2684a - 2589710 ns IT 00000230 684a LDR r2,[r1,#4] - 2589730 ns MR4_I 00000234 6008d1fc - 2589790 ns MR4_D 40006004 00000001 - 2589790 ns R r2 00000001 - 2589790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2589810 ns R r2 80000000 - 2589810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2589830 ns R psr 81000200 - 2589830 ns MR4_I 00000238 48054770 - 2589850 ns MR4_I 00000230 07d2684a - 2589870 ns IT 00000230 684a LDR r2,[r1,#4] - 2589890 ns MR4_I 00000234 6008d1fc - 2589950 ns MR4_D 40006004 00000001 - 2589950 ns R r2 00000001 - 2589950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2589970 ns R r2 80000000 - 2589970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2589990 ns R psr 81000200 - 2589990 ns MR4_I 00000238 48054770 - 2590010 ns MR4_I 00000230 07d2684a - 2590030 ns IT 00000230 684a LDR r2,[r1,#4] - 2590050 ns MR4_I 00000234 6008d1fc - 2590110 ns MR4_D 40006004 00000001 - 2590110 ns R r2 00000001 - 2590110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2590130 ns R r2 80000000 - 2590130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2590150 ns R psr 81000200 - 2590150 ns MR4_I 00000238 48054770 - 2590170 ns MR4_I 00000230 07d2684a - 2590190 ns IT 00000230 684a LDR r2,[r1,#4] - 2590210 ns MR4_I 00000234 6008d1fc - 2590270 ns MR4_D 40006004 00000001 - 2590270 ns R r2 00000001 - 2590270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2590290 ns R r2 80000000 - 2590290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2590310 ns R psr 81000200 - 2590310 ns MR4_I 00000238 48054770 - 2590330 ns MR4_I 00000230 07d2684a - 2590350 ns IT 00000230 684a LDR r2,[r1,#4] - 2590370 ns MR4_I 00000234 6008d1fc - 2590430 ns MR4_D 40006004 00000001 - 2590430 ns R r2 00000001 - 2590430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2590450 ns R r2 80000000 - 2590450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2590470 ns R psr 81000200 - 2590470 ns MR4_I 00000238 48054770 - 2590490 ns MR4_I 00000230 07d2684a - 2590510 ns IT 00000230 684a LDR r2,[r1,#4] - 2590530 ns MR4_I 00000234 6008d1fc - 2590590 ns MR4_D 40006004 00000001 - 2590590 ns R r2 00000001 - 2590590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2590610 ns R r2 80000000 - 2590610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2590630 ns R psr 81000200 - 2590630 ns MR4_I 00000238 48054770 - 2590650 ns MR4_I 00000230 07d2684a - 2590670 ns IT 00000230 684a LDR r2,[r1,#4] - 2590690 ns MR4_I 00000234 6008d1fc - 2590750 ns MR4_D 40006004 00000001 - 2590750 ns R r2 00000001 - 2590750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2590770 ns R r2 80000000 - 2590770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2590790 ns R psr 81000200 - 2590790 ns MR4_I 00000238 48054770 - 2590810 ns MR4_I 00000230 07d2684a - 2590830 ns IT 00000230 684a LDR r2,[r1,#4] - 2590850 ns MR4_I 00000234 6008d1fc - 2590910 ns MR4_D 40006004 00000001 - 2590910 ns R r2 00000001 - 2590910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2590930 ns R r2 80000000 - 2590930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2590950 ns R psr 81000200 - 2590950 ns MR4_I 00000238 48054770 - 2590970 ns MR4_I 00000230 07d2684a - 2590990 ns IT 00000230 684a LDR r2,[r1,#4] - 2591010 ns MR4_I 00000234 6008d1fc - 2591070 ns MR4_D 40006004 00000001 - 2591070 ns R r2 00000001 - 2591070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2591090 ns R r2 80000000 - 2591090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2591110 ns R psr 81000200 - 2591110 ns MR4_I 00000238 48054770 - 2591130 ns MR4_I 00000230 07d2684a - 2591150 ns IT 00000230 684a LDR r2,[r1,#4] - 2591170 ns MR4_I 00000234 6008d1fc - 2591230 ns MR4_D 40006004 00000001 - 2591230 ns R r2 00000001 - 2591230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2591250 ns R r2 80000000 - 2591250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2591270 ns R psr 81000200 - 2591270 ns MR4_I 00000238 48054770 - 2591290 ns MR4_I 00000230 07d2684a - 2591310 ns IT 00000230 684a LDR r2,[r1,#4] - 2591330 ns MR4_I 00000234 6008d1fc - 2591390 ns MR4_D 40006004 00000001 - 2591390 ns R r2 00000001 - 2591390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2591410 ns R r2 80000000 - 2591410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2591430 ns R psr 81000200 - 2591430 ns MR4_I 00000238 48054770 - 2591450 ns MR4_I 00000230 07d2684a - 2591470 ns IT 00000230 684a LDR r2,[r1,#4] - 2591490 ns MR4_I 00000234 6008d1fc - 2591550 ns MR4_D 40006004 00000001 - 2591550 ns R r2 00000001 - 2591550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2591570 ns R r2 80000000 - 2591570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2591590 ns R psr 81000200 - 2591590 ns MR4_I 00000238 48054770 - 2591610 ns MR4_I 00000230 07d2684a - 2591630 ns IT 00000230 684a LDR r2,[r1,#4] - 2591650 ns MR4_I 00000234 6008d1fc - 2591710 ns MR4_D 40006004 00000001 - 2591710 ns R r2 00000001 - 2591710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2591730 ns R r2 80000000 - 2591730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2591750 ns R psr 81000200 - 2591750 ns MR4_I 00000238 48054770 - 2591770 ns MR4_I 00000230 07d2684a - 2591790 ns IT 00000230 684a LDR r2,[r1,#4] - 2591810 ns MR4_I 00000234 6008d1fc - 2591870 ns MR4_D 40006004 00000001 - 2591870 ns R r2 00000001 - 2591870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2591890 ns R r2 80000000 - 2591890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2591910 ns R psr 81000200 - 2591910 ns MR4_I 00000238 48054770 - 2591930 ns MR4_I 00000230 07d2684a - 2591950 ns IT 00000230 684a LDR r2,[r1,#4] - 2591970 ns MR4_I 00000234 6008d1fc - 2592030 ns MR4_D 40006004 00000001 - 2592030 ns R r2 00000001 - 2592030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2592050 ns R r2 80000000 - 2592050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2592070 ns R psr 81000200 - 2592070 ns MR4_I 00000238 48054770 - 2592090 ns MR4_I 00000230 07d2684a - 2592110 ns IT 00000230 684a LDR r2,[r1,#4] - 2592130 ns MR4_I 00000234 6008d1fc - 2592190 ns MR4_D 40006004 00000001 - 2592190 ns R r2 00000001 - 2592190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2592210 ns R r2 80000000 - 2592210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2592230 ns R psr 81000200 - 2592230 ns MR4_I 00000238 48054770 - 2592250 ns MR4_I 00000230 07d2684a - 2592270 ns IT 00000230 684a LDR r2,[r1,#4] - 2592290 ns MR4_I 00000234 6008d1fc - 2592350 ns MR4_D 40006004 00000001 - 2592350 ns R r2 00000001 - 2592350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2592370 ns R r2 80000000 - 2592370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2592390 ns R psr 81000200 - 2592390 ns MR4_I 00000238 48054770 - 2592410 ns MR4_I 00000230 07d2684a - 2592430 ns IT 00000230 684a LDR r2,[r1,#4] - 2592450 ns MR4_I 00000234 6008d1fc - 2592510 ns MR4_D 40006004 00000001 - 2592510 ns R r2 00000001 - 2592510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2592530 ns R r2 80000000 - 2592530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2592550 ns R psr 81000200 - 2592550 ns MR4_I 00000238 48054770 - 2592570 ns MR4_I 00000230 07d2684a - 2592590 ns IT 00000230 684a LDR r2,[r1,#4] - 2592610 ns MR4_I 00000234 6008d1fc - 2592670 ns MR4_D 40006004 00000001 - 2592670 ns R r2 00000001 - 2592670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2592690 ns R r2 80000000 - 2592690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2592710 ns R psr 81000200 - 2592710 ns MR4_I 00000238 48054770 - 2592730 ns MR4_I 00000230 07d2684a - 2592750 ns IT 00000230 684a LDR r2,[r1,#4] - 2592770 ns MR4_I 00000234 6008d1fc - 2592830 ns MR4_D 40006004 00000001 - 2592830 ns R r2 00000001 - 2592830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2592850 ns R r2 80000000 - 2592850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2592870 ns R psr 81000200 - 2592870 ns MR4_I 00000238 48054770 - 2592890 ns MR4_I 00000230 07d2684a - 2592910 ns IT 00000230 684a LDR r2,[r1,#4] - 2592930 ns MR4_I 00000234 6008d1fc - 2592990 ns MR4_D 40006004 00000001 - 2592990 ns R r2 00000001 - 2592990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2593010 ns R r2 80000000 - 2593010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2593030 ns R psr 81000200 - 2593030 ns MR4_I 00000238 48054770 - 2593050 ns MR4_I 00000230 07d2684a - 2593070 ns IT 00000230 684a LDR r2,[r1,#4] - 2593090 ns MR4_I 00000234 6008d1fc - 2593150 ns MR4_D 40006004 00000001 - 2593150 ns R r2 00000001 - 2593150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2593170 ns R r2 80000000 - 2593170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2593190 ns R psr 81000200 - 2593190 ns MR4_I 00000238 48054770 - 2593210 ns MR4_I 00000230 07d2684a - 2593230 ns IT 00000230 684a LDR r2,[r1,#4] - 2593250 ns MR4_I 00000234 6008d1fc - 2593310 ns MR4_D 40006004 00000001 - 2593310 ns R r2 00000001 - 2593310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2593330 ns R r2 80000000 - 2593330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2593350 ns R psr 81000200 - 2593350 ns MR4_I 00000238 48054770 - 2593370 ns MR4_I 00000230 07d2684a - 2593390 ns IT 00000230 684a LDR r2,[r1,#4] - 2593410 ns MR4_I 00000234 6008d1fc - 2593470 ns MR4_D 40006004 00000001 - 2593470 ns R r2 00000001 - 2593470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2593490 ns R r2 80000000 - 2593490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2593510 ns R psr 81000200 - 2593510 ns MR4_I 00000238 48054770 - 2593530 ns MR4_I 00000230 07d2684a - 2593550 ns IT 00000230 684a LDR r2,[r1,#4] - 2593570 ns MR4_I 00000234 6008d1fc - 2593630 ns MR4_D 40006004 00000001 - 2593630 ns R r2 00000001 - 2593630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2593650 ns R r2 80000000 - 2593650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2593670 ns R psr 81000200 - 2593670 ns MR4_I 00000238 48054770 - 2593690 ns MR4_I 00000230 07d2684a - 2593710 ns IT 00000230 684a LDR r2,[r1,#4] - 2593730 ns MR4_I 00000234 6008d1fc - 2593790 ns MR4_D 40006004 00000001 - 2593790 ns R r2 00000001 - 2593790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2593810 ns R r2 80000000 - 2593810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2593830 ns R psr 81000200 - 2593830 ns MR4_I 00000238 48054770 - 2593850 ns MR4_I 00000230 07d2684a - 2593870 ns IT 00000230 684a LDR r2,[r1,#4] - 2593890 ns MR4_I 00000234 6008d1fc - 2593950 ns MR4_D 40006004 00000001 - 2593950 ns R r2 00000001 - 2593950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2593970 ns R r2 80000000 - 2593970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2593990 ns R psr 81000200 - 2593990 ns MR4_I 00000238 48054770 - 2594010 ns MR4_I 00000230 07d2684a - 2594030 ns IT 00000230 684a LDR r2,[r1,#4] - 2594050 ns MR4_I 00000234 6008d1fc - 2594110 ns MR4_D 40006004 00000001 - 2594110 ns R r2 00000001 - 2594110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2594130 ns R r2 80000000 - 2594130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2594150 ns R psr 81000200 - 2594150 ns MR4_I 00000238 48054770 - 2594170 ns MR4_I 00000230 07d2684a - 2594190 ns IT 00000230 684a LDR r2,[r1,#4] - 2594210 ns MR4_I 00000234 6008d1fc - 2594270 ns MR4_D 40006004 00000001 - 2594270 ns R r2 00000001 - 2594270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2594290 ns R r2 80000000 - 2594290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2594310 ns R psr 81000200 - 2594310 ns MR4_I 00000238 48054770 - 2594330 ns MR4_I 00000230 07d2684a - 2594350 ns IT 00000230 684a LDR r2,[r1,#4] - 2594370 ns MR4_I 00000234 6008d1fc - 2594430 ns MR4_D 40006004 00000001 - 2594430 ns R r2 00000001 - 2594430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2594450 ns R r2 80000000 - 2594450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2594470 ns R psr 81000200 - 2594470 ns MR4_I 00000238 48054770 - 2594490 ns MR4_I 00000230 07d2684a - 2594510 ns IT 00000230 684a LDR r2,[r1,#4] - 2594530 ns MR4_I 00000234 6008d1fc - 2594590 ns MR4_D 40006004 00000001 - 2594590 ns R r2 00000001 - 2594590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2594610 ns R r2 80000000 - 2594610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2594630 ns R psr 81000200 - 2594630 ns MR4_I 00000238 48054770 - 2594650 ns MR4_I 00000230 07d2684a - 2594670 ns IT 00000230 684a LDR r2,[r1,#4] - 2594690 ns MR4_I 00000234 6008d1fc - 2594750 ns MR4_D 40006004 00000001 - 2594750 ns R r2 00000001 - 2594750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2594770 ns R r2 80000000 - 2594770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2594790 ns R psr 81000200 - 2594790 ns MR4_I 00000238 48054770 - 2594810 ns MR4_I 00000230 07d2684a - 2594830 ns IT 00000230 684a LDR r2,[r1,#4] - 2594850 ns MR4_I 00000234 6008d1fc - 2594910 ns MR4_D 40006004 00000001 - 2594910 ns R r2 00000001 - 2594910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2594930 ns R r2 80000000 - 2594930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2594950 ns R psr 81000200 - 2594950 ns MR4_I 00000238 48054770 - 2594970 ns MR4_I 00000230 07d2684a - 2594990 ns IT 00000230 684a LDR r2,[r1,#4] - 2595010 ns MR4_I 00000234 6008d1fc - 2595070 ns MR4_D 40006004 00000001 - 2595070 ns R r2 00000001 - 2595070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2595090 ns R r2 80000000 - 2595090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2595110 ns R psr 81000200 - 2595110 ns MR4_I 00000238 48054770 - 2595130 ns MR4_I 00000230 07d2684a - 2595150 ns IT 00000230 684a LDR r2,[r1,#4] - 2595170 ns MR4_I 00000234 6008d1fc - 2595230 ns MR4_D 40006004 00000001 - 2595230 ns R r2 00000001 - 2595230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2595250 ns R r2 80000000 - 2595250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2595270 ns R psr 81000200 - 2595270 ns MR4_I 00000238 48054770 - 2595290 ns MR4_I 00000230 07d2684a - 2595310 ns IT 00000230 684a LDR r2,[r1,#4] - 2595330 ns MR4_I 00000234 6008d1fc - 2595390 ns MR4_D 40006004 00000001 - 2595390 ns R r2 00000001 - 2595390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2595410 ns R r2 80000000 - 2595410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2595430 ns R psr 81000200 - 2595430 ns MR4_I 00000238 48054770 - 2595450 ns MR4_I 00000230 07d2684a - 2595470 ns IT 00000230 684a LDR r2,[r1,#4] - 2595490 ns MR4_I 00000234 6008d1fc - 2595550 ns MR4_D 40006004 00000001 - 2595550 ns R r2 00000001 - 2595550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2595570 ns R r2 80000000 - 2595570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2595590 ns R psr 81000200 - 2595590 ns MR4_I 00000238 48054770 - 2595610 ns MR4_I 00000230 07d2684a - 2595630 ns IT 00000230 684a LDR r2,[r1,#4] - 2595650 ns MR4_I 00000234 6008d1fc - 2595710 ns MR4_D 40006004 00000001 - 2595710 ns R r2 00000001 - 2595710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2595730 ns R r2 80000000 - 2595730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2595750 ns R psr 81000200 - 2595750 ns MR4_I 00000238 48054770 - 2595770 ns MR4_I 00000230 07d2684a - 2595790 ns IT 00000230 684a LDR r2,[r1,#4] - 2595810 ns MR4_I 00000234 6008d1fc - 2595870 ns MR4_D 40006004 00000001 - 2595870 ns R r2 00000001 - 2595870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2595890 ns R r2 80000000 - 2595890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2595910 ns R psr 81000200 - 2595910 ns MR4_I 00000238 48054770 - 2595930 ns MR4_I 00000230 07d2684a - 2595950 ns IT 00000230 684a LDR r2,[r1,#4] - 2595970 ns MR4_I 00000234 6008d1fc - 2596030 ns MR4_D 40006004 00000000 - 2596030 ns R r2 00000000 - 2596030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2596050 ns R r2 00000000 - 2596050 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2596070 ns R psr 41000200 - 2596070 ns MR4_I 00000238 48054770 - 2596070 ns IT 00000236 6008 STR r0,[r1,#0] - 2596150 ns MW4_D 40006000 00000054 - 2596150 ns IT 00000238 4770 BX lr - 2596170 ns MR4_I 0000023c 07896841 - 2596190 ns R psr 41000200 - 2596190 ns MR4_I 000001fc b510bd10 - 2596210 ns IT 000001fc bd10 POP {r4,pc} - 2596230 ns MR4_I 00000200 f81bf000 - 2596230 ns R r13 200002e0 (MSP) - 2596250 ns MR4_D 200002d8 00000003 - 2596250 ns R r4 00000003 - 2596270 ns MR4_D 200002dc 0000032d - 2596290 ns R psr 41000200 - 2596310 ns MR4_I 0000032c 46301c64 - 2596330 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 2596350 ns MR4_I 00000330 280047a8 - 2596350 ns R r4 00000004 - 2596350 ns IT 0000032e 4630 MOV r0,r6 - 2596370 ns R psr 01000200 - 2596370 ns R r0 200002f8 - 2596370 ns IT 00000330 47a8 BLX r5 - 2596390 ns MR4_I 00000334 4620d1f8 - 2596410 ns R psr 01000200 - 2596410 ns MR4_I 000002a8 1c4a6901 - 2596410 ns R r14 00000333 - 2596430 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2596450 ns MR4_I 000002ac 78086102 - 2596470 ns MR4_D 20000308 00000194 - 2596470 ns R r1 00000194 - 2596470 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2596490 ns R r2 00000195 - 2596490 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2596510 ns R psr 01000200 - 2596510 ns MR4_I 000002b0 b5004770 - 2596530 ns MW4_D 20000308 00000195 - 2596530 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2596570 ns MR1_D 00000194 20545345 - 2596570 ns R r0 00000045 - 2596570 ns IT 000002b0 4770 BX lr - 2596590 ns MR4_I 000002b4 9102b08f - 2596610 ns R psr 01000200 - 2596610 ns MR4_I 00000330 280047a8 - 2596630 ns MR4_I 00000334 4620d1f8 - 2596630 ns IT 00000332 2800 CMP r0,#0 - 2596650 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2596670 ns R psr 21000200 - 2596670 ns MR4_I 00000338 b510bdf8 - 2596690 ns MR4_I 00000328 47b89900 - 2596710 ns IT 00000328 9900 LDR r1,[sp,#0] - 2596730 ns MR4_I 0000032c 46301c64 - 2596750 ns MR4_D 200002e0 20000004 - 2596750 ns R r1 20000004 - 2596750 ns IT 0000032a 47b8 BLX r7 - 2596790 ns R psr 21000200 - 2596790 ns MR4_I 000001f4 b2c0b510 - 2596790 ns R r14 0000032d - 2596810 ns IT 000001f4 b510 PUSH {r4,lr} - 2596830 ns MR4_I 000001f8 f819f000 - 2596850 ns MW4_D 200002d8 00000004 - 2596870 ns MW4_D 200002dc 0000032d - 2596870 ns R r13 200002d8 (MSP) - 2596870 ns IT 000001f6 b2c0 UXTB r0,r0 - 2596890 ns R r0 00000045 - 2596890 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 2596910 ns MR4_I 000001fc b510bd10 - 2596930 ns R r14 000001fd - 2596950 ns MR4_I 0000022c 49084770 - 2596970 ns MR4_I 00000230 07d2684a - 2596970 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 2597010 ns MR4_D 00000250 40006000 - 2597010 ns R r1 40006000 - 2597010 ns IT 00000230 684a LDR r2,[r1,#4] - 2597030 ns MR4_I 00000234 6008d1fc - 2597090 ns MR4_D 40006004 00000001 - 2597090 ns R r2 00000001 - 2597090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2597110 ns R r2 80000000 - 2597110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2597130 ns R psr 81000200 - 2597130 ns MR4_I 00000238 48054770 - 2597150 ns MR4_I 00000230 07d2684a - 2597170 ns IT 00000230 684a LDR r2,[r1,#4] - 2597190 ns MR4_I 00000234 6008d1fc - 2597250 ns MR4_D 40006004 00000001 - 2597250 ns R r2 00000001 - 2597250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2597270 ns R r2 80000000 - 2597270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2597290 ns R psr 81000200 - 2597290 ns MR4_I 00000238 48054770 - 2597310 ns MR4_I 00000230 07d2684a - 2597330 ns IT 00000230 684a LDR r2,[r1,#4] - 2597350 ns MR4_I 00000234 6008d1fc - 2597410 ns MR4_D 40006004 00000001 - 2597410 ns R r2 00000001 - 2597410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2597430 ns R r2 80000000 - 2597430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2597450 ns R psr 81000200 - 2597450 ns MR4_I 00000238 48054770 - 2597470 ns MR4_I 00000230 07d2684a - 2597490 ns IT 00000230 684a LDR r2,[r1,#4] - 2597510 ns MR4_I 00000234 6008d1fc - 2597570 ns MR4_D 40006004 00000001 - 2597570 ns R r2 00000001 - 2597570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2597590 ns R r2 80000000 - 2597590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2597610 ns R psr 81000200 - 2597610 ns MR4_I 00000238 48054770 - 2597630 ns MR4_I 00000230 07d2684a - 2597650 ns IT 00000230 684a LDR r2,[r1,#4] - 2597670 ns MR4_I 00000234 6008d1fc - 2597730 ns MR4_D 40006004 00000001 - 2597730 ns R r2 00000001 - 2597730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2597750 ns R r2 80000000 - 2597750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2597770 ns R psr 81000200 - 2597770 ns MR4_I 00000238 48054770 - 2597790 ns MR4_I 00000230 07d2684a - 2597810 ns IT 00000230 684a LDR r2,[r1,#4] - 2597830 ns MR4_I 00000234 6008d1fc - 2597890 ns MR4_D 40006004 00000001 - 2597890 ns R r2 00000001 - 2597890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2597910 ns R r2 80000000 - 2597910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2597930 ns R psr 81000200 - 2597930 ns MR4_I 00000238 48054770 - 2597950 ns MR4_I 00000230 07d2684a - 2597970 ns IT 00000230 684a LDR r2,[r1,#4] - 2597990 ns MR4_I 00000234 6008d1fc - 2598050 ns MR4_D 40006004 00000001 - 2598050 ns R r2 00000001 - 2598050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2598070 ns R r2 80000000 - 2598070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2598090 ns R psr 81000200 - 2598090 ns MR4_I 00000238 48054770 - 2598110 ns MR4_I 00000230 07d2684a - 2598130 ns IT 00000230 684a LDR r2,[r1,#4] - 2598150 ns MR4_I 00000234 6008d1fc - 2598210 ns MR4_D 40006004 00000001 - 2598210 ns R r2 00000001 - 2598210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2598230 ns R r2 80000000 - 2598230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2598250 ns R psr 81000200 - 2598250 ns MR4_I 00000238 48054770 - 2598270 ns MR4_I 00000230 07d2684a - 2598290 ns IT 00000230 684a LDR r2,[r1,#4] - 2598310 ns MR4_I 00000234 6008d1fc - 2598370 ns MR4_D 40006004 00000001 - 2598370 ns R r2 00000001 - 2598370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2598390 ns R r2 80000000 - 2598390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2598410 ns R psr 81000200 - 2598410 ns MR4_I 00000238 48054770 - 2598430 ns MR4_I 00000230 07d2684a - 2598450 ns IT 00000230 684a LDR r2,[r1,#4] - 2598470 ns MR4_I 00000234 6008d1fc - 2598530 ns MR4_D 40006004 00000001 - 2598530 ns R r2 00000001 - 2598530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2598550 ns R r2 80000000 - 2598550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2598570 ns R psr 81000200 - 2598570 ns MR4_I 00000238 48054770 - 2598590 ns MR4_I 00000230 07d2684a - 2598610 ns IT 00000230 684a LDR r2,[r1,#4] - 2598630 ns MR4_I 00000234 6008d1fc - 2598690 ns MR4_D 40006004 00000001 - 2598690 ns R r2 00000001 - 2598690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2598710 ns R r2 80000000 - 2598710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2598730 ns R psr 81000200 - 2598730 ns MR4_I 00000238 48054770 - 2598750 ns MR4_I 00000230 07d2684a - 2598770 ns IT 00000230 684a LDR r2,[r1,#4] - 2598790 ns MR4_I 00000234 6008d1fc - 2598850 ns MR4_D 40006004 00000001 - 2598850 ns R r2 00000001 - 2598850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2598870 ns R r2 80000000 - 2598870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2598890 ns R psr 81000200 - 2598890 ns MR4_I 00000238 48054770 - 2598910 ns MR4_I 00000230 07d2684a - 2598930 ns IT 00000230 684a LDR r2,[r1,#4] - 2598950 ns MR4_I 00000234 6008d1fc - 2599010 ns MR4_D 40006004 00000001 - 2599010 ns R r2 00000001 - 2599010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2599030 ns R r2 80000000 - 2599030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2599050 ns R psr 81000200 - 2599050 ns MR4_I 00000238 48054770 - 2599070 ns MR4_I 00000230 07d2684a - 2599090 ns IT 00000230 684a LDR r2,[r1,#4] - 2599110 ns MR4_I 00000234 6008d1fc - 2599170 ns MR4_D 40006004 00000001 - 2599170 ns R r2 00000001 - 2599170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2599190 ns R r2 80000000 - 2599190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2599210 ns R psr 81000200 - 2599210 ns MR4_I 00000238 48054770 - 2599230 ns MR4_I 00000230 07d2684a - 2599250 ns IT 00000230 684a LDR r2,[r1,#4] - 2599270 ns MR4_I 00000234 6008d1fc - 2599330 ns MR4_D 40006004 00000001 - 2599330 ns R r2 00000001 - 2599330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2599350 ns R r2 80000000 - 2599350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2599370 ns R psr 81000200 - 2599370 ns MR4_I 00000238 48054770 - 2599390 ns MR4_I 00000230 07d2684a - 2599410 ns IT 00000230 684a LDR r2,[r1,#4] - 2599430 ns MR4_I 00000234 6008d1fc - 2599490 ns MR4_D 40006004 00000001 - 2599490 ns R r2 00000001 - 2599490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2599510 ns R r2 80000000 - 2599510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2599530 ns R psr 81000200 - 2599530 ns MR4_I 00000238 48054770 - 2599550 ns MR4_I 00000230 07d2684a - 2599570 ns IT 00000230 684a LDR r2,[r1,#4] - 2599590 ns MR4_I 00000234 6008d1fc - 2599650 ns MR4_D 40006004 00000001 - 2599650 ns R r2 00000001 - 2599650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2599670 ns R r2 80000000 - 2599670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2599690 ns R psr 81000200 - 2599690 ns MR4_I 00000238 48054770 - 2599710 ns MR4_I 00000230 07d2684a - 2599730 ns IT 00000230 684a LDR r2,[r1,#4] - 2599750 ns MR4_I 00000234 6008d1fc - 2599810 ns MR4_D 40006004 00000001 - 2599810 ns R r2 00000001 - 2599810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2599830 ns R r2 80000000 - 2599830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2599850 ns R psr 81000200 - 2599850 ns MR4_I 00000238 48054770 - 2599870 ns MR4_I 00000230 07d2684a - 2599890 ns IT 00000230 684a LDR r2,[r1,#4] - 2599910 ns MR4_I 00000234 6008d1fc - 2599970 ns MR4_D 40006004 00000001 - 2599970 ns R r2 00000001 - 2599970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2599990 ns R r2 80000000 - 2599990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2600010 ns R psr 81000200 - 2600010 ns MR4_I 00000238 48054770 - 2600030 ns MR4_I 00000230 07d2684a - 2600050 ns IT 00000230 684a LDR r2,[r1,#4] - 2600070 ns MR4_I 00000234 6008d1fc - 2600130 ns MR4_D 40006004 00000001 - 2600130 ns R r2 00000001 - 2600130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2600150 ns R r2 80000000 - 2600150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2600170 ns R psr 81000200 - 2600170 ns MR4_I 00000238 48054770 - 2600190 ns MR4_I 00000230 07d2684a - 2600210 ns IT 00000230 684a LDR r2,[r1,#4] - 2600230 ns MR4_I 00000234 6008d1fc - 2600290 ns MR4_D 40006004 00000001 - 2600290 ns R r2 00000001 - 2600290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2600310 ns R r2 80000000 - 2600310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2600330 ns R psr 81000200 - 2600330 ns MR4_I 00000238 48054770 - 2600350 ns MR4_I 00000230 07d2684a - 2600370 ns IT 00000230 684a LDR r2,[r1,#4] - 2600390 ns MR4_I 00000234 6008d1fc - 2600450 ns MR4_D 40006004 00000001 - 2600450 ns R r2 00000001 - 2600450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2600470 ns R r2 80000000 - 2600470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2600490 ns R psr 81000200 - 2600490 ns MR4_I 00000238 48054770 - 2600510 ns MR4_I 00000230 07d2684a - 2600530 ns IT 00000230 684a LDR r2,[r1,#4] - 2600550 ns MR4_I 00000234 6008d1fc - 2600610 ns MR4_D 40006004 00000001 - 2600610 ns R r2 00000001 - 2600610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2600630 ns R r2 80000000 - 2600630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2600650 ns R psr 81000200 - 2600650 ns MR4_I 00000238 48054770 - 2600670 ns MR4_I 00000230 07d2684a - 2600690 ns IT 00000230 684a LDR r2,[r1,#4] - 2600710 ns MR4_I 00000234 6008d1fc - 2600770 ns MR4_D 40006004 00000001 - 2600770 ns R r2 00000001 - 2600770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2600790 ns R r2 80000000 - 2600790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2600810 ns R psr 81000200 - 2600810 ns MR4_I 00000238 48054770 - 2600830 ns MR4_I 00000230 07d2684a - 2600850 ns IT 00000230 684a LDR r2,[r1,#4] - 2600870 ns MR4_I 00000234 6008d1fc - 2600930 ns MR4_D 40006004 00000001 - 2600930 ns R r2 00000001 - 2600930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2600950 ns R r2 80000000 - 2600950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2600970 ns R psr 81000200 - 2600970 ns MR4_I 00000238 48054770 - 2600990 ns MR4_I 00000230 07d2684a - 2601010 ns IT 00000230 684a LDR r2,[r1,#4] - 2601030 ns MR4_I 00000234 6008d1fc - 2601090 ns MR4_D 40006004 00000001 - 2601090 ns R r2 00000001 - 2601090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2601110 ns R r2 80000000 - 2601110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2601130 ns R psr 81000200 - 2601130 ns MR4_I 00000238 48054770 - 2601150 ns MR4_I 00000230 07d2684a - 2601170 ns IT 00000230 684a LDR r2,[r1,#4] - 2601190 ns MR4_I 00000234 6008d1fc - 2601250 ns MR4_D 40006004 00000001 - 2601250 ns R r2 00000001 - 2601250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2601270 ns R r2 80000000 - 2601270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2601290 ns R psr 81000200 - 2601290 ns MR4_I 00000238 48054770 - 2601310 ns MR4_I 00000230 07d2684a - 2601330 ns IT 00000230 684a LDR r2,[r1,#4] - 2601350 ns MR4_I 00000234 6008d1fc - 2601410 ns MR4_D 40006004 00000001 - 2601410 ns R r2 00000001 - 2601410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2601430 ns R r2 80000000 - 2601430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2601450 ns R psr 81000200 - 2601450 ns MR4_I 00000238 48054770 - 2601470 ns MR4_I 00000230 07d2684a - 2601490 ns IT 00000230 684a LDR r2,[r1,#4] - 2601510 ns MR4_I 00000234 6008d1fc - 2601570 ns MR4_D 40006004 00000001 - 2601570 ns R r2 00000001 - 2601570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2601590 ns R r2 80000000 - 2601590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2601610 ns R psr 81000200 - 2601610 ns MR4_I 00000238 48054770 - 2601630 ns MR4_I 00000230 07d2684a - 2601650 ns IT 00000230 684a LDR r2,[r1,#4] - 2601670 ns MR4_I 00000234 6008d1fc - 2601730 ns MR4_D 40006004 00000001 - 2601730 ns R r2 00000001 - 2601730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2601750 ns R r2 80000000 - 2601750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2601770 ns R psr 81000200 - 2601770 ns MR4_I 00000238 48054770 - 2601790 ns MR4_I 00000230 07d2684a - 2601810 ns IT 00000230 684a LDR r2,[r1,#4] - 2601830 ns MR4_I 00000234 6008d1fc - 2601890 ns MR4_D 40006004 00000001 - 2601890 ns R r2 00000001 - 2601890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2601910 ns R r2 80000000 - 2601910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2601930 ns R psr 81000200 - 2601930 ns MR4_I 00000238 48054770 - 2601950 ns MR4_I 00000230 07d2684a - 2601970 ns IT 00000230 684a LDR r2,[r1,#4] - 2601990 ns MR4_I 00000234 6008d1fc - 2602050 ns MR4_D 40006004 00000001 - 2602050 ns R r2 00000001 - 2602050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2602070 ns R r2 80000000 - 2602070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2602090 ns R psr 81000200 - 2602090 ns MR4_I 00000238 48054770 - 2602110 ns MR4_I 00000230 07d2684a - 2602130 ns IT 00000230 684a LDR r2,[r1,#4] - 2602150 ns MR4_I 00000234 6008d1fc - 2602210 ns MR4_D 40006004 00000001 - 2602210 ns R r2 00000001 - 2602210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2602230 ns R r2 80000000 - 2602230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2602250 ns R psr 81000200 - 2602250 ns MR4_I 00000238 48054770 - 2602270 ns MR4_I 00000230 07d2684a - 2602290 ns IT 00000230 684a LDR r2,[r1,#4] - 2602310 ns MR4_I 00000234 6008d1fc - 2602370 ns MR4_D 40006004 00000001 - 2602370 ns R r2 00000001 - 2602370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2602390 ns R r2 80000000 - 2602390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2602410 ns R psr 81000200 - 2602410 ns MR4_I 00000238 48054770 - 2602430 ns MR4_I 00000230 07d2684a - 2602450 ns IT 00000230 684a LDR r2,[r1,#4] - 2602470 ns MR4_I 00000234 6008d1fc - 2602530 ns MR4_D 40006004 00000001 - 2602530 ns R r2 00000001 - 2602530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2602550 ns R r2 80000000 - 2602550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2602570 ns R psr 81000200 - 2602570 ns MR4_I 00000238 48054770 - 2602590 ns MR4_I 00000230 07d2684a - 2602610 ns IT 00000230 684a LDR r2,[r1,#4] - 2602630 ns MR4_I 00000234 6008d1fc - 2602690 ns MR4_D 40006004 00000001 - 2602690 ns R r2 00000001 - 2602690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2602710 ns R r2 80000000 - 2602710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2602730 ns R psr 81000200 - 2602730 ns MR4_I 00000238 48054770 - 2602750 ns MR4_I 00000230 07d2684a - 2602770 ns IT 00000230 684a LDR r2,[r1,#4] - 2602790 ns MR4_I 00000234 6008d1fc - 2602850 ns MR4_D 40006004 00000001 - 2602850 ns R r2 00000001 - 2602850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2602870 ns R r2 80000000 - 2602870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2602890 ns R psr 81000200 - 2602890 ns MR4_I 00000238 48054770 - 2602910 ns MR4_I 00000230 07d2684a - 2602930 ns IT 00000230 684a LDR r2,[r1,#4] - 2602950 ns MR4_I 00000234 6008d1fc - 2603010 ns MR4_D 40006004 00000001 - 2603010 ns R r2 00000001 - 2603010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2603030 ns R r2 80000000 - 2603030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2603050 ns R psr 81000200 - 2603050 ns MR4_I 00000238 48054770 - 2603070 ns MR4_I 00000230 07d2684a - 2603090 ns IT 00000230 684a LDR r2,[r1,#4] - 2603110 ns MR4_I 00000234 6008d1fc - 2603170 ns MR4_D 40006004 00000001 - 2603170 ns R r2 00000001 - 2603170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2603190 ns R r2 80000000 - 2603190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2603210 ns R psr 81000200 - 2603210 ns MR4_I 00000238 48054770 - 2603230 ns MR4_I 00000230 07d2684a - 2603250 ns IT 00000230 684a LDR r2,[r1,#4] - 2603270 ns MR4_I 00000234 6008d1fc - 2603330 ns MR4_D 40006004 00000001 - 2603330 ns R r2 00000001 - 2603330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2603350 ns R r2 80000000 - 2603350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2603370 ns R psr 81000200 - 2603370 ns MR4_I 00000238 48054770 - 2603390 ns MR4_I 00000230 07d2684a - 2603410 ns IT 00000230 684a LDR r2,[r1,#4] - 2603430 ns MR4_I 00000234 6008d1fc - 2603490 ns MR4_D 40006004 00000001 - 2603490 ns R r2 00000001 - 2603490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2603510 ns R r2 80000000 - 2603510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2603530 ns R psr 81000200 - 2603530 ns MR4_I 00000238 48054770 - 2603550 ns MR4_I 00000230 07d2684a - 2603570 ns IT 00000230 684a LDR r2,[r1,#4] - 2603590 ns MR4_I 00000234 6008d1fc - 2603650 ns MR4_D 40006004 00000001 - 2603650 ns R r2 00000001 - 2603650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2603670 ns R r2 80000000 - 2603670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2603690 ns R psr 81000200 - 2603690 ns MR4_I 00000238 48054770 - 2603710 ns MR4_I 00000230 07d2684a - 2603730 ns IT 00000230 684a LDR r2,[r1,#4] - 2603750 ns MR4_I 00000234 6008d1fc - 2603810 ns MR4_D 40006004 00000001 - 2603810 ns R r2 00000001 - 2603810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2603830 ns R r2 80000000 - 2603830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2603850 ns R psr 81000200 - 2603850 ns MR4_I 00000238 48054770 - 2603870 ns MR4_I 00000230 07d2684a - 2603890 ns IT 00000230 684a LDR r2,[r1,#4] - 2603910 ns MR4_I 00000234 6008d1fc - 2603970 ns MR4_D 40006004 00000001 - 2603970 ns R r2 00000001 - 2603970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2603990 ns R r2 80000000 - 2603990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2604010 ns R psr 81000200 - 2604010 ns MR4_I 00000238 48054770 - 2604030 ns MR4_I 00000230 07d2684a - 2604050 ns IT 00000230 684a LDR r2,[r1,#4] - 2604070 ns MR4_I 00000234 6008d1fc - 2604130 ns MR4_D 40006004 00000001 - 2604130 ns R r2 00000001 - 2604130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2604150 ns R r2 80000000 - 2604150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2604170 ns R psr 81000200 - 2604170 ns MR4_I 00000238 48054770 - 2604190 ns MR4_I 00000230 07d2684a - 2604210 ns IT 00000230 684a LDR r2,[r1,#4] - 2604230 ns MR4_I 00000234 6008d1fc - 2604290 ns MR4_D 40006004 00000001 - 2604290 ns R r2 00000001 - 2604290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2604310 ns R r2 80000000 - 2604310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2604330 ns R psr 81000200 - 2604330 ns MR4_I 00000238 48054770 - 2604350 ns MR4_I 00000230 07d2684a - 2604370 ns IT 00000230 684a LDR r2,[r1,#4] - 2604390 ns MR4_I 00000234 6008d1fc - 2604450 ns MR4_D 40006004 00000001 - 2604450 ns R r2 00000001 - 2604450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2604470 ns R r2 80000000 - 2604470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2604490 ns R psr 81000200 - 2604490 ns MR4_I 00000238 48054770 - 2604510 ns MR4_I 00000230 07d2684a - 2604530 ns IT 00000230 684a LDR r2,[r1,#4] - 2604550 ns MR4_I 00000234 6008d1fc - 2604610 ns MR4_D 40006004 00000001 - 2604610 ns R r2 00000001 - 2604610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2604630 ns R r2 80000000 - 2604630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2604650 ns R psr 81000200 - 2604650 ns MR4_I 00000238 48054770 - 2604670 ns MR4_I 00000230 07d2684a - 2604690 ns IT 00000230 684a LDR r2,[r1,#4] - 2604710 ns MR4_I 00000234 6008d1fc - 2604770 ns MR4_D 40006004 00000001 - 2604770 ns R r2 00000001 - 2604770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2604790 ns R r2 80000000 - 2604790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2604810 ns R psr 81000200 - 2604810 ns MR4_I 00000238 48054770 - 2604830 ns MR4_I 00000230 07d2684a - 2604850 ns IT 00000230 684a LDR r2,[r1,#4] - 2604870 ns MR4_I 00000234 6008d1fc - 2604930 ns MR4_D 40006004 00000001 - 2604930 ns R r2 00000001 - 2604930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2604950 ns R r2 80000000 - 2604950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2604970 ns R psr 81000200 - 2604970 ns MR4_I 00000238 48054770 - 2604990 ns MR4_I 00000230 07d2684a - 2605010 ns IT 00000230 684a LDR r2,[r1,#4] - 2605030 ns MR4_I 00000234 6008d1fc - 2605090 ns MR4_D 40006004 00000001 - 2605090 ns R r2 00000001 - 2605090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2605110 ns R r2 80000000 - 2605110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2605130 ns R psr 81000200 - 2605130 ns MR4_I 00000238 48054770 - 2605150 ns MR4_I 00000230 07d2684a - 2605170 ns IT 00000230 684a LDR r2,[r1,#4] - 2605190 ns MR4_I 00000234 6008d1fc - 2605250 ns MR4_D 40006004 00000001 - 2605250 ns R r2 00000001 - 2605250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2605270 ns R r2 80000000 - 2605270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2605290 ns R psr 81000200 - 2605290 ns MR4_I 00000238 48054770 - 2605310 ns MR4_I 00000230 07d2684a - 2605330 ns IT 00000230 684a LDR r2,[r1,#4] - 2605350 ns MR4_I 00000234 6008d1fc - 2605410 ns MR4_D 40006004 00000001 - 2605410 ns R r2 00000001 - 2605410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2605430 ns R r2 80000000 - 2605430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2605450 ns R psr 81000200 - 2605450 ns MR4_I 00000238 48054770 - 2605470 ns MR4_I 00000230 07d2684a - 2605490 ns IT 00000230 684a LDR r2,[r1,#4] - 2605510 ns MR4_I 00000234 6008d1fc - 2605570 ns MR4_D 40006004 00000001 - 2605570 ns R r2 00000001 - 2605570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2605590 ns R r2 80000000 - 2605590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2605610 ns R psr 81000200 - 2605610 ns MR4_I 00000238 48054770 - 2605630 ns MR4_I 00000230 07d2684a - 2605650 ns IT 00000230 684a LDR r2,[r1,#4] - 2605670 ns MR4_I 00000234 6008d1fc - 2605730 ns MR4_D 40006004 00000001 - 2605730 ns R r2 00000001 - 2605730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2605750 ns R r2 80000000 - 2605750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2605770 ns R psr 81000200 - 2605770 ns MR4_I 00000238 48054770 - 2605790 ns MR4_I 00000230 07d2684a - 2605810 ns IT 00000230 684a LDR r2,[r1,#4] - 2605830 ns MR4_I 00000234 6008d1fc - 2605890 ns MR4_D 40006004 00000001 - 2605890 ns R r2 00000001 - 2605890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2605910 ns R r2 80000000 - 2605910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2605930 ns R psr 81000200 - 2605930 ns MR4_I 00000238 48054770 - 2605950 ns MR4_I 00000230 07d2684a - 2605970 ns IT 00000230 684a LDR r2,[r1,#4] - 2605990 ns MR4_I 00000234 6008d1fc - 2606050 ns MR4_D 40006004 00000001 - 2606050 ns R r2 00000001 - 2606050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2606070 ns R r2 80000000 - 2606070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2606090 ns R psr 81000200 - 2606090 ns MR4_I 00000238 48054770 - 2606110 ns MR4_I 00000230 07d2684a - 2606130 ns IT 00000230 684a LDR r2,[r1,#4] - 2606150 ns MR4_I 00000234 6008d1fc - 2606210 ns MR4_D 40006004 00000001 - 2606210 ns R r2 00000001 - 2606210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2606230 ns R r2 80000000 - 2606230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2606250 ns R psr 81000200 - 2606250 ns MR4_I 00000238 48054770 - 2606270 ns MR4_I 00000230 07d2684a - 2606290 ns IT 00000230 684a LDR r2,[r1,#4] - 2606310 ns MR4_I 00000234 6008d1fc - 2606370 ns MR4_D 40006004 00000001 - 2606370 ns R r2 00000001 - 2606370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2606390 ns R r2 80000000 - 2606390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2606410 ns R psr 81000200 - 2606410 ns MR4_I 00000238 48054770 - 2606430 ns MR4_I 00000230 07d2684a - 2606450 ns IT 00000230 684a LDR r2,[r1,#4] - 2606470 ns MR4_I 00000234 6008d1fc - 2606530 ns MR4_D 40006004 00000001 - 2606530 ns R r2 00000001 - 2606530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2606550 ns R r2 80000000 - 2606550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2606570 ns R psr 81000200 - 2606570 ns MR4_I 00000238 48054770 - 2606590 ns MR4_I 00000230 07d2684a - 2606610 ns IT 00000230 684a LDR r2,[r1,#4] - 2606630 ns MR4_I 00000234 6008d1fc - 2606690 ns MR4_D 40006004 00000001 - 2606690 ns R r2 00000001 - 2606690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2606710 ns R r2 80000000 - 2606710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2606730 ns R psr 81000200 - 2606730 ns MR4_I 00000238 48054770 - 2606750 ns MR4_I 00000230 07d2684a - 2606770 ns IT 00000230 684a LDR r2,[r1,#4] - 2606790 ns MR4_I 00000234 6008d1fc - 2606850 ns MR4_D 40006004 00000001 - 2606850 ns R r2 00000001 - 2606850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2606870 ns R r2 80000000 - 2606870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2606890 ns R psr 81000200 - 2606890 ns MR4_I 00000238 48054770 - 2606910 ns MR4_I 00000230 07d2684a - 2606930 ns IT 00000230 684a LDR r2,[r1,#4] - 2606950 ns MR4_I 00000234 6008d1fc - 2607010 ns MR4_D 40006004 00000001 - 2607010 ns R r2 00000001 - 2607010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2607030 ns R r2 80000000 - 2607030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2607050 ns R psr 81000200 - 2607050 ns MR4_I 00000238 48054770 - 2607070 ns MR4_I 00000230 07d2684a - 2607090 ns IT 00000230 684a LDR r2,[r1,#4] - 2607110 ns MR4_I 00000234 6008d1fc - 2607170 ns MR4_D 40006004 00000001 - 2607170 ns R r2 00000001 - 2607170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2607190 ns R r2 80000000 - 2607190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2607210 ns R psr 81000200 - 2607210 ns MR4_I 00000238 48054770 - 2607230 ns MR4_I 00000230 07d2684a - 2607250 ns IT 00000230 684a LDR r2,[r1,#4] - 2607270 ns MR4_I 00000234 6008d1fc - 2607330 ns MR4_D 40006004 00000001 - 2607330 ns R r2 00000001 - 2607330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2607350 ns R r2 80000000 - 2607350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2607370 ns R psr 81000200 - 2607370 ns MR4_I 00000238 48054770 - 2607390 ns MR4_I 00000230 07d2684a - 2607410 ns IT 00000230 684a LDR r2,[r1,#4] - 2607430 ns MR4_I 00000234 6008d1fc - 2607490 ns MR4_D 40006004 00000001 - 2607490 ns R r2 00000001 - 2607490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2607510 ns R r2 80000000 - 2607510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2607530 ns R psr 81000200 - 2607530 ns MR4_I 00000238 48054770 - 2607550 ns MR4_I 00000230 07d2684a - 2607570 ns IT 00000230 684a LDR r2,[r1,#4] - 2607590 ns MR4_I 00000234 6008d1fc - 2607650 ns MR4_D 40006004 00000001 - 2607650 ns R r2 00000001 - 2607650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2607670 ns R r2 80000000 - 2607670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2607690 ns R psr 81000200 - 2607690 ns MR4_I 00000238 48054770 - 2607710 ns MR4_I 00000230 07d2684a - 2607730 ns IT 00000230 684a LDR r2,[r1,#4] - 2607750 ns MR4_I 00000234 6008d1fc - 2607810 ns MR4_D 40006004 00000001 - 2607810 ns R r2 00000001 - 2607810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2607830 ns R r2 80000000 - 2607830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2607850 ns R psr 81000200 - 2607850 ns MR4_I 00000238 48054770 - 2607870 ns MR4_I 00000230 07d2684a - 2607890 ns IT 00000230 684a LDR r2,[r1,#4] - 2607910 ns MR4_I 00000234 6008d1fc - 2607970 ns MR4_D 40006004 00000001 - 2607970 ns R r2 00000001 - 2607970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2607990 ns R r2 80000000 - 2607990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2608010 ns R psr 81000200 - 2608010 ns MR4_I 00000238 48054770 - 2608030 ns MR4_I 00000230 07d2684a - 2608050 ns IT 00000230 684a LDR r2,[r1,#4] - 2608070 ns MR4_I 00000234 6008d1fc - 2608130 ns MR4_D 40006004 00000001 - 2608130 ns R r2 00000001 - 2608130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2608150 ns R r2 80000000 - 2608150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2608170 ns R psr 81000200 - 2608170 ns MR4_I 00000238 48054770 - 2608190 ns MR4_I 00000230 07d2684a - 2608210 ns IT 00000230 684a LDR r2,[r1,#4] - 2608230 ns MR4_I 00000234 6008d1fc - 2608290 ns MR4_D 40006004 00000001 - 2608290 ns R r2 00000001 - 2608290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2608310 ns R r2 80000000 - 2608310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2608330 ns R psr 81000200 - 2608330 ns MR4_I 00000238 48054770 - 2608350 ns MR4_I 00000230 07d2684a - 2608370 ns IT 00000230 684a LDR r2,[r1,#4] - 2608390 ns MR4_I 00000234 6008d1fc - 2608450 ns MR4_D 40006004 00000001 - 2608450 ns R r2 00000001 - 2608450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2608470 ns R r2 80000000 - 2608470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2608490 ns R psr 81000200 - 2608490 ns MR4_I 00000238 48054770 - 2608510 ns MR4_I 00000230 07d2684a - 2608530 ns IT 00000230 684a LDR r2,[r1,#4] - 2608550 ns MR4_I 00000234 6008d1fc - 2608610 ns MR4_D 40006004 00000001 - 2608610 ns R r2 00000001 - 2608610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2608630 ns R r2 80000000 - 2608630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2608650 ns R psr 81000200 - 2608650 ns MR4_I 00000238 48054770 - 2608670 ns MR4_I 00000230 07d2684a - 2608690 ns IT 00000230 684a LDR r2,[r1,#4] - 2608710 ns MR4_I 00000234 6008d1fc - 2608770 ns MR4_D 40006004 00000001 - 2608770 ns R r2 00000001 - 2608770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2608790 ns R r2 80000000 - 2608790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2608810 ns R psr 81000200 - 2608810 ns MR4_I 00000238 48054770 - 2608830 ns MR4_I 00000230 07d2684a - 2608850 ns IT 00000230 684a LDR r2,[r1,#4] - 2608870 ns MR4_I 00000234 6008d1fc - 2608930 ns MR4_D 40006004 00000001 - 2608930 ns R r2 00000001 - 2608930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2608950 ns R r2 80000000 - 2608950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2608970 ns R psr 81000200 - 2608970 ns MR4_I 00000238 48054770 - 2608990 ns MR4_I 00000230 07d2684a - 2609010 ns IT 00000230 684a LDR r2,[r1,#4] - 2609030 ns MR4_I 00000234 6008d1fc - 2609090 ns MR4_D 40006004 00000001 - 2609090 ns R r2 00000001 - 2609090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2609110 ns R r2 80000000 - 2609110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2609130 ns R psr 81000200 - 2609130 ns MR4_I 00000238 48054770 - 2609150 ns MR4_I 00000230 07d2684a - 2609170 ns IT 00000230 684a LDR r2,[r1,#4] - 2609190 ns MR4_I 00000234 6008d1fc - 2609250 ns MR4_D 40006004 00000001 - 2609250 ns R r2 00000001 - 2609250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2609270 ns R r2 80000000 - 2609270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2609290 ns R psr 81000200 - 2609290 ns MR4_I 00000238 48054770 - 2609310 ns MR4_I 00000230 07d2684a - 2609330 ns IT 00000230 684a LDR r2,[r1,#4] - 2609350 ns MR4_I 00000234 6008d1fc - 2609410 ns MR4_D 40006004 00000001 - 2609410 ns R r2 00000001 - 2609410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2609430 ns R r2 80000000 - 2609430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2609450 ns R psr 81000200 - 2609450 ns MR4_I 00000238 48054770 - 2609470 ns MR4_I 00000230 07d2684a - 2609490 ns IT 00000230 684a LDR r2,[r1,#4] - 2609510 ns MR4_I 00000234 6008d1fc - 2609570 ns MR4_D 40006004 00000001 - 2609570 ns R r2 00000001 - 2609570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2609590 ns R r2 80000000 - 2609590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2609610 ns R psr 81000200 - 2609610 ns MR4_I 00000238 48054770 - 2609630 ns MR4_I 00000230 07d2684a - 2609650 ns IT 00000230 684a LDR r2,[r1,#4] - 2609670 ns MR4_I 00000234 6008d1fc - 2609730 ns MR4_D 40006004 00000001 - 2609730 ns R r2 00000001 - 2609730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2609750 ns R r2 80000000 - 2609750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2609770 ns R psr 81000200 - 2609770 ns MR4_I 00000238 48054770 - 2609790 ns MR4_I 00000230 07d2684a - 2609810 ns IT 00000230 684a LDR r2,[r1,#4] - 2609830 ns MR4_I 00000234 6008d1fc - 2609890 ns MR4_D 40006004 00000001 - 2609890 ns R r2 00000001 - 2609890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2609910 ns R r2 80000000 - 2609910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2609930 ns R psr 81000200 - 2609930 ns MR4_I 00000238 48054770 - 2609950 ns MR4_I 00000230 07d2684a - 2609970 ns IT 00000230 684a LDR r2,[r1,#4] - 2609990 ns MR4_I 00000234 6008d1fc - 2610050 ns MR4_D 40006004 00000001 - 2610050 ns R r2 00000001 - 2610050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2610070 ns R r2 80000000 - 2610070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2610090 ns R psr 81000200 - 2610090 ns MR4_I 00000238 48054770 - 2610110 ns MR4_I 00000230 07d2684a - 2610130 ns IT 00000230 684a LDR r2,[r1,#4] - 2610150 ns MR4_I 00000234 6008d1fc - 2610210 ns MR4_D 40006004 00000001 - 2610210 ns R r2 00000001 - 2610210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2610230 ns R r2 80000000 - 2610230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2610250 ns R psr 81000200 - 2610250 ns MR4_I 00000238 48054770 - 2610270 ns MR4_I 00000230 07d2684a - 2610290 ns IT 00000230 684a LDR r2,[r1,#4] - 2610310 ns MR4_I 00000234 6008d1fc - 2610370 ns MR4_D 40006004 00000001 - 2610370 ns R r2 00000001 - 2610370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2610390 ns R r2 80000000 - 2610390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2610410 ns R psr 81000200 - 2610410 ns MR4_I 00000238 48054770 - 2610430 ns MR4_I 00000230 07d2684a - 2610450 ns IT 00000230 684a LDR r2,[r1,#4] - 2610470 ns MR4_I 00000234 6008d1fc - 2610530 ns MR4_D 40006004 00000001 - 2610530 ns R r2 00000001 - 2610530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2610550 ns R r2 80000000 - 2610550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2610570 ns R psr 81000200 - 2610570 ns MR4_I 00000238 48054770 - 2610590 ns MR4_I 00000230 07d2684a - 2610610 ns IT 00000230 684a LDR r2,[r1,#4] - 2610630 ns MR4_I 00000234 6008d1fc - 2610690 ns MR4_D 40006004 00000001 - 2610690 ns R r2 00000001 - 2610690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2610710 ns R r2 80000000 - 2610710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2610730 ns R psr 81000200 - 2610730 ns MR4_I 00000238 48054770 - 2610750 ns MR4_I 00000230 07d2684a - 2610770 ns IT 00000230 684a LDR r2,[r1,#4] - 2610790 ns MR4_I 00000234 6008d1fc - 2610850 ns MR4_D 40006004 00000001 - 2610850 ns R r2 00000001 - 2610850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2610870 ns R r2 80000000 - 2610870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2610890 ns R psr 81000200 - 2610890 ns MR4_I 00000238 48054770 - 2610910 ns MR4_I 00000230 07d2684a - 2610930 ns IT 00000230 684a LDR r2,[r1,#4] - 2610950 ns MR4_I 00000234 6008d1fc - 2611010 ns MR4_D 40006004 00000001 - 2611010 ns R r2 00000001 - 2611010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2611030 ns R r2 80000000 - 2611030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2611050 ns R psr 81000200 - 2611050 ns MR4_I 00000238 48054770 - 2611070 ns MR4_I 00000230 07d2684a - 2611090 ns IT 00000230 684a LDR r2,[r1,#4] - 2611110 ns MR4_I 00000234 6008d1fc - 2611170 ns MR4_D 40006004 00000001 - 2611170 ns R r2 00000001 - 2611170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2611190 ns R r2 80000000 - 2611190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2611210 ns R psr 81000200 - 2611210 ns MR4_I 00000238 48054770 - 2611230 ns MR4_I 00000230 07d2684a - 2611250 ns IT 00000230 684a LDR r2,[r1,#4] - 2611270 ns MR4_I 00000234 6008d1fc - 2611330 ns MR4_D 40006004 00000001 - 2611330 ns R r2 00000001 - 2611330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2611350 ns R r2 80000000 - 2611350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2611370 ns R psr 81000200 - 2611370 ns MR4_I 00000238 48054770 - 2611390 ns MR4_I 00000230 07d2684a - 2611410 ns IT 00000230 684a LDR r2,[r1,#4] - 2611430 ns MR4_I 00000234 6008d1fc - 2611490 ns MR4_D 40006004 00000001 - 2611490 ns R r2 00000001 - 2611490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2611510 ns R r2 80000000 - 2611510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2611530 ns R psr 81000200 - 2611530 ns MR4_I 00000238 48054770 - 2611550 ns MR4_I 00000230 07d2684a - 2611570 ns IT 00000230 684a LDR r2,[r1,#4] - 2611590 ns MR4_I 00000234 6008d1fc - 2611650 ns MR4_D 40006004 00000001 - 2611650 ns R r2 00000001 - 2611650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2611670 ns R r2 80000000 - 2611670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2611690 ns R psr 81000200 - 2611690 ns MR4_I 00000238 48054770 - 2611710 ns MR4_I 00000230 07d2684a - 2611730 ns IT 00000230 684a LDR r2,[r1,#4] - 2611750 ns MR4_I 00000234 6008d1fc - 2611810 ns MR4_D 40006004 00000001 - 2611810 ns R r2 00000001 - 2611810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2611830 ns R r2 80000000 - 2611830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2611850 ns R psr 81000200 - 2611850 ns MR4_I 00000238 48054770 - 2611870 ns MR4_I 00000230 07d2684a - 2611890 ns IT 00000230 684a LDR r2,[r1,#4] - 2611910 ns MR4_I 00000234 6008d1fc - 2611970 ns MR4_D 40006004 00000001 - 2611970 ns R r2 00000001 - 2611970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2611990 ns R r2 80000000 - 2611990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2612010 ns R psr 81000200 - 2612010 ns MR4_I 00000238 48054770 - 2612030 ns MR4_I 00000230 07d2684a - 2612050 ns IT 00000230 684a LDR r2,[r1,#4] - 2612070 ns MR4_I 00000234 6008d1fc - 2612130 ns MR4_D 40006004 00000001 - 2612130 ns R r2 00000001 - 2612130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2612150 ns R r2 80000000 - 2612150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2612170 ns R psr 81000200 - 2612170 ns MR4_I 00000238 48054770 - 2612190 ns MR4_I 00000230 07d2684a - 2612210 ns IT 00000230 684a LDR r2,[r1,#4] - 2612230 ns MR4_I 00000234 6008d1fc - 2612290 ns MR4_D 40006004 00000001 - 2612290 ns R r2 00000001 - 2612290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2612310 ns R r2 80000000 - 2612310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2612330 ns R psr 81000200 - 2612330 ns MR4_I 00000238 48054770 - 2612350 ns MR4_I 00000230 07d2684a - 2612370 ns IT 00000230 684a LDR r2,[r1,#4] - 2612390 ns MR4_I 00000234 6008d1fc - 2612450 ns MR4_D 40006004 00000001 - 2612450 ns R r2 00000001 - 2612450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2612470 ns R r2 80000000 - 2612470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2612490 ns R psr 81000200 - 2612490 ns MR4_I 00000238 48054770 - 2612510 ns MR4_I 00000230 07d2684a - 2612530 ns IT 00000230 684a LDR r2,[r1,#4] - 2612550 ns MR4_I 00000234 6008d1fc - 2612610 ns MR4_D 40006004 00000001 - 2612610 ns R r2 00000001 - 2612610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2612630 ns R r2 80000000 - 2612630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2612650 ns R psr 81000200 - 2612650 ns MR4_I 00000238 48054770 - 2612670 ns MR4_I 00000230 07d2684a - 2612690 ns IT 00000230 684a LDR r2,[r1,#4] - 2612710 ns MR4_I 00000234 6008d1fc - 2612770 ns MR4_D 40006004 00000001 - 2612770 ns R r2 00000001 - 2612770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2612790 ns R r2 80000000 - 2612790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2612810 ns R psr 81000200 - 2612810 ns MR4_I 00000238 48054770 - 2612830 ns MR4_I 00000230 07d2684a - 2612850 ns IT 00000230 684a LDR r2,[r1,#4] - 2612870 ns MR4_I 00000234 6008d1fc - 2612930 ns MR4_D 40006004 00000001 - 2612930 ns R r2 00000001 - 2612930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2612950 ns R r2 80000000 - 2612950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2612970 ns R psr 81000200 - 2612970 ns MR4_I 00000238 48054770 - 2612990 ns MR4_I 00000230 07d2684a - 2613010 ns IT 00000230 684a LDR r2,[r1,#4] - 2613030 ns MR4_I 00000234 6008d1fc - 2613090 ns MR4_D 40006004 00000001 - 2613090 ns R r2 00000001 - 2613090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2613110 ns R r2 80000000 - 2613110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2613130 ns R psr 81000200 - 2613130 ns MR4_I 00000238 48054770 - 2613150 ns MR4_I 00000230 07d2684a - 2613170 ns IT 00000230 684a LDR r2,[r1,#4] - 2613190 ns MR4_I 00000234 6008d1fc - 2613250 ns MR4_D 40006004 00000001 - 2613250 ns R r2 00000001 - 2613250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2613270 ns R r2 80000000 - 2613270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2613290 ns R psr 81000200 - 2613290 ns MR4_I 00000238 48054770 - 2613310 ns MR4_I 00000230 07d2684a - 2613330 ns IT 00000230 684a LDR r2,[r1,#4] - 2613350 ns MR4_I 00000234 6008d1fc - 2613410 ns MR4_D 40006004 00000001 - 2613410 ns R r2 00000001 - 2613410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2613430 ns R r2 80000000 - 2613430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2613450 ns R psr 81000200 - 2613450 ns MR4_I 00000238 48054770 - 2613470 ns MR4_I 00000230 07d2684a - 2613490 ns IT 00000230 684a LDR r2,[r1,#4] - 2613510 ns MR4_I 00000234 6008d1fc - 2613570 ns MR4_D 40006004 00000001 - 2613570 ns R r2 00000001 - 2613570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2613590 ns R r2 80000000 - 2613590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2613610 ns R psr 81000200 - 2613610 ns MR4_I 00000238 48054770 - 2613630 ns MR4_I 00000230 07d2684a - 2613650 ns IT 00000230 684a LDR r2,[r1,#4] - 2613670 ns MR4_I 00000234 6008d1fc - 2613730 ns MR4_D 40006004 00000001 - 2613730 ns R r2 00000001 - 2613730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2613750 ns R r2 80000000 - 2613750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2613770 ns R psr 81000200 - 2613770 ns MR4_I 00000238 48054770 - 2613790 ns MR4_I 00000230 07d2684a - 2613810 ns IT 00000230 684a LDR r2,[r1,#4] - 2613830 ns MR4_I 00000234 6008d1fc - 2613890 ns MR4_D 40006004 00000001 - 2613890 ns R r2 00000001 - 2613890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2613910 ns R r2 80000000 - 2613910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2613930 ns R psr 81000200 - 2613930 ns MR4_I 00000238 48054770 - 2613950 ns MR4_I 00000230 07d2684a - 2613970 ns IT 00000230 684a LDR r2,[r1,#4] - 2613990 ns MR4_I 00000234 6008d1fc - 2614050 ns MR4_D 40006004 00000001 - 2614050 ns R r2 00000001 - 2614050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2614070 ns R r2 80000000 - 2614070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2614090 ns R psr 81000200 - 2614090 ns MR4_I 00000238 48054770 - 2614110 ns MR4_I 00000230 07d2684a - 2614130 ns IT 00000230 684a LDR r2,[r1,#4] - 2614150 ns MR4_I 00000234 6008d1fc - 2614210 ns MR4_D 40006004 00000001 - 2614210 ns R r2 00000001 - 2614210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2614230 ns R r2 80000000 - 2614230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2614250 ns R psr 81000200 - 2614250 ns MR4_I 00000238 48054770 - 2614270 ns MR4_I 00000230 07d2684a - 2614290 ns IT 00000230 684a LDR r2,[r1,#4] - 2614310 ns MR4_I 00000234 6008d1fc - 2614370 ns MR4_D 40006004 00000001 - 2614370 ns R r2 00000001 - 2614370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2614390 ns R r2 80000000 - 2614390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2614410 ns R psr 81000200 - 2614410 ns MR4_I 00000238 48054770 - 2614430 ns MR4_I 00000230 07d2684a - 2614450 ns IT 00000230 684a LDR r2,[r1,#4] - 2614470 ns MR4_I 00000234 6008d1fc - 2614530 ns MR4_D 40006004 00000001 - 2614530 ns R r2 00000001 - 2614530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2614550 ns R r2 80000000 - 2614550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2614570 ns R psr 81000200 - 2614570 ns MR4_I 00000238 48054770 - 2614590 ns MR4_I 00000230 07d2684a - 2614610 ns IT 00000230 684a LDR r2,[r1,#4] - 2614630 ns MR4_I 00000234 6008d1fc - 2614690 ns MR4_D 40006004 00000001 - 2614690 ns R r2 00000001 - 2614690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2614710 ns R r2 80000000 - 2614710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2614730 ns R psr 81000200 - 2614730 ns MR4_I 00000238 48054770 - 2614750 ns MR4_I 00000230 07d2684a - 2614770 ns IT 00000230 684a LDR r2,[r1,#4] - 2614790 ns MR4_I 00000234 6008d1fc - 2614850 ns MR4_D 40006004 00000001 - 2614850 ns R r2 00000001 - 2614850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2614870 ns R r2 80000000 - 2614870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2614890 ns R psr 81000200 - 2614890 ns MR4_I 00000238 48054770 - 2614910 ns MR4_I 00000230 07d2684a - 2614930 ns IT 00000230 684a LDR r2,[r1,#4] - 2614950 ns MR4_I 00000234 6008d1fc - 2615010 ns MR4_D 40006004 00000001 - 2615010 ns R r2 00000001 - 2615010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2615030 ns R r2 80000000 - 2615030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2615050 ns R psr 81000200 - 2615050 ns MR4_I 00000238 48054770 - 2615070 ns MR4_I 00000230 07d2684a - 2615090 ns IT 00000230 684a LDR r2,[r1,#4] - 2615110 ns MR4_I 00000234 6008d1fc - 2615170 ns MR4_D 40006004 00000001 - 2615170 ns R r2 00000001 - 2615170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2615190 ns R r2 80000000 - 2615190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2615210 ns R psr 81000200 - 2615210 ns MR4_I 00000238 48054770 - 2615230 ns MR4_I 00000230 07d2684a - 2615250 ns IT 00000230 684a LDR r2,[r1,#4] - 2615270 ns MR4_I 00000234 6008d1fc - 2615330 ns MR4_D 40006004 00000001 - 2615330 ns R r2 00000001 - 2615330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2615350 ns R r2 80000000 - 2615350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2615370 ns R psr 81000200 - 2615370 ns MR4_I 00000238 48054770 - 2615390 ns MR4_I 00000230 07d2684a - 2615410 ns IT 00000230 684a LDR r2,[r1,#4] - 2615430 ns MR4_I 00000234 6008d1fc - 2615490 ns MR4_D 40006004 00000001 - 2615490 ns R r2 00000001 - 2615490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2615510 ns R r2 80000000 - 2615510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2615530 ns R psr 81000200 - 2615530 ns MR4_I 00000238 48054770 - 2615550 ns MR4_I 00000230 07d2684a - 2615570 ns IT 00000230 684a LDR r2,[r1,#4] - 2615590 ns MR4_I 00000234 6008d1fc - 2615650 ns MR4_D 40006004 00000001 - 2615650 ns R r2 00000001 - 2615650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2615670 ns R r2 80000000 - 2615670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2615690 ns R psr 81000200 - 2615690 ns MR4_I 00000238 48054770 - 2615710 ns MR4_I 00000230 07d2684a - 2615730 ns IT 00000230 684a LDR r2,[r1,#4] - 2615750 ns MR4_I 00000234 6008d1fc - 2615810 ns MR4_D 40006004 00000001 - 2615810 ns R r2 00000001 - 2615810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2615830 ns R r2 80000000 - 2615830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2615850 ns R psr 81000200 - 2615850 ns MR4_I 00000238 48054770 - 2615870 ns MR4_I 00000230 07d2684a - 2615890 ns IT 00000230 684a LDR r2,[r1,#4] - 2615910 ns MR4_I 00000234 6008d1fc - 2615970 ns MR4_D 40006004 00000001 - 2615970 ns R r2 00000001 - 2615970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2615990 ns R r2 80000000 - 2615990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2616010 ns R psr 81000200 - 2616010 ns MR4_I 00000238 48054770 - 2616030 ns MR4_I 00000230 07d2684a - 2616050 ns IT 00000230 684a LDR r2,[r1,#4] - 2616070 ns MR4_I 00000234 6008d1fc - 2616130 ns MR4_D 40006004 00000001 - 2616130 ns R r2 00000001 - 2616130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2616150 ns R r2 80000000 - 2616150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2616170 ns R psr 81000200 - 2616170 ns MR4_I 00000238 48054770 - 2616190 ns MR4_I 00000230 07d2684a - 2616210 ns IT 00000230 684a LDR r2,[r1,#4] - 2616230 ns MR4_I 00000234 6008d1fc - 2616290 ns MR4_D 40006004 00000001 - 2616290 ns R r2 00000001 - 2616290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2616310 ns R r2 80000000 - 2616310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2616330 ns R psr 81000200 - 2616330 ns MR4_I 00000238 48054770 - 2616350 ns MR4_I 00000230 07d2684a - 2616370 ns IT 00000230 684a LDR r2,[r1,#4] - 2616390 ns MR4_I 00000234 6008d1fc - 2616450 ns MR4_D 40006004 00000001 - 2616450 ns R r2 00000001 - 2616450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2616470 ns R r2 80000000 - 2616470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2616490 ns R psr 81000200 - 2616490 ns MR4_I 00000238 48054770 - 2616510 ns MR4_I 00000230 07d2684a - 2616530 ns IT 00000230 684a LDR r2,[r1,#4] - 2616550 ns MR4_I 00000234 6008d1fc - 2616610 ns MR4_D 40006004 00000001 - 2616610 ns R r2 00000001 - 2616610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2616630 ns R r2 80000000 - 2616630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2616650 ns R psr 81000200 - 2616650 ns MR4_I 00000238 48054770 - 2616670 ns MR4_I 00000230 07d2684a - 2616690 ns IT 00000230 684a LDR r2,[r1,#4] - 2616710 ns MR4_I 00000234 6008d1fc - 2616770 ns MR4_D 40006004 00000001 - 2616770 ns R r2 00000001 - 2616770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2616790 ns R r2 80000000 - 2616790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2616810 ns R psr 81000200 - 2616810 ns MR4_I 00000238 48054770 - 2616830 ns MR4_I 00000230 07d2684a - 2616850 ns IT 00000230 684a LDR r2,[r1,#4] - 2616870 ns MR4_I 00000234 6008d1fc - 2616930 ns MR4_D 40006004 00000001 - 2616930 ns R r2 00000001 - 2616930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2616950 ns R r2 80000000 - 2616950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2616970 ns R psr 81000200 - 2616970 ns MR4_I 00000238 48054770 - 2616990 ns MR4_I 00000230 07d2684a - 2617010 ns IT 00000230 684a LDR r2,[r1,#4] - 2617030 ns MR4_I 00000234 6008d1fc - 2617090 ns MR4_D 40006004 00000001 - 2617090 ns R r2 00000001 - 2617090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2617110 ns R r2 80000000 - 2617110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2617130 ns R psr 81000200 - 2617130 ns MR4_I 00000238 48054770 - 2617150 ns MR4_I 00000230 07d2684a - 2617170 ns IT 00000230 684a LDR r2,[r1,#4] - 2617190 ns MR4_I 00000234 6008d1fc - 2617250 ns MR4_D 40006004 00000001 - 2617250 ns R r2 00000001 - 2617250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2617270 ns R r2 80000000 - 2617270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2617290 ns R psr 81000200 - 2617290 ns MR4_I 00000238 48054770 - 2617310 ns MR4_I 00000230 07d2684a - 2617330 ns IT 00000230 684a LDR r2,[r1,#4] - 2617350 ns MR4_I 00000234 6008d1fc - 2617410 ns MR4_D 40006004 00000001 - 2617410 ns R r2 00000001 - 2617410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2617430 ns R r2 80000000 - 2617430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2617450 ns R psr 81000200 - 2617450 ns MR4_I 00000238 48054770 - 2617470 ns MR4_I 00000230 07d2684a - 2617490 ns IT 00000230 684a LDR r2,[r1,#4] - 2617510 ns MR4_I 00000234 6008d1fc - 2617570 ns MR4_D 40006004 00000001 - 2617570 ns R r2 00000001 - 2617570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2617590 ns R r2 80000000 - 2617590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2617610 ns R psr 81000200 - 2617610 ns MR4_I 00000238 48054770 - 2617630 ns MR4_I 00000230 07d2684a - 2617650 ns IT 00000230 684a LDR r2,[r1,#4] - 2617670 ns MR4_I 00000234 6008d1fc - 2617730 ns MR4_D 40006004 00000001 - 2617730 ns R r2 00000001 - 2617730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2617750 ns R r2 80000000 - 2617750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2617770 ns R psr 81000200 - 2617770 ns MR4_I 00000238 48054770 - 2617790 ns MR4_I 00000230 07d2684a - 2617810 ns IT 00000230 684a LDR r2,[r1,#4] - 2617830 ns MR4_I 00000234 6008d1fc - 2617890 ns MR4_D 40006004 00000001 - 2617890 ns R r2 00000001 - 2617890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2617910 ns R r2 80000000 - 2617910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2617930 ns R psr 81000200 - 2617930 ns MR4_I 00000238 48054770 - 2617950 ns MR4_I 00000230 07d2684a - 2617970 ns IT 00000230 684a LDR r2,[r1,#4] - 2617990 ns MR4_I 00000234 6008d1fc - 2618050 ns MR4_D 40006004 00000001 - 2618050 ns R r2 00000001 - 2618050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2618070 ns R r2 80000000 - 2618070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2618090 ns R psr 81000200 - 2618090 ns MR4_I 00000238 48054770 - 2618110 ns MR4_I 00000230 07d2684a - 2618130 ns IT 00000230 684a LDR r2,[r1,#4] - 2618150 ns MR4_I 00000234 6008d1fc - 2618210 ns MR4_D 40006004 00000001 - 2618210 ns R r2 00000001 - 2618210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2618230 ns R r2 80000000 - 2618230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2618250 ns R psr 81000200 - 2618250 ns MR4_I 00000238 48054770 - 2618270 ns MR4_I 00000230 07d2684a - 2618290 ns IT 00000230 684a LDR r2,[r1,#4] - 2618310 ns MR4_I 00000234 6008d1fc - 2618370 ns MR4_D 40006004 00000001 - 2618370 ns R r2 00000001 - 2618370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2618390 ns R r2 80000000 - 2618390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2618410 ns R psr 81000200 - 2618410 ns MR4_I 00000238 48054770 - 2618430 ns MR4_I 00000230 07d2684a - 2618450 ns IT 00000230 684a LDR r2,[r1,#4] - 2618470 ns MR4_I 00000234 6008d1fc - 2618530 ns MR4_D 40006004 00000001 - 2618530 ns R r2 00000001 - 2618530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2618550 ns R r2 80000000 - 2618550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2618570 ns R psr 81000200 - 2618570 ns MR4_I 00000238 48054770 - 2618590 ns MR4_I 00000230 07d2684a - 2618610 ns IT 00000230 684a LDR r2,[r1,#4] - 2618630 ns MR4_I 00000234 6008d1fc - 2618690 ns MR4_D 40006004 00000001 - 2618690 ns R r2 00000001 - 2618690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2618710 ns R r2 80000000 - 2618710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2618730 ns R psr 81000200 - 2618730 ns MR4_I 00000238 48054770 - 2618750 ns MR4_I 00000230 07d2684a - 2618770 ns IT 00000230 684a LDR r2,[r1,#4] - 2618790 ns MR4_I 00000234 6008d1fc - 2618850 ns MR4_D 40006004 00000001 - 2618850 ns R r2 00000001 - 2618850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2618870 ns R r2 80000000 - 2618870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2618890 ns R psr 81000200 - 2618890 ns MR4_I 00000238 48054770 - 2618910 ns MR4_I 00000230 07d2684a - 2618930 ns IT 00000230 684a LDR r2,[r1,#4] - 2618950 ns MR4_I 00000234 6008d1fc - 2619010 ns MR4_D 40006004 00000001 - 2619010 ns R r2 00000001 - 2619010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2619030 ns R r2 80000000 - 2619030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2619050 ns R psr 81000200 - 2619050 ns MR4_I 00000238 48054770 - 2619070 ns MR4_I 00000230 07d2684a - 2619090 ns IT 00000230 684a LDR r2,[r1,#4] - 2619110 ns MR4_I 00000234 6008d1fc - 2619170 ns MR4_D 40006004 00000001 - 2619170 ns R r2 00000001 - 2619170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2619190 ns R r2 80000000 - 2619190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2619210 ns R psr 81000200 - 2619210 ns MR4_I 00000238 48054770 - 2619230 ns MR4_I 00000230 07d2684a - 2619250 ns IT 00000230 684a LDR r2,[r1,#4] - 2619270 ns MR4_I 00000234 6008d1fc - 2619330 ns MR4_D 40006004 00000001 - 2619330 ns R r2 00000001 - 2619330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2619350 ns R r2 80000000 - 2619350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2619370 ns R psr 81000200 - 2619370 ns MR4_I 00000238 48054770 - 2619390 ns MR4_I 00000230 07d2684a - 2619410 ns IT 00000230 684a LDR r2,[r1,#4] - 2619430 ns MR4_I 00000234 6008d1fc - 2619490 ns MR4_D 40006004 00000001 - 2619490 ns R r2 00000001 - 2619490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2619510 ns R r2 80000000 - 2619510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2619530 ns R psr 81000200 - 2619530 ns MR4_I 00000238 48054770 - 2619550 ns MR4_I 00000230 07d2684a - 2619570 ns IT 00000230 684a LDR r2,[r1,#4] - 2619590 ns MR4_I 00000234 6008d1fc - 2619650 ns MR4_D 40006004 00000001 - 2619650 ns R r2 00000001 - 2619650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2619670 ns R r2 80000000 - 2619670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2619690 ns R psr 81000200 - 2619690 ns MR4_I 00000238 48054770 - 2619710 ns MR4_I 00000230 07d2684a - 2619730 ns IT 00000230 684a LDR r2,[r1,#4] - 2619750 ns MR4_I 00000234 6008d1fc - 2619810 ns MR4_D 40006004 00000001 - 2619810 ns R r2 00000001 - 2619810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2619830 ns R r2 80000000 - 2619830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2619850 ns R psr 81000200 - 2619850 ns MR4_I 00000238 48054770 - 2619870 ns MR4_I 00000230 07d2684a - 2619890 ns IT 00000230 684a LDR r2,[r1,#4] - 2619910 ns MR4_I 00000234 6008d1fc - 2619970 ns MR4_D 40006004 00000001 - 2619970 ns R r2 00000001 - 2619970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2619990 ns R r2 80000000 - 2619990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2620010 ns R psr 81000200 - 2620010 ns MR4_I 00000238 48054770 - 2620030 ns MR4_I 00000230 07d2684a - 2620050 ns IT 00000230 684a LDR r2,[r1,#4] - 2620070 ns MR4_I 00000234 6008d1fc - 2620130 ns MR4_D 40006004 00000001 - 2620130 ns R r2 00000001 - 2620130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2620150 ns R r2 80000000 - 2620150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2620170 ns R psr 81000200 - 2620170 ns MR4_I 00000238 48054770 - 2620190 ns MR4_I 00000230 07d2684a - 2620210 ns IT 00000230 684a LDR r2,[r1,#4] - 2620230 ns MR4_I 00000234 6008d1fc - 2620290 ns MR4_D 40006004 00000001 - 2620290 ns R r2 00000001 - 2620290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2620310 ns R r2 80000000 - 2620310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2620330 ns R psr 81000200 - 2620330 ns MR4_I 00000238 48054770 - 2620350 ns MR4_I 00000230 07d2684a - 2620370 ns IT 00000230 684a LDR r2,[r1,#4] - 2620390 ns MR4_I 00000234 6008d1fc - 2620450 ns MR4_D 40006004 00000001 - 2620450 ns R r2 00000001 - 2620450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2620470 ns R r2 80000000 - 2620470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2620490 ns R psr 81000200 - 2620490 ns MR4_I 00000238 48054770 - 2620510 ns MR4_I 00000230 07d2684a - 2620530 ns IT 00000230 684a LDR r2,[r1,#4] - 2620550 ns MR4_I 00000234 6008d1fc - 2620610 ns MR4_D 40006004 00000001 - 2620610 ns R r2 00000001 - 2620610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2620630 ns R r2 80000000 - 2620630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2620650 ns R psr 81000200 - 2620650 ns MR4_I 00000238 48054770 - 2620670 ns MR4_I 00000230 07d2684a - 2620690 ns IT 00000230 684a LDR r2,[r1,#4] - 2620710 ns MR4_I 00000234 6008d1fc - 2620770 ns MR4_D 40006004 00000001 - 2620770 ns R r2 00000001 - 2620770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2620790 ns R r2 80000000 - 2620790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2620810 ns R psr 81000200 - 2620810 ns MR4_I 00000238 48054770 - 2620830 ns MR4_I 00000230 07d2684a - 2620850 ns IT 00000230 684a LDR r2,[r1,#4] - 2620870 ns MR4_I 00000234 6008d1fc - 2620930 ns MR4_D 40006004 00000001 - 2620930 ns R r2 00000001 - 2620930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2620950 ns R r2 80000000 - 2620950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2620970 ns R psr 81000200 - 2620970 ns MR4_I 00000238 48054770 - 2620990 ns MR4_I 00000230 07d2684a - 2621010 ns IT 00000230 684a LDR r2,[r1,#4] - 2621030 ns MR4_I 00000234 6008d1fc - 2621090 ns MR4_D 40006004 00000001 - 2621090 ns R r2 00000001 - 2621090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2621110 ns R r2 80000000 - 2621110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2621130 ns R psr 81000200 - 2621130 ns MR4_I 00000238 48054770 - 2621150 ns MR4_I 00000230 07d2684a - 2621170 ns IT 00000230 684a LDR r2,[r1,#4] - 2621190 ns MR4_I 00000234 6008d1fc - 2621250 ns MR4_D 40006004 00000001 - 2621250 ns R r2 00000001 - 2621250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2621270 ns R r2 80000000 - 2621270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2621290 ns R psr 81000200 - 2621290 ns MR4_I 00000238 48054770 - 2621310 ns MR4_I 00000230 07d2684a - 2621330 ns IT 00000230 684a LDR r2,[r1,#4] - 2621350 ns MR4_I 00000234 6008d1fc - 2621410 ns MR4_D 40006004 00000001 - 2621410 ns R r2 00000001 - 2621410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2621430 ns R r2 80000000 - 2621430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2621450 ns R psr 81000200 - 2621450 ns MR4_I 00000238 48054770 - 2621470 ns MR4_I 00000230 07d2684a - 2621490 ns IT 00000230 684a LDR r2,[r1,#4] - 2621510 ns MR4_I 00000234 6008d1fc - 2621570 ns MR4_D 40006004 00000001 - 2621570 ns R r2 00000001 - 2621570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2621590 ns R r2 80000000 - 2621590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2621610 ns R psr 81000200 - 2621610 ns MR4_I 00000238 48054770 - 2621630 ns MR4_I 00000230 07d2684a - 2621650 ns IT 00000230 684a LDR r2,[r1,#4] - 2621670 ns MR4_I 00000234 6008d1fc - 2621730 ns MR4_D 40006004 00000001 - 2621730 ns R r2 00000001 - 2621730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2621750 ns R r2 80000000 - 2621750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2621770 ns R psr 81000200 - 2621770 ns MR4_I 00000238 48054770 - 2621790 ns MR4_I 00000230 07d2684a - 2621810 ns IT 00000230 684a LDR r2,[r1,#4] - 2621830 ns MR4_I 00000234 6008d1fc - 2621890 ns MR4_D 40006004 00000001 - 2621890 ns R r2 00000001 - 2621890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2621910 ns R r2 80000000 - 2621910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2621930 ns R psr 81000200 - 2621930 ns MR4_I 00000238 48054770 - 2621950 ns MR4_I 00000230 07d2684a - 2621970 ns IT 00000230 684a LDR r2,[r1,#4] - 2621990 ns MR4_I 00000234 6008d1fc - 2622050 ns MR4_D 40006004 00000001 - 2622050 ns R r2 00000001 - 2622050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2622070 ns R r2 80000000 - 2622070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2622090 ns R psr 81000200 - 2622090 ns MR4_I 00000238 48054770 - 2622110 ns MR4_I 00000230 07d2684a - 2622130 ns IT 00000230 684a LDR r2,[r1,#4] - 2622150 ns MR4_I 00000234 6008d1fc - 2622210 ns MR4_D 40006004 00000001 - 2622210 ns R r2 00000001 - 2622210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2622230 ns R r2 80000000 - 2622230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2622250 ns R psr 81000200 - 2622250 ns MR4_I 00000238 48054770 - 2622270 ns MR4_I 00000230 07d2684a - 2622290 ns IT 00000230 684a LDR r2,[r1,#4] - 2622310 ns MR4_I 00000234 6008d1fc - 2622370 ns MR4_D 40006004 00000001 - 2622370 ns R r2 00000001 - 2622370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2622390 ns R r2 80000000 - 2622390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2622410 ns R psr 81000200 - 2622410 ns MR4_I 00000238 48054770 - 2622430 ns MR4_I 00000230 07d2684a - 2622450 ns IT 00000230 684a LDR r2,[r1,#4] - 2622470 ns MR4_I 00000234 6008d1fc - 2622530 ns MR4_D 40006004 00000001 - 2622530 ns R r2 00000001 - 2622530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2622550 ns R r2 80000000 - 2622550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2622570 ns R psr 81000200 - 2622570 ns MR4_I 00000238 48054770 - 2622590 ns MR4_I 00000230 07d2684a - 2622610 ns IT 00000230 684a LDR r2,[r1,#4] - 2622630 ns MR4_I 00000234 6008d1fc - 2622690 ns MR4_D 40006004 00000001 - 2622690 ns R r2 00000001 - 2622690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2622710 ns R r2 80000000 - 2622710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2622730 ns R psr 81000200 - 2622730 ns MR4_I 00000238 48054770 - 2622750 ns MR4_I 00000230 07d2684a - 2622770 ns IT 00000230 684a LDR r2,[r1,#4] - 2622790 ns MR4_I 00000234 6008d1fc - 2622850 ns MR4_D 40006004 00000001 - 2622850 ns R r2 00000001 - 2622850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2622870 ns R r2 80000000 - 2622870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2622890 ns R psr 81000200 - 2622890 ns MR4_I 00000238 48054770 - 2622910 ns MR4_I 00000230 07d2684a - 2622930 ns IT 00000230 684a LDR r2,[r1,#4] - 2622950 ns MR4_I 00000234 6008d1fc - 2623010 ns MR4_D 40006004 00000001 - 2623010 ns R r2 00000001 - 2623010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2623030 ns R r2 80000000 - 2623030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2623050 ns R psr 81000200 - 2623050 ns MR4_I 00000238 48054770 - 2623070 ns MR4_I 00000230 07d2684a - 2623090 ns IT 00000230 684a LDR r2,[r1,#4] - 2623110 ns MR4_I 00000234 6008d1fc - 2623170 ns MR4_D 40006004 00000001 - 2623170 ns R r2 00000001 - 2623170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2623190 ns R r2 80000000 - 2623190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2623210 ns R psr 81000200 - 2623210 ns MR4_I 00000238 48054770 - 2623230 ns MR4_I 00000230 07d2684a - 2623250 ns IT 00000230 684a LDR r2,[r1,#4] - 2623270 ns MR4_I 00000234 6008d1fc - 2623330 ns MR4_D 40006004 00000001 - 2623330 ns R r2 00000001 - 2623330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2623350 ns R r2 80000000 - 2623350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2623370 ns R psr 81000200 - 2623370 ns MR4_I 00000238 48054770 - 2623390 ns MR4_I 00000230 07d2684a - 2623410 ns IT 00000230 684a LDR r2,[r1,#4] - 2623430 ns MR4_I 00000234 6008d1fc - 2623490 ns MR4_D 40006004 00000001 - 2623490 ns R r2 00000001 - 2623490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2623510 ns R r2 80000000 - 2623510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2623530 ns R psr 81000200 - 2623530 ns MR4_I 00000238 48054770 - 2623550 ns MR4_I 00000230 07d2684a - 2623570 ns IT 00000230 684a LDR r2,[r1,#4] - 2623590 ns MR4_I 00000234 6008d1fc - 2623650 ns MR4_D 40006004 00000001 - 2623650 ns R r2 00000001 - 2623650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2623670 ns R r2 80000000 - 2623670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2623690 ns R psr 81000200 - 2623690 ns MR4_I 00000238 48054770 - 2623710 ns MR4_I 00000230 07d2684a - 2623730 ns IT 00000230 684a LDR r2,[r1,#4] - 2623750 ns MR4_I 00000234 6008d1fc - 2623810 ns MR4_D 40006004 00000001 - 2623810 ns R r2 00000001 - 2623810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2623830 ns R r2 80000000 - 2623830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2623850 ns R psr 81000200 - 2623850 ns MR4_I 00000238 48054770 - 2623870 ns MR4_I 00000230 07d2684a - 2623890 ns IT 00000230 684a LDR r2,[r1,#4] - 2623910 ns MR4_I 00000234 6008d1fc - 2623970 ns MR4_D 40006004 00000001 - 2623970 ns R r2 00000001 - 2623970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2623990 ns R r2 80000000 - 2623990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2624010 ns R psr 81000200 - 2624010 ns MR4_I 00000238 48054770 - 2624030 ns MR4_I 00000230 07d2684a - 2624050 ns IT 00000230 684a LDR r2,[r1,#4] - 2624070 ns MR4_I 00000234 6008d1fc - 2624130 ns MR4_D 40006004 00000001 - 2624130 ns R r2 00000001 - 2624130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2624150 ns R r2 80000000 - 2624150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2624170 ns R psr 81000200 - 2624170 ns MR4_I 00000238 48054770 - 2624190 ns MR4_I 00000230 07d2684a - 2624210 ns IT 00000230 684a LDR r2,[r1,#4] - 2624230 ns MR4_I 00000234 6008d1fc - 2624290 ns MR4_D 40006004 00000001 - 2624290 ns R r2 00000001 - 2624290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2624310 ns R r2 80000000 - 2624310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2624330 ns R psr 81000200 - 2624330 ns MR4_I 00000238 48054770 - 2624350 ns MR4_I 00000230 07d2684a - 2624370 ns IT 00000230 684a LDR r2,[r1,#4] - 2624390 ns MR4_I 00000234 6008d1fc - 2624450 ns MR4_D 40006004 00000001 - 2624450 ns R r2 00000001 - 2624450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2624470 ns R r2 80000000 - 2624470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2624490 ns R psr 81000200 - 2624490 ns MR4_I 00000238 48054770 - 2624510 ns MR4_I 00000230 07d2684a - 2624530 ns IT 00000230 684a LDR r2,[r1,#4] - 2624550 ns MR4_I 00000234 6008d1fc - 2624610 ns MR4_D 40006004 00000001 - 2624610 ns R r2 00000001 - 2624610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2624630 ns R r2 80000000 - 2624630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2624650 ns R psr 81000200 - 2624650 ns MR4_I 00000238 48054770 - 2624670 ns MR4_I 00000230 07d2684a - 2624690 ns IT 00000230 684a LDR r2,[r1,#4] - 2624710 ns MR4_I 00000234 6008d1fc - 2624770 ns MR4_D 40006004 00000001 - 2624770 ns R r2 00000001 - 2624770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2624790 ns R r2 80000000 - 2624790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2624810 ns R psr 81000200 - 2624810 ns MR4_I 00000238 48054770 - 2624830 ns MR4_I 00000230 07d2684a - 2624850 ns IT 00000230 684a LDR r2,[r1,#4] - 2624870 ns MR4_I 00000234 6008d1fc - 2624930 ns MR4_D 40006004 00000001 - 2624930 ns R r2 00000001 - 2624930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2624950 ns R r2 80000000 - 2624950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2624970 ns R psr 81000200 - 2624970 ns MR4_I 00000238 48054770 - 2624990 ns MR4_I 00000230 07d2684a - 2625010 ns IT 00000230 684a LDR r2,[r1,#4] - 2625030 ns MR4_I 00000234 6008d1fc - 2625090 ns MR4_D 40006004 00000001 - 2625090 ns R r2 00000001 - 2625090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2625110 ns R r2 80000000 - 2625110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2625130 ns R psr 81000200 - 2625130 ns MR4_I 00000238 48054770 - 2625150 ns MR4_I 00000230 07d2684a - 2625170 ns IT 00000230 684a LDR r2,[r1,#4] - 2625190 ns MR4_I 00000234 6008d1fc - 2625250 ns MR4_D 40006004 00000001 - 2625250 ns R r2 00000001 - 2625250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2625270 ns R r2 80000000 - 2625270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2625290 ns R psr 81000200 - 2625290 ns MR4_I 00000238 48054770 - 2625310 ns MR4_I 00000230 07d2684a - 2625330 ns IT 00000230 684a LDR r2,[r1,#4] - 2625350 ns MR4_I 00000234 6008d1fc - 2625410 ns MR4_D 40006004 00000001 - 2625410 ns R r2 00000001 - 2625410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2625430 ns R r2 80000000 - 2625430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2625450 ns R psr 81000200 - 2625450 ns MR4_I 00000238 48054770 - 2625470 ns MR4_I 00000230 07d2684a - 2625490 ns IT 00000230 684a LDR r2,[r1,#4] - 2625510 ns MR4_I 00000234 6008d1fc - 2625570 ns MR4_D 40006004 00000001 - 2625570 ns R r2 00000001 - 2625570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2625590 ns R r2 80000000 - 2625590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2625610 ns R psr 81000200 - 2625610 ns MR4_I 00000238 48054770 - 2625630 ns MR4_I 00000230 07d2684a - 2625650 ns IT 00000230 684a LDR r2,[r1,#4] - 2625670 ns MR4_I 00000234 6008d1fc - 2625730 ns MR4_D 40006004 00000001 - 2625730 ns R r2 00000001 - 2625730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2625750 ns R r2 80000000 - 2625750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2625770 ns R psr 81000200 - 2625770 ns MR4_I 00000238 48054770 - 2625790 ns MR4_I 00000230 07d2684a - 2625810 ns IT 00000230 684a LDR r2,[r1,#4] - 2625830 ns MR4_I 00000234 6008d1fc - 2625890 ns MR4_D 40006004 00000001 - 2625890 ns R r2 00000001 - 2625890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2625910 ns R r2 80000000 - 2625910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2625930 ns R psr 81000200 - 2625930 ns MR4_I 00000238 48054770 - 2625950 ns MR4_I 00000230 07d2684a - 2625970 ns IT 00000230 684a LDR r2,[r1,#4] - 2625990 ns MR4_I 00000234 6008d1fc - 2626050 ns MR4_D 40006004 00000001 - 2626050 ns R r2 00000001 - 2626050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2626070 ns R r2 80000000 - 2626070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2626090 ns R psr 81000200 - 2626090 ns MR4_I 00000238 48054770 - 2626110 ns MR4_I 00000230 07d2684a - 2626130 ns IT 00000230 684a LDR r2,[r1,#4] - 2626150 ns MR4_I 00000234 6008d1fc - 2626210 ns MR4_D 40006004 00000001 - 2626210 ns R r2 00000001 - 2626210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2626230 ns R r2 80000000 - 2626230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2626250 ns R psr 81000200 - 2626250 ns MR4_I 00000238 48054770 - 2626270 ns MR4_I 00000230 07d2684a - 2626290 ns IT 00000230 684a LDR r2,[r1,#4] - 2626310 ns MR4_I 00000234 6008d1fc - 2626370 ns MR4_D 40006004 00000001 - 2626370 ns R r2 00000001 - 2626370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2626390 ns R r2 80000000 - 2626390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2626410 ns R psr 81000200 - 2626410 ns MR4_I 00000238 48054770 - 2626430 ns MR4_I 00000230 07d2684a - 2626450 ns IT 00000230 684a LDR r2,[r1,#4] - 2626470 ns MR4_I 00000234 6008d1fc - 2626530 ns MR4_D 40006004 00000001 - 2626530 ns R r2 00000001 - 2626530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2626550 ns R r2 80000000 - 2626550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2626570 ns R psr 81000200 - 2626570 ns MR4_I 00000238 48054770 - 2626590 ns MR4_I 00000230 07d2684a - 2626610 ns IT 00000230 684a LDR r2,[r1,#4] - 2626630 ns MR4_I 00000234 6008d1fc - 2626690 ns MR4_D 40006004 00000001 - 2626690 ns R r2 00000001 - 2626690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2626710 ns R r2 80000000 - 2626710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2626730 ns R psr 81000200 - 2626730 ns MR4_I 00000238 48054770 - 2626750 ns MR4_I 00000230 07d2684a - 2626770 ns IT 00000230 684a LDR r2,[r1,#4] - 2626790 ns MR4_I 00000234 6008d1fc - 2626850 ns MR4_D 40006004 00000001 - 2626850 ns R r2 00000001 - 2626850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2626870 ns R r2 80000000 - 2626870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2626890 ns R psr 81000200 - 2626890 ns MR4_I 00000238 48054770 - 2626910 ns MR4_I 00000230 07d2684a - 2626930 ns IT 00000230 684a LDR r2,[r1,#4] - 2626950 ns MR4_I 00000234 6008d1fc - 2627010 ns MR4_D 40006004 00000001 - 2627010 ns R r2 00000001 - 2627010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2627030 ns R r2 80000000 - 2627030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2627050 ns R psr 81000200 - 2627050 ns MR4_I 00000238 48054770 - 2627070 ns MR4_I 00000230 07d2684a - 2627090 ns IT 00000230 684a LDR r2,[r1,#4] - 2627110 ns MR4_I 00000234 6008d1fc - 2627170 ns MR4_D 40006004 00000001 - 2627170 ns R r2 00000001 - 2627170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2627190 ns R r2 80000000 - 2627190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2627210 ns R psr 81000200 - 2627210 ns MR4_I 00000238 48054770 - 2627230 ns MR4_I 00000230 07d2684a - 2627250 ns IT 00000230 684a LDR r2,[r1,#4] - 2627270 ns MR4_I 00000234 6008d1fc - 2627330 ns MR4_D 40006004 00000001 - 2627330 ns R r2 00000001 - 2627330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2627350 ns R r2 80000000 - 2627350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2627370 ns R psr 81000200 - 2627370 ns MR4_I 00000238 48054770 - 2627390 ns MR4_I 00000230 07d2684a - 2627410 ns IT 00000230 684a LDR r2,[r1,#4] - 2627430 ns MR4_I 00000234 6008d1fc - 2627490 ns MR4_D 40006004 00000001 - 2627490 ns R r2 00000001 - 2627490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2627510 ns R r2 80000000 - 2627510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2627530 ns R psr 81000200 - 2627530 ns MR4_I 00000238 48054770 - 2627550 ns MR4_I 00000230 07d2684a - 2627570 ns IT 00000230 684a LDR r2,[r1,#4] - 2627590 ns MR4_I 00000234 6008d1fc - 2627650 ns MR4_D 40006004 00000001 - 2627650 ns R r2 00000001 - 2627650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2627670 ns R r2 80000000 - 2627670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2627690 ns R psr 81000200 - 2627690 ns MR4_I 00000238 48054770 - 2627710 ns MR4_I 00000230 07d2684a - 2627730 ns IT 00000230 684a LDR r2,[r1,#4] - 2627750 ns MR4_I 00000234 6008d1fc - 2627810 ns MR4_D 40006004 00000001 - 2627810 ns R r2 00000001 - 2627810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2627830 ns R r2 80000000 - 2627830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2627850 ns R psr 81000200 - 2627850 ns MR4_I 00000238 48054770 - 2627870 ns MR4_I 00000230 07d2684a - 2627890 ns IT 00000230 684a LDR r2,[r1,#4] - 2627910 ns MR4_I 00000234 6008d1fc - 2627970 ns MR4_D 40006004 00000001 - 2627970 ns R r2 00000001 - 2627970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2627990 ns R r2 80000000 - 2627990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2628010 ns R psr 81000200 - 2628010 ns MR4_I 00000238 48054770 - 2628030 ns MR4_I 00000230 07d2684a - 2628050 ns IT 00000230 684a LDR r2,[r1,#4] - 2628070 ns MR4_I 00000234 6008d1fc - 2628130 ns MR4_D 40006004 00000001 - 2628130 ns R r2 00000001 - 2628130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2628150 ns R r2 80000000 - 2628150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2628170 ns R psr 81000200 - 2628170 ns MR4_I 00000238 48054770 - 2628190 ns MR4_I 00000230 07d2684a - 2628210 ns IT 00000230 684a LDR r2,[r1,#4] - 2628230 ns MR4_I 00000234 6008d1fc - 2628290 ns MR4_D 40006004 00000001 - 2628290 ns R r2 00000001 - 2628290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2628310 ns R r2 80000000 - 2628310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2628330 ns R psr 81000200 - 2628330 ns MR4_I 00000238 48054770 - 2628350 ns MR4_I 00000230 07d2684a - 2628370 ns IT 00000230 684a LDR r2,[r1,#4] - 2628390 ns MR4_I 00000234 6008d1fc - 2628450 ns MR4_D 40006004 00000001 - 2628450 ns R r2 00000001 - 2628450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2628470 ns R r2 80000000 - 2628470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2628490 ns R psr 81000200 - 2628490 ns MR4_I 00000238 48054770 - 2628510 ns MR4_I 00000230 07d2684a - 2628530 ns IT 00000230 684a LDR r2,[r1,#4] - 2628550 ns MR4_I 00000234 6008d1fc - 2628610 ns MR4_D 40006004 00000001 - 2628610 ns R r2 00000001 - 2628610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2628630 ns R r2 80000000 - 2628630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2628650 ns R psr 81000200 - 2628650 ns MR4_I 00000238 48054770 - 2628670 ns MR4_I 00000230 07d2684a - 2628690 ns IT 00000230 684a LDR r2,[r1,#4] - 2628710 ns MR4_I 00000234 6008d1fc - 2628770 ns MR4_D 40006004 00000001 - 2628770 ns R r2 00000001 - 2628770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2628790 ns R r2 80000000 - 2628790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2628810 ns R psr 81000200 - 2628810 ns MR4_I 00000238 48054770 - 2628830 ns MR4_I 00000230 07d2684a - 2628850 ns IT 00000230 684a LDR r2,[r1,#4] - 2628870 ns MR4_I 00000234 6008d1fc - 2628930 ns MR4_D 40006004 00000001 - 2628930 ns R r2 00000001 - 2628930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2628950 ns R r2 80000000 - 2628950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2628970 ns R psr 81000200 - 2628970 ns MR4_I 00000238 48054770 - 2628990 ns MR4_I 00000230 07d2684a - 2629010 ns IT 00000230 684a LDR r2,[r1,#4] - 2629030 ns MR4_I 00000234 6008d1fc - 2629090 ns MR4_D 40006004 00000001 - 2629090 ns R r2 00000001 - 2629090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2629110 ns R r2 80000000 - 2629110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2629130 ns R psr 81000200 - 2629130 ns MR4_I 00000238 48054770 - 2629150 ns MR4_I 00000230 07d2684a - 2629170 ns IT 00000230 684a LDR r2,[r1,#4] - 2629190 ns MR4_I 00000234 6008d1fc - 2629250 ns MR4_D 40006004 00000001 - 2629250 ns R r2 00000001 - 2629250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2629270 ns R r2 80000000 - 2629270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2629290 ns R psr 81000200 - 2629290 ns MR4_I 00000238 48054770 - 2629310 ns MR4_I 00000230 07d2684a - 2629330 ns IT 00000230 684a LDR r2,[r1,#4] - 2629350 ns MR4_I 00000234 6008d1fc - 2629410 ns MR4_D 40006004 00000001 - 2629410 ns R r2 00000001 - 2629410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2629430 ns R r2 80000000 - 2629430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2629450 ns R psr 81000200 - 2629450 ns MR4_I 00000238 48054770 - 2629470 ns MR4_I 00000230 07d2684a - 2629490 ns IT 00000230 684a LDR r2,[r1,#4] - 2629510 ns MR4_I 00000234 6008d1fc - 2629570 ns MR4_D 40006004 00000001 - 2629570 ns R r2 00000001 - 2629570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2629590 ns R r2 80000000 - 2629590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2629610 ns R psr 81000200 - 2629610 ns MR4_I 00000238 48054770 - 2629630 ns MR4_I 00000230 07d2684a - 2629650 ns IT 00000230 684a LDR r2,[r1,#4] - 2629670 ns MR4_I 00000234 6008d1fc - 2629730 ns MR4_D 40006004 00000001 - 2629730 ns R r2 00000001 - 2629730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2629750 ns R r2 80000000 - 2629750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2629770 ns R psr 81000200 - 2629770 ns MR4_I 00000238 48054770 - 2629790 ns MR4_I 00000230 07d2684a - 2629810 ns IT 00000230 684a LDR r2,[r1,#4] - 2629830 ns MR4_I 00000234 6008d1fc - 2629890 ns MR4_D 40006004 00000001 - 2629890 ns R r2 00000001 - 2629890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2629910 ns R r2 80000000 - 2629910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2629930 ns R psr 81000200 - 2629930 ns MR4_I 00000238 48054770 - 2629950 ns MR4_I 00000230 07d2684a - 2629970 ns IT 00000230 684a LDR r2,[r1,#4] - 2629990 ns MR4_I 00000234 6008d1fc - 2630050 ns MR4_D 40006004 00000001 - 2630050 ns R r2 00000001 - 2630050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2630070 ns R r2 80000000 - 2630070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2630090 ns R psr 81000200 - 2630090 ns MR4_I 00000238 48054770 - 2630110 ns MR4_I 00000230 07d2684a - 2630130 ns IT 00000230 684a LDR r2,[r1,#4] - 2630150 ns MR4_I 00000234 6008d1fc - 2630210 ns MR4_D 40006004 00000001 - 2630210 ns R r2 00000001 - 2630210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2630230 ns R r2 80000000 - 2630230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2630250 ns R psr 81000200 - 2630250 ns MR4_I 00000238 48054770 - 2630270 ns MR4_I 00000230 07d2684a - 2630290 ns IT 00000230 684a LDR r2,[r1,#4] - 2630310 ns MR4_I 00000234 6008d1fc - 2630370 ns MR4_D 40006004 00000001 - 2630370 ns R r2 00000001 - 2630370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2630390 ns R r2 80000000 - 2630390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2630410 ns R psr 81000200 - 2630410 ns MR4_I 00000238 48054770 - 2630430 ns MR4_I 00000230 07d2684a - 2630450 ns IT 00000230 684a LDR r2,[r1,#4] - 2630470 ns MR4_I 00000234 6008d1fc - 2630530 ns MR4_D 40006004 00000001 - 2630530 ns R r2 00000001 - 2630530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2630550 ns R r2 80000000 - 2630550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2630570 ns R psr 81000200 - 2630570 ns MR4_I 00000238 48054770 - 2630590 ns MR4_I 00000230 07d2684a - 2630610 ns IT 00000230 684a LDR r2,[r1,#4] - 2630630 ns MR4_I 00000234 6008d1fc - 2630690 ns MR4_D 40006004 00000001 - 2630690 ns R r2 00000001 - 2630690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2630710 ns R r2 80000000 - 2630710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2630730 ns R psr 81000200 - 2630730 ns MR4_I 00000238 48054770 - 2630750 ns MR4_I 00000230 07d2684a - 2630770 ns IT 00000230 684a LDR r2,[r1,#4] - 2630790 ns MR4_I 00000234 6008d1fc - 2630850 ns MR4_D 40006004 00000001 - 2630850 ns R r2 00000001 - 2630850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2630870 ns R r2 80000000 - 2630870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2630890 ns R psr 81000200 - 2630890 ns MR4_I 00000238 48054770 - 2630910 ns MR4_I 00000230 07d2684a - 2630930 ns IT 00000230 684a LDR r2,[r1,#4] - 2630950 ns MR4_I 00000234 6008d1fc - 2631010 ns MR4_D 40006004 00000001 - 2631010 ns R r2 00000001 - 2631010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2631030 ns R r2 80000000 - 2631030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2631050 ns R psr 81000200 - 2631050 ns MR4_I 00000238 48054770 - 2631070 ns MR4_I 00000230 07d2684a - 2631090 ns IT 00000230 684a LDR r2,[r1,#4] - 2631110 ns MR4_I 00000234 6008d1fc - 2631170 ns MR4_D 40006004 00000001 - 2631170 ns R r2 00000001 - 2631170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2631190 ns R r2 80000000 - 2631190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2631210 ns R psr 81000200 - 2631210 ns MR4_I 00000238 48054770 - 2631230 ns MR4_I 00000230 07d2684a - 2631250 ns IT 00000230 684a LDR r2,[r1,#4] - 2631270 ns MR4_I 00000234 6008d1fc - 2631330 ns MR4_D 40006004 00000001 - 2631330 ns R r2 00000001 - 2631330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2631350 ns R r2 80000000 - 2631350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2631370 ns R psr 81000200 - 2631370 ns MR4_I 00000238 48054770 - 2631390 ns MR4_I 00000230 07d2684a - 2631410 ns IT 00000230 684a LDR r2,[r1,#4] - 2631430 ns MR4_I 00000234 6008d1fc - 2631490 ns MR4_D 40006004 00000001 - 2631490 ns R r2 00000001 - 2631490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2631510 ns R r2 80000000 - 2631510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2631530 ns R psr 81000200 - 2631530 ns MR4_I 00000238 48054770 - 2631550 ns MR4_I 00000230 07d2684a - 2631570 ns IT 00000230 684a LDR r2,[r1,#4] - 2631590 ns MR4_I 00000234 6008d1fc - 2631650 ns MR4_D 40006004 00000001 - 2631650 ns R r2 00000001 - 2631650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2631670 ns R r2 80000000 - 2631670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2631690 ns R psr 81000200 - 2631690 ns MR4_I 00000238 48054770 - 2631710 ns MR4_I 00000230 07d2684a - 2631730 ns IT 00000230 684a LDR r2,[r1,#4] - 2631750 ns MR4_I 00000234 6008d1fc - 2631810 ns MR4_D 40006004 00000001 - 2631810 ns R r2 00000001 - 2631810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2631830 ns R r2 80000000 - 2631830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2631850 ns R psr 81000200 - 2631850 ns MR4_I 00000238 48054770 - 2631870 ns MR4_I 00000230 07d2684a - 2631890 ns IT 00000230 684a LDR r2,[r1,#4] - 2631910 ns MR4_I 00000234 6008d1fc - 2631970 ns MR4_D 40006004 00000001 - 2631970 ns R r2 00000001 - 2631970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2631990 ns R r2 80000000 - 2631990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2632010 ns R psr 81000200 - 2632010 ns MR4_I 00000238 48054770 - 2632030 ns MR4_I 00000230 07d2684a - 2632050 ns IT 00000230 684a LDR r2,[r1,#4] - 2632070 ns MR4_I 00000234 6008d1fc - 2632130 ns MR4_D 40006004 00000001 - 2632130 ns R r2 00000001 - 2632130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2632150 ns R r2 80000000 - 2632150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2632170 ns R psr 81000200 - 2632170 ns MR4_I 00000238 48054770 - 2632190 ns MR4_I 00000230 07d2684a - 2632210 ns IT 00000230 684a LDR r2,[r1,#4] - 2632230 ns MR4_I 00000234 6008d1fc - 2632290 ns MR4_D 40006004 00000001 - 2632290 ns R r2 00000001 - 2632290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2632310 ns R r2 80000000 - 2632310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2632330 ns R psr 81000200 - 2632330 ns MR4_I 00000238 48054770 - 2632350 ns MR4_I 00000230 07d2684a - 2632370 ns IT 00000230 684a LDR r2,[r1,#4] - 2632390 ns MR4_I 00000234 6008d1fc - 2632450 ns MR4_D 40006004 00000001 - 2632450 ns R r2 00000001 - 2632450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2632470 ns R r2 80000000 - 2632470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2632490 ns R psr 81000200 - 2632490 ns MR4_I 00000238 48054770 - 2632510 ns MR4_I 00000230 07d2684a - 2632530 ns IT 00000230 684a LDR r2,[r1,#4] - 2632550 ns MR4_I 00000234 6008d1fc - 2632610 ns MR4_D 40006004 00000001 - 2632610 ns R r2 00000001 - 2632610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2632630 ns R r2 80000000 - 2632630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2632650 ns R psr 81000200 - 2632650 ns MR4_I 00000238 48054770 - 2632670 ns MR4_I 00000230 07d2684a - 2632690 ns IT 00000230 684a LDR r2,[r1,#4] - 2632710 ns MR4_I 00000234 6008d1fc - 2632770 ns MR4_D 40006004 00000001 - 2632770 ns R r2 00000001 - 2632770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2632790 ns R r2 80000000 - 2632790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2632810 ns R psr 81000200 - 2632810 ns MR4_I 00000238 48054770 - 2632830 ns MR4_I 00000230 07d2684a - 2632850 ns IT 00000230 684a LDR r2,[r1,#4] - 2632870 ns MR4_I 00000234 6008d1fc - 2632930 ns MR4_D 40006004 00000001 - 2632930 ns R r2 00000001 - 2632930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2632950 ns R r2 80000000 - 2632950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2632970 ns R psr 81000200 - 2632970 ns MR4_I 00000238 48054770 - 2632990 ns MR4_I 00000230 07d2684a - 2633010 ns IT 00000230 684a LDR r2,[r1,#4] - 2633030 ns MR4_I 00000234 6008d1fc - 2633090 ns MR4_D 40006004 00000001 - 2633090 ns R r2 00000001 - 2633090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2633110 ns R r2 80000000 - 2633110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2633130 ns R psr 81000200 - 2633130 ns MR4_I 00000238 48054770 - 2633150 ns MR4_I 00000230 07d2684a - 2633170 ns IT 00000230 684a LDR r2,[r1,#4] - 2633190 ns MR4_I 00000234 6008d1fc - 2633250 ns MR4_D 40006004 00000001 - 2633250 ns R r2 00000001 - 2633250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2633270 ns R r2 80000000 - 2633270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2633290 ns R psr 81000200 - 2633290 ns MR4_I 00000238 48054770 - 2633310 ns MR4_I 00000230 07d2684a - 2633330 ns IT 00000230 684a LDR r2,[r1,#4] - 2633350 ns MR4_I 00000234 6008d1fc - 2633410 ns MR4_D 40006004 00000001 - 2633410 ns R r2 00000001 - 2633410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2633430 ns R r2 80000000 - 2633430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2633450 ns R psr 81000200 - 2633450 ns MR4_I 00000238 48054770 - 2633470 ns MR4_I 00000230 07d2684a - 2633490 ns IT 00000230 684a LDR r2,[r1,#4] - 2633510 ns MR4_I 00000234 6008d1fc - 2633570 ns MR4_D 40006004 00000001 - 2633570 ns R r2 00000001 - 2633570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2633590 ns R r2 80000000 - 2633590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2633610 ns R psr 81000200 - 2633610 ns MR4_I 00000238 48054770 - 2633630 ns MR4_I 00000230 07d2684a - 2633650 ns IT 00000230 684a LDR r2,[r1,#4] - 2633670 ns MR4_I 00000234 6008d1fc - 2633730 ns MR4_D 40006004 00000001 - 2633730 ns R r2 00000001 - 2633730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2633750 ns R r2 80000000 - 2633750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2633770 ns R psr 81000200 - 2633770 ns MR4_I 00000238 48054770 - 2633790 ns MR4_I 00000230 07d2684a - 2633810 ns IT 00000230 684a LDR r2,[r1,#4] - 2633830 ns MR4_I 00000234 6008d1fc - 2633890 ns MR4_D 40006004 00000001 - 2633890 ns R r2 00000001 - 2633890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2633910 ns R r2 80000000 - 2633910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2633930 ns R psr 81000200 - 2633930 ns MR4_I 00000238 48054770 - 2633950 ns MR4_I 00000230 07d2684a - 2633970 ns IT 00000230 684a LDR r2,[r1,#4] - 2633990 ns MR4_I 00000234 6008d1fc - 2634050 ns MR4_D 40006004 00000001 - 2634050 ns R r2 00000001 - 2634050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2634070 ns R r2 80000000 - 2634070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2634090 ns R psr 81000200 - 2634090 ns MR4_I 00000238 48054770 - 2634110 ns MR4_I 00000230 07d2684a - 2634130 ns IT 00000230 684a LDR r2,[r1,#4] - 2634150 ns MR4_I 00000234 6008d1fc - 2634210 ns MR4_D 40006004 00000001 - 2634210 ns R r2 00000001 - 2634210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2634230 ns R r2 80000000 - 2634230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2634250 ns R psr 81000200 - 2634250 ns MR4_I 00000238 48054770 - 2634270 ns MR4_I 00000230 07d2684a - 2634290 ns IT 00000230 684a LDR r2,[r1,#4] - 2634310 ns MR4_I 00000234 6008d1fc - 2634370 ns MR4_D 40006004 00000001 - 2634370 ns R r2 00000001 - 2634370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2634390 ns R r2 80000000 - 2634390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2634410 ns R psr 81000200 - 2634410 ns MR4_I 00000238 48054770 - 2634430 ns MR4_I 00000230 07d2684a - 2634450 ns IT 00000230 684a LDR r2,[r1,#4] - 2634470 ns MR4_I 00000234 6008d1fc - 2634530 ns MR4_D 40006004 00000001 - 2634530 ns R r2 00000001 - 2634530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2634550 ns R r2 80000000 - 2634550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2634570 ns R psr 81000200 - 2634570 ns MR4_I 00000238 48054770 - 2634590 ns MR4_I 00000230 07d2684a - 2634610 ns IT 00000230 684a LDR r2,[r1,#4] - 2634630 ns MR4_I 00000234 6008d1fc - 2634690 ns MR4_D 40006004 00000001 - 2634690 ns R r2 00000001 - 2634690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2634710 ns R r2 80000000 - 2634710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2634730 ns R psr 81000200 - 2634730 ns MR4_I 00000238 48054770 - 2634750 ns MR4_I 00000230 07d2684a - 2634770 ns IT 00000230 684a LDR r2,[r1,#4] - 2634790 ns MR4_I 00000234 6008d1fc - 2634850 ns MR4_D 40006004 00000001 - 2634850 ns R r2 00000001 - 2634850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2634870 ns R r2 80000000 - 2634870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2634890 ns R psr 81000200 - 2634890 ns MR4_I 00000238 48054770 - 2634910 ns MR4_I 00000230 07d2684a - 2634930 ns IT 00000230 684a LDR r2,[r1,#4] - 2634950 ns MR4_I 00000234 6008d1fc - 2635010 ns MR4_D 40006004 00000001 - 2635010 ns R r2 00000001 - 2635010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2635030 ns R r2 80000000 - 2635030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2635050 ns R psr 81000200 - 2635050 ns MR4_I 00000238 48054770 - 2635070 ns MR4_I 00000230 07d2684a - 2635090 ns IT 00000230 684a LDR r2,[r1,#4] - 2635110 ns MR4_I 00000234 6008d1fc - 2635170 ns MR4_D 40006004 00000001 - 2635170 ns R r2 00000001 - 2635170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2635190 ns R r2 80000000 - 2635190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2635210 ns R psr 81000200 - 2635210 ns MR4_I 00000238 48054770 - 2635230 ns MR4_I 00000230 07d2684a - 2635250 ns IT 00000230 684a LDR r2,[r1,#4] - 2635270 ns MR4_I 00000234 6008d1fc - 2635330 ns MR4_D 40006004 00000001 - 2635330 ns R r2 00000001 - 2635330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2635350 ns R r2 80000000 - 2635350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2635370 ns R psr 81000200 - 2635370 ns MR4_I 00000238 48054770 - 2635390 ns MR4_I 00000230 07d2684a - 2635410 ns IT 00000230 684a LDR r2,[r1,#4] - 2635430 ns MR4_I 00000234 6008d1fc - 2635490 ns MR4_D 40006004 00000001 - 2635490 ns R r2 00000001 - 2635490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2635510 ns R r2 80000000 - 2635510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2635530 ns R psr 81000200 - 2635530 ns MR4_I 00000238 48054770 - 2635550 ns MR4_I 00000230 07d2684a - 2635570 ns IT 00000230 684a LDR r2,[r1,#4] - 2635590 ns MR4_I 00000234 6008d1fc - 2635650 ns MR4_D 40006004 00000001 - 2635650 ns R r2 00000001 - 2635650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2635670 ns R r2 80000000 - 2635670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2635690 ns R psr 81000200 - 2635690 ns MR4_I 00000238 48054770 - 2635710 ns MR4_I 00000230 07d2684a - 2635730 ns IT 00000230 684a LDR r2,[r1,#4] - 2635750 ns MR4_I 00000234 6008d1fc - 2635810 ns MR4_D 40006004 00000001 - 2635810 ns R r2 00000001 - 2635810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2635830 ns R r2 80000000 - 2635830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2635850 ns R psr 81000200 - 2635850 ns MR4_I 00000238 48054770 - 2635870 ns MR4_I 00000230 07d2684a - 2635890 ns IT 00000230 684a LDR r2,[r1,#4] - 2635910 ns MR4_I 00000234 6008d1fc - 2635970 ns MR4_D 40006004 00000001 - 2635970 ns R r2 00000001 - 2635970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2635990 ns R r2 80000000 - 2635990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2636010 ns R psr 81000200 - 2636010 ns MR4_I 00000238 48054770 - 2636030 ns MR4_I 00000230 07d2684a - 2636050 ns IT 00000230 684a LDR r2,[r1,#4] - 2636070 ns MR4_I 00000234 6008d1fc - 2636130 ns MR4_D 40006004 00000001 - 2636130 ns R r2 00000001 - 2636130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2636150 ns R r2 80000000 - 2636150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2636170 ns R psr 81000200 - 2636170 ns MR4_I 00000238 48054770 - 2636190 ns MR4_I 00000230 07d2684a - 2636210 ns IT 00000230 684a LDR r2,[r1,#4] - 2636230 ns MR4_I 00000234 6008d1fc - 2636290 ns MR4_D 40006004 00000001 - 2636290 ns R r2 00000001 - 2636290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2636310 ns R r2 80000000 - 2636310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2636330 ns R psr 81000200 - 2636330 ns MR4_I 00000238 48054770 - 2636350 ns MR4_I 00000230 07d2684a - 2636370 ns IT 00000230 684a LDR r2,[r1,#4] - 2636390 ns MR4_I 00000234 6008d1fc - 2636450 ns MR4_D 40006004 00000001 - 2636450 ns R r2 00000001 - 2636450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2636470 ns R r2 80000000 - 2636470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2636490 ns R psr 81000200 - 2636490 ns MR4_I 00000238 48054770 - 2636510 ns MR4_I 00000230 07d2684a - 2636530 ns IT 00000230 684a LDR r2,[r1,#4] - 2636550 ns MR4_I 00000234 6008d1fc - 2636610 ns MR4_D 40006004 00000001 - 2636610 ns R r2 00000001 - 2636610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2636630 ns R r2 80000000 - 2636630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2636650 ns R psr 81000200 - 2636650 ns MR4_I 00000238 48054770 - 2636670 ns MR4_I 00000230 07d2684a - 2636690 ns IT 00000230 684a LDR r2,[r1,#4] - 2636710 ns MR4_I 00000234 6008d1fc - 2636770 ns MR4_D 40006004 00000001 - 2636770 ns R r2 00000001 - 2636770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2636790 ns R r2 80000000 - 2636790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2636810 ns R psr 81000200 - 2636810 ns MR4_I 00000238 48054770 - 2636830 ns MR4_I 00000230 07d2684a - 2636850 ns IT 00000230 684a LDR r2,[r1,#4] - 2636870 ns MR4_I 00000234 6008d1fc - 2636930 ns MR4_D 40006004 00000001 - 2636930 ns R r2 00000001 - 2636930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2636950 ns R r2 80000000 - 2636950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2636970 ns R psr 81000200 - 2636970 ns MR4_I 00000238 48054770 - 2636990 ns MR4_I 00000230 07d2684a - 2637010 ns IT 00000230 684a LDR r2,[r1,#4] - 2637030 ns MR4_I 00000234 6008d1fc - 2637090 ns MR4_D 40006004 00000001 - 2637090 ns R r2 00000001 - 2637090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2637110 ns R r2 80000000 - 2637110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2637130 ns R psr 81000200 - 2637130 ns MR4_I 00000238 48054770 - 2637150 ns MR4_I 00000230 07d2684a - 2637170 ns IT 00000230 684a LDR r2,[r1,#4] - 2637190 ns MR4_I 00000234 6008d1fc - 2637250 ns MR4_D 40006004 00000001 - 2637250 ns R r2 00000001 - 2637250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2637270 ns R r2 80000000 - 2637270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2637290 ns R psr 81000200 - 2637290 ns MR4_I 00000238 48054770 - 2637310 ns MR4_I 00000230 07d2684a - 2637330 ns IT 00000230 684a LDR r2,[r1,#4] - 2637350 ns MR4_I 00000234 6008d1fc - 2637410 ns MR4_D 40006004 00000001 - 2637410 ns R r2 00000001 - 2637410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2637430 ns R r2 80000000 - 2637430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2637450 ns R psr 81000200 - 2637450 ns MR4_I 00000238 48054770 - 2637470 ns MR4_I 00000230 07d2684a - 2637490 ns IT 00000230 684a LDR r2,[r1,#4] - 2637510 ns MR4_I 00000234 6008d1fc - 2637570 ns MR4_D 40006004 00000000 - 2637570 ns R r2 00000000 - 2637570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2637590 ns R r2 00000000 - 2637590 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2637610 ns R psr 41000200 - 2637610 ns MR4_I 00000238 48054770 - 2637610 ns IT 00000236 6008 STR r0,[r1,#0] - 2637690 ns MW4_D 40006000 00000045 - 2637690 ns IT 00000238 4770 BX lr - 2637710 ns MR4_I 0000023c 07896841 - 2637730 ns R psr 41000200 - 2637730 ns MR4_I 000001fc b510bd10 - 2637750 ns IT 000001fc bd10 POP {r4,pc} - 2637770 ns MR4_I 00000200 f81bf000 - 2637770 ns R r13 200002e0 (MSP) - 2637790 ns MR4_D 200002d8 00000004 - 2637790 ns R r4 00000004 - 2637810 ns MR4_D 200002dc 0000032d - 2637830 ns R psr 41000200 - 2637850 ns MR4_I 0000032c 46301c64 - 2637870 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 2637890 ns MR4_I 00000330 280047a8 - 2637890 ns R r4 00000005 - 2637890 ns IT 0000032e 4630 MOV r0,r6 - 2637910 ns R psr 01000200 - 2637910 ns R r0 200002f8 - 2637910 ns IT 00000330 47a8 BLX r5 - 2637930 ns MR4_I 00000334 4620d1f8 - 2637950 ns R psr 01000200 - 2637950 ns MR4_I 000002a8 1c4a6901 - 2637950 ns R r14 00000333 - 2637970 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2637990 ns MR4_I 000002ac 78086102 - 2638010 ns MR4_D 20000308 00000195 - 2638010 ns R r1 00000195 - 2638010 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2638030 ns R r2 00000196 - 2638030 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2638050 ns R psr 01000200 - 2638050 ns MR4_I 000002b0 b5004770 - 2638070 ns MW4_D 20000308 00000196 - 2638070 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2638110 ns MR1_D 00000195 20545345 - 2638110 ns R r0 00000053 - 2638110 ns IT 000002b0 4770 BX lr - 2638130 ns MR4_I 000002b4 9102b08f - 2638150 ns R psr 01000200 - 2638150 ns MR4_I 00000330 280047a8 - 2638170 ns MR4_I 00000334 4620d1f8 - 2638170 ns IT 00000332 2800 CMP r0,#0 - 2638190 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2638210 ns R psr 21000200 - 2638210 ns MR4_I 00000338 b510bdf8 - 2638230 ns MR4_I 00000328 47b89900 - 2638250 ns IT 00000328 9900 LDR r1,[sp,#0] - 2638270 ns MR4_I 0000032c 46301c64 - 2638290 ns MR4_D 200002e0 20000004 - 2638290 ns R r1 20000004 - 2638290 ns IT 0000032a 47b8 BLX r7 - 2638330 ns R psr 21000200 - 2638330 ns MR4_I 000001f4 b2c0b510 - 2638330 ns R r14 0000032d - 2638350 ns IT 000001f4 b510 PUSH {r4,lr} - 2638370 ns MR4_I 000001f8 f819f000 - 2638390 ns MW4_D 200002d8 00000005 - 2638410 ns MW4_D 200002dc 0000032d - 2638410 ns R r13 200002d8 (MSP) - 2638410 ns IT 000001f6 b2c0 UXTB r0,r0 - 2638430 ns R r0 00000053 - 2638430 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 2638450 ns MR4_I 000001fc b510bd10 - 2638470 ns R r14 000001fd - 2638490 ns MR4_I 0000022c 49084770 - 2638510 ns MR4_I 00000230 07d2684a - 2638510 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 2638550 ns MR4_D 00000250 40006000 - 2638550 ns R r1 40006000 - 2638550 ns IT 00000230 684a LDR r2,[r1,#4] - 2638570 ns MR4_I 00000234 6008d1fc - 2638630 ns MR4_D 40006004 00000001 - 2638630 ns R r2 00000001 - 2638630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2638650 ns R r2 80000000 - 2638650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2638670 ns R psr 81000200 - 2638670 ns MR4_I 00000238 48054770 - 2638690 ns MR4_I 00000230 07d2684a - 2638710 ns IT 00000230 684a LDR r2,[r1,#4] - 2638730 ns MR4_I 00000234 6008d1fc - 2638790 ns MR4_D 40006004 00000001 - 2638790 ns R r2 00000001 - 2638790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2638810 ns R r2 80000000 - 2638810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2638830 ns R psr 81000200 - 2638830 ns MR4_I 00000238 48054770 - 2638850 ns MR4_I 00000230 07d2684a - 2638870 ns IT 00000230 684a LDR r2,[r1,#4] - 2638890 ns MR4_I 00000234 6008d1fc - 2638950 ns MR4_D 40006004 00000001 - 2638950 ns R r2 00000001 - 2638950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2638970 ns R r2 80000000 - 2638970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2638990 ns R psr 81000200 - 2638990 ns MR4_I 00000238 48054770 - 2639010 ns MR4_I 00000230 07d2684a - 2639030 ns IT 00000230 684a LDR r2,[r1,#4] - 2639050 ns MR4_I 00000234 6008d1fc - 2639110 ns MR4_D 40006004 00000001 - 2639110 ns R r2 00000001 - 2639110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2639130 ns R r2 80000000 - 2639130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2639150 ns R psr 81000200 - 2639150 ns MR4_I 00000238 48054770 - 2639170 ns MR4_I 00000230 07d2684a - 2639190 ns IT 00000230 684a LDR r2,[r1,#4] - 2639210 ns MR4_I 00000234 6008d1fc - 2639270 ns MR4_D 40006004 00000001 - 2639270 ns R r2 00000001 - 2639270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2639290 ns R r2 80000000 - 2639290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2639310 ns R psr 81000200 - 2639310 ns MR4_I 00000238 48054770 - 2639330 ns MR4_I 00000230 07d2684a - 2639350 ns IT 00000230 684a LDR r2,[r1,#4] - 2639370 ns MR4_I 00000234 6008d1fc - 2639430 ns MR4_D 40006004 00000001 - 2639430 ns R r2 00000001 - 2639430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2639450 ns R r2 80000000 - 2639450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2639470 ns R psr 81000200 - 2639470 ns MR4_I 00000238 48054770 - 2639490 ns MR4_I 00000230 07d2684a - 2639510 ns IT 00000230 684a LDR r2,[r1,#4] - 2639530 ns MR4_I 00000234 6008d1fc - 2639590 ns MR4_D 40006004 00000001 - 2639590 ns R r2 00000001 - 2639590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2639610 ns R r2 80000000 - 2639610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2639630 ns R psr 81000200 - 2639630 ns MR4_I 00000238 48054770 - 2639650 ns MR4_I 00000230 07d2684a - 2639670 ns IT 00000230 684a LDR r2,[r1,#4] - 2639690 ns MR4_I 00000234 6008d1fc - 2639750 ns MR4_D 40006004 00000001 - 2639750 ns R r2 00000001 - 2639750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2639770 ns R r2 80000000 - 2639770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2639790 ns R psr 81000200 - 2639790 ns MR4_I 00000238 48054770 - 2639810 ns MR4_I 00000230 07d2684a - 2639830 ns IT 00000230 684a LDR r2,[r1,#4] - 2639850 ns MR4_I 00000234 6008d1fc - 2639910 ns MR4_D 40006004 00000001 - 2639910 ns R r2 00000001 - 2639910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2639930 ns R r2 80000000 - 2639930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2639950 ns R psr 81000200 - 2639950 ns MR4_I 00000238 48054770 - 2639970 ns MR4_I 00000230 07d2684a - 2639990 ns IT 00000230 684a LDR r2,[r1,#4] - 2640010 ns MR4_I 00000234 6008d1fc - 2640070 ns MR4_D 40006004 00000001 - 2640070 ns R r2 00000001 - 2640070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2640090 ns R r2 80000000 - 2640090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2640110 ns R psr 81000200 - 2640110 ns MR4_I 00000238 48054770 - 2640130 ns MR4_I 00000230 07d2684a - 2640150 ns IT 00000230 684a LDR r2,[r1,#4] - 2640170 ns MR4_I 00000234 6008d1fc - 2640230 ns MR4_D 40006004 00000001 - 2640230 ns R r2 00000001 - 2640230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2640250 ns R r2 80000000 - 2640250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2640270 ns R psr 81000200 - 2640270 ns MR4_I 00000238 48054770 - 2640290 ns MR4_I 00000230 07d2684a - 2640310 ns IT 00000230 684a LDR r2,[r1,#4] - 2640330 ns MR4_I 00000234 6008d1fc - 2640390 ns MR4_D 40006004 00000001 - 2640390 ns R r2 00000001 - 2640390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2640410 ns R r2 80000000 - 2640410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2640430 ns R psr 81000200 - 2640430 ns MR4_I 00000238 48054770 - 2640450 ns MR4_I 00000230 07d2684a - 2640470 ns IT 00000230 684a LDR r2,[r1,#4] - 2640490 ns MR4_I 00000234 6008d1fc - 2640550 ns MR4_D 40006004 00000001 - 2640550 ns R r2 00000001 - 2640550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2640570 ns R r2 80000000 - 2640570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2640590 ns R psr 81000200 - 2640590 ns MR4_I 00000238 48054770 - 2640610 ns MR4_I 00000230 07d2684a - 2640630 ns IT 00000230 684a LDR r2,[r1,#4] - 2640650 ns MR4_I 00000234 6008d1fc - 2640710 ns MR4_D 40006004 00000001 - 2640710 ns R r2 00000001 - 2640710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2640730 ns R r2 80000000 - 2640730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2640750 ns R psr 81000200 - 2640750 ns MR4_I 00000238 48054770 - 2640770 ns MR4_I 00000230 07d2684a - 2640790 ns IT 00000230 684a LDR r2,[r1,#4] - 2640810 ns MR4_I 00000234 6008d1fc - 2640870 ns MR4_D 40006004 00000001 - 2640870 ns R r2 00000001 - 2640870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2640890 ns R r2 80000000 - 2640890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2640910 ns R psr 81000200 - 2640910 ns MR4_I 00000238 48054770 - 2640930 ns MR4_I 00000230 07d2684a - 2640950 ns IT 00000230 684a LDR r2,[r1,#4] - 2640970 ns MR4_I 00000234 6008d1fc - 2641030 ns MR4_D 40006004 00000001 - 2641030 ns R r2 00000001 - 2641030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2641050 ns R r2 80000000 - 2641050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2641070 ns R psr 81000200 - 2641070 ns MR4_I 00000238 48054770 - 2641090 ns MR4_I 00000230 07d2684a - 2641110 ns IT 00000230 684a LDR r2,[r1,#4] - 2641130 ns MR4_I 00000234 6008d1fc - 2641190 ns MR4_D 40006004 00000001 - 2641190 ns R r2 00000001 - 2641190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2641210 ns R r2 80000000 - 2641210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2641230 ns R psr 81000200 - 2641230 ns MR4_I 00000238 48054770 - 2641250 ns MR4_I 00000230 07d2684a - 2641270 ns IT 00000230 684a LDR r2,[r1,#4] - 2641290 ns MR4_I 00000234 6008d1fc - 2641350 ns MR4_D 40006004 00000001 - 2641350 ns R r2 00000001 - 2641350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2641370 ns R r2 80000000 - 2641370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2641390 ns R psr 81000200 - 2641390 ns MR4_I 00000238 48054770 - 2641410 ns MR4_I 00000230 07d2684a - 2641430 ns IT 00000230 684a LDR r2,[r1,#4] - 2641450 ns MR4_I 00000234 6008d1fc - 2641510 ns MR4_D 40006004 00000001 - 2641510 ns R r2 00000001 - 2641510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2641530 ns R r2 80000000 - 2641530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2641550 ns R psr 81000200 - 2641550 ns MR4_I 00000238 48054770 - 2641570 ns MR4_I 00000230 07d2684a - 2641590 ns IT 00000230 684a LDR r2,[r1,#4] - 2641610 ns MR4_I 00000234 6008d1fc - 2641670 ns MR4_D 40006004 00000001 - 2641670 ns R r2 00000001 - 2641670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2641690 ns R r2 80000000 - 2641690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2641710 ns R psr 81000200 - 2641710 ns MR4_I 00000238 48054770 - 2641730 ns MR4_I 00000230 07d2684a - 2641750 ns IT 00000230 684a LDR r2,[r1,#4] - 2641770 ns MR4_I 00000234 6008d1fc - 2641830 ns MR4_D 40006004 00000001 - 2641830 ns R r2 00000001 - 2641830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2641850 ns R r2 80000000 - 2641850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2641870 ns R psr 81000200 - 2641870 ns MR4_I 00000238 48054770 - 2641890 ns MR4_I 00000230 07d2684a - 2641910 ns IT 00000230 684a LDR r2,[r1,#4] - 2641930 ns MR4_I 00000234 6008d1fc - 2641990 ns MR4_D 40006004 00000001 - 2641990 ns R r2 00000001 - 2641990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2642010 ns R r2 80000000 - 2642010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2642030 ns R psr 81000200 - 2642030 ns MR4_I 00000238 48054770 - 2642050 ns MR4_I 00000230 07d2684a - 2642070 ns IT 00000230 684a LDR r2,[r1,#4] - 2642090 ns MR4_I 00000234 6008d1fc - 2642150 ns MR4_D 40006004 00000001 - 2642150 ns R r2 00000001 - 2642150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2642170 ns R r2 80000000 - 2642170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2642190 ns R psr 81000200 - 2642190 ns MR4_I 00000238 48054770 - 2642210 ns MR4_I 00000230 07d2684a - 2642230 ns IT 00000230 684a LDR r2,[r1,#4] - 2642250 ns MR4_I 00000234 6008d1fc - 2642310 ns MR4_D 40006004 00000001 - 2642310 ns R r2 00000001 - 2642310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2642330 ns R r2 80000000 - 2642330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2642350 ns R psr 81000200 - 2642350 ns MR4_I 00000238 48054770 - 2642370 ns MR4_I 00000230 07d2684a - 2642390 ns IT 00000230 684a LDR r2,[r1,#4] - 2642410 ns MR4_I 00000234 6008d1fc - 2642470 ns MR4_D 40006004 00000001 - 2642470 ns R r2 00000001 - 2642470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2642490 ns R r2 80000000 - 2642490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2642510 ns R psr 81000200 - 2642510 ns MR4_I 00000238 48054770 - 2642530 ns MR4_I 00000230 07d2684a - 2642550 ns IT 00000230 684a LDR r2,[r1,#4] - 2642570 ns MR4_I 00000234 6008d1fc - 2642630 ns MR4_D 40006004 00000001 - 2642630 ns R r2 00000001 - 2642630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2642650 ns R r2 80000000 - 2642650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2642670 ns R psr 81000200 - 2642670 ns MR4_I 00000238 48054770 - 2642690 ns MR4_I 00000230 07d2684a - 2642710 ns IT 00000230 684a LDR r2,[r1,#4] - 2642730 ns MR4_I 00000234 6008d1fc - 2642790 ns MR4_D 40006004 00000001 - 2642790 ns R r2 00000001 - 2642790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2642810 ns R r2 80000000 - 2642810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2642830 ns R psr 81000200 - 2642830 ns MR4_I 00000238 48054770 - 2642850 ns MR4_I 00000230 07d2684a - 2642870 ns IT 00000230 684a LDR r2,[r1,#4] - 2642890 ns MR4_I 00000234 6008d1fc - 2642950 ns MR4_D 40006004 00000001 - 2642950 ns R r2 00000001 - 2642950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2642970 ns R r2 80000000 - 2642970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2642990 ns R psr 81000200 - 2642990 ns MR4_I 00000238 48054770 - 2643010 ns MR4_I 00000230 07d2684a - 2643030 ns IT 00000230 684a LDR r2,[r1,#4] - 2643050 ns MR4_I 00000234 6008d1fc - 2643110 ns MR4_D 40006004 00000001 - 2643110 ns R r2 00000001 - 2643110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2643130 ns R r2 80000000 - 2643130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2643150 ns R psr 81000200 - 2643150 ns MR4_I 00000238 48054770 - 2643170 ns MR4_I 00000230 07d2684a - 2643190 ns IT 00000230 684a LDR r2,[r1,#4] - 2643210 ns MR4_I 00000234 6008d1fc - 2643270 ns MR4_D 40006004 00000001 - 2643270 ns R r2 00000001 - 2643270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2643290 ns R r2 80000000 - 2643290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2643310 ns R psr 81000200 - 2643310 ns MR4_I 00000238 48054770 - 2643330 ns MR4_I 00000230 07d2684a - 2643350 ns IT 00000230 684a LDR r2,[r1,#4] - 2643370 ns MR4_I 00000234 6008d1fc - 2643430 ns MR4_D 40006004 00000001 - 2643430 ns R r2 00000001 - 2643430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2643450 ns R r2 80000000 - 2643450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2643470 ns R psr 81000200 - 2643470 ns MR4_I 00000238 48054770 - 2643490 ns MR4_I 00000230 07d2684a - 2643510 ns IT 00000230 684a LDR r2,[r1,#4] - 2643530 ns MR4_I 00000234 6008d1fc - 2643590 ns MR4_D 40006004 00000001 - 2643590 ns R r2 00000001 - 2643590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2643610 ns R r2 80000000 - 2643610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2643630 ns R psr 81000200 - 2643630 ns MR4_I 00000238 48054770 - 2643650 ns MR4_I 00000230 07d2684a - 2643670 ns IT 00000230 684a LDR r2,[r1,#4] - 2643690 ns MR4_I 00000234 6008d1fc - 2643750 ns MR4_D 40006004 00000001 - 2643750 ns R r2 00000001 - 2643750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2643770 ns R r2 80000000 - 2643770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2643790 ns R psr 81000200 - 2643790 ns MR4_I 00000238 48054770 - 2643810 ns MR4_I 00000230 07d2684a - 2643830 ns IT 00000230 684a LDR r2,[r1,#4] - 2643850 ns MR4_I 00000234 6008d1fc - 2643910 ns MR4_D 40006004 00000001 - 2643910 ns R r2 00000001 - 2643910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2643930 ns R r2 80000000 - 2643930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2643950 ns R psr 81000200 - 2643950 ns MR4_I 00000238 48054770 - 2643970 ns MR4_I 00000230 07d2684a - 2643990 ns IT 00000230 684a LDR r2,[r1,#4] - 2644010 ns MR4_I 00000234 6008d1fc - 2644070 ns MR4_D 40006004 00000001 - 2644070 ns R r2 00000001 - 2644070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2644090 ns R r2 80000000 - 2644090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2644110 ns R psr 81000200 - 2644110 ns MR4_I 00000238 48054770 - 2644130 ns MR4_I 00000230 07d2684a - 2644150 ns IT 00000230 684a LDR r2,[r1,#4] - 2644170 ns MR4_I 00000234 6008d1fc - 2644230 ns MR4_D 40006004 00000001 - 2644230 ns R r2 00000001 - 2644230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2644250 ns R r2 80000000 - 2644250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2644270 ns R psr 81000200 - 2644270 ns MR4_I 00000238 48054770 - 2644290 ns MR4_I 00000230 07d2684a - 2644310 ns IT 00000230 684a LDR r2,[r1,#4] - 2644330 ns MR4_I 00000234 6008d1fc - 2644390 ns MR4_D 40006004 00000001 - 2644390 ns R r2 00000001 - 2644390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2644410 ns R r2 80000000 - 2644410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2644430 ns R psr 81000200 - 2644430 ns MR4_I 00000238 48054770 - 2644450 ns MR4_I 00000230 07d2684a - 2644470 ns IT 00000230 684a LDR r2,[r1,#4] - 2644490 ns MR4_I 00000234 6008d1fc - 2644550 ns MR4_D 40006004 00000001 - 2644550 ns R r2 00000001 - 2644550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2644570 ns R r2 80000000 - 2644570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2644590 ns R psr 81000200 - 2644590 ns MR4_I 00000238 48054770 - 2644610 ns MR4_I 00000230 07d2684a - 2644630 ns IT 00000230 684a LDR r2,[r1,#4] - 2644650 ns MR4_I 00000234 6008d1fc - 2644710 ns MR4_D 40006004 00000001 - 2644710 ns R r2 00000001 - 2644710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2644730 ns R r2 80000000 - 2644730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2644750 ns R psr 81000200 - 2644750 ns MR4_I 00000238 48054770 - 2644770 ns MR4_I 00000230 07d2684a - 2644790 ns IT 00000230 684a LDR r2,[r1,#4] - 2644810 ns MR4_I 00000234 6008d1fc - 2644870 ns MR4_D 40006004 00000001 - 2644870 ns R r2 00000001 - 2644870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2644890 ns R r2 80000000 - 2644890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2644910 ns R psr 81000200 - 2644910 ns MR4_I 00000238 48054770 - 2644930 ns MR4_I 00000230 07d2684a - 2644950 ns IT 00000230 684a LDR r2,[r1,#4] - 2644970 ns MR4_I 00000234 6008d1fc - 2645030 ns MR4_D 40006004 00000001 - 2645030 ns R r2 00000001 - 2645030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2645050 ns R r2 80000000 - 2645050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2645070 ns R psr 81000200 - 2645070 ns MR4_I 00000238 48054770 - 2645090 ns MR4_I 00000230 07d2684a - 2645110 ns IT 00000230 684a LDR r2,[r1,#4] - 2645130 ns MR4_I 00000234 6008d1fc - 2645190 ns MR4_D 40006004 00000001 - 2645190 ns R r2 00000001 - 2645190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2645210 ns R r2 80000000 - 2645210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2645230 ns R psr 81000200 - 2645230 ns MR4_I 00000238 48054770 - 2645250 ns MR4_I 00000230 07d2684a - 2645270 ns IT 00000230 684a LDR r2,[r1,#4] - 2645290 ns MR4_I 00000234 6008d1fc - 2645350 ns MR4_D 40006004 00000001 - 2645350 ns R r2 00000001 - 2645350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2645370 ns R r2 80000000 - 2645370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2645390 ns R psr 81000200 - 2645390 ns MR4_I 00000238 48054770 - 2645410 ns MR4_I 00000230 07d2684a - 2645430 ns IT 00000230 684a LDR r2,[r1,#4] - 2645450 ns MR4_I 00000234 6008d1fc - 2645510 ns MR4_D 40006004 00000001 - 2645510 ns R r2 00000001 - 2645510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2645530 ns R r2 80000000 - 2645530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2645550 ns R psr 81000200 - 2645550 ns MR4_I 00000238 48054770 - 2645570 ns MR4_I 00000230 07d2684a - 2645590 ns IT 00000230 684a LDR r2,[r1,#4] - 2645610 ns MR4_I 00000234 6008d1fc - 2645670 ns MR4_D 40006004 00000001 - 2645670 ns R r2 00000001 - 2645670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2645690 ns R r2 80000000 - 2645690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2645710 ns R psr 81000200 - 2645710 ns MR4_I 00000238 48054770 - 2645730 ns MR4_I 00000230 07d2684a - 2645750 ns IT 00000230 684a LDR r2,[r1,#4] - 2645770 ns MR4_I 00000234 6008d1fc - 2645830 ns MR4_D 40006004 00000001 - 2645830 ns R r2 00000001 - 2645830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2645850 ns R r2 80000000 - 2645850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2645870 ns R psr 81000200 - 2645870 ns MR4_I 00000238 48054770 - 2645890 ns MR4_I 00000230 07d2684a - 2645910 ns IT 00000230 684a LDR r2,[r1,#4] - 2645930 ns MR4_I 00000234 6008d1fc - 2645990 ns MR4_D 40006004 00000001 - 2645990 ns R r2 00000001 - 2645990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2646010 ns R r2 80000000 - 2646010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2646030 ns R psr 81000200 - 2646030 ns MR4_I 00000238 48054770 - 2646050 ns MR4_I 00000230 07d2684a - 2646070 ns IT 00000230 684a LDR r2,[r1,#4] - 2646090 ns MR4_I 00000234 6008d1fc - 2646150 ns MR4_D 40006004 00000001 - 2646150 ns R r2 00000001 - 2646150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2646170 ns R r2 80000000 - 2646170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2646190 ns R psr 81000200 - 2646190 ns MR4_I 00000238 48054770 - 2646210 ns MR4_I 00000230 07d2684a - 2646230 ns IT 00000230 684a LDR r2,[r1,#4] - 2646250 ns MR4_I 00000234 6008d1fc - 2646310 ns MR4_D 40006004 00000001 - 2646310 ns R r2 00000001 - 2646310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2646330 ns R r2 80000000 - 2646330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2646350 ns R psr 81000200 - 2646350 ns MR4_I 00000238 48054770 - 2646370 ns MR4_I 00000230 07d2684a - 2646390 ns IT 00000230 684a LDR r2,[r1,#4] - 2646410 ns MR4_I 00000234 6008d1fc - 2646470 ns MR4_D 40006004 00000001 - 2646470 ns R r2 00000001 - 2646470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2646490 ns R r2 80000000 - 2646490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2646510 ns R psr 81000200 - 2646510 ns MR4_I 00000238 48054770 - 2646530 ns MR4_I 00000230 07d2684a - 2646550 ns IT 00000230 684a LDR r2,[r1,#4] - 2646570 ns MR4_I 00000234 6008d1fc - 2646630 ns MR4_D 40006004 00000001 - 2646630 ns R r2 00000001 - 2646630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2646650 ns R r2 80000000 - 2646650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2646670 ns R psr 81000200 - 2646670 ns MR4_I 00000238 48054770 - 2646690 ns MR4_I 00000230 07d2684a - 2646710 ns IT 00000230 684a LDR r2,[r1,#4] - 2646730 ns MR4_I 00000234 6008d1fc - 2646790 ns MR4_D 40006004 00000001 - 2646790 ns R r2 00000001 - 2646790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2646810 ns R r2 80000000 - 2646810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2646830 ns R psr 81000200 - 2646830 ns MR4_I 00000238 48054770 - 2646850 ns MR4_I 00000230 07d2684a - 2646870 ns IT 00000230 684a LDR r2,[r1,#4] - 2646890 ns MR4_I 00000234 6008d1fc - 2646950 ns MR4_D 40006004 00000001 - 2646950 ns R r2 00000001 - 2646950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2646970 ns R r2 80000000 - 2646970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2646990 ns R psr 81000200 - 2646990 ns MR4_I 00000238 48054770 - 2647010 ns MR4_I 00000230 07d2684a - 2647030 ns IT 00000230 684a LDR r2,[r1,#4] - 2647050 ns MR4_I 00000234 6008d1fc - 2647110 ns MR4_D 40006004 00000001 - 2647110 ns R r2 00000001 - 2647110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2647130 ns R r2 80000000 - 2647130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2647150 ns R psr 81000200 - 2647150 ns MR4_I 00000238 48054770 - 2647170 ns MR4_I 00000230 07d2684a - 2647190 ns IT 00000230 684a LDR r2,[r1,#4] - 2647210 ns MR4_I 00000234 6008d1fc - 2647270 ns MR4_D 40006004 00000001 - 2647270 ns R r2 00000001 - 2647270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2647290 ns R r2 80000000 - 2647290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2647310 ns R psr 81000200 - 2647310 ns MR4_I 00000238 48054770 - 2647330 ns MR4_I 00000230 07d2684a - 2647350 ns IT 00000230 684a LDR r2,[r1,#4] - 2647370 ns MR4_I 00000234 6008d1fc - 2647430 ns MR4_D 40006004 00000001 - 2647430 ns R r2 00000001 - 2647430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2647450 ns R r2 80000000 - 2647450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2647470 ns R psr 81000200 - 2647470 ns MR4_I 00000238 48054770 - 2647490 ns MR4_I 00000230 07d2684a - 2647510 ns IT 00000230 684a LDR r2,[r1,#4] - 2647530 ns MR4_I 00000234 6008d1fc - 2647590 ns MR4_D 40006004 00000001 - 2647590 ns R r2 00000001 - 2647590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2647610 ns R r2 80000000 - 2647610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2647630 ns R psr 81000200 - 2647630 ns MR4_I 00000238 48054770 - 2647650 ns MR4_I 00000230 07d2684a - 2647670 ns IT 00000230 684a LDR r2,[r1,#4] - 2647690 ns MR4_I 00000234 6008d1fc - 2647750 ns MR4_D 40006004 00000001 - 2647750 ns R r2 00000001 - 2647750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2647770 ns R r2 80000000 - 2647770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2647790 ns R psr 81000200 - 2647790 ns MR4_I 00000238 48054770 - 2647810 ns MR4_I 00000230 07d2684a - 2647830 ns IT 00000230 684a LDR r2,[r1,#4] - 2647850 ns MR4_I 00000234 6008d1fc - 2647910 ns MR4_D 40006004 00000001 - 2647910 ns R r2 00000001 - 2647910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2647930 ns R r2 80000000 - 2647930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2647950 ns R psr 81000200 - 2647950 ns MR4_I 00000238 48054770 - 2647970 ns MR4_I 00000230 07d2684a - 2647990 ns IT 00000230 684a LDR r2,[r1,#4] - 2648010 ns MR4_I 00000234 6008d1fc - 2648070 ns MR4_D 40006004 00000001 - 2648070 ns R r2 00000001 - 2648070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2648090 ns R r2 80000000 - 2648090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2648110 ns R psr 81000200 - 2648110 ns MR4_I 00000238 48054770 - 2648130 ns MR4_I 00000230 07d2684a - 2648150 ns IT 00000230 684a LDR r2,[r1,#4] - 2648170 ns MR4_I 00000234 6008d1fc - 2648230 ns MR4_D 40006004 00000001 - 2648230 ns R r2 00000001 - 2648230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2648250 ns R r2 80000000 - 2648250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2648270 ns R psr 81000200 - 2648270 ns MR4_I 00000238 48054770 - 2648290 ns MR4_I 00000230 07d2684a - 2648310 ns IT 00000230 684a LDR r2,[r1,#4] - 2648330 ns MR4_I 00000234 6008d1fc - 2648390 ns MR4_D 40006004 00000001 - 2648390 ns R r2 00000001 - 2648390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2648410 ns R r2 80000000 - 2648410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2648430 ns R psr 81000200 - 2648430 ns MR4_I 00000238 48054770 - 2648450 ns MR4_I 00000230 07d2684a - 2648470 ns IT 00000230 684a LDR r2,[r1,#4] - 2648490 ns MR4_I 00000234 6008d1fc - 2648550 ns MR4_D 40006004 00000001 - 2648550 ns R r2 00000001 - 2648550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2648570 ns R r2 80000000 - 2648570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2648590 ns R psr 81000200 - 2648590 ns MR4_I 00000238 48054770 - 2648610 ns MR4_I 00000230 07d2684a - 2648630 ns IT 00000230 684a LDR r2,[r1,#4] - 2648650 ns MR4_I 00000234 6008d1fc - 2648710 ns MR4_D 40006004 00000001 - 2648710 ns R r2 00000001 - 2648710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2648730 ns R r2 80000000 - 2648730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2648750 ns R psr 81000200 - 2648750 ns MR4_I 00000238 48054770 - 2648770 ns MR4_I 00000230 07d2684a - 2648790 ns IT 00000230 684a LDR r2,[r1,#4] - 2648810 ns MR4_I 00000234 6008d1fc - 2648870 ns MR4_D 40006004 00000001 - 2648870 ns R r2 00000001 - 2648870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2648890 ns R r2 80000000 - 2648890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2648910 ns R psr 81000200 - 2648910 ns MR4_I 00000238 48054770 - 2648930 ns MR4_I 00000230 07d2684a - 2648950 ns IT 00000230 684a LDR r2,[r1,#4] - 2648970 ns MR4_I 00000234 6008d1fc - 2649030 ns MR4_D 40006004 00000001 - 2649030 ns R r2 00000001 - 2649030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2649050 ns R r2 80000000 - 2649050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2649070 ns R psr 81000200 - 2649070 ns MR4_I 00000238 48054770 - 2649090 ns MR4_I 00000230 07d2684a - 2649110 ns IT 00000230 684a LDR r2,[r1,#4] - 2649130 ns MR4_I 00000234 6008d1fc - 2649190 ns MR4_D 40006004 00000001 - 2649190 ns R r2 00000001 - 2649190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2649210 ns R r2 80000000 - 2649210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2649230 ns R psr 81000200 - 2649230 ns MR4_I 00000238 48054770 - 2649250 ns MR4_I 00000230 07d2684a - 2649270 ns IT 00000230 684a LDR r2,[r1,#4] - 2649290 ns MR4_I 00000234 6008d1fc - 2649350 ns MR4_D 40006004 00000001 - 2649350 ns R r2 00000001 - 2649350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2649370 ns R r2 80000000 - 2649370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2649390 ns R psr 81000200 - 2649390 ns MR4_I 00000238 48054770 - 2649410 ns MR4_I 00000230 07d2684a - 2649430 ns IT 00000230 684a LDR r2,[r1,#4] - 2649450 ns MR4_I 00000234 6008d1fc - 2649510 ns MR4_D 40006004 00000001 - 2649510 ns R r2 00000001 - 2649510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2649530 ns R r2 80000000 - 2649530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2649550 ns R psr 81000200 - 2649550 ns MR4_I 00000238 48054770 - 2649570 ns MR4_I 00000230 07d2684a - 2649590 ns IT 00000230 684a LDR r2,[r1,#4] - 2649610 ns MR4_I 00000234 6008d1fc - 2649670 ns MR4_D 40006004 00000001 - 2649670 ns R r2 00000001 - 2649670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2649690 ns R r2 80000000 - 2649690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2649710 ns R psr 81000200 - 2649710 ns MR4_I 00000238 48054770 - 2649730 ns MR4_I 00000230 07d2684a - 2649750 ns IT 00000230 684a LDR r2,[r1,#4] - 2649770 ns MR4_I 00000234 6008d1fc - 2649830 ns MR4_D 40006004 00000001 - 2649830 ns R r2 00000001 - 2649830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2649850 ns R r2 80000000 - 2649850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2649870 ns R psr 81000200 - 2649870 ns MR4_I 00000238 48054770 - 2649890 ns MR4_I 00000230 07d2684a - 2649910 ns IT 00000230 684a LDR r2,[r1,#4] - 2649930 ns MR4_I 00000234 6008d1fc - 2649990 ns MR4_D 40006004 00000001 - 2649990 ns R r2 00000001 - 2649990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2650010 ns R r2 80000000 - 2650010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2650030 ns R psr 81000200 - 2650030 ns MR4_I 00000238 48054770 - 2650050 ns MR4_I 00000230 07d2684a - 2650070 ns IT 00000230 684a LDR r2,[r1,#4] - 2650090 ns MR4_I 00000234 6008d1fc - 2650150 ns MR4_D 40006004 00000001 - 2650150 ns R r2 00000001 - 2650150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2650170 ns R r2 80000000 - 2650170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2650190 ns R psr 81000200 - 2650190 ns MR4_I 00000238 48054770 - 2650210 ns MR4_I 00000230 07d2684a - 2650230 ns IT 00000230 684a LDR r2,[r1,#4] - 2650250 ns MR4_I 00000234 6008d1fc - 2650310 ns MR4_D 40006004 00000001 - 2650310 ns R r2 00000001 - 2650310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2650330 ns R r2 80000000 - 2650330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2650350 ns R psr 81000200 - 2650350 ns MR4_I 00000238 48054770 - 2650370 ns MR4_I 00000230 07d2684a - 2650390 ns IT 00000230 684a LDR r2,[r1,#4] - 2650410 ns MR4_I 00000234 6008d1fc - 2650470 ns MR4_D 40006004 00000001 - 2650470 ns R r2 00000001 - 2650470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2650490 ns R r2 80000000 - 2650490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2650510 ns R psr 81000200 - 2650510 ns MR4_I 00000238 48054770 - 2650530 ns MR4_I 00000230 07d2684a - 2650550 ns IT 00000230 684a LDR r2,[r1,#4] - 2650570 ns MR4_I 00000234 6008d1fc - 2650630 ns MR4_D 40006004 00000001 - 2650630 ns R r2 00000001 - 2650630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2650650 ns R r2 80000000 - 2650650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2650670 ns R psr 81000200 - 2650670 ns MR4_I 00000238 48054770 - 2650690 ns MR4_I 00000230 07d2684a - 2650710 ns IT 00000230 684a LDR r2,[r1,#4] - 2650730 ns MR4_I 00000234 6008d1fc - 2650790 ns MR4_D 40006004 00000001 - 2650790 ns R r2 00000001 - 2650790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2650810 ns R r2 80000000 - 2650810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2650830 ns R psr 81000200 - 2650830 ns MR4_I 00000238 48054770 - 2650850 ns MR4_I 00000230 07d2684a - 2650870 ns IT 00000230 684a LDR r2,[r1,#4] - 2650890 ns MR4_I 00000234 6008d1fc - 2650950 ns MR4_D 40006004 00000001 - 2650950 ns R r2 00000001 - 2650950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2650970 ns R r2 80000000 - 2650970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2650990 ns R psr 81000200 - 2650990 ns MR4_I 00000238 48054770 - 2651010 ns MR4_I 00000230 07d2684a - 2651030 ns IT 00000230 684a LDR r2,[r1,#4] - 2651050 ns MR4_I 00000234 6008d1fc - 2651110 ns MR4_D 40006004 00000001 - 2651110 ns R r2 00000001 - 2651110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2651130 ns R r2 80000000 - 2651130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2651150 ns R psr 81000200 - 2651150 ns MR4_I 00000238 48054770 - 2651170 ns MR4_I 00000230 07d2684a - 2651190 ns IT 00000230 684a LDR r2,[r1,#4] - 2651210 ns MR4_I 00000234 6008d1fc - 2651270 ns MR4_D 40006004 00000001 - 2651270 ns R r2 00000001 - 2651270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2651290 ns R r2 80000000 - 2651290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2651310 ns R psr 81000200 - 2651310 ns MR4_I 00000238 48054770 - 2651330 ns MR4_I 00000230 07d2684a - 2651350 ns IT 00000230 684a LDR r2,[r1,#4] - 2651370 ns MR4_I 00000234 6008d1fc - 2651430 ns MR4_D 40006004 00000001 - 2651430 ns R r2 00000001 - 2651430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2651450 ns R r2 80000000 - 2651450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2651470 ns R psr 81000200 - 2651470 ns MR4_I 00000238 48054770 - 2651490 ns MR4_I 00000230 07d2684a - 2651510 ns IT 00000230 684a LDR r2,[r1,#4] - 2651530 ns MR4_I 00000234 6008d1fc - 2651590 ns MR4_D 40006004 00000001 - 2651590 ns R r2 00000001 - 2651590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2651610 ns R r2 80000000 - 2651610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2651630 ns R psr 81000200 - 2651630 ns MR4_I 00000238 48054770 - 2651650 ns MR4_I 00000230 07d2684a - 2651670 ns IT 00000230 684a LDR r2,[r1,#4] - 2651690 ns MR4_I 00000234 6008d1fc - 2651750 ns MR4_D 40006004 00000001 - 2651750 ns R r2 00000001 - 2651750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2651770 ns R r2 80000000 - 2651770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2651790 ns R psr 81000200 - 2651790 ns MR4_I 00000238 48054770 - 2651810 ns MR4_I 00000230 07d2684a - 2651830 ns IT 00000230 684a LDR r2,[r1,#4] - 2651850 ns MR4_I 00000234 6008d1fc - 2651910 ns MR4_D 40006004 00000001 - 2651910 ns R r2 00000001 - 2651910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2651930 ns R r2 80000000 - 2651930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2651950 ns R psr 81000200 - 2651950 ns MR4_I 00000238 48054770 - 2651970 ns MR4_I 00000230 07d2684a - 2651990 ns IT 00000230 684a LDR r2,[r1,#4] - 2652010 ns MR4_I 00000234 6008d1fc - 2652070 ns MR4_D 40006004 00000001 - 2652070 ns R r2 00000001 - 2652070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2652090 ns R r2 80000000 - 2652090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2652110 ns R psr 81000200 - 2652110 ns MR4_I 00000238 48054770 - 2652130 ns MR4_I 00000230 07d2684a - 2652150 ns IT 00000230 684a LDR r2,[r1,#4] - 2652170 ns MR4_I 00000234 6008d1fc - 2652230 ns MR4_D 40006004 00000001 - 2652230 ns R r2 00000001 - 2652230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2652250 ns R r2 80000000 - 2652250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2652270 ns R psr 81000200 - 2652270 ns MR4_I 00000238 48054770 - 2652290 ns MR4_I 00000230 07d2684a - 2652310 ns IT 00000230 684a LDR r2,[r1,#4] - 2652330 ns MR4_I 00000234 6008d1fc - 2652390 ns MR4_D 40006004 00000001 - 2652390 ns R r2 00000001 - 2652390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2652410 ns R r2 80000000 - 2652410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2652430 ns R psr 81000200 - 2652430 ns MR4_I 00000238 48054770 - 2652450 ns MR4_I 00000230 07d2684a - 2652470 ns IT 00000230 684a LDR r2,[r1,#4] - 2652490 ns MR4_I 00000234 6008d1fc - 2652550 ns MR4_D 40006004 00000001 - 2652550 ns R r2 00000001 - 2652550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2652570 ns R r2 80000000 - 2652570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2652590 ns R psr 81000200 - 2652590 ns MR4_I 00000238 48054770 - 2652610 ns MR4_I 00000230 07d2684a - 2652630 ns IT 00000230 684a LDR r2,[r1,#4] - 2652650 ns MR4_I 00000234 6008d1fc - 2652710 ns MR4_D 40006004 00000001 - 2652710 ns R r2 00000001 - 2652710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2652730 ns R r2 80000000 - 2652730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2652750 ns R psr 81000200 - 2652750 ns MR4_I 00000238 48054770 - 2652770 ns MR4_I 00000230 07d2684a - 2652790 ns IT 00000230 684a LDR r2,[r1,#4] - 2652810 ns MR4_I 00000234 6008d1fc - 2652870 ns MR4_D 40006004 00000001 - 2652870 ns R r2 00000001 - 2652870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2652890 ns R r2 80000000 - 2652890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2652910 ns R psr 81000200 - 2652910 ns MR4_I 00000238 48054770 - 2652930 ns MR4_I 00000230 07d2684a - 2652950 ns IT 00000230 684a LDR r2,[r1,#4] - 2652970 ns MR4_I 00000234 6008d1fc - 2653030 ns MR4_D 40006004 00000001 - 2653030 ns R r2 00000001 - 2653030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2653050 ns R r2 80000000 - 2653050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2653070 ns R psr 81000200 - 2653070 ns MR4_I 00000238 48054770 - 2653090 ns MR4_I 00000230 07d2684a - 2653110 ns IT 00000230 684a LDR r2,[r1,#4] - 2653130 ns MR4_I 00000234 6008d1fc - 2653190 ns MR4_D 40006004 00000001 - 2653190 ns R r2 00000001 - 2653190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2653210 ns R r2 80000000 - 2653210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2653230 ns R psr 81000200 - 2653230 ns MR4_I 00000238 48054770 - 2653250 ns MR4_I 00000230 07d2684a - 2653270 ns IT 00000230 684a LDR r2,[r1,#4] - 2653290 ns MR4_I 00000234 6008d1fc - 2653350 ns MR4_D 40006004 00000001 - 2653350 ns R r2 00000001 - 2653350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2653370 ns R r2 80000000 - 2653370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2653390 ns R psr 81000200 - 2653390 ns MR4_I 00000238 48054770 - 2653410 ns MR4_I 00000230 07d2684a - 2653430 ns IT 00000230 684a LDR r2,[r1,#4] - 2653450 ns MR4_I 00000234 6008d1fc - 2653510 ns MR4_D 40006004 00000001 - 2653510 ns R r2 00000001 - 2653510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2653530 ns R r2 80000000 - 2653530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2653550 ns R psr 81000200 - 2653550 ns MR4_I 00000238 48054770 - 2653570 ns MR4_I 00000230 07d2684a - 2653590 ns IT 00000230 684a LDR r2,[r1,#4] - 2653610 ns MR4_I 00000234 6008d1fc - 2653670 ns MR4_D 40006004 00000001 - 2653670 ns R r2 00000001 - 2653670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2653690 ns R r2 80000000 - 2653690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2653710 ns R psr 81000200 - 2653710 ns MR4_I 00000238 48054770 - 2653730 ns MR4_I 00000230 07d2684a - 2653750 ns IT 00000230 684a LDR r2,[r1,#4] - 2653770 ns MR4_I 00000234 6008d1fc - 2653830 ns MR4_D 40006004 00000001 - 2653830 ns R r2 00000001 - 2653830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2653850 ns R r2 80000000 - 2653850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2653870 ns R psr 81000200 - 2653870 ns MR4_I 00000238 48054770 - 2653890 ns MR4_I 00000230 07d2684a - 2653910 ns IT 00000230 684a LDR r2,[r1,#4] - 2653930 ns MR4_I 00000234 6008d1fc - 2653990 ns MR4_D 40006004 00000001 - 2653990 ns R r2 00000001 - 2653990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2654010 ns R r2 80000000 - 2654010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2654030 ns R psr 81000200 - 2654030 ns MR4_I 00000238 48054770 - 2654050 ns MR4_I 00000230 07d2684a - 2654070 ns IT 00000230 684a LDR r2,[r1,#4] - 2654090 ns MR4_I 00000234 6008d1fc - 2654150 ns MR4_D 40006004 00000001 - 2654150 ns R r2 00000001 - 2654150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2654170 ns R r2 80000000 - 2654170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2654190 ns R psr 81000200 - 2654190 ns MR4_I 00000238 48054770 - 2654210 ns MR4_I 00000230 07d2684a - 2654230 ns IT 00000230 684a LDR r2,[r1,#4] - 2654250 ns MR4_I 00000234 6008d1fc - 2654310 ns MR4_D 40006004 00000001 - 2654310 ns R r2 00000001 - 2654310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2654330 ns R r2 80000000 - 2654330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2654350 ns R psr 81000200 - 2654350 ns MR4_I 00000238 48054770 - 2654370 ns MR4_I 00000230 07d2684a - 2654390 ns IT 00000230 684a LDR r2,[r1,#4] - 2654410 ns MR4_I 00000234 6008d1fc - 2654470 ns MR4_D 40006004 00000001 - 2654470 ns R r2 00000001 - 2654470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2654490 ns R r2 80000000 - 2654490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2654510 ns R psr 81000200 - 2654510 ns MR4_I 00000238 48054770 - 2654530 ns MR4_I 00000230 07d2684a - 2654550 ns IT 00000230 684a LDR r2,[r1,#4] - 2654570 ns MR4_I 00000234 6008d1fc - 2654630 ns MR4_D 40006004 00000001 - 2654630 ns R r2 00000001 - 2654630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2654650 ns R r2 80000000 - 2654650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2654670 ns R psr 81000200 - 2654670 ns MR4_I 00000238 48054770 - 2654690 ns MR4_I 00000230 07d2684a - 2654710 ns IT 00000230 684a LDR r2,[r1,#4] - 2654730 ns MR4_I 00000234 6008d1fc - 2654790 ns MR4_D 40006004 00000001 - 2654790 ns R r2 00000001 - 2654790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2654810 ns R r2 80000000 - 2654810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2654830 ns R psr 81000200 - 2654830 ns MR4_I 00000238 48054770 - 2654850 ns MR4_I 00000230 07d2684a - 2654870 ns IT 00000230 684a LDR r2,[r1,#4] - 2654890 ns MR4_I 00000234 6008d1fc - 2654950 ns MR4_D 40006004 00000001 - 2654950 ns R r2 00000001 - 2654950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2654970 ns R r2 80000000 - 2654970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2654990 ns R psr 81000200 - 2654990 ns MR4_I 00000238 48054770 - 2655010 ns MR4_I 00000230 07d2684a - 2655030 ns IT 00000230 684a LDR r2,[r1,#4] - 2655050 ns MR4_I 00000234 6008d1fc - 2655110 ns MR4_D 40006004 00000001 - 2655110 ns R r2 00000001 - 2655110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2655130 ns R r2 80000000 - 2655130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2655150 ns R psr 81000200 - 2655150 ns MR4_I 00000238 48054770 - 2655170 ns MR4_I 00000230 07d2684a - 2655190 ns IT 00000230 684a LDR r2,[r1,#4] - 2655210 ns MR4_I 00000234 6008d1fc - 2655270 ns MR4_D 40006004 00000001 - 2655270 ns R r2 00000001 - 2655270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2655290 ns R r2 80000000 - 2655290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2655310 ns R psr 81000200 - 2655310 ns MR4_I 00000238 48054770 - 2655330 ns MR4_I 00000230 07d2684a - 2655350 ns IT 00000230 684a LDR r2,[r1,#4] - 2655370 ns MR4_I 00000234 6008d1fc - 2655430 ns MR4_D 40006004 00000001 - 2655430 ns R r2 00000001 - 2655430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2655450 ns R r2 80000000 - 2655450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2655470 ns R psr 81000200 - 2655470 ns MR4_I 00000238 48054770 - 2655490 ns MR4_I 00000230 07d2684a - 2655510 ns IT 00000230 684a LDR r2,[r1,#4] - 2655530 ns MR4_I 00000234 6008d1fc - 2655590 ns MR4_D 40006004 00000001 - 2655590 ns R r2 00000001 - 2655590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2655610 ns R r2 80000000 - 2655610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2655630 ns R psr 81000200 - 2655630 ns MR4_I 00000238 48054770 - 2655650 ns MR4_I 00000230 07d2684a - 2655670 ns IT 00000230 684a LDR r2,[r1,#4] - 2655690 ns MR4_I 00000234 6008d1fc - 2655750 ns MR4_D 40006004 00000001 - 2655750 ns R r2 00000001 - 2655750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2655770 ns R r2 80000000 - 2655770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2655790 ns R psr 81000200 - 2655790 ns MR4_I 00000238 48054770 - 2655810 ns MR4_I 00000230 07d2684a - 2655830 ns IT 00000230 684a LDR r2,[r1,#4] - 2655850 ns MR4_I 00000234 6008d1fc - 2655910 ns MR4_D 40006004 00000001 - 2655910 ns R r2 00000001 - 2655910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2655930 ns R r2 80000000 - 2655930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2655950 ns R psr 81000200 - 2655950 ns MR4_I 00000238 48054770 - 2655970 ns MR4_I 00000230 07d2684a - 2655990 ns IT 00000230 684a LDR r2,[r1,#4] - 2656010 ns MR4_I 00000234 6008d1fc - 2656070 ns MR4_D 40006004 00000001 - 2656070 ns R r2 00000001 - 2656070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2656090 ns R r2 80000000 - 2656090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2656110 ns R psr 81000200 - 2656110 ns MR4_I 00000238 48054770 - 2656130 ns MR4_I 00000230 07d2684a - 2656150 ns IT 00000230 684a LDR r2,[r1,#4] - 2656170 ns MR4_I 00000234 6008d1fc - 2656230 ns MR4_D 40006004 00000001 - 2656230 ns R r2 00000001 - 2656230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2656250 ns R r2 80000000 - 2656250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2656270 ns R psr 81000200 - 2656270 ns MR4_I 00000238 48054770 - 2656290 ns MR4_I 00000230 07d2684a - 2656310 ns IT 00000230 684a LDR r2,[r1,#4] - 2656330 ns MR4_I 00000234 6008d1fc - 2656390 ns MR4_D 40006004 00000001 - 2656390 ns R r2 00000001 - 2656390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2656410 ns R r2 80000000 - 2656410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2656430 ns R psr 81000200 - 2656430 ns MR4_I 00000238 48054770 - 2656450 ns MR4_I 00000230 07d2684a - 2656470 ns IT 00000230 684a LDR r2,[r1,#4] - 2656490 ns MR4_I 00000234 6008d1fc - 2656550 ns MR4_D 40006004 00000001 - 2656550 ns R r2 00000001 - 2656550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2656570 ns R r2 80000000 - 2656570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2656590 ns R psr 81000200 - 2656590 ns MR4_I 00000238 48054770 - 2656610 ns MR4_I 00000230 07d2684a - 2656630 ns IT 00000230 684a LDR r2,[r1,#4] - 2656650 ns MR4_I 00000234 6008d1fc - 2656710 ns MR4_D 40006004 00000001 - 2656710 ns R r2 00000001 - 2656710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2656730 ns R r2 80000000 - 2656730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2656750 ns R psr 81000200 - 2656750 ns MR4_I 00000238 48054770 - 2656770 ns MR4_I 00000230 07d2684a - 2656790 ns IT 00000230 684a LDR r2,[r1,#4] - 2656810 ns MR4_I 00000234 6008d1fc - 2656870 ns MR4_D 40006004 00000001 - 2656870 ns R r2 00000001 - 2656870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2656890 ns R r2 80000000 - 2656890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2656910 ns R psr 81000200 - 2656910 ns MR4_I 00000238 48054770 - 2656930 ns MR4_I 00000230 07d2684a - 2656950 ns IT 00000230 684a LDR r2,[r1,#4] - 2656970 ns MR4_I 00000234 6008d1fc - 2657030 ns MR4_D 40006004 00000001 - 2657030 ns R r2 00000001 - 2657030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2657050 ns R r2 80000000 - 2657050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2657070 ns R psr 81000200 - 2657070 ns MR4_I 00000238 48054770 - 2657090 ns MR4_I 00000230 07d2684a - 2657110 ns IT 00000230 684a LDR r2,[r1,#4] - 2657130 ns MR4_I 00000234 6008d1fc - 2657190 ns MR4_D 40006004 00000001 - 2657190 ns R r2 00000001 - 2657190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2657210 ns R r2 80000000 - 2657210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2657230 ns R psr 81000200 - 2657230 ns MR4_I 00000238 48054770 - 2657250 ns MR4_I 00000230 07d2684a - 2657270 ns IT 00000230 684a LDR r2,[r1,#4] - 2657290 ns MR4_I 00000234 6008d1fc - 2657350 ns MR4_D 40006004 00000001 - 2657350 ns R r2 00000001 - 2657350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2657370 ns R r2 80000000 - 2657370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2657390 ns R psr 81000200 - 2657390 ns MR4_I 00000238 48054770 - 2657410 ns MR4_I 00000230 07d2684a - 2657430 ns IT 00000230 684a LDR r2,[r1,#4] - 2657450 ns MR4_I 00000234 6008d1fc - 2657510 ns MR4_D 40006004 00000001 - 2657510 ns R r2 00000001 - 2657510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2657530 ns R r2 80000000 - 2657530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2657550 ns R psr 81000200 - 2657550 ns MR4_I 00000238 48054770 - 2657570 ns MR4_I 00000230 07d2684a - 2657590 ns IT 00000230 684a LDR r2,[r1,#4] - 2657610 ns MR4_I 00000234 6008d1fc - 2657670 ns MR4_D 40006004 00000001 - 2657670 ns R r2 00000001 - 2657670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2657690 ns R r2 80000000 - 2657690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2657710 ns R psr 81000200 - 2657710 ns MR4_I 00000238 48054770 - 2657730 ns MR4_I 00000230 07d2684a - 2657750 ns IT 00000230 684a LDR r2,[r1,#4] - 2657770 ns MR4_I 00000234 6008d1fc - 2657830 ns MR4_D 40006004 00000001 - 2657830 ns R r2 00000001 - 2657830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2657850 ns R r2 80000000 - 2657850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2657870 ns R psr 81000200 - 2657870 ns MR4_I 00000238 48054770 - 2657890 ns MR4_I 00000230 07d2684a - 2657910 ns IT 00000230 684a LDR r2,[r1,#4] - 2657930 ns MR4_I 00000234 6008d1fc - 2657990 ns MR4_D 40006004 00000001 - 2657990 ns R r2 00000001 - 2657990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2658010 ns R r2 80000000 - 2658010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2658030 ns R psr 81000200 - 2658030 ns MR4_I 00000238 48054770 - 2658050 ns MR4_I 00000230 07d2684a - 2658070 ns IT 00000230 684a LDR r2,[r1,#4] - 2658090 ns MR4_I 00000234 6008d1fc - 2658150 ns MR4_D 40006004 00000001 - 2658150 ns R r2 00000001 - 2658150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2658170 ns R r2 80000000 - 2658170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2658190 ns R psr 81000200 - 2658190 ns MR4_I 00000238 48054770 - 2658210 ns MR4_I 00000230 07d2684a - 2658230 ns IT 00000230 684a LDR r2,[r1,#4] - 2658250 ns MR4_I 00000234 6008d1fc - 2658310 ns MR4_D 40006004 00000001 - 2658310 ns R r2 00000001 - 2658310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2658330 ns R r2 80000000 - 2658330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2658350 ns R psr 81000200 - 2658350 ns MR4_I 00000238 48054770 - 2658370 ns MR4_I 00000230 07d2684a - 2658390 ns IT 00000230 684a LDR r2,[r1,#4] - 2658410 ns MR4_I 00000234 6008d1fc - 2658470 ns MR4_D 40006004 00000001 - 2658470 ns R r2 00000001 - 2658470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2658490 ns R r2 80000000 - 2658490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2658510 ns R psr 81000200 - 2658510 ns MR4_I 00000238 48054770 - 2658530 ns MR4_I 00000230 07d2684a - 2658550 ns IT 00000230 684a LDR r2,[r1,#4] - 2658570 ns MR4_I 00000234 6008d1fc - 2658630 ns MR4_D 40006004 00000001 - 2658630 ns R r2 00000001 - 2658630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2658650 ns R r2 80000000 - 2658650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2658670 ns R psr 81000200 - 2658670 ns MR4_I 00000238 48054770 - 2658690 ns MR4_I 00000230 07d2684a - 2658710 ns IT 00000230 684a LDR r2,[r1,#4] - 2658730 ns MR4_I 00000234 6008d1fc - 2658790 ns MR4_D 40006004 00000001 - 2658790 ns R r2 00000001 - 2658790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2658810 ns R r2 80000000 - 2658810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2658830 ns R psr 81000200 - 2658830 ns MR4_I 00000238 48054770 - 2658850 ns MR4_I 00000230 07d2684a - 2658870 ns IT 00000230 684a LDR r2,[r1,#4] - 2658890 ns MR4_I 00000234 6008d1fc - 2658950 ns MR4_D 40006004 00000001 - 2658950 ns R r2 00000001 - 2658950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2658970 ns R r2 80000000 - 2658970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2658990 ns R psr 81000200 - 2658990 ns MR4_I 00000238 48054770 - 2659010 ns MR4_I 00000230 07d2684a - 2659030 ns IT 00000230 684a LDR r2,[r1,#4] - 2659050 ns MR4_I 00000234 6008d1fc - 2659110 ns MR4_D 40006004 00000001 - 2659110 ns R r2 00000001 - 2659110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2659130 ns R r2 80000000 - 2659130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2659150 ns R psr 81000200 - 2659150 ns MR4_I 00000238 48054770 - 2659170 ns MR4_I 00000230 07d2684a - 2659190 ns IT 00000230 684a LDR r2,[r1,#4] - 2659210 ns MR4_I 00000234 6008d1fc - 2659270 ns MR4_D 40006004 00000001 - 2659270 ns R r2 00000001 - 2659270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2659290 ns R r2 80000000 - 2659290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2659310 ns R psr 81000200 - 2659310 ns MR4_I 00000238 48054770 - 2659330 ns MR4_I 00000230 07d2684a - 2659350 ns IT 00000230 684a LDR r2,[r1,#4] - 2659370 ns MR4_I 00000234 6008d1fc - 2659430 ns MR4_D 40006004 00000001 - 2659430 ns R r2 00000001 - 2659430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2659450 ns R r2 80000000 - 2659450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2659470 ns R psr 81000200 - 2659470 ns MR4_I 00000238 48054770 - 2659490 ns MR4_I 00000230 07d2684a - 2659510 ns IT 00000230 684a LDR r2,[r1,#4] - 2659530 ns MR4_I 00000234 6008d1fc - 2659590 ns MR4_D 40006004 00000001 - 2659590 ns R r2 00000001 - 2659590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2659610 ns R r2 80000000 - 2659610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2659630 ns R psr 81000200 - 2659630 ns MR4_I 00000238 48054770 - 2659650 ns MR4_I 00000230 07d2684a - 2659670 ns IT 00000230 684a LDR r2,[r1,#4] - 2659690 ns MR4_I 00000234 6008d1fc - 2659750 ns MR4_D 40006004 00000001 - 2659750 ns R r2 00000001 - 2659750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2659770 ns R r2 80000000 - 2659770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2659790 ns R psr 81000200 - 2659790 ns MR4_I 00000238 48054770 - 2659810 ns MR4_I 00000230 07d2684a - 2659830 ns IT 00000230 684a LDR r2,[r1,#4] - 2659850 ns MR4_I 00000234 6008d1fc - 2659910 ns MR4_D 40006004 00000001 - 2659910 ns R r2 00000001 - 2659910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2659930 ns R r2 80000000 - 2659930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2659950 ns R psr 81000200 - 2659950 ns MR4_I 00000238 48054770 - 2659970 ns MR4_I 00000230 07d2684a - 2659990 ns IT 00000230 684a LDR r2,[r1,#4] - 2660010 ns MR4_I 00000234 6008d1fc - 2660070 ns MR4_D 40006004 00000001 - 2660070 ns R r2 00000001 - 2660070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2660090 ns R r2 80000000 - 2660090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2660110 ns R psr 81000200 - 2660110 ns MR4_I 00000238 48054770 - 2660130 ns MR4_I 00000230 07d2684a - 2660150 ns IT 00000230 684a LDR r2,[r1,#4] - 2660170 ns MR4_I 00000234 6008d1fc - 2660230 ns MR4_D 40006004 00000001 - 2660230 ns R r2 00000001 - 2660230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2660250 ns R r2 80000000 - 2660250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2660270 ns R psr 81000200 - 2660270 ns MR4_I 00000238 48054770 - 2660290 ns MR4_I 00000230 07d2684a - 2660310 ns IT 00000230 684a LDR r2,[r1,#4] - 2660330 ns MR4_I 00000234 6008d1fc - 2660390 ns MR4_D 40006004 00000001 - 2660390 ns R r2 00000001 - 2660390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2660410 ns R r2 80000000 - 2660410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2660430 ns R psr 81000200 - 2660430 ns MR4_I 00000238 48054770 - 2660450 ns MR4_I 00000230 07d2684a - 2660470 ns IT 00000230 684a LDR r2,[r1,#4] - 2660490 ns MR4_I 00000234 6008d1fc - 2660550 ns MR4_D 40006004 00000001 - 2660550 ns R r2 00000001 - 2660550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2660570 ns R r2 80000000 - 2660570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2660590 ns R psr 81000200 - 2660590 ns MR4_I 00000238 48054770 - 2660610 ns MR4_I 00000230 07d2684a - 2660630 ns IT 00000230 684a LDR r2,[r1,#4] - 2660650 ns MR4_I 00000234 6008d1fc - 2660710 ns MR4_D 40006004 00000001 - 2660710 ns R r2 00000001 - 2660710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2660730 ns R r2 80000000 - 2660730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2660750 ns R psr 81000200 - 2660750 ns MR4_I 00000238 48054770 - 2660770 ns MR4_I 00000230 07d2684a - 2660790 ns IT 00000230 684a LDR r2,[r1,#4] - 2660810 ns MR4_I 00000234 6008d1fc - 2660870 ns MR4_D 40006004 00000001 - 2660870 ns R r2 00000001 - 2660870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2660890 ns R r2 80000000 - 2660890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2660910 ns R psr 81000200 - 2660910 ns MR4_I 00000238 48054770 - 2660930 ns MR4_I 00000230 07d2684a - 2660950 ns IT 00000230 684a LDR r2,[r1,#4] - 2660970 ns MR4_I 00000234 6008d1fc - 2661030 ns MR4_D 40006004 00000001 - 2661030 ns R r2 00000001 - 2661030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2661050 ns R r2 80000000 - 2661050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2661070 ns R psr 81000200 - 2661070 ns MR4_I 00000238 48054770 - 2661090 ns MR4_I 00000230 07d2684a - 2661110 ns IT 00000230 684a LDR r2,[r1,#4] - 2661130 ns MR4_I 00000234 6008d1fc - 2661190 ns MR4_D 40006004 00000001 - 2661190 ns R r2 00000001 - 2661190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2661210 ns R r2 80000000 - 2661210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2661230 ns R psr 81000200 - 2661230 ns MR4_I 00000238 48054770 - 2661250 ns MR4_I 00000230 07d2684a - 2661270 ns IT 00000230 684a LDR r2,[r1,#4] - 2661290 ns MR4_I 00000234 6008d1fc - 2661350 ns MR4_D 40006004 00000001 - 2661350 ns R r2 00000001 - 2661350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2661370 ns R r2 80000000 - 2661370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2661390 ns R psr 81000200 - 2661390 ns MR4_I 00000238 48054770 - 2661410 ns MR4_I 00000230 07d2684a - 2661430 ns IT 00000230 684a LDR r2,[r1,#4] - 2661450 ns MR4_I 00000234 6008d1fc - 2661510 ns MR4_D 40006004 00000001 - 2661510 ns R r2 00000001 - 2661510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2661530 ns R r2 80000000 - 2661530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2661550 ns R psr 81000200 - 2661550 ns MR4_I 00000238 48054770 - 2661570 ns MR4_I 00000230 07d2684a - 2661590 ns IT 00000230 684a LDR r2,[r1,#4] - 2661610 ns MR4_I 00000234 6008d1fc - 2661670 ns MR4_D 40006004 00000001 - 2661670 ns R r2 00000001 - 2661670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2661690 ns R r2 80000000 - 2661690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2661710 ns R psr 81000200 - 2661710 ns MR4_I 00000238 48054770 - 2661730 ns MR4_I 00000230 07d2684a - 2661750 ns IT 00000230 684a LDR r2,[r1,#4] - 2661770 ns MR4_I 00000234 6008d1fc - 2661830 ns MR4_D 40006004 00000001 - 2661830 ns R r2 00000001 - 2661830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2661850 ns R r2 80000000 - 2661850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2661870 ns R psr 81000200 - 2661870 ns MR4_I 00000238 48054770 - 2661890 ns MR4_I 00000230 07d2684a - 2661910 ns IT 00000230 684a LDR r2,[r1,#4] - 2661930 ns MR4_I 00000234 6008d1fc - 2661990 ns MR4_D 40006004 00000001 - 2661990 ns R r2 00000001 - 2661990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2662010 ns R r2 80000000 - 2662010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2662030 ns R psr 81000200 - 2662030 ns MR4_I 00000238 48054770 - 2662050 ns MR4_I 00000230 07d2684a - 2662070 ns IT 00000230 684a LDR r2,[r1,#4] - 2662090 ns MR4_I 00000234 6008d1fc - 2662150 ns MR4_D 40006004 00000001 - 2662150 ns R r2 00000001 - 2662150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2662170 ns R r2 80000000 - 2662170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2662190 ns R psr 81000200 - 2662190 ns MR4_I 00000238 48054770 - 2662210 ns MR4_I 00000230 07d2684a - 2662230 ns IT 00000230 684a LDR r2,[r1,#4] - 2662250 ns MR4_I 00000234 6008d1fc - 2662310 ns MR4_D 40006004 00000001 - 2662310 ns R r2 00000001 - 2662310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2662330 ns R r2 80000000 - 2662330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2662350 ns R psr 81000200 - 2662350 ns MR4_I 00000238 48054770 - 2662370 ns MR4_I 00000230 07d2684a - 2662390 ns IT 00000230 684a LDR r2,[r1,#4] - 2662410 ns MR4_I 00000234 6008d1fc - 2662470 ns MR4_D 40006004 00000001 - 2662470 ns R r2 00000001 - 2662470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2662490 ns R r2 80000000 - 2662490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2662510 ns R psr 81000200 - 2662510 ns MR4_I 00000238 48054770 - 2662530 ns MR4_I 00000230 07d2684a - 2662550 ns IT 00000230 684a LDR r2,[r1,#4] - 2662570 ns MR4_I 00000234 6008d1fc - 2662630 ns MR4_D 40006004 00000001 - 2662630 ns R r2 00000001 - 2662630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2662650 ns R r2 80000000 - 2662650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2662670 ns R psr 81000200 - 2662670 ns MR4_I 00000238 48054770 - 2662690 ns MR4_I 00000230 07d2684a - 2662710 ns IT 00000230 684a LDR r2,[r1,#4] - 2662730 ns MR4_I 00000234 6008d1fc - 2662790 ns MR4_D 40006004 00000001 - 2662790 ns R r2 00000001 - 2662790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2662810 ns R r2 80000000 - 2662810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2662830 ns R psr 81000200 - 2662830 ns MR4_I 00000238 48054770 - 2662850 ns MR4_I 00000230 07d2684a - 2662870 ns IT 00000230 684a LDR r2,[r1,#4] - 2662890 ns MR4_I 00000234 6008d1fc - 2662950 ns MR4_D 40006004 00000001 - 2662950 ns R r2 00000001 - 2662950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2662970 ns R r2 80000000 - 2662970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2662990 ns R psr 81000200 - 2662990 ns MR4_I 00000238 48054770 - 2663010 ns MR4_I 00000230 07d2684a - 2663030 ns IT 00000230 684a LDR r2,[r1,#4] - 2663050 ns MR4_I 00000234 6008d1fc - 2663110 ns MR4_D 40006004 00000001 - 2663110 ns R r2 00000001 - 2663110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2663130 ns R r2 80000000 - 2663130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2663150 ns R psr 81000200 - 2663150 ns MR4_I 00000238 48054770 - 2663170 ns MR4_I 00000230 07d2684a - 2663190 ns IT 00000230 684a LDR r2,[r1,#4] - 2663210 ns MR4_I 00000234 6008d1fc - 2663270 ns MR4_D 40006004 00000001 - 2663270 ns R r2 00000001 - 2663270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2663290 ns R r2 80000000 - 2663290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2663310 ns R psr 81000200 - 2663310 ns MR4_I 00000238 48054770 - 2663330 ns MR4_I 00000230 07d2684a - 2663350 ns IT 00000230 684a LDR r2,[r1,#4] - 2663370 ns MR4_I 00000234 6008d1fc - 2663430 ns MR4_D 40006004 00000001 - 2663430 ns R r2 00000001 - 2663430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2663450 ns R r2 80000000 - 2663450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2663470 ns R psr 81000200 - 2663470 ns MR4_I 00000238 48054770 - 2663490 ns MR4_I 00000230 07d2684a - 2663510 ns IT 00000230 684a LDR r2,[r1,#4] - 2663530 ns MR4_I 00000234 6008d1fc - 2663590 ns MR4_D 40006004 00000001 - 2663590 ns R r2 00000001 - 2663590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2663610 ns R r2 80000000 - 2663610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2663630 ns R psr 81000200 - 2663630 ns MR4_I 00000238 48054770 - 2663650 ns MR4_I 00000230 07d2684a - 2663670 ns IT 00000230 684a LDR r2,[r1,#4] - 2663690 ns MR4_I 00000234 6008d1fc - 2663750 ns MR4_D 40006004 00000001 - 2663750 ns R r2 00000001 - 2663750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2663770 ns R r2 80000000 - 2663770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2663790 ns R psr 81000200 - 2663790 ns MR4_I 00000238 48054770 - 2663810 ns MR4_I 00000230 07d2684a - 2663830 ns IT 00000230 684a LDR r2,[r1,#4] - 2663850 ns MR4_I 00000234 6008d1fc - 2663910 ns MR4_D 40006004 00000001 - 2663910 ns R r2 00000001 - 2663910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2663930 ns R r2 80000000 - 2663930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2663950 ns R psr 81000200 - 2663950 ns MR4_I 00000238 48054770 - 2663970 ns MR4_I 00000230 07d2684a - 2663990 ns IT 00000230 684a LDR r2,[r1,#4] - 2664010 ns MR4_I 00000234 6008d1fc - 2664070 ns MR4_D 40006004 00000001 - 2664070 ns R r2 00000001 - 2664070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2664090 ns R r2 80000000 - 2664090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2664110 ns R psr 81000200 - 2664110 ns MR4_I 00000238 48054770 - 2664130 ns MR4_I 00000230 07d2684a - 2664150 ns IT 00000230 684a LDR r2,[r1,#4] - 2664170 ns MR4_I 00000234 6008d1fc - 2664230 ns MR4_D 40006004 00000001 - 2664230 ns R r2 00000001 - 2664230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2664250 ns R r2 80000000 - 2664250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2664270 ns R psr 81000200 - 2664270 ns MR4_I 00000238 48054770 - 2664290 ns MR4_I 00000230 07d2684a - 2664310 ns IT 00000230 684a LDR r2,[r1,#4] - 2664330 ns MR4_I 00000234 6008d1fc - 2664390 ns MR4_D 40006004 00000001 - 2664390 ns R r2 00000001 - 2664390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2664410 ns R r2 80000000 - 2664410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2664430 ns R psr 81000200 - 2664430 ns MR4_I 00000238 48054770 - 2664450 ns MR4_I 00000230 07d2684a - 2664470 ns IT 00000230 684a LDR r2,[r1,#4] - 2664490 ns MR4_I 00000234 6008d1fc - 2664550 ns MR4_D 40006004 00000001 - 2664550 ns R r2 00000001 - 2664550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2664570 ns R r2 80000000 - 2664570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2664590 ns R psr 81000200 - 2664590 ns MR4_I 00000238 48054770 - 2664610 ns MR4_I 00000230 07d2684a - 2664630 ns IT 00000230 684a LDR r2,[r1,#4] - 2664650 ns MR4_I 00000234 6008d1fc - 2664710 ns MR4_D 40006004 00000001 - 2664710 ns R r2 00000001 - 2664710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2664730 ns R r2 80000000 - 2664730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2664750 ns R psr 81000200 - 2664750 ns MR4_I 00000238 48054770 - 2664770 ns MR4_I 00000230 07d2684a - 2664790 ns IT 00000230 684a LDR r2,[r1,#4] - 2664810 ns MR4_I 00000234 6008d1fc - 2664870 ns MR4_D 40006004 00000001 - 2664870 ns R r2 00000001 - 2664870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2664890 ns R r2 80000000 - 2664890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2664910 ns R psr 81000200 - 2664910 ns MR4_I 00000238 48054770 - 2664930 ns MR4_I 00000230 07d2684a - 2664950 ns IT 00000230 684a LDR r2,[r1,#4] - 2664970 ns MR4_I 00000234 6008d1fc - 2665030 ns MR4_D 40006004 00000001 - 2665030 ns R r2 00000001 - 2665030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2665050 ns R r2 80000000 - 2665050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2665070 ns R psr 81000200 - 2665070 ns MR4_I 00000238 48054770 - 2665090 ns MR4_I 00000230 07d2684a - 2665110 ns IT 00000230 684a LDR r2,[r1,#4] - 2665130 ns MR4_I 00000234 6008d1fc - 2665190 ns MR4_D 40006004 00000001 - 2665190 ns R r2 00000001 - 2665190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2665210 ns R r2 80000000 - 2665210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2665230 ns R psr 81000200 - 2665230 ns MR4_I 00000238 48054770 - 2665250 ns MR4_I 00000230 07d2684a - 2665270 ns IT 00000230 684a LDR r2,[r1,#4] - 2665290 ns MR4_I 00000234 6008d1fc - 2665350 ns MR4_D 40006004 00000001 - 2665350 ns R r2 00000001 - 2665350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2665370 ns R r2 80000000 - 2665370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2665390 ns R psr 81000200 - 2665390 ns MR4_I 00000238 48054770 - 2665410 ns MR4_I 00000230 07d2684a - 2665430 ns IT 00000230 684a LDR r2,[r1,#4] - 2665450 ns MR4_I 00000234 6008d1fc - 2665510 ns MR4_D 40006004 00000001 - 2665510 ns R r2 00000001 - 2665510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2665530 ns R r2 80000000 - 2665530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2665550 ns R psr 81000200 - 2665550 ns MR4_I 00000238 48054770 - 2665570 ns MR4_I 00000230 07d2684a - 2665590 ns IT 00000230 684a LDR r2,[r1,#4] - 2665610 ns MR4_I 00000234 6008d1fc - 2665670 ns MR4_D 40006004 00000001 - 2665670 ns R r2 00000001 - 2665670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2665690 ns R r2 80000000 - 2665690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2665710 ns R psr 81000200 - 2665710 ns MR4_I 00000238 48054770 - 2665730 ns MR4_I 00000230 07d2684a - 2665750 ns IT 00000230 684a LDR r2,[r1,#4] - 2665770 ns MR4_I 00000234 6008d1fc - 2665830 ns MR4_D 40006004 00000001 - 2665830 ns R r2 00000001 - 2665830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2665850 ns R r2 80000000 - 2665850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2665870 ns R psr 81000200 - 2665870 ns MR4_I 00000238 48054770 - 2665890 ns MR4_I 00000230 07d2684a - 2665910 ns IT 00000230 684a LDR r2,[r1,#4] - 2665930 ns MR4_I 00000234 6008d1fc - 2665990 ns MR4_D 40006004 00000001 - 2665990 ns R r2 00000001 - 2665990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2666010 ns R r2 80000000 - 2666010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2666030 ns R psr 81000200 - 2666030 ns MR4_I 00000238 48054770 - 2666050 ns MR4_I 00000230 07d2684a - 2666070 ns IT 00000230 684a LDR r2,[r1,#4] - 2666090 ns MR4_I 00000234 6008d1fc - 2666150 ns MR4_D 40006004 00000001 - 2666150 ns R r2 00000001 - 2666150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2666170 ns R r2 80000000 - 2666170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2666190 ns R psr 81000200 - 2666190 ns MR4_I 00000238 48054770 - 2666210 ns MR4_I 00000230 07d2684a - 2666230 ns IT 00000230 684a LDR r2,[r1,#4] - 2666250 ns MR4_I 00000234 6008d1fc - 2666310 ns MR4_D 40006004 00000001 - 2666310 ns R r2 00000001 - 2666310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2666330 ns R r2 80000000 - 2666330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2666350 ns R psr 81000200 - 2666350 ns MR4_I 00000238 48054770 - 2666370 ns MR4_I 00000230 07d2684a - 2666390 ns IT 00000230 684a LDR r2,[r1,#4] - 2666410 ns MR4_I 00000234 6008d1fc - 2666470 ns MR4_D 40006004 00000001 - 2666470 ns R r2 00000001 - 2666470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2666490 ns R r2 80000000 - 2666490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2666510 ns R psr 81000200 - 2666510 ns MR4_I 00000238 48054770 - 2666530 ns MR4_I 00000230 07d2684a - 2666550 ns IT 00000230 684a LDR r2,[r1,#4] - 2666570 ns MR4_I 00000234 6008d1fc - 2666630 ns MR4_D 40006004 00000001 - 2666630 ns R r2 00000001 - 2666630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2666650 ns R r2 80000000 - 2666650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2666670 ns R psr 81000200 - 2666670 ns MR4_I 00000238 48054770 - 2666690 ns MR4_I 00000230 07d2684a - 2666710 ns IT 00000230 684a LDR r2,[r1,#4] - 2666730 ns MR4_I 00000234 6008d1fc - 2666790 ns MR4_D 40006004 00000001 - 2666790 ns R r2 00000001 - 2666790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2666810 ns R r2 80000000 - 2666810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2666830 ns R psr 81000200 - 2666830 ns MR4_I 00000238 48054770 - 2666850 ns MR4_I 00000230 07d2684a - 2666870 ns IT 00000230 684a LDR r2,[r1,#4] - 2666890 ns MR4_I 00000234 6008d1fc - 2666950 ns MR4_D 40006004 00000001 - 2666950 ns R r2 00000001 - 2666950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2666970 ns R r2 80000000 - 2666970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2666990 ns R psr 81000200 - 2666990 ns MR4_I 00000238 48054770 - 2667010 ns MR4_I 00000230 07d2684a - 2667030 ns IT 00000230 684a LDR r2,[r1,#4] - 2667050 ns MR4_I 00000234 6008d1fc - 2667110 ns MR4_D 40006004 00000001 - 2667110 ns R r2 00000001 - 2667110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2667130 ns R r2 80000000 - 2667130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2667150 ns R psr 81000200 - 2667150 ns MR4_I 00000238 48054770 - 2667170 ns MR4_I 00000230 07d2684a - 2667190 ns IT 00000230 684a LDR r2,[r1,#4] - 2667210 ns MR4_I 00000234 6008d1fc - 2667270 ns MR4_D 40006004 00000001 - 2667270 ns R r2 00000001 - 2667270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2667290 ns R r2 80000000 - 2667290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2667310 ns R psr 81000200 - 2667310 ns MR4_I 00000238 48054770 - 2667330 ns MR4_I 00000230 07d2684a - 2667350 ns IT 00000230 684a LDR r2,[r1,#4] - 2667370 ns MR4_I 00000234 6008d1fc - 2667430 ns MR4_D 40006004 00000001 - 2667430 ns R r2 00000001 - 2667430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2667450 ns R r2 80000000 - 2667450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2667470 ns R psr 81000200 - 2667470 ns MR4_I 00000238 48054770 - 2667490 ns MR4_I 00000230 07d2684a - 2667510 ns IT 00000230 684a LDR r2,[r1,#4] - 2667530 ns MR4_I 00000234 6008d1fc - 2667590 ns MR4_D 40006004 00000001 - 2667590 ns R r2 00000001 - 2667590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2667610 ns R r2 80000000 - 2667610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2667630 ns R psr 81000200 - 2667630 ns MR4_I 00000238 48054770 - 2667650 ns MR4_I 00000230 07d2684a - 2667670 ns IT 00000230 684a LDR r2,[r1,#4] - 2667690 ns MR4_I 00000234 6008d1fc - 2667750 ns MR4_D 40006004 00000001 - 2667750 ns R r2 00000001 - 2667750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2667770 ns R r2 80000000 - 2667770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2667790 ns R psr 81000200 - 2667790 ns MR4_I 00000238 48054770 - 2667810 ns MR4_I 00000230 07d2684a - 2667830 ns IT 00000230 684a LDR r2,[r1,#4] - 2667850 ns MR4_I 00000234 6008d1fc - 2667910 ns MR4_D 40006004 00000001 - 2667910 ns R r2 00000001 - 2667910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2667930 ns R r2 80000000 - 2667930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2667950 ns R psr 81000200 - 2667950 ns MR4_I 00000238 48054770 - 2667970 ns MR4_I 00000230 07d2684a - 2667990 ns IT 00000230 684a LDR r2,[r1,#4] - 2668010 ns MR4_I 00000234 6008d1fc - 2668070 ns MR4_D 40006004 00000001 - 2668070 ns R r2 00000001 - 2668070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2668090 ns R r2 80000000 - 2668090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2668110 ns R psr 81000200 - 2668110 ns MR4_I 00000238 48054770 - 2668130 ns MR4_I 00000230 07d2684a - 2668150 ns IT 00000230 684a LDR r2,[r1,#4] - 2668170 ns MR4_I 00000234 6008d1fc - 2668230 ns MR4_D 40006004 00000001 - 2668230 ns R r2 00000001 - 2668230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2668250 ns R r2 80000000 - 2668250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2668270 ns R psr 81000200 - 2668270 ns MR4_I 00000238 48054770 - 2668290 ns MR4_I 00000230 07d2684a - 2668310 ns IT 00000230 684a LDR r2,[r1,#4] - 2668330 ns MR4_I 00000234 6008d1fc - 2668390 ns MR4_D 40006004 00000001 - 2668390 ns R r2 00000001 - 2668390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2668410 ns R r2 80000000 - 2668410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2668430 ns R psr 81000200 - 2668430 ns MR4_I 00000238 48054770 - 2668450 ns MR4_I 00000230 07d2684a - 2668470 ns IT 00000230 684a LDR r2,[r1,#4] - 2668490 ns MR4_I 00000234 6008d1fc - 2668550 ns MR4_D 40006004 00000001 - 2668550 ns R r2 00000001 - 2668550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2668570 ns R r2 80000000 - 2668570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2668590 ns R psr 81000200 - 2668590 ns MR4_I 00000238 48054770 - 2668610 ns MR4_I 00000230 07d2684a - 2668630 ns IT 00000230 684a LDR r2,[r1,#4] - 2668650 ns MR4_I 00000234 6008d1fc - 2668710 ns MR4_D 40006004 00000001 - 2668710 ns R r2 00000001 - 2668710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2668730 ns R r2 80000000 - 2668730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2668750 ns R psr 81000200 - 2668750 ns MR4_I 00000238 48054770 - 2668770 ns MR4_I 00000230 07d2684a - 2668790 ns IT 00000230 684a LDR r2,[r1,#4] - 2668810 ns MR4_I 00000234 6008d1fc - 2668870 ns MR4_D 40006004 00000001 - 2668870 ns R r2 00000001 - 2668870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2668890 ns R r2 80000000 - 2668890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2668910 ns R psr 81000200 - 2668910 ns MR4_I 00000238 48054770 - 2668930 ns MR4_I 00000230 07d2684a - 2668950 ns IT 00000230 684a LDR r2,[r1,#4] - 2668970 ns MR4_I 00000234 6008d1fc - 2669030 ns MR4_D 40006004 00000001 - 2669030 ns R r2 00000001 - 2669030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2669050 ns R r2 80000000 - 2669050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2669070 ns R psr 81000200 - 2669070 ns MR4_I 00000238 48054770 - 2669090 ns MR4_I 00000230 07d2684a - 2669110 ns IT 00000230 684a LDR r2,[r1,#4] - 2669130 ns MR4_I 00000234 6008d1fc - 2669190 ns MR4_D 40006004 00000001 - 2669190 ns R r2 00000001 - 2669190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2669210 ns R r2 80000000 - 2669210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2669230 ns R psr 81000200 - 2669230 ns MR4_I 00000238 48054770 - 2669250 ns MR4_I 00000230 07d2684a - 2669270 ns IT 00000230 684a LDR r2,[r1,#4] - 2669290 ns MR4_I 00000234 6008d1fc - 2669350 ns MR4_D 40006004 00000001 - 2669350 ns R r2 00000001 - 2669350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2669370 ns R r2 80000000 - 2669370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2669390 ns R psr 81000200 - 2669390 ns MR4_I 00000238 48054770 - 2669410 ns MR4_I 00000230 07d2684a - 2669430 ns IT 00000230 684a LDR r2,[r1,#4] - 2669450 ns MR4_I 00000234 6008d1fc - 2669510 ns MR4_D 40006004 00000001 - 2669510 ns R r2 00000001 - 2669510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2669530 ns R r2 80000000 - 2669530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2669550 ns R psr 81000200 - 2669550 ns MR4_I 00000238 48054770 - 2669570 ns MR4_I 00000230 07d2684a - 2669590 ns IT 00000230 684a LDR r2,[r1,#4] - 2669610 ns MR4_I 00000234 6008d1fc - 2669670 ns MR4_D 40006004 00000001 - 2669670 ns R r2 00000001 - 2669670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2669690 ns R r2 80000000 - 2669690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2669710 ns R psr 81000200 - 2669710 ns MR4_I 00000238 48054770 - 2669730 ns MR4_I 00000230 07d2684a - 2669750 ns IT 00000230 684a LDR r2,[r1,#4] - 2669770 ns MR4_I 00000234 6008d1fc - 2669830 ns MR4_D 40006004 00000001 - 2669830 ns R r2 00000001 - 2669830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2669850 ns R r2 80000000 - 2669850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2669870 ns R psr 81000200 - 2669870 ns MR4_I 00000238 48054770 - 2669890 ns MR4_I 00000230 07d2684a - 2669910 ns IT 00000230 684a LDR r2,[r1,#4] - 2669930 ns MR4_I 00000234 6008d1fc - 2669990 ns MR4_D 40006004 00000001 - 2669990 ns R r2 00000001 - 2669990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2670010 ns R r2 80000000 - 2670010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2670030 ns R psr 81000200 - 2670030 ns MR4_I 00000238 48054770 - 2670050 ns MR4_I 00000230 07d2684a - 2670070 ns IT 00000230 684a LDR r2,[r1,#4] - 2670090 ns MR4_I 00000234 6008d1fc - 2670150 ns MR4_D 40006004 00000001 - 2670150 ns R r2 00000001 - 2670150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2670170 ns R r2 80000000 - 2670170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2670190 ns R psr 81000200 - 2670190 ns MR4_I 00000238 48054770 - 2670210 ns MR4_I 00000230 07d2684a - 2670230 ns IT 00000230 684a LDR r2,[r1,#4] - 2670250 ns MR4_I 00000234 6008d1fc - 2670310 ns MR4_D 40006004 00000001 - 2670310 ns R r2 00000001 - 2670310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2670330 ns R r2 80000000 - 2670330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2670350 ns R psr 81000200 - 2670350 ns MR4_I 00000238 48054770 - 2670370 ns MR4_I 00000230 07d2684a - 2670390 ns IT 00000230 684a LDR r2,[r1,#4] - 2670410 ns MR4_I 00000234 6008d1fc - 2670470 ns MR4_D 40006004 00000001 - 2670470 ns R r2 00000001 - 2670470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2670490 ns R r2 80000000 - 2670490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2670510 ns R psr 81000200 - 2670510 ns MR4_I 00000238 48054770 - 2670530 ns MR4_I 00000230 07d2684a - 2670550 ns IT 00000230 684a LDR r2,[r1,#4] - 2670570 ns MR4_I 00000234 6008d1fc - 2670630 ns MR4_D 40006004 00000001 - 2670630 ns R r2 00000001 - 2670630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2670650 ns R r2 80000000 - 2670650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2670670 ns R psr 81000200 - 2670670 ns MR4_I 00000238 48054770 - 2670690 ns MR4_I 00000230 07d2684a - 2670710 ns IT 00000230 684a LDR r2,[r1,#4] - 2670730 ns MR4_I 00000234 6008d1fc - 2670790 ns MR4_D 40006004 00000001 - 2670790 ns R r2 00000001 - 2670790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2670810 ns R r2 80000000 - 2670810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2670830 ns R psr 81000200 - 2670830 ns MR4_I 00000238 48054770 - 2670850 ns MR4_I 00000230 07d2684a - 2670870 ns IT 00000230 684a LDR r2,[r1,#4] - 2670890 ns MR4_I 00000234 6008d1fc - 2670950 ns MR4_D 40006004 00000001 - 2670950 ns R r2 00000001 - 2670950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2670970 ns R r2 80000000 - 2670970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2670990 ns R psr 81000200 - 2670990 ns MR4_I 00000238 48054770 - 2671010 ns MR4_I 00000230 07d2684a - 2671030 ns IT 00000230 684a LDR r2,[r1,#4] - 2671050 ns MR4_I 00000234 6008d1fc - 2671110 ns MR4_D 40006004 00000001 - 2671110 ns R r2 00000001 - 2671110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2671130 ns R r2 80000000 - 2671130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2671150 ns R psr 81000200 - 2671150 ns MR4_I 00000238 48054770 - 2671170 ns MR4_I 00000230 07d2684a - 2671190 ns IT 00000230 684a LDR r2,[r1,#4] - 2671210 ns MR4_I 00000234 6008d1fc - 2671270 ns MR4_D 40006004 00000001 - 2671270 ns R r2 00000001 - 2671270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2671290 ns R r2 80000000 - 2671290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2671310 ns R psr 81000200 - 2671310 ns MR4_I 00000238 48054770 - 2671330 ns MR4_I 00000230 07d2684a - 2671350 ns IT 00000230 684a LDR r2,[r1,#4] - 2671370 ns MR4_I 00000234 6008d1fc - 2671430 ns MR4_D 40006004 00000001 - 2671430 ns R r2 00000001 - 2671430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2671450 ns R r2 80000000 - 2671450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2671470 ns R psr 81000200 - 2671470 ns MR4_I 00000238 48054770 - 2671490 ns MR4_I 00000230 07d2684a - 2671510 ns IT 00000230 684a LDR r2,[r1,#4] - 2671530 ns MR4_I 00000234 6008d1fc - 2671590 ns MR4_D 40006004 00000001 - 2671590 ns R r2 00000001 - 2671590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2671610 ns R r2 80000000 - 2671610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2671630 ns R psr 81000200 - 2671630 ns MR4_I 00000238 48054770 - 2671650 ns MR4_I 00000230 07d2684a - 2671670 ns IT 00000230 684a LDR r2,[r1,#4] - 2671690 ns MR4_I 00000234 6008d1fc - 2671750 ns MR4_D 40006004 00000001 - 2671750 ns R r2 00000001 - 2671750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2671770 ns R r2 80000000 - 2671770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2671790 ns R psr 81000200 - 2671790 ns MR4_I 00000238 48054770 - 2671810 ns MR4_I 00000230 07d2684a - 2671830 ns IT 00000230 684a LDR r2,[r1,#4] - 2671850 ns MR4_I 00000234 6008d1fc - 2671910 ns MR4_D 40006004 00000001 - 2671910 ns R r2 00000001 - 2671910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2671930 ns R r2 80000000 - 2671930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2671950 ns R psr 81000200 - 2671950 ns MR4_I 00000238 48054770 - 2671970 ns MR4_I 00000230 07d2684a - 2671990 ns IT 00000230 684a LDR r2,[r1,#4] - 2672010 ns MR4_I 00000234 6008d1fc - 2672070 ns MR4_D 40006004 00000001 - 2672070 ns R r2 00000001 - 2672070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2672090 ns R r2 80000000 - 2672090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2672110 ns R psr 81000200 - 2672110 ns MR4_I 00000238 48054770 - 2672130 ns MR4_I 00000230 07d2684a - 2672150 ns IT 00000230 684a LDR r2,[r1,#4] - 2672170 ns MR4_I 00000234 6008d1fc - 2672230 ns MR4_D 40006004 00000001 - 2672230 ns R r2 00000001 - 2672230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2672250 ns R r2 80000000 - 2672250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2672270 ns R psr 81000200 - 2672270 ns MR4_I 00000238 48054770 - 2672290 ns MR4_I 00000230 07d2684a - 2672310 ns IT 00000230 684a LDR r2,[r1,#4] - 2672330 ns MR4_I 00000234 6008d1fc - 2672390 ns MR4_D 40006004 00000001 - 2672390 ns R r2 00000001 - 2672390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2672410 ns R r2 80000000 - 2672410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2672430 ns R psr 81000200 - 2672430 ns MR4_I 00000238 48054770 - 2672450 ns MR4_I 00000230 07d2684a - 2672470 ns IT 00000230 684a LDR r2,[r1,#4] - 2672490 ns MR4_I 00000234 6008d1fc - 2672550 ns MR4_D 40006004 00000001 - 2672550 ns R r2 00000001 - 2672550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2672570 ns R r2 80000000 - 2672570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2672590 ns R psr 81000200 - 2672590 ns MR4_I 00000238 48054770 - 2672610 ns MR4_I 00000230 07d2684a - 2672630 ns IT 00000230 684a LDR r2,[r1,#4] - 2672650 ns MR4_I 00000234 6008d1fc - 2672710 ns MR4_D 40006004 00000001 - 2672710 ns R r2 00000001 - 2672710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2672730 ns R r2 80000000 - 2672730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2672750 ns R psr 81000200 - 2672750 ns MR4_I 00000238 48054770 - 2672770 ns MR4_I 00000230 07d2684a - 2672790 ns IT 00000230 684a LDR r2,[r1,#4] - 2672810 ns MR4_I 00000234 6008d1fc - 2672870 ns MR4_D 40006004 00000001 - 2672870 ns R r2 00000001 - 2672870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2672890 ns R r2 80000000 - 2672890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2672910 ns R psr 81000200 - 2672910 ns MR4_I 00000238 48054770 - 2672930 ns MR4_I 00000230 07d2684a - 2672950 ns IT 00000230 684a LDR r2,[r1,#4] - 2672970 ns MR4_I 00000234 6008d1fc - 2673030 ns MR4_D 40006004 00000001 - 2673030 ns R r2 00000001 - 2673030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2673050 ns R r2 80000000 - 2673050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2673070 ns R psr 81000200 - 2673070 ns MR4_I 00000238 48054770 - 2673090 ns MR4_I 00000230 07d2684a - 2673110 ns IT 00000230 684a LDR r2,[r1,#4] - 2673130 ns MR4_I 00000234 6008d1fc - 2673190 ns MR4_D 40006004 00000001 - 2673190 ns R r2 00000001 - 2673190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2673210 ns R r2 80000000 - 2673210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2673230 ns R psr 81000200 - 2673230 ns MR4_I 00000238 48054770 - 2673250 ns MR4_I 00000230 07d2684a - 2673270 ns IT 00000230 684a LDR r2,[r1,#4] - 2673290 ns MR4_I 00000234 6008d1fc - 2673350 ns MR4_D 40006004 00000001 - 2673350 ns R r2 00000001 - 2673350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2673370 ns R r2 80000000 - 2673370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2673390 ns R psr 81000200 - 2673390 ns MR4_I 00000238 48054770 - 2673410 ns MR4_I 00000230 07d2684a - 2673430 ns IT 00000230 684a LDR r2,[r1,#4] - 2673450 ns MR4_I 00000234 6008d1fc - 2673510 ns MR4_D 40006004 00000001 - 2673510 ns R r2 00000001 - 2673510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2673530 ns R r2 80000000 - 2673530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2673550 ns R psr 81000200 - 2673550 ns MR4_I 00000238 48054770 - 2673570 ns MR4_I 00000230 07d2684a - 2673590 ns IT 00000230 684a LDR r2,[r1,#4] - 2673610 ns MR4_I 00000234 6008d1fc - 2673670 ns MR4_D 40006004 00000001 - 2673670 ns R r2 00000001 - 2673670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2673690 ns R r2 80000000 - 2673690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2673710 ns R psr 81000200 - 2673710 ns MR4_I 00000238 48054770 - 2673730 ns MR4_I 00000230 07d2684a - 2673750 ns IT 00000230 684a LDR r2,[r1,#4] - 2673770 ns MR4_I 00000234 6008d1fc - 2673830 ns MR4_D 40006004 00000001 - 2673830 ns R r2 00000001 - 2673830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2673850 ns R r2 80000000 - 2673850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2673870 ns R psr 81000200 - 2673870 ns MR4_I 00000238 48054770 - 2673890 ns MR4_I 00000230 07d2684a - 2673910 ns IT 00000230 684a LDR r2,[r1,#4] - 2673930 ns MR4_I 00000234 6008d1fc - 2673990 ns MR4_D 40006004 00000001 - 2673990 ns R r2 00000001 - 2673990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2674010 ns R r2 80000000 - 2674010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2674030 ns R psr 81000200 - 2674030 ns MR4_I 00000238 48054770 - 2674050 ns MR4_I 00000230 07d2684a - 2674070 ns IT 00000230 684a LDR r2,[r1,#4] - 2674090 ns MR4_I 00000234 6008d1fc - 2674150 ns MR4_D 40006004 00000001 - 2674150 ns R r2 00000001 - 2674150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2674170 ns R r2 80000000 - 2674170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2674190 ns R psr 81000200 - 2674190 ns MR4_I 00000238 48054770 - 2674210 ns MR4_I 00000230 07d2684a - 2674230 ns IT 00000230 684a LDR r2,[r1,#4] - 2674250 ns MR4_I 00000234 6008d1fc - 2674310 ns MR4_D 40006004 00000001 - 2674310 ns R r2 00000001 - 2674310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2674330 ns R r2 80000000 - 2674330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2674350 ns R psr 81000200 - 2674350 ns MR4_I 00000238 48054770 - 2674370 ns MR4_I 00000230 07d2684a - 2674390 ns IT 00000230 684a LDR r2,[r1,#4] - 2674410 ns MR4_I 00000234 6008d1fc - 2674470 ns MR4_D 40006004 00000001 - 2674470 ns R r2 00000001 - 2674470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2674490 ns R r2 80000000 - 2674490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2674510 ns R psr 81000200 - 2674510 ns MR4_I 00000238 48054770 - 2674530 ns MR4_I 00000230 07d2684a - 2674550 ns IT 00000230 684a LDR r2,[r1,#4] - 2674570 ns MR4_I 00000234 6008d1fc - 2674630 ns MR4_D 40006004 00000001 - 2674630 ns R r2 00000001 - 2674630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2674650 ns R r2 80000000 - 2674650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2674670 ns R psr 81000200 - 2674670 ns MR4_I 00000238 48054770 - 2674690 ns MR4_I 00000230 07d2684a - 2674710 ns IT 00000230 684a LDR r2,[r1,#4] - 2674730 ns MR4_I 00000234 6008d1fc - 2674790 ns MR4_D 40006004 00000001 - 2674790 ns R r2 00000001 - 2674790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2674810 ns R r2 80000000 - 2674810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2674830 ns R psr 81000200 - 2674830 ns MR4_I 00000238 48054770 - 2674850 ns MR4_I 00000230 07d2684a - 2674870 ns IT 00000230 684a LDR r2,[r1,#4] - 2674890 ns MR4_I 00000234 6008d1fc - 2674950 ns MR4_D 40006004 00000001 - 2674950 ns R r2 00000001 - 2674950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2674970 ns R r2 80000000 - 2674970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2674990 ns R psr 81000200 - 2674990 ns MR4_I 00000238 48054770 - 2675010 ns MR4_I 00000230 07d2684a - 2675030 ns IT 00000230 684a LDR r2,[r1,#4] - 2675050 ns MR4_I 00000234 6008d1fc - 2675110 ns MR4_D 40006004 00000001 - 2675110 ns R r2 00000001 - 2675110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2675130 ns R r2 80000000 - 2675130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2675150 ns R psr 81000200 - 2675150 ns MR4_I 00000238 48054770 - 2675170 ns MR4_I 00000230 07d2684a - 2675190 ns IT 00000230 684a LDR r2,[r1,#4] - 2675210 ns MR4_I 00000234 6008d1fc - 2675270 ns MR4_D 40006004 00000001 - 2675270 ns R r2 00000001 - 2675270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2675290 ns R r2 80000000 - 2675290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2675310 ns R psr 81000200 - 2675310 ns MR4_I 00000238 48054770 - 2675330 ns MR4_I 00000230 07d2684a - 2675350 ns IT 00000230 684a LDR r2,[r1,#4] - 2675370 ns MR4_I 00000234 6008d1fc - 2675430 ns MR4_D 40006004 00000001 - 2675430 ns R r2 00000001 - 2675430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2675450 ns R r2 80000000 - 2675450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2675470 ns R psr 81000200 - 2675470 ns MR4_I 00000238 48054770 - 2675490 ns MR4_I 00000230 07d2684a - 2675510 ns IT 00000230 684a LDR r2,[r1,#4] - 2675530 ns MR4_I 00000234 6008d1fc - 2675590 ns MR4_D 40006004 00000001 - 2675590 ns R r2 00000001 - 2675590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2675610 ns R r2 80000000 - 2675610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2675630 ns R psr 81000200 - 2675630 ns MR4_I 00000238 48054770 - 2675650 ns MR4_I 00000230 07d2684a - 2675670 ns IT 00000230 684a LDR r2,[r1,#4] - 2675690 ns MR4_I 00000234 6008d1fc - 2675750 ns MR4_D 40006004 00000001 - 2675750 ns R r2 00000001 - 2675750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2675770 ns R r2 80000000 - 2675770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2675790 ns R psr 81000200 - 2675790 ns MR4_I 00000238 48054770 - 2675810 ns MR4_I 00000230 07d2684a - 2675830 ns IT 00000230 684a LDR r2,[r1,#4] - 2675850 ns MR4_I 00000234 6008d1fc - 2675910 ns MR4_D 40006004 00000001 - 2675910 ns R r2 00000001 - 2675910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2675930 ns R r2 80000000 - 2675930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2675950 ns R psr 81000200 - 2675950 ns MR4_I 00000238 48054770 - 2675970 ns MR4_I 00000230 07d2684a - 2675990 ns IT 00000230 684a LDR r2,[r1,#4] - 2676010 ns MR4_I 00000234 6008d1fc - 2676070 ns MR4_D 40006004 00000001 - 2676070 ns R r2 00000001 - 2676070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2676090 ns R r2 80000000 - 2676090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2676110 ns R psr 81000200 - 2676110 ns MR4_I 00000238 48054770 - 2676130 ns MR4_I 00000230 07d2684a - 2676150 ns IT 00000230 684a LDR r2,[r1,#4] - 2676170 ns MR4_I 00000234 6008d1fc - 2676230 ns MR4_D 40006004 00000001 - 2676230 ns R r2 00000001 - 2676230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2676250 ns R r2 80000000 - 2676250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2676270 ns R psr 81000200 - 2676270 ns MR4_I 00000238 48054770 - 2676290 ns MR4_I 00000230 07d2684a - 2676310 ns IT 00000230 684a LDR r2,[r1,#4] - 2676330 ns MR4_I 00000234 6008d1fc - 2676390 ns MR4_D 40006004 00000001 - 2676390 ns R r2 00000001 - 2676390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2676410 ns R r2 80000000 - 2676410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2676430 ns R psr 81000200 - 2676430 ns MR4_I 00000238 48054770 - 2676450 ns MR4_I 00000230 07d2684a - 2676470 ns IT 00000230 684a LDR r2,[r1,#4] - 2676490 ns MR4_I 00000234 6008d1fc - 2676550 ns MR4_D 40006004 00000001 - 2676550 ns R r2 00000001 - 2676550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2676570 ns R r2 80000000 - 2676570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2676590 ns R psr 81000200 - 2676590 ns MR4_I 00000238 48054770 - 2676610 ns MR4_I 00000230 07d2684a - 2676630 ns IT 00000230 684a LDR r2,[r1,#4] - 2676650 ns MR4_I 00000234 6008d1fc - 2676710 ns MR4_D 40006004 00000001 - 2676710 ns R r2 00000001 - 2676710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2676730 ns R r2 80000000 - 2676730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2676750 ns R psr 81000200 - 2676750 ns MR4_I 00000238 48054770 - 2676770 ns MR4_I 00000230 07d2684a - 2676790 ns IT 00000230 684a LDR r2,[r1,#4] - 2676810 ns MR4_I 00000234 6008d1fc - 2676870 ns MR4_D 40006004 00000001 - 2676870 ns R r2 00000001 - 2676870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2676890 ns R r2 80000000 - 2676890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2676910 ns R psr 81000200 - 2676910 ns MR4_I 00000238 48054770 - 2676930 ns MR4_I 00000230 07d2684a - 2676950 ns IT 00000230 684a LDR r2,[r1,#4] - 2676970 ns MR4_I 00000234 6008d1fc - 2677030 ns MR4_D 40006004 00000001 - 2677030 ns R r2 00000001 - 2677030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2677050 ns R r2 80000000 - 2677050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2677070 ns R psr 81000200 - 2677070 ns MR4_I 00000238 48054770 - 2677090 ns MR4_I 00000230 07d2684a - 2677110 ns IT 00000230 684a LDR r2,[r1,#4] - 2677130 ns MR4_I 00000234 6008d1fc - 2677190 ns MR4_D 40006004 00000001 - 2677190 ns R r2 00000001 - 2677190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2677210 ns R r2 80000000 - 2677210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2677230 ns R psr 81000200 - 2677230 ns MR4_I 00000238 48054770 - 2677250 ns MR4_I 00000230 07d2684a - 2677270 ns IT 00000230 684a LDR r2,[r1,#4] - 2677290 ns MR4_I 00000234 6008d1fc - 2677350 ns MR4_D 40006004 00000001 - 2677350 ns R r2 00000001 - 2677350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2677370 ns R r2 80000000 - 2677370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2677390 ns R psr 81000200 - 2677390 ns MR4_I 00000238 48054770 - 2677410 ns MR4_I 00000230 07d2684a - 2677430 ns IT 00000230 684a LDR r2,[r1,#4] - 2677450 ns MR4_I 00000234 6008d1fc - 2677510 ns MR4_D 40006004 00000001 - 2677510 ns R r2 00000001 - 2677510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2677530 ns R r2 80000000 - 2677530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2677550 ns R psr 81000200 - 2677550 ns MR4_I 00000238 48054770 - 2677570 ns MR4_I 00000230 07d2684a - 2677590 ns IT 00000230 684a LDR r2,[r1,#4] - 2677610 ns MR4_I 00000234 6008d1fc - 2677670 ns MR4_D 40006004 00000001 - 2677670 ns R r2 00000001 - 2677670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2677690 ns R r2 80000000 - 2677690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2677710 ns R psr 81000200 - 2677710 ns MR4_I 00000238 48054770 - 2677730 ns MR4_I 00000230 07d2684a - 2677750 ns IT 00000230 684a LDR r2,[r1,#4] - 2677770 ns MR4_I 00000234 6008d1fc - 2677830 ns MR4_D 40006004 00000001 - 2677830 ns R r2 00000001 - 2677830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2677850 ns R r2 80000000 - 2677850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2677870 ns R psr 81000200 - 2677870 ns MR4_I 00000238 48054770 - 2677890 ns MR4_I 00000230 07d2684a - 2677910 ns IT 00000230 684a LDR r2,[r1,#4] - 2677930 ns MR4_I 00000234 6008d1fc - 2677990 ns MR4_D 40006004 00000001 - 2677990 ns R r2 00000001 - 2677990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2678010 ns R r2 80000000 - 2678010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2678030 ns R psr 81000200 - 2678030 ns MR4_I 00000238 48054770 - 2678050 ns MR4_I 00000230 07d2684a - 2678070 ns IT 00000230 684a LDR r2,[r1,#4] - 2678090 ns MR4_I 00000234 6008d1fc - 2678150 ns MR4_D 40006004 00000001 - 2678150 ns R r2 00000001 - 2678150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2678170 ns R r2 80000000 - 2678170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2678190 ns R psr 81000200 - 2678190 ns MR4_I 00000238 48054770 - 2678210 ns MR4_I 00000230 07d2684a - 2678230 ns IT 00000230 684a LDR r2,[r1,#4] - 2678250 ns MR4_I 00000234 6008d1fc - 2678310 ns MR4_D 40006004 00000001 - 2678310 ns R r2 00000001 - 2678310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2678330 ns R r2 80000000 - 2678330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2678350 ns R psr 81000200 - 2678350 ns MR4_I 00000238 48054770 - 2678370 ns MR4_I 00000230 07d2684a - 2678390 ns IT 00000230 684a LDR r2,[r1,#4] - 2678410 ns MR4_I 00000234 6008d1fc - 2678470 ns MR4_D 40006004 00000001 - 2678470 ns R r2 00000001 - 2678470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2678490 ns R r2 80000000 - 2678490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2678510 ns R psr 81000200 - 2678510 ns MR4_I 00000238 48054770 - 2678530 ns MR4_I 00000230 07d2684a - 2678550 ns IT 00000230 684a LDR r2,[r1,#4] - 2678570 ns MR4_I 00000234 6008d1fc - 2678630 ns MR4_D 40006004 00000001 - 2678630 ns R r2 00000001 - 2678630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2678650 ns R r2 80000000 - 2678650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2678670 ns R psr 81000200 - 2678670 ns MR4_I 00000238 48054770 - 2678690 ns MR4_I 00000230 07d2684a - 2678710 ns IT 00000230 684a LDR r2,[r1,#4] - 2678730 ns MR4_I 00000234 6008d1fc - 2678790 ns MR4_D 40006004 00000001 - 2678790 ns R r2 00000001 - 2678790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2678810 ns R r2 80000000 - 2678810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2678830 ns R psr 81000200 - 2678830 ns MR4_I 00000238 48054770 - 2678850 ns MR4_I 00000230 07d2684a - 2678870 ns IT 00000230 684a LDR r2,[r1,#4] - 2678890 ns MR4_I 00000234 6008d1fc - 2678950 ns MR4_D 40006004 00000001 - 2678950 ns R r2 00000001 - 2678950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2678970 ns R r2 80000000 - 2678970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2678990 ns R psr 81000200 - 2678990 ns MR4_I 00000238 48054770 - 2679010 ns MR4_I 00000230 07d2684a - 2679030 ns IT 00000230 684a LDR r2,[r1,#4] - 2679050 ns MR4_I 00000234 6008d1fc - 2679110 ns MR4_D 40006004 00000000 - 2679110 ns R r2 00000000 - 2679110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2679130 ns R r2 00000000 - 2679130 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2679150 ns R psr 41000200 - 2679150 ns MR4_I 00000238 48054770 - 2679150 ns IT 00000236 6008 STR r0,[r1,#0] - 2679230 ns MW4_D 40006000 00000053 - 2679230 ns IT 00000238 4770 BX lr - 2679250 ns MR4_I 0000023c 07896841 - 2679270 ns R psr 41000200 - 2679270 ns MR4_I 000001fc b510bd10 - 2679290 ns IT 000001fc bd10 POP {r4,pc} - 2679310 ns MR4_I 00000200 f81bf000 - 2679310 ns R r13 200002e0 (MSP) - 2679330 ns MR4_D 200002d8 00000005 - 2679330 ns R r4 00000005 - 2679350 ns MR4_D 200002dc 0000032d - 2679370 ns R psr 41000200 - 2679390 ns MR4_I 0000032c 46301c64 - 2679410 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 2679430 ns MR4_I 00000330 280047a8 - 2679430 ns R r4 00000006 - 2679430 ns IT 0000032e 4630 MOV r0,r6 - 2679450 ns R psr 01000200 - 2679450 ns R r0 200002f8 - 2679450 ns IT 00000330 47a8 BLX r5 - 2679470 ns MR4_I 00000334 4620d1f8 - 2679490 ns R psr 01000200 - 2679490 ns MR4_I 000002a8 1c4a6901 - 2679490 ns R r14 00000333 - 2679510 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2679530 ns MR4_I 000002ac 78086102 - 2679550 ns MR4_D 20000308 00000196 - 2679550 ns R r1 00000196 - 2679550 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2679570 ns R r2 00000197 - 2679570 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2679590 ns R psr 01000200 - 2679590 ns MR4_I 000002b0 b5004770 - 2679610 ns MW4_D 20000308 00000197 - 2679610 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2679650 ns MR1_D 00000196 20545345 - 2679650 ns R r0 00000054 - 2679650 ns IT 000002b0 4770 BX lr - 2679670 ns MR4_I 000002b4 9102b08f - 2679690 ns R psr 01000200 - 2679690 ns MR4_I 00000330 280047a8 - 2679710 ns MR4_I 00000334 4620d1f8 - 2679710 ns IT 00000332 2800 CMP r0,#0 - 2679730 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2679750 ns R psr 21000200 - 2679750 ns MR4_I 00000338 b510bdf8 - 2679770 ns MR4_I 00000328 47b89900 - 2679790 ns IT 00000328 9900 LDR r1,[sp,#0] - 2679810 ns MR4_I 0000032c 46301c64 - 2679830 ns MR4_D 200002e0 20000004 - 2679830 ns R r1 20000004 - 2679830 ns IT 0000032a 47b8 BLX r7 - 2679870 ns R psr 21000200 - 2679870 ns MR4_I 000001f4 b2c0b510 - 2679870 ns R r14 0000032d - 2679890 ns IT 000001f4 b510 PUSH {r4,lr} - 2679910 ns MR4_I 000001f8 f819f000 - 2679930 ns MW4_D 200002d8 00000006 - 2679950 ns MW4_D 200002dc 0000032d - 2679950 ns R r13 200002d8 (MSP) - 2679950 ns IT 000001f6 b2c0 UXTB r0,r0 - 2679970 ns R r0 00000054 - 2679970 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 2679990 ns MR4_I 000001fc b510bd10 - 2680010 ns R r14 000001fd - 2680030 ns MR4_I 0000022c 49084770 - 2680050 ns MR4_I 00000230 07d2684a - 2680050 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 2680090 ns MR4_D 00000250 40006000 - 2680090 ns R r1 40006000 - 2680090 ns IT 00000230 684a LDR r2,[r1,#4] - 2680110 ns MR4_I 00000234 6008d1fc - 2680170 ns MR4_D 40006004 00000001 - 2680170 ns R r2 00000001 - 2680170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2680190 ns R r2 80000000 - 2680190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2680210 ns R psr 81000200 - 2680210 ns MR4_I 00000238 48054770 - 2680230 ns MR4_I 00000230 07d2684a - 2680250 ns IT 00000230 684a LDR r2,[r1,#4] - 2680270 ns MR4_I 00000234 6008d1fc - 2680330 ns MR4_D 40006004 00000001 - 2680330 ns R r2 00000001 - 2680330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2680350 ns R r2 80000000 - 2680350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2680370 ns R psr 81000200 - 2680370 ns MR4_I 00000238 48054770 - 2680390 ns MR4_I 00000230 07d2684a - 2680410 ns IT 00000230 684a LDR r2,[r1,#4] - 2680430 ns MR4_I 00000234 6008d1fc - 2680490 ns MR4_D 40006004 00000001 - 2680490 ns R r2 00000001 - 2680490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2680510 ns R r2 80000000 - 2680510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2680530 ns R psr 81000200 - 2680530 ns MR4_I 00000238 48054770 - 2680550 ns MR4_I 00000230 07d2684a - 2680570 ns IT 00000230 684a LDR r2,[r1,#4] - 2680590 ns MR4_I 00000234 6008d1fc - 2680650 ns MR4_D 40006004 00000001 - 2680650 ns R r2 00000001 - 2680650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2680670 ns R r2 80000000 - 2680670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2680690 ns R psr 81000200 - 2680690 ns MR4_I 00000238 48054770 - 2680710 ns MR4_I 00000230 07d2684a - 2680730 ns IT 00000230 684a LDR r2,[r1,#4] - 2680750 ns MR4_I 00000234 6008d1fc - 2680810 ns MR4_D 40006004 00000001 - 2680810 ns R r2 00000001 - 2680810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2680830 ns R r2 80000000 - 2680830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2680850 ns R psr 81000200 - 2680850 ns MR4_I 00000238 48054770 - 2680870 ns MR4_I 00000230 07d2684a - 2680890 ns IT 00000230 684a LDR r2,[r1,#4] - 2680910 ns MR4_I 00000234 6008d1fc - 2680970 ns MR4_D 40006004 00000001 - 2680970 ns R r2 00000001 - 2680970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2680990 ns R r2 80000000 - 2680990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2681010 ns R psr 81000200 - 2681010 ns MR4_I 00000238 48054770 - 2681030 ns MR4_I 00000230 07d2684a - 2681050 ns IT 00000230 684a LDR r2,[r1,#4] - 2681070 ns MR4_I 00000234 6008d1fc - 2681130 ns MR4_D 40006004 00000001 - 2681130 ns R r2 00000001 - 2681130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2681150 ns R r2 80000000 - 2681150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2681170 ns R psr 81000200 - 2681170 ns MR4_I 00000238 48054770 - 2681190 ns MR4_I 00000230 07d2684a - 2681210 ns IT 00000230 684a LDR r2,[r1,#4] - 2681230 ns MR4_I 00000234 6008d1fc - 2681290 ns MR4_D 40006004 00000001 - 2681290 ns R r2 00000001 - 2681290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2681310 ns R r2 80000000 - 2681310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2681330 ns R psr 81000200 - 2681330 ns MR4_I 00000238 48054770 - 2681350 ns MR4_I 00000230 07d2684a - 2681370 ns IT 00000230 684a LDR r2,[r1,#4] - 2681390 ns MR4_I 00000234 6008d1fc - 2681450 ns MR4_D 40006004 00000001 - 2681450 ns R r2 00000001 - 2681450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2681470 ns R r2 80000000 - 2681470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2681490 ns R psr 81000200 - 2681490 ns MR4_I 00000238 48054770 - 2681510 ns MR4_I 00000230 07d2684a - 2681530 ns IT 00000230 684a LDR r2,[r1,#4] - 2681550 ns MR4_I 00000234 6008d1fc - 2681610 ns MR4_D 40006004 00000001 - 2681610 ns R r2 00000001 - 2681610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2681630 ns R r2 80000000 - 2681630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2681650 ns R psr 81000200 - 2681650 ns MR4_I 00000238 48054770 - 2681670 ns MR4_I 00000230 07d2684a - 2681690 ns IT 00000230 684a LDR r2,[r1,#4] - 2681710 ns MR4_I 00000234 6008d1fc - 2681770 ns MR4_D 40006004 00000001 - 2681770 ns R r2 00000001 - 2681770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2681790 ns R r2 80000000 - 2681790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2681810 ns R psr 81000200 - 2681810 ns MR4_I 00000238 48054770 - 2681830 ns MR4_I 00000230 07d2684a - 2681850 ns IT 00000230 684a LDR r2,[r1,#4] - 2681870 ns MR4_I 00000234 6008d1fc - 2681930 ns MR4_D 40006004 00000001 - 2681930 ns R r2 00000001 - 2681930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2681950 ns R r2 80000000 - 2681950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2681970 ns R psr 81000200 - 2681970 ns MR4_I 00000238 48054770 - 2681990 ns MR4_I 00000230 07d2684a - 2682010 ns IT 00000230 684a LDR r2,[r1,#4] - 2682030 ns MR4_I 00000234 6008d1fc - 2682090 ns MR4_D 40006004 00000001 - 2682090 ns R r2 00000001 - 2682090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2682110 ns R r2 80000000 - 2682110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2682130 ns R psr 81000200 - 2682130 ns MR4_I 00000238 48054770 - 2682150 ns MR4_I 00000230 07d2684a - 2682170 ns IT 00000230 684a LDR r2,[r1,#4] - 2682190 ns MR4_I 00000234 6008d1fc - 2682250 ns MR4_D 40006004 00000001 - 2682250 ns R r2 00000001 - 2682250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2682270 ns R r2 80000000 - 2682270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2682290 ns R psr 81000200 - 2682290 ns MR4_I 00000238 48054770 - 2682310 ns MR4_I 00000230 07d2684a - 2682330 ns IT 00000230 684a LDR r2,[r1,#4] - 2682350 ns MR4_I 00000234 6008d1fc - 2682410 ns MR4_D 40006004 00000001 - 2682410 ns R r2 00000001 - 2682410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2682430 ns R r2 80000000 - 2682430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2682450 ns R psr 81000200 - 2682450 ns MR4_I 00000238 48054770 - 2682470 ns MR4_I 00000230 07d2684a - 2682490 ns IT 00000230 684a LDR r2,[r1,#4] - 2682510 ns MR4_I 00000234 6008d1fc - 2682570 ns MR4_D 40006004 00000001 - 2682570 ns R r2 00000001 - 2682570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2682590 ns R r2 80000000 - 2682590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2682610 ns R psr 81000200 - 2682610 ns MR4_I 00000238 48054770 - 2682630 ns MR4_I 00000230 07d2684a - 2682650 ns IT 00000230 684a LDR r2,[r1,#4] - 2682670 ns MR4_I 00000234 6008d1fc - 2682730 ns MR4_D 40006004 00000001 - 2682730 ns R r2 00000001 - 2682730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2682750 ns R r2 80000000 - 2682750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2682770 ns R psr 81000200 - 2682770 ns MR4_I 00000238 48054770 - 2682790 ns MR4_I 00000230 07d2684a - 2682810 ns IT 00000230 684a LDR r2,[r1,#4] - 2682830 ns MR4_I 00000234 6008d1fc - 2682890 ns MR4_D 40006004 00000001 - 2682890 ns R r2 00000001 - 2682890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2682910 ns R r2 80000000 - 2682910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2682930 ns R psr 81000200 - 2682930 ns MR4_I 00000238 48054770 - 2682950 ns MR4_I 00000230 07d2684a - 2682970 ns IT 00000230 684a LDR r2,[r1,#4] - 2682990 ns MR4_I 00000234 6008d1fc - 2683050 ns MR4_D 40006004 00000001 - 2683050 ns R r2 00000001 - 2683050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2683070 ns R r2 80000000 - 2683070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2683090 ns R psr 81000200 - 2683090 ns MR4_I 00000238 48054770 - 2683110 ns MR4_I 00000230 07d2684a - 2683130 ns IT 00000230 684a LDR r2,[r1,#4] - 2683150 ns MR4_I 00000234 6008d1fc - 2683210 ns MR4_D 40006004 00000001 - 2683210 ns R r2 00000001 - 2683210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2683230 ns R r2 80000000 - 2683230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2683250 ns R psr 81000200 - 2683250 ns MR4_I 00000238 48054770 - 2683270 ns MR4_I 00000230 07d2684a - 2683290 ns IT 00000230 684a LDR r2,[r1,#4] - 2683310 ns MR4_I 00000234 6008d1fc - 2683370 ns MR4_D 40006004 00000001 - 2683370 ns R r2 00000001 - 2683370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2683390 ns R r2 80000000 - 2683390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2683410 ns R psr 81000200 - 2683410 ns MR4_I 00000238 48054770 - 2683430 ns MR4_I 00000230 07d2684a - 2683450 ns IT 00000230 684a LDR r2,[r1,#4] - 2683470 ns MR4_I 00000234 6008d1fc - 2683530 ns MR4_D 40006004 00000001 - 2683530 ns R r2 00000001 - 2683530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2683550 ns R r2 80000000 - 2683550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2683570 ns R psr 81000200 - 2683570 ns MR4_I 00000238 48054770 - 2683590 ns MR4_I 00000230 07d2684a - 2683610 ns IT 00000230 684a LDR r2,[r1,#4] - 2683630 ns MR4_I 00000234 6008d1fc - 2683690 ns MR4_D 40006004 00000001 - 2683690 ns R r2 00000001 - 2683690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2683710 ns R r2 80000000 - 2683710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2683730 ns R psr 81000200 - 2683730 ns MR4_I 00000238 48054770 - 2683750 ns MR4_I 00000230 07d2684a - 2683770 ns IT 00000230 684a LDR r2,[r1,#4] - 2683790 ns MR4_I 00000234 6008d1fc - 2683850 ns MR4_D 40006004 00000001 - 2683850 ns R r2 00000001 - 2683850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2683870 ns R r2 80000000 - 2683870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2683890 ns R psr 81000200 - 2683890 ns MR4_I 00000238 48054770 - 2683910 ns MR4_I 00000230 07d2684a - 2683930 ns IT 00000230 684a LDR r2,[r1,#4] - 2683950 ns MR4_I 00000234 6008d1fc - 2684010 ns MR4_D 40006004 00000001 - 2684010 ns R r2 00000001 - 2684010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2684030 ns R r2 80000000 - 2684030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2684050 ns R psr 81000200 - 2684050 ns MR4_I 00000238 48054770 - 2684070 ns MR4_I 00000230 07d2684a - 2684090 ns IT 00000230 684a LDR r2,[r1,#4] - 2684110 ns MR4_I 00000234 6008d1fc - 2684170 ns MR4_D 40006004 00000001 - 2684170 ns R r2 00000001 - 2684170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2684190 ns R r2 80000000 - 2684190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2684210 ns R psr 81000200 - 2684210 ns MR4_I 00000238 48054770 - 2684230 ns MR4_I 00000230 07d2684a - 2684250 ns IT 00000230 684a LDR r2,[r1,#4] - 2684270 ns MR4_I 00000234 6008d1fc - 2684330 ns MR4_D 40006004 00000001 - 2684330 ns R r2 00000001 - 2684330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2684350 ns R r2 80000000 - 2684350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2684370 ns R psr 81000200 - 2684370 ns MR4_I 00000238 48054770 - 2684390 ns MR4_I 00000230 07d2684a - 2684410 ns IT 00000230 684a LDR r2,[r1,#4] - 2684430 ns MR4_I 00000234 6008d1fc - 2684490 ns MR4_D 40006004 00000001 - 2684490 ns R r2 00000001 - 2684490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2684510 ns R r2 80000000 - 2684510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2684530 ns R psr 81000200 - 2684530 ns MR4_I 00000238 48054770 - 2684550 ns MR4_I 00000230 07d2684a - 2684570 ns IT 00000230 684a LDR r2,[r1,#4] - 2684590 ns MR4_I 00000234 6008d1fc - 2684650 ns MR4_D 40006004 00000001 - 2684650 ns R r2 00000001 - 2684650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2684670 ns R r2 80000000 - 2684670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2684690 ns R psr 81000200 - 2684690 ns MR4_I 00000238 48054770 - 2684710 ns MR4_I 00000230 07d2684a - 2684730 ns IT 00000230 684a LDR r2,[r1,#4] - 2684750 ns MR4_I 00000234 6008d1fc - 2684810 ns MR4_D 40006004 00000001 - 2684810 ns R r2 00000001 - 2684810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2684830 ns R r2 80000000 - 2684830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2684850 ns R psr 81000200 - 2684850 ns MR4_I 00000238 48054770 - 2684870 ns MR4_I 00000230 07d2684a - 2684890 ns IT 00000230 684a LDR r2,[r1,#4] - 2684910 ns MR4_I 00000234 6008d1fc - 2684970 ns MR4_D 40006004 00000001 - 2684970 ns R r2 00000001 - 2684970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2684990 ns R r2 80000000 - 2684990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2685010 ns R psr 81000200 - 2685010 ns MR4_I 00000238 48054770 - 2685030 ns MR4_I 00000230 07d2684a - 2685050 ns IT 00000230 684a LDR r2,[r1,#4] - 2685070 ns MR4_I 00000234 6008d1fc - 2685130 ns MR4_D 40006004 00000001 - 2685130 ns R r2 00000001 - 2685130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2685150 ns R r2 80000000 - 2685150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2685170 ns R psr 81000200 - 2685170 ns MR4_I 00000238 48054770 - 2685190 ns MR4_I 00000230 07d2684a - 2685210 ns IT 00000230 684a LDR r2,[r1,#4] - 2685230 ns MR4_I 00000234 6008d1fc - 2685290 ns MR4_D 40006004 00000001 - 2685290 ns R r2 00000001 - 2685290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2685310 ns R r2 80000000 - 2685310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2685330 ns R psr 81000200 - 2685330 ns MR4_I 00000238 48054770 - 2685350 ns MR4_I 00000230 07d2684a - 2685370 ns IT 00000230 684a LDR r2,[r1,#4] - 2685390 ns MR4_I 00000234 6008d1fc - 2685450 ns MR4_D 40006004 00000001 - 2685450 ns R r2 00000001 - 2685450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2685470 ns R r2 80000000 - 2685470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2685490 ns R psr 81000200 - 2685490 ns MR4_I 00000238 48054770 - 2685510 ns MR4_I 00000230 07d2684a - 2685530 ns IT 00000230 684a LDR r2,[r1,#4] - 2685550 ns MR4_I 00000234 6008d1fc - 2685610 ns MR4_D 40006004 00000001 - 2685610 ns R r2 00000001 - 2685610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2685630 ns R r2 80000000 - 2685630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2685650 ns R psr 81000200 - 2685650 ns MR4_I 00000238 48054770 - 2685670 ns MR4_I 00000230 07d2684a - 2685690 ns IT 00000230 684a LDR r2,[r1,#4] - 2685710 ns MR4_I 00000234 6008d1fc - 2685770 ns MR4_D 40006004 00000001 - 2685770 ns R r2 00000001 - 2685770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2685790 ns R r2 80000000 - 2685790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2685810 ns R psr 81000200 - 2685810 ns MR4_I 00000238 48054770 - 2685830 ns MR4_I 00000230 07d2684a - 2685850 ns IT 00000230 684a LDR r2,[r1,#4] - 2685870 ns MR4_I 00000234 6008d1fc - 2685930 ns MR4_D 40006004 00000001 - 2685930 ns R r2 00000001 - 2685930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2685950 ns R r2 80000000 - 2685950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2685970 ns R psr 81000200 - 2685970 ns MR4_I 00000238 48054770 - 2685990 ns MR4_I 00000230 07d2684a - 2686010 ns IT 00000230 684a LDR r2,[r1,#4] - 2686030 ns MR4_I 00000234 6008d1fc - 2686090 ns MR4_D 40006004 00000001 - 2686090 ns R r2 00000001 - 2686090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2686110 ns R r2 80000000 - 2686110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2686130 ns R psr 81000200 - 2686130 ns MR4_I 00000238 48054770 - 2686150 ns MR4_I 00000230 07d2684a - 2686170 ns IT 00000230 684a LDR r2,[r1,#4] - 2686190 ns MR4_I 00000234 6008d1fc - 2686250 ns MR4_D 40006004 00000001 - 2686250 ns R r2 00000001 - 2686250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2686270 ns R r2 80000000 - 2686270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2686290 ns R psr 81000200 - 2686290 ns MR4_I 00000238 48054770 - 2686310 ns MR4_I 00000230 07d2684a - 2686330 ns IT 00000230 684a LDR r2,[r1,#4] - 2686350 ns MR4_I 00000234 6008d1fc - 2686410 ns MR4_D 40006004 00000001 - 2686410 ns R r2 00000001 - 2686410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2686430 ns R r2 80000000 - 2686430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2686450 ns R psr 81000200 - 2686450 ns MR4_I 00000238 48054770 - 2686470 ns MR4_I 00000230 07d2684a - 2686490 ns IT 00000230 684a LDR r2,[r1,#4] - 2686510 ns MR4_I 00000234 6008d1fc - 2686570 ns MR4_D 40006004 00000001 - 2686570 ns R r2 00000001 - 2686570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2686590 ns R r2 80000000 - 2686590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2686610 ns R psr 81000200 - 2686610 ns MR4_I 00000238 48054770 - 2686630 ns MR4_I 00000230 07d2684a - 2686650 ns IT 00000230 684a LDR r2,[r1,#4] - 2686670 ns MR4_I 00000234 6008d1fc - 2686730 ns MR4_D 40006004 00000001 - 2686730 ns R r2 00000001 - 2686730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2686750 ns R r2 80000000 - 2686750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2686770 ns R psr 81000200 - 2686770 ns MR4_I 00000238 48054770 - 2686790 ns MR4_I 00000230 07d2684a - 2686810 ns IT 00000230 684a LDR r2,[r1,#4] - 2686830 ns MR4_I 00000234 6008d1fc - 2686890 ns MR4_D 40006004 00000001 - 2686890 ns R r2 00000001 - 2686890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2686910 ns R r2 80000000 - 2686910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2686930 ns R psr 81000200 - 2686930 ns MR4_I 00000238 48054770 - 2686950 ns MR4_I 00000230 07d2684a - 2686970 ns IT 00000230 684a LDR r2,[r1,#4] - 2686990 ns MR4_I 00000234 6008d1fc - 2687050 ns MR4_D 40006004 00000001 - 2687050 ns R r2 00000001 - 2687050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2687070 ns R r2 80000000 - 2687070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2687090 ns R psr 81000200 - 2687090 ns MR4_I 00000238 48054770 - 2687110 ns MR4_I 00000230 07d2684a - 2687130 ns IT 00000230 684a LDR r2,[r1,#4] - 2687150 ns MR4_I 00000234 6008d1fc - 2687210 ns MR4_D 40006004 00000001 - 2687210 ns R r2 00000001 - 2687210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2687230 ns R r2 80000000 - 2687230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2687250 ns R psr 81000200 - 2687250 ns MR4_I 00000238 48054770 - 2687270 ns MR4_I 00000230 07d2684a - 2687290 ns IT 00000230 684a LDR r2,[r1,#4] - 2687310 ns MR4_I 00000234 6008d1fc - 2687370 ns MR4_D 40006004 00000001 - 2687370 ns R r2 00000001 - 2687370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2687390 ns R r2 80000000 - 2687390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2687410 ns R psr 81000200 - 2687410 ns MR4_I 00000238 48054770 - 2687430 ns MR4_I 00000230 07d2684a - 2687450 ns IT 00000230 684a LDR r2,[r1,#4] - 2687470 ns MR4_I 00000234 6008d1fc - 2687530 ns MR4_D 40006004 00000001 - 2687530 ns R r2 00000001 - 2687530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2687550 ns R r2 80000000 - 2687550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2687570 ns R psr 81000200 - 2687570 ns MR4_I 00000238 48054770 - 2687590 ns MR4_I 00000230 07d2684a - 2687610 ns IT 00000230 684a LDR r2,[r1,#4] - 2687630 ns MR4_I 00000234 6008d1fc - 2687690 ns MR4_D 40006004 00000001 - 2687690 ns R r2 00000001 - 2687690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2687710 ns R r2 80000000 - 2687710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2687730 ns R psr 81000200 - 2687730 ns MR4_I 00000238 48054770 - 2687750 ns MR4_I 00000230 07d2684a - 2687770 ns IT 00000230 684a LDR r2,[r1,#4] - 2687790 ns MR4_I 00000234 6008d1fc - 2687850 ns MR4_D 40006004 00000001 - 2687850 ns R r2 00000001 - 2687850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2687870 ns R r2 80000000 - 2687870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2687890 ns R psr 81000200 - 2687890 ns MR4_I 00000238 48054770 - 2687910 ns MR4_I 00000230 07d2684a - 2687930 ns IT 00000230 684a LDR r2,[r1,#4] - 2687950 ns MR4_I 00000234 6008d1fc - 2688010 ns MR4_D 40006004 00000001 - 2688010 ns R r2 00000001 - 2688010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2688030 ns R r2 80000000 - 2688030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2688050 ns R psr 81000200 - 2688050 ns MR4_I 00000238 48054770 - 2688070 ns MR4_I 00000230 07d2684a - 2688090 ns IT 00000230 684a LDR r2,[r1,#4] - 2688110 ns MR4_I 00000234 6008d1fc - 2688170 ns MR4_D 40006004 00000001 - 2688170 ns R r2 00000001 - 2688170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2688190 ns R r2 80000000 - 2688190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2688210 ns R psr 81000200 - 2688210 ns MR4_I 00000238 48054770 - 2688230 ns MR4_I 00000230 07d2684a - 2688250 ns IT 00000230 684a LDR r2,[r1,#4] - 2688270 ns MR4_I 00000234 6008d1fc - 2688330 ns MR4_D 40006004 00000001 - 2688330 ns R r2 00000001 - 2688330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2688350 ns R r2 80000000 - 2688350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2688370 ns R psr 81000200 - 2688370 ns MR4_I 00000238 48054770 - 2688390 ns MR4_I 00000230 07d2684a - 2688410 ns IT 00000230 684a LDR r2,[r1,#4] - 2688430 ns MR4_I 00000234 6008d1fc - 2688490 ns MR4_D 40006004 00000001 - 2688490 ns R r2 00000001 - 2688490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2688510 ns R r2 80000000 - 2688510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2688530 ns R psr 81000200 - 2688530 ns MR4_I 00000238 48054770 - 2688550 ns MR4_I 00000230 07d2684a - 2688570 ns IT 00000230 684a LDR r2,[r1,#4] - 2688590 ns MR4_I 00000234 6008d1fc - 2688650 ns MR4_D 40006004 00000001 - 2688650 ns R r2 00000001 - 2688650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2688670 ns R r2 80000000 - 2688670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2688690 ns R psr 81000200 - 2688690 ns MR4_I 00000238 48054770 - 2688710 ns MR4_I 00000230 07d2684a - 2688730 ns IT 00000230 684a LDR r2,[r1,#4] - 2688750 ns MR4_I 00000234 6008d1fc - 2688810 ns MR4_D 40006004 00000001 - 2688810 ns R r2 00000001 - 2688810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2688830 ns R r2 80000000 - 2688830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2688850 ns R psr 81000200 - 2688850 ns MR4_I 00000238 48054770 - 2688870 ns MR4_I 00000230 07d2684a - 2688890 ns IT 00000230 684a LDR r2,[r1,#4] - 2688910 ns MR4_I 00000234 6008d1fc - 2688970 ns MR4_D 40006004 00000001 - 2688970 ns R r2 00000001 - 2688970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2688990 ns R r2 80000000 - 2688990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2689010 ns R psr 81000200 - 2689010 ns MR4_I 00000238 48054770 - 2689030 ns MR4_I 00000230 07d2684a - 2689050 ns IT 00000230 684a LDR r2,[r1,#4] - 2689070 ns MR4_I 00000234 6008d1fc - 2689130 ns MR4_D 40006004 00000001 - 2689130 ns R r2 00000001 - 2689130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2689150 ns R r2 80000000 - 2689150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2689170 ns R psr 81000200 - 2689170 ns MR4_I 00000238 48054770 - 2689190 ns MR4_I 00000230 07d2684a - 2689210 ns IT 00000230 684a LDR r2,[r1,#4] - 2689230 ns MR4_I 00000234 6008d1fc - 2689290 ns MR4_D 40006004 00000001 - 2689290 ns R r2 00000001 - 2689290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2689310 ns R r2 80000000 - 2689310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2689330 ns R psr 81000200 - 2689330 ns MR4_I 00000238 48054770 - 2689350 ns MR4_I 00000230 07d2684a - 2689370 ns IT 00000230 684a LDR r2,[r1,#4] - 2689390 ns MR4_I 00000234 6008d1fc - 2689450 ns MR4_D 40006004 00000001 - 2689450 ns R r2 00000001 - 2689450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2689470 ns R r2 80000000 - 2689470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2689490 ns R psr 81000200 - 2689490 ns MR4_I 00000238 48054770 - 2689510 ns MR4_I 00000230 07d2684a - 2689530 ns IT 00000230 684a LDR r2,[r1,#4] - 2689550 ns MR4_I 00000234 6008d1fc - 2689610 ns MR4_D 40006004 00000001 - 2689610 ns R r2 00000001 - 2689610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2689630 ns R r2 80000000 - 2689630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2689650 ns R psr 81000200 - 2689650 ns MR4_I 00000238 48054770 - 2689670 ns MR4_I 00000230 07d2684a - 2689690 ns IT 00000230 684a LDR r2,[r1,#4] - 2689710 ns MR4_I 00000234 6008d1fc - 2689770 ns MR4_D 40006004 00000001 - 2689770 ns R r2 00000001 - 2689770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2689790 ns R r2 80000000 - 2689790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2689810 ns R psr 81000200 - 2689810 ns MR4_I 00000238 48054770 - 2689830 ns MR4_I 00000230 07d2684a - 2689850 ns IT 00000230 684a LDR r2,[r1,#4] - 2689870 ns MR4_I 00000234 6008d1fc - 2689930 ns MR4_D 40006004 00000001 - 2689930 ns R r2 00000001 - 2689930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2689950 ns R r2 80000000 - 2689950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2689970 ns R psr 81000200 - 2689970 ns MR4_I 00000238 48054770 - 2689990 ns MR4_I 00000230 07d2684a - 2690010 ns IT 00000230 684a LDR r2,[r1,#4] - 2690030 ns MR4_I 00000234 6008d1fc - 2690090 ns MR4_D 40006004 00000001 - 2690090 ns R r2 00000001 - 2690090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2690110 ns R r2 80000000 - 2690110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2690130 ns R psr 81000200 - 2690130 ns MR4_I 00000238 48054770 - 2690150 ns MR4_I 00000230 07d2684a - 2690170 ns IT 00000230 684a LDR r2,[r1,#4] - 2690190 ns MR4_I 00000234 6008d1fc - 2690250 ns MR4_D 40006004 00000001 - 2690250 ns R r2 00000001 - 2690250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2690270 ns R r2 80000000 - 2690270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2690290 ns R psr 81000200 - 2690290 ns MR4_I 00000238 48054770 - 2690310 ns MR4_I 00000230 07d2684a - 2690330 ns IT 00000230 684a LDR r2,[r1,#4] - 2690350 ns MR4_I 00000234 6008d1fc - 2690410 ns MR4_D 40006004 00000001 - 2690410 ns R r2 00000001 - 2690410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2690430 ns R r2 80000000 - 2690430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2690450 ns R psr 81000200 - 2690450 ns MR4_I 00000238 48054770 - 2690470 ns MR4_I 00000230 07d2684a - 2690490 ns IT 00000230 684a LDR r2,[r1,#4] - 2690510 ns MR4_I 00000234 6008d1fc - 2690570 ns MR4_D 40006004 00000001 - 2690570 ns R r2 00000001 - 2690570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2690590 ns R r2 80000000 - 2690590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2690610 ns R psr 81000200 - 2690610 ns MR4_I 00000238 48054770 - 2690630 ns MR4_I 00000230 07d2684a - 2690650 ns IT 00000230 684a LDR r2,[r1,#4] - 2690670 ns MR4_I 00000234 6008d1fc - 2690730 ns MR4_D 40006004 00000001 - 2690730 ns R r2 00000001 - 2690730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2690750 ns R r2 80000000 - 2690750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2690770 ns R psr 81000200 - 2690770 ns MR4_I 00000238 48054770 - 2690790 ns MR4_I 00000230 07d2684a - 2690810 ns IT 00000230 684a LDR r2,[r1,#4] - 2690830 ns MR4_I 00000234 6008d1fc - 2690890 ns MR4_D 40006004 00000001 - 2690890 ns R r2 00000001 - 2690890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2690910 ns R r2 80000000 - 2690910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2690930 ns R psr 81000200 - 2690930 ns MR4_I 00000238 48054770 - 2690950 ns MR4_I 00000230 07d2684a - 2690970 ns IT 00000230 684a LDR r2,[r1,#4] - 2690990 ns MR4_I 00000234 6008d1fc - 2691050 ns MR4_D 40006004 00000001 - 2691050 ns R r2 00000001 - 2691050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2691070 ns R r2 80000000 - 2691070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2691090 ns R psr 81000200 - 2691090 ns MR4_I 00000238 48054770 - 2691110 ns MR4_I 00000230 07d2684a - 2691130 ns IT 00000230 684a LDR r2,[r1,#4] - 2691150 ns MR4_I 00000234 6008d1fc - 2691210 ns MR4_D 40006004 00000001 - 2691210 ns R r2 00000001 - 2691210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2691230 ns R r2 80000000 - 2691230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2691250 ns R psr 81000200 - 2691250 ns MR4_I 00000238 48054770 - 2691270 ns MR4_I 00000230 07d2684a - 2691290 ns IT 00000230 684a LDR r2,[r1,#4] - 2691310 ns MR4_I 00000234 6008d1fc - 2691370 ns MR4_D 40006004 00000001 - 2691370 ns R r2 00000001 - 2691370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2691390 ns R r2 80000000 - 2691390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2691410 ns R psr 81000200 - 2691410 ns MR4_I 00000238 48054770 - 2691430 ns MR4_I 00000230 07d2684a - 2691450 ns IT 00000230 684a LDR r2,[r1,#4] - 2691470 ns MR4_I 00000234 6008d1fc - 2691530 ns MR4_D 40006004 00000001 - 2691530 ns R r2 00000001 - 2691530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2691550 ns R r2 80000000 - 2691550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2691570 ns R psr 81000200 - 2691570 ns MR4_I 00000238 48054770 - 2691590 ns MR4_I 00000230 07d2684a - 2691610 ns IT 00000230 684a LDR r2,[r1,#4] - 2691630 ns MR4_I 00000234 6008d1fc - 2691690 ns MR4_D 40006004 00000001 - 2691690 ns R r2 00000001 - 2691690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2691710 ns R r2 80000000 - 2691710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2691730 ns R psr 81000200 - 2691730 ns MR4_I 00000238 48054770 - 2691750 ns MR4_I 00000230 07d2684a - 2691770 ns IT 00000230 684a LDR r2,[r1,#4] - 2691790 ns MR4_I 00000234 6008d1fc - 2691850 ns MR4_D 40006004 00000001 - 2691850 ns R r2 00000001 - 2691850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2691870 ns R r2 80000000 - 2691870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2691890 ns R psr 81000200 - 2691890 ns MR4_I 00000238 48054770 - 2691910 ns MR4_I 00000230 07d2684a - 2691930 ns IT 00000230 684a LDR r2,[r1,#4] - 2691950 ns MR4_I 00000234 6008d1fc - 2692010 ns MR4_D 40006004 00000001 - 2692010 ns R r2 00000001 - 2692010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2692030 ns R r2 80000000 - 2692030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2692050 ns R psr 81000200 - 2692050 ns MR4_I 00000238 48054770 - 2692070 ns MR4_I 00000230 07d2684a - 2692090 ns IT 00000230 684a LDR r2,[r1,#4] - 2692110 ns MR4_I 00000234 6008d1fc - 2692170 ns MR4_D 40006004 00000001 - 2692170 ns R r2 00000001 - 2692170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2692190 ns R r2 80000000 - 2692190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2692210 ns R psr 81000200 - 2692210 ns MR4_I 00000238 48054770 - 2692230 ns MR4_I 00000230 07d2684a - 2692250 ns IT 00000230 684a LDR r2,[r1,#4] - 2692270 ns MR4_I 00000234 6008d1fc - 2692330 ns MR4_D 40006004 00000001 - 2692330 ns R r2 00000001 - 2692330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2692350 ns R r2 80000000 - 2692350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2692370 ns R psr 81000200 - 2692370 ns MR4_I 00000238 48054770 - 2692390 ns MR4_I 00000230 07d2684a - 2692410 ns IT 00000230 684a LDR r2,[r1,#4] - 2692430 ns MR4_I 00000234 6008d1fc - 2692490 ns MR4_D 40006004 00000001 - 2692490 ns R r2 00000001 - 2692490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2692510 ns R r2 80000000 - 2692510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2692530 ns R psr 81000200 - 2692530 ns MR4_I 00000238 48054770 - 2692550 ns MR4_I 00000230 07d2684a - 2692570 ns IT 00000230 684a LDR r2,[r1,#4] - 2692590 ns MR4_I 00000234 6008d1fc - 2692650 ns MR4_D 40006004 00000001 - 2692650 ns R r2 00000001 - 2692650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2692670 ns R r2 80000000 - 2692670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2692690 ns R psr 81000200 - 2692690 ns MR4_I 00000238 48054770 - 2692710 ns MR4_I 00000230 07d2684a - 2692730 ns IT 00000230 684a LDR r2,[r1,#4] - 2692750 ns MR4_I 00000234 6008d1fc - 2692810 ns MR4_D 40006004 00000001 - 2692810 ns R r2 00000001 - 2692810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2692830 ns R r2 80000000 - 2692830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2692850 ns R psr 81000200 - 2692850 ns MR4_I 00000238 48054770 - 2692870 ns MR4_I 00000230 07d2684a - 2692890 ns IT 00000230 684a LDR r2,[r1,#4] - 2692910 ns MR4_I 00000234 6008d1fc - 2692970 ns MR4_D 40006004 00000001 - 2692970 ns R r2 00000001 - 2692970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2692990 ns R r2 80000000 - 2692990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2693010 ns R psr 81000200 - 2693010 ns MR4_I 00000238 48054770 - 2693030 ns MR4_I 00000230 07d2684a - 2693050 ns IT 00000230 684a LDR r2,[r1,#4] - 2693070 ns MR4_I 00000234 6008d1fc - 2693130 ns MR4_D 40006004 00000001 - 2693130 ns R r2 00000001 - 2693130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2693150 ns R r2 80000000 - 2693150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2693170 ns R psr 81000200 - 2693170 ns MR4_I 00000238 48054770 - 2693190 ns MR4_I 00000230 07d2684a - 2693210 ns IT 00000230 684a LDR r2,[r1,#4] - 2693230 ns MR4_I 00000234 6008d1fc - 2693290 ns MR4_D 40006004 00000001 - 2693290 ns R r2 00000001 - 2693290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2693310 ns R r2 80000000 - 2693310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2693330 ns R psr 81000200 - 2693330 ns MR4_I 00000238 48054770 - 2693350 ns MR4_I 00000230 07d2684a - 2693370 ns IT 00000230 684a LDR r2,[r1,#4] - 2693390 ns MR4_I 00000234 6008d1fc - 2693450 ns MR4_D 40006004 00000001 - 2693450 ns R r2 00000001 - 2693450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2693470 ns R r2 80000000 - 2693470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2693490 ns R psr 81000200 - 2693490 ns MR4_I 00000238 48054770 - 2693510 ns MR4_I 00000230 07d2684a - 2693530 ns IT 00000230 684a LDR r2,[r1,#4] - 2693550 ns MR4_I 00000234 6008d1fc - 2693610 ns MR4_D 40006004 00000001 - 2693610 ns R r2 00000001 - 2693610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2693630 ns R r2 80000000 - 2693630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2693650 ns R psr 81000200 - 2693650 ns MR4_I 00000238 48054770 - 2693670 ns MR4_I 00000230 07d2684a - 2693690 ns IT 00000230 684a LDR r2,[r1,#4] - 2693710 ns MR4_I 00000234 6008d1fc - 2693770 ns MR4_D 40006004 00000001 - 2693770 ns R r2 00000001 - 2693770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2693790 ns R r2 80000000 - 2693790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2693810 ns R psr 81000200 - 2693810 ns MR4_I 00000238 48054770 - 2693830 ns MR4_I 00000230 07d2684a - 2693850 ns IT 00000230 684a LDR r2,[r1,#4] - 2693870 ns MR4_I 00000234 6008d1fc - 2693930 ns MR4_D 40006004 00000001 - 2693930 ns R r2 00000001 - 2693930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2693950 ns R r2 80000000 - 2693950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2693970 ns R psr 81000200 - 2693970 ns MR4_I 00000238 48054770 - 2693990 ns MR4_I 00000230 07d2684a - 2694010 ns IT 00000230 684a LDR r2,[r1,#4] - 2694030 ns MR4_I 00000234 6008d1fc - 2694090 ns MR4_D 40006004 00000001 - 2694090 ns R r2 00000001 - 2694090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2694110 ns R r2 80000000 - 2694110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2694130 ns R psr 81000200 - 2694130 ns MR4_I 00000238 48054770 - 2694150 ns MR4_I 00000230 07d2684a - 2694170 ns IT 00000230 684a LDR r2,[r1,#4] - 2694190 ns MR4_I 00000234 6008d1fc - 2694250 ns MR4_D 40006004 00000001 - 2694250 ns R r2 00000001 - 2694250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2694270 ns R r2 80000000 - 2694270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2694290 ns R psr 81000200 - 2694290 ns MR4_I 00000238 48054770 - 2694310 ns MR4_I 00000230 07d2684a - 2694330 ns IT 00000230 684a LDR r2,[r1,#4] - 2694350 ns MR4_I 00000234 6008d1fc - 2694410 ns MR4_D 40006004 00000001 - 2694410 ns R r2 00000001 - 2694410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2694430 ns R r2 80000000 - 2694430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2694450 ns R psr 81000200 - 2694450 ns MR4_I 00000238 48054770 - 2694470 ns MR4_I 00000230 07d2684a - 2694490 ns IT 00000230 684a LDR r2,[r1,#4] - 2694510 ns MR4_I 00000234 6008d1fc - 2694570 ns MR4_D 40006004 00000001 - 2694570 ns R r2 00000001 - 2694570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2694590 ns R r2 80000000 - 2694590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2694610 ns R psr 81000200 - 2694610 ns MR4_I 00000238 48054770 - 2694630 ns MR4_I 00000230 07d2684a - 2694650 ns IT 00000230 684a LDR r2,[r1,#4] - 2694670 ns MR4_I 00000234 6008d1fc - 2694730 ns MR4_D 40006004 00000001 - 2694730 ns R r2 00000001 - 2694730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2694750 ns R r2 80000000 - 2694750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2694770 ns R psr 81000200 - 2694770 ns MR4_I 00000238 48054770 - 2694790 ns MR4_I 00000230 07d2684a - 2694810 ns IT 00000230 684a LDR r2,[r1,#4] - 2694830 ns MR4_I 00000234 6008d1fc - 2694890 ns MR4_D 40006004 00000001 - 2694890 ns R r2 00000001 - 2694890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2694910 ns R r2 80000000 - 2694910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2694930 ns R psr 81000200 - 2694930 ns MR4_I 00000238 48054770 - 2694950 ns MR4_I 00000230 07d2684a - 2694970 ns IT 00000230 684a LDR r2,[r1,#4] - 2694990 ns MR4_I 00000234 6008d1fc - 2695050 ns MR4_D 40006004 00000001 - 2695050 ns R r2 00000001 - 2695050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2695070 ns R r2 80000000 - 2695070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2695090 ns R psr 81000200 - 2695090 ns MR4_I 00000238 48054770 - 2695110 ns MR4_I 00000230 07d2684a - 2695130 ns IT 00000230 684a LDR r2,[r1,#4] - 2695150 ns MR4_I 00000234 6008d1fc - 2695210 ns MR4_D 40006004 00000001 - 2695210 ns R r2 00000001 - 2695210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2695230 ns R r2 80000000 - 2695230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2695250 ns R psr 81000200 - 2695250 ns MR4_I 00000238 48054770 - 2695270 ns MR4_I 00000230 07d2684a - 2695290 ns IT 00000230 684a LDR r2,[r1,#4] - 2695310 ns MR4_I 00000234 6008d1fc - 2695370 ns MR4_D 40006004 00000001 - 2695370 ns R r2 00000001 - 2695370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2695390 ns R r2 80000000 - 2695390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2695410 ns R psr 81000200 - 2695410 ns MR4_I 00000238 48054770 - 2695430 ns MR4_I 00000230 07d2684a - 2695450 ns IT 00000230 684a LDR r2,[r1,#4] - 2695470 ns MR4_I 00000234 6008d1fc - 2695530 ns MR4_D 40006004 00000001 - 2695530 ns R r2 00000001 - 2695530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2695550 ns R r2 80000000 - 2695550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2695570 ns R psr 81000200 - 2695570 ns MR4_I 00000238 48054770 - 2695590 ns MR4_I 00000230 07d2684a - 2695610 ns IT 00000230 684a LDR r2,[r1,#4] - 2695630 ns MR4_I 00000234 6008d1fc - 2695690 ns MR4_D 40006004 00000001 - 2695690 ns R r2 00000001 - 2695690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2695710 ns R r2 80000000 - 2695710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2695730 ns R psr 81000200 - 2695730 ns MR4_I 00000238 48054770 - 2695750 ns MR4_I 00000230 07d2684a - 2695770 ns IT 00000230 684a LDR r2,[r1,#4] - 2695790 ns MR4_I 00000234 6008d1fc - 2695850 ns MR4_D 40006004 00000001 - 2695850 ns R r2 00000001 - 2695850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2695870 ns R r2 80000000 - 2695870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2695890 ns R psr 81000200 - 2695890 ns MR4_I 00000238 48054770 - 2695910 ns MR4_I 00000230 07d2684a - 2695930 ns IT 00000230 684a LDR r2,[r1,#4] - 2695950 ns MR4_I 00000234 6008d1fc - 2696010 ns MR4_D 40006004 00000001 - 2696010 ns R r2 00000001 - 2696010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2696030 ns R r2 80000000 - 2696030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2696050 ns R psr 81000200 - 2696050 ns MR4_I 00000238 48054770 - 2696070 ns MR4_I 00000230 07d2684a - 2696090 ns IT 00000230 684a LDR r2,[r1,#4] - 2696110 ns MR4_I 00000234 6008d1fc - 2696170 ns MR4_D 40006004 00000001 - 2696170 ns R r2 00000001 - 2696170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2696190 ns R r2 80000000 - 2696190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2696210 ns R psr 81000200 - 2696210 ns MR4_I 00000238 48054770 - 2696230 ns MR4_I 00000230 07d2684a - 2696250 ns IT 00000230 684a LDR r2,[r1,#4] - 2696270 ns MR4_I 00000234 6008d1fc - 2696330 ns MR4_D 40006004 00000001 - 2696330 ns R r2 00000001 - 2696330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2696350 ns R r2 80000000 - 2696350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2696370 ns R psr 81000200 - 2696370 ns MR4_I 00000238 48054770 - 2696390 ns MR4_I 00000230 07d2684a - 2696410 ns IT 00000230 684a LDR r2,[r1,#4] - 2696430 ns MR4_I 00000234 6008d1fc - 2696490 ns MR4_D 40006004 00000001 - 2696490 ns R r2 00000001 - 2696490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2696510 ns R r2 80000000 - 2696510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2696530 ns R psr 81000200 - 2696530 ns MR4_I 00000238 48054770 - 2696550 ns MR4_I 00000230 07d2684a - 2696570 ns IT 00000230 684a LDR r2,[r1,#4] - 2696590 ns MR4_I 00000234 6008d1fc - 2696650 ns MR4_D 40006004 00000001 - 2696650 ns R r2 00000001 - 2696650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2696670 ns R r2 80000000 - 2696670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2696690 ns R psr 81000200 - 2696690 ns MR4_I 00000238 48054770 - 2696710 ns MR4_I 00000230 07d2684a - 2696730 ns IT 00000230 684a LDR r2,[r1,#4] - 2696750 ns MR4_I 00000234 6008d1fc - 2696810 ns MR4_D 40006004 00000001 - 2696810 ns R r2 00000001 - 2696810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2696830 ns R r2 80000000 - 2696830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2696850 ns R psr 81000200 - 2696850 ns MR4_I 00000238 48054770 - 2696870 ns MR4_I 00000230 07d2684a - 2696890 ns IT 00000230 684a LDR r2,[r1,#4] - 2696910 ns MR4_I 00000234 6008d1fc - 2696970 ns MR4_D 40006004 00000001 - 2696970 ns R r2 00000001 - 2696970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2696990 ns R r2 80000000 - 2696990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2697010 ns R psr 81000200 - 2697010 ns MR4_I 00000238 48054770 - 2697030 ns MR4_I 00000230 07d2684a - 2697050 ns IT 00000230 684a LDR r2,[r1,#4] - 2697070 ns MR4_I 00000234 6008d1fc - 2697130 ns MR4_D 40006004 00000001 - 2697130 ns R r2 00000001 - 2697130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2697150 ns R r2 80000000 - 2697150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2697170 ns R psr 81000200 - 2697170 ns MR4_I 00000238 48054770 - 2697190 ns MR4_I 00000230 07d2684a - 2697210 ns IT 00000230 684a LDR r2,[r1,#4] - 2697230 ns MR4_I 00000234 6008d1fc - 2697290 ns MR4_D 40006004 00000001 - 2697290 ns R r2 00000001 - 2697290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2697310 ns R r2 80000000 - 2697310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2697330 ns R psr 81000200 - 2697330 ns MR4_I 00000238 48054770 - 2697350 ns MR4_I 00000230 07d2684a - 2697370 ns IT 00000230 684a LDR r2,[r1,#4] - 2697390 ns MR4_I 00000234 6008d1fc - 2697450 ns MR4_D 40006004 00000001 - 2697450 ns R r2 00000001 - 2697450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2697470 ns R r2 80000000 - 2697470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2697490 ns R psr 81000200 - 2697490 ns MR4_I 00000238 48054770 - 2697510 ns MR4_I 00000230 07d2684a - 2697530 ns IT 00000230 684a LDR r2,[r1,#4] - 2697550 ns MR4_I 00000234 6008d1fc - 2697610 ns MR4_D 40006004 00000001 - 2697610 ns R r2 00000001 - 2697610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2697630 ns R r2 80000000 - 2697630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2697650 ns R psr 81000200 - 2697650 ns MR4_I 00000238 48054770 - 2697670 ns MR4_I 00000230 07d2684a - 2697690 ns IT 00000230 684a LDR r2,[r1,#4] - 2697710 ns MR4_I 00000234 6008d1fc - 2697770 ns MR4_D 40006004 00000001 - 2697770 ns R r2 00000001 - 2697770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2697790 ns R r2 80000000 - 2697790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2697810 ns R psr 81000200 - 2697810 ns MR4_I 00000238 48054770 - 2697830 ns MR4_I 00000230 07d2684a - 2697850 ns IT 00000230 684a LDR r2,[r1,#4] - 2697870 ns MR4_I 00000234 6008d1fc - 2697930 ns MR4_D 40006004 00000001 - 2697930 ns R r2 00000001 - 2697930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2697950 ns R r2 80000000 - 2697950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2697970 ns R psr 81000200 - 2697970 ns MR4_I 00000238 48054770 - 2697990 ns MR4_I 00000230 07d2684a - 2698010 ns IT 00000230 684a LDR r2,[r1,#4] - 2698030 ns MR4_I 00000234 6008d1fc - 2698090 ns MR4_D 40006004 00000001 - 2698090 ns R r2 00000001 - 2698090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2698110 ns R r2 80000000 - 2698110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2698130 ns R psr 81000200 - 2698130 ns MR4_I 00000238 48054770 - 2698150 ns MR4_I 00000230 07d2684a - 2698170 ns IT 00000230 684a LDR r2,[r1,#4] - 2698190 ns MR4_I 00000234 6008d1fc - 2698250 ns MR4_D 40006004 00000001 - 2698250 ns R r2 00000001 - 2698250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2698270 ns R r2 80000000 - 2698270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2698290 ns R psr 81000200 - 2698290 ns MR4_I 00000238 48054770 - 2698310 ns MR4_I 00000230 07d2684a - 2698330 ns IT 00000230 684a LDR r2,[r1,#4] - 2698350 ns MR4_I 00000234 6008d1fc - 2698410 ns MR4_D 40006004 00000001 - 2698410 ns R r2 00000001 - 2698410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2698430 ns R r2 80000000 - 2698430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2698450 ns R psr 81000200 - 2698450 ns MR4_I 00000238 48054770 - 2698470 ns MR4_I 00000230 07d2684a - 2698490 ns IT 00000230 684a LDR r2,[r1,#4] - 2698510 ns MR4_I 00000234 6008d1fc - 2698570 ns MR4_D 40006004 00000001 - 2698570 ns R r2 00000001 - 2698570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2698590 ns R r2 80000000 - 2698590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2698610 ns R psr 81000200 - 2698610 ns MR4_I 00000238 48054770 - 2698630 ns MR4_I 00000230 07d2684a - 2698650 ns IT 00000230 684a LDR r2,[r1,#4] - 2698670 ns MR4_I 00000234 6008d1fc - 2698730 ns MR4_D 40006004 00000001 - 2698730 ns R r2 00000001 - 2698730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2698750 ns R r2 80000000 - 2698750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2698770 ns R psr 81000200 - 2698770 ns MR4_I 00000238 48054770 - 2698790 ns MR4_I 00000230 07d2684a - 2698810 ns IT 00000230 684a LDR r2,[r1,#4] - 2698830 ns MR4_I 00000234 6008d1fc - 2698890 ns MR4_D 40006004 00000001 - 2698890 ns R r2 00000001 - 2698890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2698910 ns R r2 80000000 - 2698910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2698930 ns R psr 81000200 - 2698930 ns MR4_I 00000238 48054770 - 2698950 ns MR4_I 00000230 07d2684a - 2698970 ns IT 00000230 684a LDR r2,[r1,#4] - 2698990 ns MR4_I 00000234 6008d1fc - 2699050 ns MR4_D 40006004 00000001 - 2699050 ns R r2 00000001 - 2699050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2699070 ns R r2 80000000 - 2699070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2699090 ns R psr 81000200 - 2699090 ns MR4_I 00000238 48054770 - 2699110 ns MR4_I 00000230 07d2684a - 2699130 ns IT 00000230 684a LDR r2,[r1,#4] - 2699150 ns MR4_I 00000234 6008d1fc - 2699210 ns MR4_D 40006004 00000001 - 2699210 ns R r2 00000001 - 2699210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2699230 ns R r2 80000000 - 2699230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2699250 ns R psr 81000200 - 2699250 ns MR4_I 00000238 48054770 - 2699270 ns MR4_I 00000230 07d2684a - 2699290 ns IT 00000230 684a LDR r2,[r1,#4] - 2699310 ns MR4_I 00000234 6008d1fc - 2699370 ns MR4_D 40006004 00000001 - 2699370 ns R r2 00000001 - 2699370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2699390 ns R r2 80000000 - 2699390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2699410 ns R psr 81000200 - 2699410 ns MR4_I 00000238 48054770 - 2699430 ns MR4_I 00000230 07d2684a - 2699450 ns IT 00000230 684a LDR r2,[r1,#4] - 2699470 ns MR4_I 00000234 6008d1fc - 2699530 ns MR4_D 40006004 00000001 - 2699530 ns R r2 00000001 - 2699530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2699550 ns R r2 80000000 - 2699550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2699570 ns R psr 81000200 - 2699570 ns MR4_I 00000238 48054770 - 2699590 ns MR4_I 00000230 07d2684a - 2699610 ns IT 00000230 684a LDR r2,[r1,#4] - 2699630 ns MR4_I 00000234 6008d1fc - 2699690 ns MR4_D 40006004 00000001 - 2699690 ns R r2 00000001 - 2699690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2699710 ns R r2 80000000 - 2699710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2699730 ns R psr 81000200 - 2699730 ns MR4_I 00000238 48054770 - 2699750 ns MR4_I 00000230 07d2684a - 2699770 ns IT 00000230 684a LDR r2,[r1,#4] - 2699790 ns MR4_I 00000234 6008d1fc - 2699850 ns MR4_D 40006004 00000001 - 2699850 ns R r2 00000001 - 2699850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2699870 ns R r2 80000000 - 2699870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2699890 ns R psr 81000200 - 2699890 ns MR4_I 00000238 48054770 - 2699910 ns MR4_I 00000230 07d2684a - 2699930 ns IT 00000230 684a LDR r2,[r1,#4] - 2699950 ns MR4_I 00000234 6008d1fc - 2700010 ns MR4_D 40006004 00000001 - 2700010 ns R r2 00000001 - 2700010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2700030 ns R r2 80000000 - 2700030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2700050 ns R psr 81000200 - 2700050 ns MR4_I 00000238 48054770 - 2700070 ns MR4_I 00000230 07d2684a - 2700090 ns IT 00000230 684a LDR r2,[r1,#4] - 2700110 ns MR4_I 00000234 6008d1fc - 2700170 ns MR4_D 40006004 00000001 - 2700170 ns R r2 00000001 - 2700170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2700190 ns R r2 80000000 - 2700190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2700210 ns R psr 81000200 - 2700210 ns MR4_I 00000238 48054770 - 2700230 ns MR4_I 00000230 07d2684a - 2700250 ns IT 00000230 684a LDR r2,[r1,#4] - 2700270 ns MR4_I 00000234 6008d1fc - 2700330 ns MR4_D 40006004 00000001 - 2700330 ns R r2 00000001 - 2700330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2700350 ns R r2 80000000 - 2700350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2700370 ns R psr 81000200 - 2700370 ns MR4_I 00000238 48054770 - 2700390 ns MR4_I 00000230 07d2684a - 2700410 ns IT 00000230 684a LDR r2,[r1,#4] - 2700430 ns MR4_I 00000234 6008d1fc - 2700490 ns MR4_D 40006004 00000001 - 2700490 ns R r2 00000001 - 2700490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2700510 ns R r2 80000000 - 2700510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2700530 ns R psr 81000200 - 2700530 ns MR4_I 00000238 48054770 - 2700550 ns MR4_I 00000230 07d2684a - 2700570 ns IT 00000230 684a LDR r2,[r1,#4] - 2700590 ns MR4_I 00000234 6008d1fc - 2700650 ns MR4_D 40006004 00000001 - 2700650 ns R r2 00000001 - 2700650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2700670 ns R r2 80000000 - 2700670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2700690 ns R psr 81000200 - 2700690 ns MR4_I 00000238 48054770 - 2700710 ns MR4_I 00000230 07d2684a - 2700730 ns IT 00000230 684a LDR r2,[r1,#4] - 2700750 ns MR4_I 00000234 6008d1fc - 2700810 ns MR4_D 40006004 00000001 - 2700810 ns R r2 00000001 - 2700810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2700830 ns R r2 80000000 - 2700830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2700850 ns R psr 81000200 - 2700850 ns MR4_I 00000238 48054770 - 2700870 ns MR4_I 00000230 07d2684a - 2700890 ns IT 00000230 684a LDR r2,[r1,#4] - 2700910 ns MR4_I 00000234 6008d1fc - 2700970 ns MR4_D 40006004 00000001 - 2700970 ns R r2 00000001 - 2700970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2700990 ns R r2 80000000 - 2700990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2701010 ns R psr 81000200 - 2701010 ns MR4_I 00000238 48054770 - 2701030 ns MR4_I 00000230 07d2684a - 2701050 ns IT 00000230 684a LDR r2,[r1,#4] - 2701070 ns MR4_I 00000234 6008d1fc - 2701130 ns MR4_D 40006004 00000001 - 2701130 ns R r2 00000001 - 2701130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2701150 ns R r2 80000000 - 2701150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2701170 ns R psr 81000200 - 2701170 ns MR4_I 00000238 48054770 - 2701190 ns MR4_I 00000230 07d2684a - 2701210 ns IT 00000230 684a LDR r2,[r1,#4] - 2701230 ns MR4_I 00000234 6008d1fc - 2701290 ns MR4_D 40006004 00000001 - 2701290 ns R r2 00000001 - 2701290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2701310 ns R r2 80000000 - 2701310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2701330 ns R psr 81000200 - 2701330 ns MR4_I 00000238 48054770 - 2701350 ns MR4_I 00000230 07d2684a - 2701370 ns IT 00000230 684a LDR r2,[r1,#4] - 2701390 ns MR4_I 00000234 6008d1fc - 2701450 ns MR4_D 40006004 00000001 - 2701450 ns R r2 00000001 - 2701450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2701470 ns R r2 80000000 - 2701470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2701490 ns R psr 81000200 - 2701490 ns MR4_I 00000238 48054770 - 2701510 ns MR4_I 00000230 07d2684a - 2701530 ns IT 00000230 684a LDR r2,[r1,#4] - 2701550 ns MR4_I 00000234 6008d1fc - 2701610 ns MR4_D 40006004 00000001 - 2701610 ns R r2 00000001 - 2701610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2701630 ns R r2 80000000 - 2701630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2701650 ns R psr 81000200 - 2701650 ns MR4_I 00000238 48054770 - 2701670 ns MR4_I 00000230 07d2684a - 2701690 ns IT 00000230 684a LDR r2,[r1,#4] - 2701710 ns MR4_I 00000234 6008d1fc - 2701770 ns MR4_D 40006004 00000001 - 2701770 ns R r2 00000001 - 2701770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2701790 ns R r2 80000000 - 2701790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2701810 ns R psr 81000200 - 2701810 ns MR4_I 00000238 48054770 - 2701830 ns MR4_I 00000230 07d2684a - 2701850 ns IT 00000230 684a LDR r2,[r1,#4] - 2701870 ns MR4_I 00000234 6008d1fc - 2701930 ns MR4_D 40006004 00000001 - 2701930 ns R r2 00000001 - 2701930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2701950 ns R r2 80000000 - 2701950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2701970 ns R psr 81000200 - 2701970 ns MR4_I 00000238 48054770 - 2701990 ns MR4_I 00000230 07d2684a - 2702010 ns IT 00000230 684a LDR r2,[r1,#4] - 2702030 ns MR4_I 00000234 6008d1fc - 2702090 ns MR4_D 40006004 00000001 - 2702090 ns R r2 00000001 - 2702090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2702110 ns R r2 80000000 - 2702110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2702130 ns R psr 81000200 - 2702130 ns MR4_I 00000238 48054770 - 2702150 ns MR4_I 00000230 07d2684a - 2702170 ns IT 00000230 684a LDR r2,[r1,#4] - 2702190 ns MR4_I 00000234 6008d1fc - 2702250 ns MR4_D 40006004 00000001 - 2702250 ns R r2 00000001 - 2702250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2702270 ns R r2 80000000 - 2702270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2702290 ns R psr 81000200 - 2702290 ns MR4_I 00000238 48054770 - 2702310 ns MR4_I 00000230 07d2684a - 2702330 ns IT 00000230 684a LDR r2,[r1,#4] - 2702350 ns MR4_I 00000234 6008d1fc - 2702410 ns MR4_D 40006004 00000001 - 2702410 ns R r2 00000001 - 2702410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2702430 ns R r2 80000000 - 2702430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2702450 ns R psr 81000200 - 2702450 ns MR4_I 00000238 48054770 - 2702470 ns MR4_I 00000230 07d2684a - 2702490 ns IT 00000230 684a LDR r2,[r1,#4] - 2702510 ns MR4_I 00000234 6008d1fc - 2702570 ns MR4_D 40006004 00000001 - 2702570 ns R r2 00000001 - 2702570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2702590 ns R r2 80000000 - 2702590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2702610 ns R psr 81000200 - 2702610 ns MR4_I 00000238 48054770 - 2702630 ns MR4_I 00000230 07d2684a - 2702650 ns IT 00000230 684a LDR r2,[r1,#4] - 2702670 ns MR4_I 00000234 6008d1fc - 2702730 ns MR4_D 40006004 00000001 - 2702730 ns R r2 00000001 - 2702730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2702750 ns R r2 80000000 - 2702750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2702770 ns R psr 81000200 - 2702770 ns MR4_I 00000238 48054770 - 2702790 ns MR4_I 00000230 07d2684a - 2702810 ns IT 00000230 684a LDR r2,[r1,#4] - 2702830 ns MR4_I 00000234 6008d1fc - 2702890 ns MR4_D 40006004 00000001 - 2702890 ns R r2 00000001 - 2702890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2702910 ns R r2 80000000 - 2702910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2702930 ns R psr 81000200 - 2702930 ns MR4_I 00000238 48054770 - 2702950 ns MR4_I 00000230 07d2684a - 2702970 ns IT 00000230 684a LDR r2,[r1,#4] - 2702990 ns MR4_I 00000234 6008d1fc - 2703050 ns MR4_D 40006004 00000001 - 2703050 ns R r2 00000001 - 2703050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2703070 ns R r2 80000000 - 2703070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2703090 ns R psr 81000200 - 2703090 ns MR4_I 00000238 48054770 - 2703110 ns MR4_I 00000230 07d2684a - 2703130 ns IT 00000230 684a LDR r2,[r1,#4] - 2703150 ns MR4_I 00000234 6008d1fc - 2703210 ns MR4_D 40006004 00000001 - 2703210 ns R r2 00000001 - 2703210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2703230 ns R r2 80000000 - 2703230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2703250 ns R psr 81000200 - 2703250 ns MR4_I 00000238 48054770 - 2703270 ns MR4_I 00000230 07d2684a - 2703290 ns IT 00000230 684a LDR r2,[r1,#4] - 2703310 ns MR4_I 00000234 6008d1fc - 2703370 ns MR4_D 40006004 00000001 - 2703370 ns R r2 00000001 - 2703370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2703390 ns R r2 80000000 - 2703390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2703410 ns R psr 81000200 - 2703410 ns MR4_I 00000238 48054770 - 2703430 ns MR4_I 00000230 07d2684a - 2703450 ns IT 00000230 684a LDR r2,[r1,#4] - 2703470 ns MR4_I 00000234 6008d1fc - 2703530 ns MR4_D 40006004 00000001 - 2703530 ns R r2 00000001 - 2703530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2703550 ns R r2 80000000 - 2703550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2703570 ns R psr 81000200 - 2703570 ns MR4_I 00000238 48054770 - 2703590 ns MR4_I 00000230 07d2684a - 2703610 ns IT 00000230 684a LDR r2,[r1,#4] - 2703630 ns MR4_I 00000234 6008d1fc - 2703690 ns MR4_D 40006004 00000001 - 2703690 ns R r2 00000001 - 2703690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2703710 ns R r2 80000000 - 2703710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2703730 ns R psr 81000200 - 2703730 ns MR4_I 00000238 48054770 - 2703750 ns MR4_I 00000230 07d2684a - 2703770 ns IT 00000230 684a LDR r2,[r1,#4] - 2703790 ns MR4_I 00000234 6008d1fc - 2703850 ns MR4_D 40006004 00000001 - 2703850 ns R r2 00000001 - 2703850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2703870 ns R r2 80000000 - 2703870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2703890 ns R psr 81000200 - 2703890 ns MR4_I 00000238 48054770 - 2703910 ns MR4_I 00000230 07d2684a - 2703930 ns IT 00000230 684a LDR r2,[r1,#4] - 2703950 ns MR4_I 00000234 6008d1fc - 2704010 ns MR4_D 40006004 00000001 - 2704010 ns R r2 00000001 - 2704010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2704030 ns R r2 80000000 - 2704030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2704050 ns R psr 81000200 - 2704050 ns MR4_I 00000238 48054770 - 2704070 ns MR4_I 00000230 07d2684a - 2704090 ns IT 00000230 684a LDR r2,[r1,#4] - 2704110 ns MR4_I 00000234 6008d1fc - 2704170 ns MR4_D 40006004 00000001 - 2704170 ns R r2 00000001 - 2704170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2704190 ns R r2 80000000 - 2704190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2704210 ns R psr 81000200 - 2704210 ns MR4_I 00000238 48054770 - 2704230 ns MR4_I 00000230 07d2684a - 2704250 ns IT 00000230 684a LDR r2,[r1,#4] - 2704270 ns MR4_I 00000234 6008d1fc - 2704330 ns MR4_D 40006004 00000001 - 2704330 ns R r2 00000001 - 2704330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2704350 ns R r2 80000000 - 2704350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2704370 ns R psr 81000200 - 2704370 ns MR4_I 00000238 48054770 - 2704390 ns MR4_I 00000230 07d2684a - 2704410 ns IT 00000230 684a LDR r2,[r1,#4] - 2704430 ns MR4_I 00000234 6008d1fc - 2704490 ns MR4_D 40006004 00000001 - 2704490 ns R r2 00000001 - 2704490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2704510 ns R r2 80000000 - 2704510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2704530 ns R psr 81000200 - 2704530 ns MR4_I 00000238 48054770 - 2704550 ns MR4_I 00000230 07d2684a - 2704570 ns IT 00000230 684a LDR r2,[r1,#4] - 2704590 ns MR4_I 00000234 6008d1fc - 2704650 ns MR4_D 40006004 00000001 - 2704650 ns R r2 00000001 - 2704650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2704670 ns R r2 80000000 - 2704670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2704690 ns R psr 81000200 - 2704690 ns MR4_I 00000238 48054770 - 2704710 ns MR4_I 00000230 07d2684a - 2704730 ns IT 00000230 684a LDR r2,[r1,#4] - 2704750 ns MR4_I 00000234 6008d1fc - 2704810 ns MR4_D 40006004 00000001 - 2704810 ns R r2 00000001 - 2704810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2704830 ns R r2 80000000 - 2704830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2704850 ns R psr 81000200 - 2704850 ns MR4_I 00000238 48054770 - 2704870 ns MR4_I 00000230 07d2684a - 2704890 ns IT 00000230 684a LDR r2,[r1,#4] - 2704910 ns MR4_I 00000234 6008d1fc - 2704970 ns MR4_D 40006004 00000001 - 2704970 ns R r2 00000001 - 2704970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2704990 ns R r2 80000000 - 2704990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2705010 ns R psr 81000200 - 2705010 ns MR4_I 00000238 48054770 - 2705030 ns MR4_I 00000230 07d2684a - 2705050 ns IT 00000230 684a LDR r2,[r1,#4] - 2705070 ns MR4_I 00000234 6008d1fc - 2705130 ns MR4_D 40006004 00000001 - 2705130 ns R r2 00000001 - 2705130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2705150 ns R r2 80000000 - 2705150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2705170 ns R psr 81000200 - 2705170 ns MR4_I 00000238 48054770 - 2705190 ns MR4_I 00000230 07d2684a - 2705210 ns IT 00000230 684a LDR r2,[r1,#4] - 2705230 ns MR4_I 00000234 6008d1fc - 2705290 ns MR4_D 40006004 00000001 - 2705290 ns R r2 00000001 - 2705290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2705310 ns R r2 80000000 - 2705310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2705330 ns R psr 81000200 - 2705330 ns MR4_I 00000238 48054770 - 2705350 ns MR4_I 00000230 07d2684a - 2705370 ns IT 00000230 684a LDR r2,[r1,#4] - 2705390 ns MR4_I 00000234 6008d1fc - 2705450 ns MR4_D 40006004 00000001 - 2705450 ns R r2 00000001 - 2705450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2705470 ns R r2 80000000 - 2705470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2705490 ns R psr 81000200 - 2705490 ns MR4_I 00000238 48054770 - 2705510 ns MR4_I 00000230 07d2684a - 2705530 ns IT 00000230 684a LDR r2,[r1,#4] - 2705550 ns MR4_I 00000234 6008d1fc - 2705610 ns MR4_D 40006004 00000001 - 2705610 ns R r2 00000001 - 2705610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2705630 ns R r2 80000000 - 2705630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2705650 ns R psr 81000200 - 2705650 ns MR4_I 00000238 48054770 - 2705670 ns MR4_I 00000230 07d2684a - 2705690 ns IT 00000230 684a LDR r2,[r1,#4] - 2705710 ns MR4_I 00000234 6008d1fc - 2705770 ns MR4_D 40006004 00000001 - 2705770 ns R r2 00000001 - 2705770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2705790 ns R r2 80000000 - 2705790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2705810 ns R psr 81000200 - 2705810 ns MR4_I 00000238 48054770 - 2705830 ns MR4_I 00000230 07d2684a - 2705850 ns IT 00000230 684a LDR r2,[r1,#4] - 2705870 ns MR4_I 00000234 6008d1fc - 2705930 ns MR4_D 40006004 00000001 - 2705930 ns R r2 00000001 - 2705930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2705950 ns R r2 80000000 - 2705950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2705970 ns R psr 81000200 - 2705970 ns MR4_I 00000238 48054770 - 2705990 ns MR4_I 00000230 07d2684a - 2706010 ns IT 00000230 684a LDR r2,[r1,#4] - 2706030 ns MR4_I 00000234 6008d1fc - 2706090 ns MR4_D 40006004 00000001 - 2706090 ns R r2 00000001 - 2706090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2706110 ns R r2 80000000 - 2706110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2706130 ns R psr 81000200 - 2706130 ns MR4_I 00000238 48054770 - 2706150 ns MR4_I 00000230 07d2684a - 2706170 ns IT 00000230 684a LDR r2,[r1,#4] - 2706190 ns MR4_I 00000234 6008d1fc - 2706250 ns MR4_D 40006004 00000001 - 2706250 ns R r2 00000001 - 2706250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2706270 ns R r2 80000000 - 2706270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2706290 ns R psr 81000200 - 2706290 ns MR4_I 00000238 48054770 - 2706310 ns MR4_I 00000230 07d2684a - 2706330 ns IT 00000230 684a LDR r2,[r1,#4] - 2706350 ns MR4_I 00000234 6008d1fc - 2706410 ns MR4_D 40006004 00000001 - 2706410 ns R r2 00000001 - 2706410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2706430 ns R r2 80000000 - 2706430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2706450 ns R psr 81000200 - 2706450 ns MR4_I 00000238 48054770 - 2706470 ns MR4_I 00000230 07d2684a - 2706490 ns IT 00000230 684a LDR r2,[r1,#4] - 2706510 ns MR4_I 00000234 6008d1fc - 2706570 ns MR4_D 40006004 00000001 - 2706570 ns R r2 00000001 - 2706570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2706590 ns R r2 80000000 - 2706590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2706610 ns R psr 81000200 - 2706610 ns MR4_I 00000238 48054770 - 2706630 ns MR4_I 00000230 07d2684a - 2706650 ns IT 00000230 684a LDR r2,[r1,#4] - 2706670 ns MR4_I 00000234 6008d1fc - 2706730 ns MR4_D 40006004 00000001 - 2706730 ns R r2 00000001 - 2706730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2706750 ns R r2 80000000 - 2706750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2706770 ns R psr 81000200 - 2706770 ns MR4_I 00000238 48054770 - 2706790 ns MR4_I 00000230 07d2684a - 2706810 ns IT 00000230 684a LDR r2,[r1,#4] - 2706830 ns MR4_I 00000234 6008d1fc - 2706890 ns MR4_D 40006004 00000001 - 2706890 ns R r2 00000001 - 2706890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2706910 ns R r2 80000000 - 2706910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2706930 ns R psr 81000200 - 2706930 ns MR4_I 00000238 48054770 - 2706950 ns MR4_I 00000230 07d2684a - 2706970 ns IT 00000230 684a LDR r2,[r1,#4] - 2706990 ns MR4_I 00000234 6008d1fc - 2707050 ns MR4_D 40006004 00000001 - 2707050 ns R r2 00000001 - 2707050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2707070 ns R r2 80000000 - 2707070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2707090 ns R psr 81000200 - 2707090 ns MR4_I 00000238 48054770 - 2707110 ns MR4_I 00000230 07d2684a - 2707130 ns IT 00000230 684a LDR r2,[r1,#4] - 2707150 ns MR4_I 00000234 6008d1fc - 2707210 ns MR4_D 40006004 00000001 - 2707210 ns R r2 00000001 - 2707210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2707230 ns R r2 80000000 - 2707230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2707250 ns R psr 81000200 - 2707250 ns MR4_I 00000238 48054770 - 2707270 ns MR4_I 00000230 07d2684a - 2707290 ns IT 00000230 684a LDR r2,[r1,#4] - 2707310 ns MR4_I 00000234 6008d1fc - 2707370 ns MR4_D 40006004 00000001 - 2707370 ns R r2 00000001 - 2707370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2707390 ns R r2 80000000 - 2707390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2707410 ns R psr 81000200 - 2707410 ns MR4_I 00000238 48054770 - 2707430 ns MR4_I 00000230 07d2684a - 2707450 ns IT 00000230 684a LDR r2,[r1,#4] - 2707470 ns MR4_I 00000234 6008d1fc - 2707530 ns MR4_D 40006004 00000001 - 2707530 ns R r2 00000001 - 2707530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2707550 ns R r2 80000000 - 2707550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2707570 ns R psr 81000200 - 2707570 ns MR4_I 00000238 48054770 - 2707590 ns MR4_I 00000230 07d2684a - 2707610 ns IT 00000230 684a LDR r2,[r1,#4] - 2707630 ns MR4_I 00000234 6008d1fc - 2707690 ns MR4_D 40006004 00000001 - 2707690 ns R r2 00000001 - 2707690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2707710 ns R r2 80000000 - 2707710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2707730 ns R psr 81000200 - 2707730 ns MR4_I 00000238 48054770 - 2707750 ns MR4_I 00000230 07d2684a - 2707770 ns IT 00000230 684a LDR r2,[r1,#4] - 2707790 ns MR4_I 00000234 6008d1fc - 2707850 ns MR4_D 40006004 00000001 - 2707850 ns R r2 00000001 - 2707850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2707870 ns R r2 80000000 - 2707870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2707890 ns R psr 81000200 - 2707890 ns MR4_I 00000238 48054770 - 2707910 ns MR4_I 00000230 07d2684a - 2707930 ns IT 00000230 684a LDR r2,[r1,#4] - 2707950 ns MR4_I 00000234 6008d1fc - 2708010 ns MR4_D 40006004 00000001 - 2708010 ns R r2 00000001 - 2708010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2708030 ns R r2 80000000 - 2708030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2708050 ns R psr 81000200 - 2708050 ns MR4_I 00000238 48054770 - 2708070 ns MR4_I 00000230 07d2684a - 2708090 ns IT 00000230 684a LDR r2,[r1,#4] - 2708110 ns MR4_I 00000234 6008d1fc - 2708170 ns MR4_D 40006004 00000001 - 2708170 ns R r2 00000001 - 2708170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2708190 ns R r2 80000000 - 2708190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2708210 ns R psr 81000200 - 2708210 ns MR4_I 00000238 48054770 - 2708230 ns MR4_I 00000230 07d2684a - 2708250 ns IT 00000230 684a LDR r2,[r1,#4] - 2708270 ns MR4_I 00000234 6008d1fc - 2708330 ns MR4_D 40006004 00000001 - 2708330 ns R r2 00000001 - 2708330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2708350 ns R r2 80000000 - 2708350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2708370 ns R psr 81000200 - 2708370 ns MR4_I 00000238 48054770 - 2708390 ns MR4_I 00000230 07d2684a - 2708410 ns IT 00000230 684a LDR r2,[r1,#4] - 2708430 ns MR4_I 00000234 6008d1fc - 2708490 ns MR4_D 40006004 00000001 - 2708490 ns R r2 00000001 - 2708490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2708510 ns R r2 80000000 - 2708510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2708530 ns R psr 81000200 - 2708530 ns MR4_I 00000238 48054770 - 2708550 ns MR4_I 00000230 07d2684a - 2708570 ns IT 00000230 684a LDR r2,[r1,#4] - 2708590 ns MR4_I 00000234 6008d1fc - 2708650 ns MR4_D 40006004 00000001 - 2708650 ns R r2 00000001 - 2708650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2708670 ns R r2 80000000 - 2708670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2708690 ns R psr 81000200 - 2708690 ns MR4_I 00000238 48054770 - 2708710 ns MR4_I 00000230 07d2684a - 2708730 ns IT 00000230 684a LDR r2,[r1,#4] - 2708750 ns MR4_I 00000234 6008d1fc - 2708810 ns MR4_D 40006004 00000001 - 2708810 ns R r2 00000001 - 2708810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2708830 ns R r2 80000000 - 2708830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2708850 ns R psr 81000200 - 2708850 ns MR4_I 00000238 48054770 - 2708870 ns MR4_I 00000230 07d2684a - 2708890 ns IT 00000230 684a LDR r2,[r1,#4] - 2708910 ns MR4_I 00000234 6008d1fc - 2708970 ns MR4_D 40006004 00000001 - 2708970 ns R r2 00000001 - 2708970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2708990 ns R r2 80000000 - 2708990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2709010 ns R psr 81000200 - 2709010 ns MR4_I 00000238 48054770 - 2709030 ns MR4_I 00000230 07d2684a - 2709050 ns IT 00000230 684a LDR r2,[r1,#4] - 2709070 ns MR4_I 00000234 6008d1fc - 2709130 ns MR4_D 40006004 00000001 - 2709130 ns R r2 00000001 - 2709130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2709150 ns R r2 80000000 - 2709150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2709170 ns R psr 81000200 - 2709170 ns MR4_I 00000238 48054770 - 2709190 ns MR4_I 00000230 07d2684a - 2709210 ns IT 00000230 684a LDR r2,[r1,#4] - 2709230 ns MR4_I 00000234 6008d1fc - 2709290 ns MR4_D 40006004 00000001 - 2709290 ns R r2 00000001 - 2709290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2709310 ns R r2 80000000 - 2709310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2709330 ns R psr 81000200 - 2709330 ns MR4_I 00000238 48054770 - 2709350 ns MR4_I 00000230 07d2684a - 2709370 ns IT 00000230 684a LDR r2,[r1,#4] - 2709390 ns MR4_I 00000234 6008d1fc - 2709450 ns MR4_D 40006004 00000001 - 2709450 ns R r2 00000001 - 2709450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2709470 ns R r2 80000000 - 2709470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2709490 ns R psr 81000200 - 2709490 ns MR4_I 00000238 48054770 - 2709510 ns MR4_I 00000230 07d2684a - 2709530 ns IT 00000230 684a LDR r2,[r1,#4] - 2709550 ns MR4_I 00000234 6008d1fc - 2709610 ns MR4_D 40006004 00000001 - 2709610 ns R r2 00000001 - 2709610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2709630 ns R r2 80000000 - 2709630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2709650 ns R psr 81000200 - 2709650 ns MR4_I 00000238 48054770 - 2709670 ns MR4_I 00000230 07d2684a - 2709690 ns IT 00000230 684a LDR r2,[r1,#4] - 2709710 ns MR4_I 00000234 6008d1fc - 2709770 ns MR4_D 40006004 00000001 - 2709770 ns R r2 00000001 - 2709770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2709790 ns R r2 80000000 - 2709790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2709810 ns R psr 81000200 - 2709810 ns MR4_I 00000238 48054770 - 2709830 ns MR4_I 00000230 07d2684a - 2709850 ns IT 00000230 684a LDR r2,[r1,#4] - 2709870 ns MR4_I 00000234 6008d1fc - 2709930 ns MR4_D 40006004 00000001 - 2709930 ns R r2 00000001 - 2709930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2709950 ns R r2 80000000 - 2709950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2709970 ns R psr 81000200 - 2709970 ns MR4_I 00000238 48054770 - 2709990 ns MR4_I 00000230 07d2684a - 2710010 ns IT 00000230 684a LDR r2,[r1,#4] - 2710030 ns MR4_I 00000234 6008d1fc - 2710090 ns MR4_D 40006004 00000001 - 2710090 ns R r2 00000001 - 2710090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2710110 ns R r2 80000000 - 2710110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2710130 ns R psr 81000200 - 2710130 ns MR4_I 00000238 48054770 - 2710150 ns MR4_I 00000230 07d2684a - 2710170 ns IT 00000230 684a LDR r2,[r1,#4] - 2710190 ns MR4_I 00000234 6008d1fc - 2710250 ns MR4_D 40006004 00000001 - 2710250 ns R r2 00000001 - 2710250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2710270 ns R r2 80000000 - 2710270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2710290 ns R psr 81000200 - 2710290 ns MR4_I 00000238 48054770 - 2710310 ns MR4_I 00000230 07d2684a - 2710330 ns IT 00000230 684a LDR r2,[r1,#4] - 2710350 ns MR4_I 00000234 6008d1fc - 2710410 ns MR4_D 40006004 00000001 - 2710410 ns R r2 00000001 - 2710410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2710430 ns R r2 80000000 - 2710430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2710450 ns R psr 81000200 - 2710450 ns MR4_I 00000238 48054770 - 2710470 ns MR4_I 00000230 07d2684a - 2710490 ns IT 00000230 684a LDR r2,[r1,#4] - 2710510 ns MR4_I 00000234 6008d1fc - 2710570 ns MR4_D 40006004 00000001 - 2710570 ns R r2 00000001 - 2710570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2710590 ns R r2 80000000 - 2710590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2710610 ns R psr 81000200 - 2710610 ns MR4_I 00000238 48054770 - 2710630 ns MR4_I 00000230 07d2684a - 2710650 ns IT 00000230 684a LDR r2,[r1,#4] - 2710670 ns MR4_I 00000234 6008d1fc - 2710730 ns MR4_D 40006004 00000001 - 2710730 ns R r2 00000001 - 2710730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2710750 ns R r2 80000000 - 2710750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2710770 ns R psr 81000200 - 2710770 ns MR4_I 00000238 48054770 - 2710790 ns MR4_I 00000230 07d2684a - 2710810 ns IT 00000230 684a LDR r2,[r1,#4] - 2710830 ns MR4_I 00000234 6008d1fc - 2710890 ns MR4_D 40006004 00000001 - 2710890 ns R r2 00000001 - 2710890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2710910 ns R r2 80000000 - 2710910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2710930 ns R psr 81000200 - 2710930 ns MR4_I 00000238 48054770 - 2710950 ns MR4_I 00000230 07d2684a - 2710970 ns IT 00000230 684a LDR r2,[r1,#4] - 2710990 ns MR4_I 00000234 6008d1fc - 2711050 ns MR4_D 40006004 00000001 - 2711050 ns R r2 00000001 - 2711050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2711070 ns R r2 80000000 - 2711070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2711090 ns R psr 81000200 - 2711090 ns MR4_I 00000238 48054770 - 2711110 ns MR4_I 00000230 07d2684a - 2711130 ns IT 00000230 684a LDR r2,[r1,#4] - 2711150 ns MR4_I 00000234 6008d1fc - 2711210 ns MR4_D 40006004 00000001 - 2711210 ns R r2 00000001 - 2711210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2711230 ns R r2 80000000 - 2711230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2711250 ns R psr 81000200 - 2711250 ns MR4_I 00000238 48054770 - 2711270 ns MR4_I 00000230 07d2684a - 2711290 ns IT 00000230 684a LDR r2,[r1,#4] - 2711310 ns MR4_I 00000234 6008d1fc - 2711370 ns MR4_D 40006004 00000001 - 2711370 ns R r2 00000001 - 2711370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2711390 ns R r2 80000000 - 2711390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2711410 ns R psr 81000200 - 2711410 ns MR4_I 00000238 48054770 - 2711430 ns MR4_I 00000230 07d2684a - 2711450 ns IT 00000230 684a LDR r2,[r1,#4] - 2711470 ns MR4_I 00000234 6008d1fc - 2711530 ns MR4_D 40006004 00000001 - 2711530 ns R r2 00000001 - 2711530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2711550 ns R r2 80000000 - 2711550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2711570 ns R psr 81000200 - 2711570 ns MR4_I 00000238 48054770 - 2711590 ns MR4_I 00000230 07d2684a - 2711610 ns IT 00000230 684a LDR r2,[r1,#4] - 2711630 ns MR4_I 00000234 6008d1fc - 2711690 ns MR4_D 40006004 00000001 - 2711690 ns R r2 00000001 - 2711690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2711710 ns R r2 80000000 - 2711710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2711730 ns R psr 81000200 - 2711730 ns MR4_I 00000238 48054770 - 2711750 ns MR4_I 00000230 07d2684a - 2711770 ns IT 00000230 684a LDR r2,[r1,#4] - 2711790 ns MR4_I 00000234 6008d1fc - 2711850 ns MR4_D 40006004 00000001 - 2711850 ns R r2 00000001 - 2711850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2711870 ns R r2 80000000 - 2711870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2711890 ns R psr 81000200 - 2711890 ns MR4_I 00000238 48054770 - 2711910 ns MR4_I 00000230 07d2684a - 2711930 ns IT 00000230 684a LDR r2,[r1,#4] - 2711950 ns MR4_I 00000234 6008d1fc - 2712010 ns MR4_D 40006004 00000001 - 2712010 ns R r2 00000001 - 2712010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2712030 ns R r2 80000000 - 2712030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2712050 ns R psr 81000200 - 2712050 ns MR4_I 00000238 48054770 - 2712070 ns MR4_I 00000230 07d2684a - 2712090 ns IT 00000230 684a LDR r2,[r1,#4] - 2712110 ns MR4_I 00000234 6008d1fc - 2712170 ns MR4_D 40006004 00000001 - 2712170 ns R r2 00000001 - 2712170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2712190 ns R r2 80000000 - 2712190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2712210 ns R psr 81000200 - 2712210 ns MR4_I 00000238 48054770 - 2712230 ns MR4_I 00000230 07d2684a - 2712250 ns IT 00000230 684a LDR r2,[r1,#4] - 2712270 ns MR4_I 00000234 6008d1fc - 2712330 ns MR4_D 40006004 00000001 - 2712330 ns R r2 00000001 - 2712330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2712350 ns R r2 80000000 - 2712350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2712370 ns R psr 81000200 - 2712370 ns MR4_I 00000238 48054770 - 2712390 ns MR4_I 00000230 07d2684a - 2712410 ns IT 00000230 684a LDR r2,[r1,#4] - 2712430 ns MR4_I 00000234 6008d1fc - 2712490 ns MR4_D 40006004 00000001 - 2712490 ns R r2 00000001 - 2712490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2712510 ns R r2 80000000 - 2712510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2712530 ns R psr 81000200 - 2712530 ns MR4_I 00000238 48054770 - 2712550 ns MR4_I 00000230 07d2684a - 2712570 ns IT 00000230 684a LDR r2,[r1,#4] - 2712590 ns MR4_I 00000234 6008d1fc - 2712650 ns MR4_D 40006004 00000001 - 2712650 ns R r2 00000001 - 2712650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2712670 ns R r2 80000000 - 2712670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2712690 ns R psr 81000200 - 2712690 ns MR4_I 00000238 48054770 - 2712710 ns MR4_I 00000230 07d2684a - 2712730 ns IT 00000230 684a LDR r2,[r1,#4] - 2712750 ns MR4_I 00000234 6008d1fc - 2712810 ns MR4_D 40006004 00000001 - 2712810 ns R r2 00000001 - 2712810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2712830 ns R r2 80000000 - 2712830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2712850 ns R psr 81000200 - 2712850 ns MR4_I 00000238 48054770 - 2712870 ns MR4_I 00000230 07d2684a - 2712890 ns IT 00000230 684a LDR r2,[r1,#4] - 2712910 ns MR4_I 00000234 6008d1fc - 2712970 ns MR4_D 40006004 00000001 - 2712970 ns R r2 00000001 - 2712970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2712990 ns R r2 80000000 - 2712990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2713010 ns R psr 81000200 - 2713010 ns MR4_I 00000238 48054770 - 2713030 ns MR4_I 00000230 07d2684a - 2713050 ns IT 00000230 684a LDR r2,[r1,#4] - 2713070 ns MR4_I 00000234 6008d1fc - 2713130 ns MR4_D 40006004 00000001 - 2713130 ns R r2 00000001 - 2713130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2713150 ns R r2 80000000 - 2713150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2713170 ns R psr 81000200 - 2713170 ns MR4_I 00000238 48054770 - 2713190 ns MR4_I 00000230 07d2684a - 2713210 ns IT 00000230 684a LDR r2,[r1,#4] - 2713230 ns MR4_I 00000234 6008d1fc - 2713290 ns MR4_D 40006004 00000001 - 2713290 ns R r2 00000001 - 2713290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2713310 ns R r2 80000000 - 2713310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2713330 ns R psr 81000200 - 2713330 ns MR4_I 00000238 48054770 - 2713350 ns MR4_I 00000230 07d2684a - 2713370 ns IT 00000230 684a LDR r2,[r1,#4] - 2713390 ns MR4_I 00000234 6008d1fc - 2713450 ns MR4_D 40006004 00000001 - 2713450 ns R r2 00000001 - 2713450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2713470 ns R r2 80000000 - 2713470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2713490 ns R psr 81000200 - 2713490 ns MR4_I 00000238 48054770 - 2713510 ns MR4_I 00000230 07d2684a - 2713530 ns IT 00000230 684a LDR r2,[r1,#4] - 2713550 ns MR4_I 00000234 6008d1fc - 2713610 ns MR4_D 40006004 00000001 - 2713610 ns R r2 00000001 - 2713610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2713630 ns R r2 80000000 - 2713630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2713650 ns R psr 81000200 - 2713650 ns MR4_I 00000238 48054770 - 2713670 ns MR4_I 00000230 07d2684a - 2713690 ns IT 00000230 684a LDR r2,[r1,#4] - 2713710 ns MR4_I 00000234 6008d1fc - 2713770 ns MR4_D 40006004 00000001 - 2713770 ns R r2 00000001 - 2713770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2713790 ns R r2 80000000 - 2713790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2713810 ns R psr 81000200 - 2713810 ns MR4_I 00000238 48054770 - 2713830 ns MR4_I 00000230 07d2684a - 2713850 ns IT 00000230 684a LDR r2,[r1,#4] - 2713870 ns MR4_I 00000234 6008d1fc - 2713930 ns MR4_D 40006004 00000001 - 2713930 ns R r2 00000001 - 2713930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2713950 ns R r2 80000000 - 2713950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2713970 ns R psr 81000200 - 2713970 ns MR4_I 00000238 48054770 - 2713990 ns MR4_I 00000230 07d2684a - 2714010 ns IT 00000230 684a LDR r2,[r1,#4] - 2714030 ns MR4_I 00000234 6008d1fc - 2714090 ns MR4_D 40006004 00000001 - 2714090 ns R r2 00000001 - 2714090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2714110 ns R r2 80000000 - 2714110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2714130 ns R psr 81000200 - 2714130 ns MR4_I 00000238 48054770 - 2714150 ns MR4_I 00000230 07d2684a - 2714170 ns IT 00000230 684a LDR r2,[r1,#4] - 2714190 ns MR4_I 00000234 6008d1fc - 2714250 ns MR4_D 40006004 00000001 - 2714250 ns R r2 00000001 - 2714250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2714270 ns R r2 80000000 - 2714270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2714290 ns R psr 81000200 - 2714290 ns MR4_I 00000238 48054770 - 2714310 ns MR4_I 00000230 07d2684a - 2714330 ns IT 00000230 684a LDR r2,[r1,#4] - 2714350 ns MR4_I 00000234 6008d1fc - 2714410 ns MR4_D 40006004 00000001 - 2714410 ns R r2 00000001 - 2714410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2714430 ns R r2 80000000 - 2714430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2714450 ns R psr 81000200 - 2714450 ns MR4_I 00000238 48054770 - 2714470 ns MR4_I 00000230 07d2684a - 2714490 ns IT 00000230 684a LDR r2,[r1,#4] - 2714510 ns MR4_I 00000234 6008d1fc - 2714570 ns MR4_D 40006004 00000001 - 2714570 ns R r2 00000001 - 2714570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2714590 ns R r2 80000000 - 2714590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2714610 ns R psr 81000200 - 2714610 ns MR4_I 00000238 48054770 - 2714630 ns MR4_I 00000230 07d2684a - 2714650 ns IT 00000230 684a LDR r2,[r1,#4] - 2714670 ns MR4_I 00000234 6008d1fc - 2714730 ns MR4_D 40006004 00000001 - 2714730 ns R r2 00000001 - 2714730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2714750 ns R r2 80000000 - 2714750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2714770 ns R psr 81000200 - 2714770 ns MR4_I 00000238 48054770 - 2714790 ns MR4_I 00000230 07d2684a - 2714810 ns IT 00000230 684a LDR r2,[r1,#4] - 2714830 ns MR4_I 00000234 6008d1fc - 2714890 ns MR4_D 40006004 00000001 - 2714890 ns R r2 00000001 - 2714890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2714910 ns R r2 80000000 - 2714910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2714930 ns R psr 81000200 - 2714930 ns MR4_I 00000238 48054770 - 2714950 ns MR4_I 00000230 07d2684a - 2714970 ns IT 00000230 684a LDR r2,[r1,#4] - 2714990 ns MR4_I 00000234 6008d1fc - 2715050 ns MR4_D 40006004 00000001 - 2715050 ns R r2 00000001 - 2715050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2715070 ns R r2 80000000 - 2715070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2715090 ns R psr 81000200 - 2715090 ns MR4_I 00000238 48054770 - 2715110 ns MR4_I 00000230 07d2684a - 2715130 ns IT 00000230 684a LDR r2,[r1,#4] - 2715150 ns MR4_I 00000234 6008d1fc - 2715210 ns MR4_D 40006004 00000001 - 2715210 ns R r2 00000001 - 2715210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2715230 ns R r2 80000000 - 2715230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2715250 ns R psr 81000200 - 2715250 ns MR4_I 00000238 48054770 - 2715270 ns MR4_I 00000230 07d2684a - 2715290 ns IT 00000230 684a LDR r2,[r1,#4] - 2715310 ns MR4_I 00000234 6008d1fc - 2715370 ns MR4_D 40006004 00000001 - 2715370 ns R r2 00000001 - 2715370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2715390 ns R r2 80000000 - 2715390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2715410 ns R psr 81000200 - 2715410 ns MR4_I 00000238 48054770 - 2715430 ns MR4_I 00000230 07d2684a - 2715450 ns IT 00000230 684a LDR r2,[r1,#4] - 2715470 ns MR4_I 00000234 6008d1fc - 2715530 ns MR4_D 40006004 00000001 - 2715530 ns R r2 00000001 - 2715530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2715550 ns R r2 80000000 - 2715550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2715570 ns R psr 81000200 - 2715570 ns MR4_I 00000238 48054770 - 2715590 ns MR4_I 00000230 07d2684a - 2715610 ns IT 00000230 684a LDR r2,[r1,#4] - 2715630 ns MR4_I 00000234 6008d1fc - 2715690 ns MR4_D 40006004 00000001 - 2715690 ns R r2 00000001 - 2715690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2715710 ns R r2 80000000 - 2715710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2715730 ns R psr 81000200 - 2715730 ns MR4_I 00000238 48054770 - 2715750 ns MR4_I 00000230 07d2684a - 2715770 ns IT 00000230 684a LDR r2,[r1,#4] - 2715790 ns MR4_I 00000234 6008d1fc - 2715850 ns MR4_D 40006004 00000001 - 2715850 ns R r2 00000001 - 2715850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2715870 ns R r2 80000000 - 2715870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2715890 ns R psr 81000200 - 2715890 ns MR4_I 00000238 48054770 - 2715910 ns MR4_I 00000230 07d2684a - 2715930 ns IT 00000230 684a LDR r2,[r1,#4] - 2715950 ns MR4_I 00000234 6008d1fc - 2716010 ns MR4_D 40006004 00000001 - 2716010 ns R r2 00000001 - 2716010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2716030 ns R r2 80000000 - 2716030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2716050 ns R psr 81000200 - 2716050 ns MR4_I 00000238 48054770 - 2716070 ns MR4_I 00000230 07d2684a - 2716090 ns IT 00000230 684a LDR r2,[r1,#4] - 2716110 ns MR4_I 00000234 6008d1fc - 2716170 ns MR4_D 40006004 00000001 - 2716170 ns R r2 00000001 - 2716170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2716190 ns R r2 80000000 - 2716190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2716210 ns R psr 81000200 - 2716210 ns MR4_I 00000238 48054770 - 2716230 ns MR4_I 00000230 07d2684a - 2716250 ns IT 00000230 684a LDR r2,[r1,#4] - 2716270 ns MR4_I 00000234 6008d1fc - 2716330 ns MR4_D 40006004 00000001 - 2716330 ns R r2 00000001 - 2716330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2716350 ns R r2 80000000 - 2716350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2716370 ns R psr 81000200 - 2716370 ns MR4_I 00000238 48054770 - 2716390 ns MR4_I 00000230 07d2684a - 2716410 ns IT 00000230 684a LDR r2,[r1,#4] - 2716430 ns MR4_I 00000234 6008d1fc - 2716490 ns MR4_D 40006004 00000001 - 2716490 ns R r2 00000001 - 2716490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2716510 ns R r2 80000000 - 2716510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2716530 ns R psr 81000200 - 2716530 ns MR4_I 00000238 48054770 - 2716550 ns MR4_I 00000230 07d2684a - 2716570 ns IT 00000230 684a LDR r2,[r1,#4] - 2716590 ns MR4_I 00000234 6008d1fc - 2716650 ns MR4_D 40006004 00000001 - 2716650 ns R r2 00000001 - 2716650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2716670 ns R r2 80000000 - 2716670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2716690 ns R psr 81000200 - 2716690 ns MR4_I 00000238 48054770 - 2716710 ns MR4_I 00000230 07d2684a - 2716730 ns IT 00000230 684a LDR r2,[r1,#4] - 2716750 ns MR4_I 00000234 6008d1fc - 2716810 ns MR4_D 40006004 00000001 - 2716810 ns R r2 00000001 - 2716810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2716830 ns R r2 80000000 - 2716830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2716850 ns R psr 81000200 - 2716850 ns MR4_I 00000238 48054770 - 2716870 ns MR4_I 00000230 07d2684a - 2716890 ns IT 00000230 684a LDR r2,[r1,#4] - 2716910 ns MR4_I 00000234 6008d1fc - 2716970 ns MR4_D 40006004 00000001 - 2716970 ns R r2 00000001 - 2716970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2716990 ns R r2 80000000 - 2716990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2717010 ns R psr 81000200 - 2717010 ns MR4_I 00000238 48054770 - 2717030 ns MR4_I 00000230 07d2684a - 2717050 ns IT 00000230 684a LDR r2,[r1,#4] - 2717070 ns MR4_I 00000234 6008d1fc - 2717130 ns MR4_D 40006004 00000001 - 2717130 ns R r2 00000001 - 2717130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2717150 ns R r2 80000000 - 2717150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2717170 ns R psr 81000200 - 2717170 ns MR4_I 00000238 48054770 - 2717190 ns MR4_I 00000230 07d2684a - 2717210 ns IT 00000230 684a LDR r2,[r1,#4] - 2717230 ns MR4_I 00000234 6008d1fc - 2717290 ns MR4_D 40006004 00000001 - 2717290 ns R r2 00000001 - 2717290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2717310 ns R r2 80000000 - 2717310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2717330 ns R psr 81000200 - 2717330 ns MR4_I 00000238 48054770 - 2717350 ns MR4_I 00000230 07d2684a - 2717370 ns IT 00000230 684a LDR r2,[r1,#4] - 2717390 ns MR4_I 00000234 6008d1fc - 2717450 ns MR4_D 40006004 00000001 - 2717450 ns R r2 00000001 - 2717450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2717470 ns R r2 80000000 - 2717470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2717490 ns R psr 81000200 - 2717490 ns MR4_I 00000238 48054770 - 2717510 ns MR4_I 00000230 07d2684a - 2717530 ns IT 00000230 684a LDR r2,[r1,#4] - 2717550 ns MR4_I 00000234 6008d1fc - 2717610 ns MR4_D 40006004 00000001 - 2717610 ns R r2 00000001 - 2717610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2717630 ns R r2 80000000 - 2717630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2717650 ns R psr 81000200 - 2717650 ns MR4_I 00000238 48054770 - 2717670 ns MR4_I 00000230 07d2684a - 2717690 ns IT 00000230 684a LDR r2,[r1,#4] - 2717710 ns MR4_I 00000234 6008d1fc - 2717770 ns MR4_D 40006004 00000001 - 2717770 ns R r2 00000001 - 2717770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2717790 ns R r2 80000000 - 2717790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2717810 ns R psr 81000200 - 2717810 ns MR4_I 00000238 48054770 - 2717830 ns MR4_I 00000230 07d2684a - 2717850 ns IT 00000230 684a LDR r2,[r1,#4] - 2717870 ns MR4_I 00000234 6008d1fc - 2717930 ns MR4_D 40006004 00000001 - 2717930 ns R r2 00000001 - 2717930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2717950 ns R r2 80000000 - 2717950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2717970 ns R psr 81000200 - 2717970 ns MR4_I 00000238 48054770 - 2717990 ns MR4_I 00000230 07d2684a - 2718010 ns IT 00000230 684a LDR r2,[r1,#4] - 2718030 ns MR4_I 00000234 6008d1fc - 2718090 ns MR4_D 40006004 00000001 - 2718090 ns R r2 00000001 - 2718090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2718110 ns R r2 80000000 - 2718110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2718130 ns R psr 81000200 - 2718130 ns MR4_I 00000238 48054770 - 2718150 ns MR4_I 00000230 07d2684a - 2718170 ns IT 00000230 684a LDR r2,[r1,#4] - 2718190 ns MR4_I 00000234 6008d1fc - 2718250 ns MR4_D 40006004 00000001 - 2718250 ns R r2 00000001 - 2718250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2718270 ns R r2 80000000 - 2718270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2718290 ns R psr 81000200 - 2718290 ns MR4_I 00000238 48054770 - 2718310 ns MR4_I 00000230 07d2684a - 2718330 ns IT 00000230 684a LDR r2,[r1,#4] - 2718350 ns MR4_I 00000234 6008d1fc - 2718410 ns MR4_D 40006004 00000001 - 2718410 ns R r2 00000001 - 2718410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2718430 ns R r2 80000000 - 2718430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2718450 ns R psr 81000200 - 2718450 ns MR4_I 00000238 48054770 - 2718470 ns MR4_I 00000230 07d2684a - 2718490 ns IT 00000230 684a LDR r2,[r1,#4] - 2718510 ns MR4_I 00000234 6008d1fc - 2718570 ns MR4_D 40006004 00000001 - 2718570 ns R r2 00000001 - 2718570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2718590 ns R r2 80000000 - 2718590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2718610 ns R psr 81000200 - 2718610 ns MR4_I 00000238 48054770 - 2718630 ns MR4_I 00000230 07d2684a - 2718650 ns IT 00000230 684a LDR r2,[r1,#4] - 2718670 ns MR4_I 00000234 6008d1fc - 2718730 ns MR4_D 40006004 00000001 - 2718730 ns R r2 00000001 - 2718730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2718750 ns R r2 80000000 - 2718750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2718770 ns R psr 81000200 - 2718770 ns MR4_I 00000238 48054770 - 2718790 ns MR4_I 00000230 07d2684a - 2718810 ns IT 00000230 684a LDR r2,[r1,#4] - 2718830 ns MR4_I 00000234 6008d1fc - 2718890 ns MR4_D 40006004 00000001 - 2718890 ns R r2 00000001 - 2718890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2718910 ns R r2 80000000 - 2718910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2718930 ns R psr 81000200 - 2718930 ns MR4_I 00000238 48054770 - 2718950 ns MR4_I 00000230 07d2684a - 2718970 ns IT 00000230 684a LDR r2,[r1,#4] - 2718990 ns MR4_I 00000234 6008d1fc - 2719050 ns MR4_D 40006004 00000001 - 2719050 ns R r2 00000001 - 2719050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2719070 ns R r2 80000000 - 2719070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2719090 ns R psr 81000200 - 2719090 ns MR4_I 00000238 48054770 - 2719110 ns MR4_I 00000230 07d2684a - 2719130 ns IT 00000230 684a LDR r2,[r1,#4] - 2719150 ns MR4_I 00000234 6008d1fc - 2719210 ns MR4_D 40006004 00000001 - 2719210 ns R r2 00000001 - 2719210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2719230 ns R r2 80000000 - 2719230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2719250 ns R psr 81000200 - 2719250 ns MR4_I 00000238 48054770 - 2719270 ns MR4_I 00000230 07d2684a - 2719290 ns IT 00000230 684a LDR r2,[r1,#4] - 2719310 ns MR4_I 00000234 6008d1fc - 2719370 ns MR4_D 40006004 00000001 - 2719370 ns R r2 00000001 - 2719370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2719390 ns R r2 80000000 - 2719390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2719410 ns R psr 81000200 - 2719410 ns MR4_I 00000238 48054770 - 2719430 ns MR4_I 00000230 07d2684a - 2719450 ns IT 00000230 684a LDR r2,[r1,#4] - 2719470 ns MR4_I 00000234 6008d1fc - 2719530 ns MR4_D 40006004 00000001 - 2719530 ns R r2 00000001 - 2719530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2719550 ns R r2 80000000 - 2719550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2719570 ns R psr 81000200 - 2719570 ns MR4_I 00000238 48054770 - 2719590 ns MR4_I 00000230 07d2684a - 2719610 ns IT 00000230 684a LDR r2,[r1,#4] - 2719630 ns MR4_I 00000234 6008d1fc - 2719690 ns MR4_D 40006004 00000001 - 2719690 ns R r2 00000001 - 2719690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2719710 ns R r2 80000000 - 2719710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2719730 ns R psr 81000200 - 2719730 ns MR4_I 00000238 48054770 - 2719750 ns MR4_I 00000230 07d2684a - 2719770 ns IT 00000230 684a LDR r2,[r1,#4] - 2719790 ns MR4_I 00000234 6008d1fc - 2719850 ns MR4_D 40006004 00000001 - 2719850 ns R r2 00000001 - 2719850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2719870 ns R r2 80000000 - 2719870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2719890 ns R psr 81000200 - 2719890 ns MR4_I 00000238 48054770 - 2719910 ns MR4_I 00000230 07d2684a - 2719930 ns IT 00000230 684a LDR r2,[r1,#4] - 2719950 ns MR4_I 00000234 6008d1fc - 2720010 ns MR4_D 40006004 00000001 - 2720010 ns R r2 00000001 - 2720010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2720030 ns R r2 80000000 - 2720030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2720050 ns R psr 81000200 - 2720050 ns MR4_I 00000238 48054770 - 2720070 ns MR4_I 00000230 07d2684a - 2720090 ns IT 00000230 684a LDR r2,[r1,#4] - 2720110 ns MR4_I 00000234 6008d1fc - 2720170 ns MR4_D 40006004 00000001 - 2720170 ns R r2 00000001 - 2720170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2720190 ns R r2 80000000 - 2720190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2720210 ns R psr 81000200 - 2720210 ns MR4_I 00000238 48054770 - 2720230 ns MR4_I 00000230 07d2684a - 2720250 ns IT 00000230 684a LDR r2,[r1,#4] - 2720270 ns MR4_I 00000234 6008d1fc - 2720330 ns MR4_D 40006004 00000001 - 2720330 ns R r2 00000001 - 2720330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2720350 ns R r2 80000000 - 2720350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2720370 ns R psr 81000200 - 2720370 ns MR4_I 00000238 48054770 - 2720390 ns MR4_I 00000230 07d2684a - 2720410 ns IT 00000230 684a LDR r2,[r1,#4] - 2720430 ns MR4_I 00000234 6008d1fc - 2720490 ns MR4_D 40006004 00000001 - 2720490 ns R r2 00000001 - 2720490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2720510 ns R r2 80000000 - 2720510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2720530 ns R psr 81000200 - 2720530 ns MR4_I 00000238 48054770 - 2720550 ns MR4_I 00000230 07d2684a - 2720570 ns IT 00000230 684a LDR r2,[r1,#4] - 2720590 ns MR4_I 00000234 6008d1fc - 2720650 ns MR4_D 40006004 00000001 - 2720650 ns R r2 00000001 - 2720650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2720670 ns R r2 80000000 - 2720670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2720690 ns R psr 81000200 - 2720690 ns MR4_I 00000238 48054770 - 2720710 ns MR4_I 00000230 07d2684a - 2720730 ns IT 00000230 684a LDR r2,[r1,#4] - 2720750 ns MR4_I 00000234 6008d1fc - 2720810 ns MR4_D 40006004 00000000 - 2720810 ns R r2 00000000 - 2720810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2720830 ns R r2 00000000 - 2720830 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2720850 ns R psr 41000200 - 2720850 ns MR4_I 00000238 48054770 - 2720850 ns IT 00000236 6008 STR r0,[r1,#0] - 2720930 ns MW4_D 40006000 00000054 - 2720930 ns IT 00000238 4770 BX lr - 2720950 ns MR4_I 0000023c 07896841 - 2720970 ns R psr 41000200 - 2720970 ns MR4_I 000001fc b510bd10 - 2720990 ns IT 000001fc bd10 POP {r4,pc} - 2721010 ns MR4_I 00000200 f81bf000 - 2721010 ns R r13 200002e0 (MSP) - 2721030 ns MR4_D 200002d8 00000006 - 2721030 ns R r4 00000006 - 2721050 ns MR4_D 200002dc 0000032d - 2721070 ns R psr 41000200 - 2721090 ns MR4_I 0000032c 46301c64 - 2721110 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 2721130 ns MR4_I 00000330 280047a8 - 2721130 ns R r4 00000007 - 2721130 ns IT 0000032e 4630 MOV r0,r6 - 2721150 ns R psr 01000200 - 2721150 ns R r0 200002f8 - 2721150 ns IT 00000330 47a8 BLX r5 - 2721170 ns MR4_I 00000334 4620d1f8 - 2721190 ns R psr 01000200 - 2721190 ns MR4_I 000002a8 1c4a6901 - 2721190 ns R r14 00000333 - 2721210 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2721230 ns MR4_I 000002ac 78086102 - 2721250 ns MR4_D 20000308 00000197 - 2721250 ns R r1 00000197 - 2721250 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2721270 ns R r2 00000198 - 2721270 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2721290 ns R psr 01000200 - 2721290 ns MR4_I 000002b0 b5004770 - 2721310 ns MW4_D 20000308 00000198 - 2721310 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2721350 ns MR1_D 00000197 20545345 - 2721350 ns R r0 00000020 - 2721350 ns IT 000002b0 4770 BX lr - 2721370 ns MR4_I 000002b4 9102b08f - 2721390 ns R psr 01000200 - 2721390 ns MR4_I 00000330 280047a8 - 2721410 ns MR4_I 00000334 4620d1f8 - 2721410 ns IT 00000332 2800 CMP r0,#0 - 2721430 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2721450 ns R psr 21000200 - 2721450 ns MR4_I 00000338 b510bdf8 - 2721470 ns MR4_I 00000328 47b89900 - 2721490 ns IT 00000328 9900 LDR r1,[sp,#0] - 2721510 ns MR4_I 0000032c 46301c64 - 2721530 ns MR4_D 200002e0 20000004 - 2721530 ns R r1 20000004 - 2721530 ns IT 0000032a 47b8 BLX r7 - 2721570 ns R psr 21000200 - 2721570 ns MR4_I 000001f4 b2c0b510 - 2721570 ns R r14 0000032d - 2721590 ns IT 000001f4 b510 PUSH {r4,lr} - 2721610 ns MR4_I 000001f8 f819f000 - 2721630 ns MW4_D 200002d8 00000007 - 2721650 ns MW4_D 200002dc 0000032d - 2721650 ns R r13 200002d8 (MSP) - 2721650 ns IT 000001f6 b2c0 UXTB r0,r0 - 2721670 ns R r0 00000020 - 2721670 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 2721690 ns MR4_I 000001fc b510bd10 - 2721710 ns R r14 000001fd - 2721730 ns MR4_I 0000022c 49084770 - 2721750 ns MR4_I 00000230 07d2684a - 2721750 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 2721790 ns MR4_D 00000250 40006000 - 2721790 ns R r1 40006000 - 2721790 ns IT 00000230 684a LDR r2,[r1,#4] - 2721810 ns MR4_I 00000234 6008d1fc - 2721870 ns MR4_D 40006004 00000001 - 2721870 ns R r2 00000001 - 2721870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2721890 ns R r2 80000000 - 2721890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2721910 ns R psr 81000200 - 2721910 ns MR4_I 00000238 48054770 - 2721930 ns MR4_I 00000230 07d2684a - 2721950 ns IT 00000230 684a LDR r2,[r1,#4] - 2721970 ns MR4_I 00000234 6008d1fc - 2722030 ns MR4_D 40006004 00000001 - 2722030 ns R r2 00000001 - 2722030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2722050 ns R r2 80000000 - 2722050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2722070 ns R psr 81000200 - 2722070 ns MR4_I 00000238 48054770 - 2722090 ns MR4_I 00000230 07d2684a - 2722110 ns IT 00000230 684a LDR r2,[r1,#4] - 2722130 ns MR4_I 00000234 6008d1fc - 2722190 ns MR4_D 40006004 00000001 - 2722190 ns R r2 00000001 - 2722190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2722210 ns R r2 80000000 - 2722210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2722230 ns R psr 81000200 - 2722230 ns MR4_I 00000238 48054770 - 2722250 ns MR4_I 00000230 07d2684a - 2722270 ns IT 00000230 684a LDR r2,[r1,#4] - 2722290 ns MR4_I 00000234 6008d1fc - 2722350 ns MR4_D 40006004 00000001 - 2722350 ns R r2 00000001 - 2722350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2722370 ns R r2 80000000 - 2722370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2722390 ns R psr 81000200 - 2722390 ns MR4_I 00000238 48054770 - 2722410 ns MR4_I 00000230 07d2684a - 2722430 ns IT 00000230 684a LDR r2,[r1,#4] - 2722450 ns MR4_I 00000234 6008d1fc - 2722510 ns MR4_D 40006004 00000001 - 2722510 ns R r2 00000001 - 2722510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2722530 ns R r2 80000000 - 2722530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2722550 ns R psr 81000200 - 2722550 ns MR4_I 00000238 48054770 - 2722570 ns MR4_I 00000230 07d2684a - 2722590 ns IT 00000230 684a LDR r2,[r1,#4] - 2722610 ns MR4_I 00000234 6008d1fc - 2722670 ns MR4_D 40006004 00000001 - 2722670 ns R r2 00000001 - 2722670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2722690 ns R r2 80000000 - 2722690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2722710 ns R psr 81000200 - 2722710 ns MR4_I 00000238 48054770 - 2722730 ns MR4_I 00000230 07d2684a - 2722750 ns IT 00000230 684a LDR r2,[r1,#4] - 2722770 ns MR4_I 00000234 6008d1fc - 2722830 ns MR4_D 40006004 00000001 - 2722830 ns R r2 00000001 - 2722830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2722850 ns R r2 80000000 - 2722850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2722870 ns R psr 81000200 - 2722870 ns MR4_I 00000238 48054770 - 2722890 ns MR4_I 00000230 07d2684a - 2722910 ns IT 00000230 684a LDR r2,[r1,#4] - 2722930 ns MR4_I 00000234 6008d1fc - 2722990 ns MR4_D 40006004 00000001 - 2722990 ns R r2 00000001 - 2722990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2723010 ns R r2 80000000 - 2723010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2723030 ns R psr 81000200 - 2723030 ns MR4_I 00000238 48054770 - 2723050 ns MR4_I 00000230 07d2684a - 2723070 ns IT 00000230 684a LDR r2,[r1,#4] - 2723090 ns MR4_I 00000234 6008d1fc - 2723150 ns MR4_D 40006004 00000001 - 2723150 ns R r2 00000001 - 2723150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2723170 ns R r2 80000000 - 2723170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2723190 ns R psr 81000200 - 2723190 ns MR4_I 00000238 48054770 - 2723210 ns MR4_I 00000230 07d2684a - 2723230 ns IT 00000230 684a LDR r2,[r1,#4] - 2723250 ns MR4_I 00000234 6008d1fc - 2723310 ns MR4_D 40006004 00000001 - 2723310 ns R r2 00000001 - 2723310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2723330 ns R r2 80000000 - 2723330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2723350 ns R psr 81000200 - 2723350 ns MR4_I 00000238 48054770 - 2723370 ns MR4_I 00000230 07d2684a - 2723390 ns IT 00000230 684a LDR r2,[r1,#4] - 2723410 ns MR4_I 00000234 6008d1fc - 2723470 ns MR4_D 40006004 00000001 - 2723470 ns R r2 00000001 - 2723470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2723490 ns R r2 80000000 - 2723490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2723510 ns R psr 81000200 - 2723510 ns MR4_I 00000238 48054770 - 2723530 ns MR4_I 00000230 07d2684a - 2723550 ns IT 00000230 684a LDR r2,[r1,#4] - 2723570 ns MR4_I 00000234 6008d1fc - 2723630 ns MR4_D 40006004 00000001 - 2723630 ns R r2 00000001 - 2723630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2723650 ns R r2 80000000 - 2723650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2723670 ns R psr 81000200 - 2723670 ns MR4_I 00000238 48054770 - 2723690 ns MR4_I 00000230 07d2684a - 2723710 ns IT 00000230 684a LDR r2,[r1,#4] - 2723730 ns MR4_I 00000234 6008d1fc - 2723790 ns MR4_D 40006004 00000001 - 2723790 ns R r2 00000001 - 2723790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2723810 ns R r2 80000000 - 2723810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2723830 ns R psr 81000200 - 2723830 ns MR4_I 00000238 48054770 - 2723850 ns MR4_I 00000230 07d2684a - 2723870 ns IT 00000230 684a LDR r2,[r1,#4] - 2723890 ns MR4_I 00000234 6008d1fc - 2723950 ns MR4_D 40006004 00000001 - 2723950 ns R r2 00000001 - 2723950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2723970 ns R r2 80000000 - 2723970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2723990 ns R psr 81000200 - 2723990 ns MR4_I 00000238 48054770 - 2724010 ns MR4_I 00000230 07d2684a - 2724030 ns IT 00000230 684a LDR r2,[r1,#4] - 2724050 ns MR4_I 00000234 6008d1fc - 2724110 ns MR4_D 40006004 00000001 - 2724110 ns R r2 00000001 - 2724110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2724130 ns R r2 80000000 - 2724130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2724150 ns R psr 81000200 - 2724150 ns MR4_I 00000238 48054770 - 2724170 ns MR4_I 00000230 07d2684a - 2724190 ns IT 00000230 684a LDR r2,[r1,#4] - 2724210 ns MR4_I 00000234 6008d1fc - 2724270 ns MR4_D 40006004 00000001 - 2724270 ns R r2 00000001 - 2724270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2724290 ns R r2 80000000 - 2724290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2724310 ns R psr 81000200 - 2724310 ns MR4_I 00000238 48054770 - 2724330 ns MR4_I 00000230 07d2684a - 2724350 ns IT 00000230 684a LDR r2,[r1,#4] - 2724370 ns MR4_I 00000234 6008d1fc - 2724430 ns MR4_D 40006004 00000001 - 2724430 ns R r2 00000001 - 2724430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2724450 ns R r2 80000000 - 2724450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2724470 ns R psr 81000200 - 2724470 ns MR4_I 00000238 48054770 - 2724490 ns MR4_I 00000230 07d2684a - 2724510 ns IT 00000230 684a LDR r2,[r1,#4] - 2724530 ns MR4_I 00000234 6008d1fc - 2724590 ns MR4_D 40006004 00000001 - 2724590 ns R r2 00000001 - 2724590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2724610 ns R r2 80000000 - 2724610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2724630 ns R psr 81000200 - 2724630 ns MR4_I 00000238 48054770 - 2724650 ns MR4_I 00000230 07d2684a - 2724670 ns IT 00000230 684a LDR r2,[r1,#4] - 2724690 ns MR4_I 00000234 6008d1fc - 2724750 ns MR4_D 40006004 00000001 - 2724750 ns R r2 00000001 - 2724750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2724770 ns R r2 80000000 - 2724770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2724790 ns R psr 81000200 - 2724790 ns MR4_I 00000238 48054770 - 2724810 ns MR4_I 00000230 07d2684a - 2724830 ns IT 00000230 684a LDR r2,[r1,#4] - 2724850 ns MR4_I 00000234 6008d1fc - 2724910 ns MR4_D 40006004 00000001 - 2724910 ns R r2 00000001 - 2724910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2724930 ns R r2 80000000 - 2724930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2724950 ns R psr 81000200 - 2724950 ns MR4_I 00000238 48054770 - 2724970 ns MR4_I 00000230 07d2684a - 2724990 ns IT 00000230 684a LDR r2,[r1,#4] - 2725010 ns MR4_I 00000234 6008d1fc - 2725070 ns MR4_D 40006004 00000001 - 2725070 ns R r2 00000001 - 2725070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2725090 ns R r2 80000000 - 2725090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2725110 ns R psr 81000200 - 2725110 ns MR4_I 00000238 48054770 - 2725130 ns MR4_I 00000230 07d2684a - 2725150 ns IT 00000230 684a LDR r2,[r1,#4] - 2725170 ns MR4_I 00000234 6008d1fc - 2725230 ns MR4_D 40006004 00000001 - 2725230 ns R r2 00000001 - 2725230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2725250 ns R r2 80000000 - 2725250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2725270 ns R psr 81000200 - 2725270 ns MR4_I 00000238 48054770 - 2725290 ns MR4_I 00000230 07d2684a - 2725310 ns IT 00000230 684a LDR r2,[r1,#4] - 2725330 ns MR4_I 00000234 6008d1fc - 2725390 ns MR4_D 40006004 00000001 - 2725390 ns R r2 00000001 - 2725390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2725410 ns R r2 80000000 - 2725410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2725430 ns R psr 81000200 - 2725430 ns MR4_I 00000238 48054770 - 2725450 ns MR4_I 00000230 07d2684a - 2725470 ns IT 00000230 684a LDR r2,[r1,#4] - 2725490 ns MR4_I 00000234 6008d1fc - 2725550 ns MR4_D 40006004 00000001 - 2725550 ns R r2 00000001 - 2725550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2725570 ns R r2 80000000 - 2725570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2725590 ns R psr 81000200 - 2725590 ns MR4_I 00000238 48054770 - 2725610 ns MR4_I 00000230 07d2684a - 2725630 ns IT 00000230 684a LDR r2,[r1,#4] - 2725650 ns MR4_I 00000234 6008d1fc - 2725710 ns MR4_D 40006004 00000001 - 2725710 ns R r2 00000001 - 2725710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2725730 ns R r2 80000000 - 2725730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2725750 ns R psr 81000200 - 2725750 ns MR4_I 00000238 48054770 - 2725770 ns MR4_I 00000230 07d2684a - 2725790 ns IT 00000230 684a LDR r2,[r1,#4] - 2725810 ns MR4_I 00000234 6008d1fc - 2725870 ns MR4_D 40006004 00000001 - 2725870 ns R r2 00000001 - 2725870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2725890 ns R r2 80000000 - 2725890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2725910 ns R psr 81000200 - 2725910 ns MR4_I 00000238 48054770 - 2725930 ns MR4_I 00000230 07d2684a - 2725950 ns IT 00000230 684a LDR r2,[r1,#4] - 2725970 ns MR4_I 00000234 6008d1fc - 2726030 ns MR4_D 40006004 00000001 - 2726030 ns R r2 00000001 - 2726030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2726050 ns R r2 80000000 - 2726050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2726070 ns R psr 81000200 - 2726070 ns MR4_I 00000238 48054770 - 2726090 ns MR4_I 00000230 07d2684a - 2726110 ns IT 00000230 684a LDR r2,[r1,#4] - 2726130 ns MR4_I 00000234 6008d1fc - 2726190 ns MR4_D 40006004 00000001 - 2726190 ns R r2 00000001 - 2726190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2726210 ns R r2 80000000 - 2726210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2726230 ns R psr 81000200 - 2726230 ns MR4_I 00000238 48054770 - 2726250 ns MR4_I 00000230 07d2684a - 2726270 ns IT 00000230 684a LDR r2,[r1,#4] - 2726290 ns MR4_I 00000234 6008d1fc - 2726350 ns MR4_D 40006004 00000001 - 2726350 ns R r2 00000001 - 2726350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2726370 ns R r2 80000000 - 2726370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2726390 ns R psr 81000200 - 2726390 ns MR4_I 00000238 48054770 - 2726410 ns MR4_I 00000230 07d2684a - 2726430 ns IT 00000230 684a LDR r2,[r1,#4] - 2726450 ns MR4_I 00000234 6008d1fc - 2726510 ns MR4_D 40006004 00000001 - 2726510 ns R r2 00000001 - 2726510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2726530 ns R r2 80000000 - 2726530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2726550 ns R psr 81000200 - 2726550 ns MR4_I 00000238 48054770 - 2726570 ns MR4_I 00000230 07d2684a - 2726590 ns IT 00000230 684a LDR r2,[r1,#4] - 2726610 ns MR4_I 00000234 6008d1fc - 2726670 ns MR4_D 40006004 00000001 - 2726670 ns R r2 00000001 - 2726670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2726690 ns R r2 80000000 - 2726690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2726710 ns R psr 81000200 - 2726710 ns MR4_I 00000238 48054770 - 2726730 ns MR4_I 00000230 07d2684a - 2726750 ns IT 00000230 684a LDR r2,[r1,#4] - 2726770 ns MR4_I 00000234 6008d1fc - 2726830 ns MR4_D 40006004 00000001 - 2726830 ns R r2 00000001 - 2726830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2726850 ns R r2 80000000 - 2726850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2726870 ns R psr 81000200 - 2726870 ns MR4_I 00000238 48054770 - 2726890 ns MR4_I 00000230 07d2684a - 2726910 ns IT 00000230 684a LDR r2,[r1,#4] - 2726930 ns MR4_I 00000234 6008d1fc - 2726990 ns MR4_D 40006004 00000001 - 2726990 ns R r2 00000001 - 2726990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2727010 ns R r2 80000000 - 2727010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2727030 ns R psr 81000200 - 2727030 ns MR4_I 00000238 48054770 - 2727050 ns MR4_I 00000230 07d2684a - 2727070 ns IT 00000230 684a LDR r2,[r1,#4] - 2727090 ns MR4_I 00000234 6008d1fc - 2727150 ns MR4_D 40006004 00000001 - 2727150 ns R r2 00000001 - 2727150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2727170 ns R r2 80000000 - 2727170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2727190 ns R psr 81000200 - 2727190 ns MR4_I 00000238 48054770 - 2727210 ns MR4_I 00000230 07d2684a - 2727230 ns IT 00000230 684a LDR r2,[r1,#4] - 2727250 ns MR4_I 00000234 6008d1fc - 2727310 ns MR4_D 40006004 00000001 - 2727310 ns R r2 00000001 - 2727310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2727330 ns R r2 80000000 - 2727330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2727350 ns R psr 81000200 - 2727350 ns MR4_I 00000238 48054770 - 2727370 ns MR4_I 00000230 07d2684a - 2727390 ns IT 00000230 684a LDR r2,[r1,#4] - 2727410 ns MR4_I 00000234 6008d1fc - 2727470 ns MR4_D 40006004 00000001 - 2727470 ns R r2 00000001 - 2727470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2727490 ns R r2 80000000 - 2727490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2727510 ns R psr 81000200 - 2727510 ns MR4_I 00000238 48054770 - 2727530 ns MR4_I 00000230 07d2684a - 2727550 ns IT 00000230 684a LDR r2,[r1,#4] - 2727570 ns MR4_I 00000234 6008d1fc - 2727630 ns MR4_D 40006004 00000001 - 2727630 ns R r2 00000001 - 2727630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2727650 ns R r2 80000000 - 2727650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2727670 ns R psr 81000200 - 2727670 ns MR4_I 00000238 48054770 - 2727690 ns MR4_I 00000230 07d2684a - 2727710 ns IT 00000230 684a LDR r2,[r1,#4] - 2727730 ns MR4_I 00000234 6008d1fc - 2727790 ns MR4_D 40006004 00000001 - 2727790 ns R r2 00000001 - 2727790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2727810 ns R r2 80000000 - 2727810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2727830 ns R psr 81000200 - 2727830 ns MR4_I 00000238 48054770 - 2727850 ns MR4_I 00000230 07d2684a - 2727870 ns IT 00000230 684a LDR r2,[r1,#4] - 2727890 ns MR4_I 00000234 6008d1fc - 2727950 ns MR4_D 40006004 00000001 - 2727950 ns R r2 00000001 - 2727950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2727970 ns R r2 80000000 - 2727970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2727990 ns R psr 81000200 - 2727990 ns MR4_I 00000238 48054770 - 2728010 ns MR4_I 00000230 07d2684a - 2728030 ns IT 00000230 684a LDR r2,[r1,#4] - 2728050 ns MR4_I 00000234 6008d1fc - 2728110 ns MR4_D 40006004 00000001 - 2728110 ns R r2 00000001 - 2728110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2728130 ns R r2 80000000 - 2728130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2728150 ns R psr 81000200 - 2728150 ns MR4_I 00000238 48054770 - 2728170 ns MR4_I 00000230 07d2684a - 2728190 ns IT 00000230 684a LDR r2,[r1,#4] - 2728210 ns MR4_I 00000234 6008d1fc - 2728270 ns MR4_D 40006004 00000001 - 2728270 ns R r2 00000001 - 2728270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2728290 ns R r2 80000000 - 2728290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2728310 ns R psr 81000200 - 2728310 ns MR4_I 00000238 48054770 - 2728330 ns MR4_I 00000230 07d2684a - 2728350 ns IT 00000230 684a LDR r2,[r1,#4] - 2728370 ns MR4_I 00000234 6008d1fc - 2728430 ns MR4_D 40006004 00000001 - 2728430 ns R r2 00000001 - 2728430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2728450 ns R r2 80000000 - 2728450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2728470 ns R psr 81000200 - 2728470 ns MR4_I 00000238 48054770 - 2728490 ns MR4_I 00000230 07d2684a - 2728510 ns IT 00000230 684a LDR r2,[r1,#4] - 2728530 ns MR4_I 00000234 6008d1fc - 2728590 ns MR4_D 40006004 00000001 - 2728590 ns R r2 00000001 - 2728590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2728610 ns R r2 80000000 - 2728610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2728630 ns R psr 81000200 - 2728630 ns MR4_I 00000238 48054770 - 2728650 ns MR4_I 00000230 07d2684a - 2728670 ns IT 00000230 684a LDR r2,[r1,#4] - 2728690 ns MR4_I 00000234 6008d1fc - 2728750 ns MR4_D 40006004 00000001 - 2728750 ns R r2 00000001 - 2728750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2728770 ns R r2 80000000 - 2728770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2728790 ns R psr 81000200 - 2728790 ns MR4_I 00000238 48054770 - 2728810 ns MR4_I 00000230 07d2684a - 2728830 ns IT 00000230 684a LDR r2,[r1,#4] - 2728850 ns MR4_I 00000234 6008d1fc - 2728910 ns MR4_D 40006004 00000001 - 2728910 ns R r2 00000001 - 2728910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2728930 ns R r2 80000000 - 2728930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2728950 ns R psr 81000200 - 2728950 ns MR4_I 00000238 48054770 - 2728970 ns MR4_I 00000230 07d2684a - 2728990 ns IT 00000230 684a LDR r2,[r1,#4] - 2729010 ns MR4_I 00000234 6008d1fc - 2729070 ns MR4_D 40006004 00000001 - 2729070 ns R r2 00000001 - 2729070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2729090 ns R r2 80000000 - 2729090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2729110 ns R psr 81000200 - 2729110 ns MR4_I 00000238 48054770 - 2729130 ns MR4_I 00000230 07d2684a - 2729150 ns IT 00000230 684a LDR r2,[r1,#4] - 2729170 ns MR4_I 00000234 6008d1fc - 2729230 ns MR4_D 40006004 00000001 - 2729230 ns R r2 00000001 - 2729230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2729250 ns R r2 80000000 - 2729250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2729270 ns R psr 81000200 - 2729270 ns MR4_I 00000238 48054770 - 2729290 ns MR4_I 00000230 07d2684a - 2729310 ns IT 00000230 684a LDR r2,[r1,#4] - 2729330 ns MR4_I 00000234 6008d1fc - 2729390 ns MR4_D 40006004 00000001 - 2729390 ns R r2 00000001 - 2729390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2729410 ns R r2 80000000 - 2729410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2729430 ns R psr 81000200 - 2729430 ns MR4_I 00000238 48054770 - 2729450 ns MR4_I 00000230 07d2684a - 2729470 ns IT 00000230 684a LDR r2,[r1,#4] - 2729490 ns MR4_I 00000234 6008d1fc - 2729550 ns MR4_D 40006004 00000001 - 2729550 ns R r2 00000001 - 2729550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2729570 ns R r2 80000000 - 2729570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2729590 ns R psr 81000200 - 2729590 ns MR4_I 00000238 48054770 - 2729610 ns MR4_I 00000230 07d2684a - 2729630 ns IT 00000230 684a LDR r2,[r1,#4] - 2729650 ns MR4_I 00000234 6008d1fc - 2729710 ns MR4_D 40006004 00000001 - 2729710 ns R r2 00000001 - 2729710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2729730 ns R r2 80000000 - 2729730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2729750 ns R psr 81000200 - 2729750 ns MR4_I 00000238 48054770 - 2729770 ns MR4_I 00000230 07d2684a - 2729790 ns IT 00000230 684a LDR r2,[r1,#4] - 2729810 ns MR4_I 00000234 6008d1fc - 2729870 ns MR4_D 40006004 00000001 - 2729870 ns R r2 00000001 - 2729870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2729890 ns R r2 80000000 - 2729890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2729910 ns R psr 81000200 - 2729910 ns MR4_I 00000238 48054770 - 2729930 ns MR4_I 00000230 07d2684a - 2729950 ns IT 00000230 684a LDR r2,[r1,#4] - 2729970 ns MR4_I 00000234 6008d1fc - 2730030 ns MR4_D 40006004 00000001 - 2730030 ns R r2 00000001 - 2730030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2730050 ns R r2 80000000 - 2730050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2730070 ns R psr 81000200 - 2730070 ns MR4_I 00000238 48054770 - 2730090 ns MR4_I 00000230 07d2684a - 2730110 ns IT 00000230 684a LDR r2,[r1,#4] - 2730130 ns MR4_I 00000234 6008d1fc - 2730190 ns MR4_D 40006004 00000001 - 2730190 ns R r2 00000001 - 2730190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2730210 ns R r2 80000000 - 2730210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2730230 ns R psr 81000200 - 2730230 ns MR4_I 00000238 48054770 - 2730250 ns MR4_I 00000230 07d2684a - 2730270 ns IT 00000230 684a LDR r2,[r1,#4] - 2730290 ns MR4_I 00000234 6008d1fc - 2730350 ns MR4_D 40006004 00000001 - 2730350 ns R r2 00000001 - 2730350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2730370 ns R r2 80000000 - 2730370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2730390 ns R psr 81000200 - 2730390 ns MR4_I 00000238 48054770 - 2730410 ns MR4_I 00000230 07d2684a - 2730430 ns IT 00000230 684a LDR r2,[r1,#4] - 2730450 ns MR4_I 00000234 6008d1fc - 2730510 ns MR4_D 40006004 00000001 - 2730510 ns R r2 00000001 - 2730510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2730530 ns R r2 80000000 - 2730530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2730550 ns R psr 81000200 - 2730550 ns MR4_I 00000238 48054770 - 2730570 ns MR4_I 00000230 07d2684a - 2730590 ns IT 00000230 684a LDR r2,[r1,#4] - 2730610 ns MR4_I 00000234 6008d1fc - 2730670 ns MR4_D 40006004 00000001 - 2730670 ns R r2 00000001 - 2730670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2730690 ns R r2 80000000 - 2730690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2730710 ns R psr 81000200 - 2730710 ns MR4_I 00000238 48054770 - 2730730 ns MR4_I 00000230 07d2684a - 2730750 ns IT 00000230 684a LDR r2,[r1,#4] - 2730770 ns MR4_I 00000234 6008d1fc - 2730830 ns MR4_D 40006004 00000001 - 2730830 ns R r2 00000001 - 2730830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2730850 ns R r2 80000000 - 2730850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2730870 ns R psr 81000200 - 2730870 ns MR4_I 00000238 48054770 - 2730890 ns MR4_I 00000230 07d2684a - 2730910 ns IT 00000230 684a LDR r2,[r1,#4] - 2730930 ns MR4_I 00000234 6008d1fc - 2730990 ns MR4_D 40006004 00000001 - 2730990 ns R r2 00000001 - 2730990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2731010 ns R r2 80000000 - 2731010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2731030 ns R psr 81000200 - 2731030 ns MR4_I 00000238 48054770 - 2731050 ns MR4_I 00000230 07d2684a - 2731070 ns IT 00000230 684a LDR r2,[r1,#4] - 2731090 ns MR4_I 00000234 6008d1fc - 2731150 ns MR4_D 40006004 00000001 - 2731150 ns R r2 00000001 - 2731150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2731170 ns R r2 80000000 - 2731170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2731190 ns R psr 81000200 - 2731190 ns MR4_I 00000238 48054770 - 2731210 ns MR4_I 00000230 07d2684a - 2731230 ns IT 00000230 684a LDR r2,[r1,#4] - 2731250 ns MR4_I 00000234 6008d1fc - 2731310 ns MR4_D 40006004 00000001 - 2731310 ns R r2 00000001 - 2731310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2731330 ns R r2 80000000 - 2731330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2731350 ns R psr 81000200 - 2731350 ns MR4_I 00000238 48054770 - 2731370 ns MR4_I 00000230 07d2684a - 2731390 ns IT 00000230 684a LDR r2,[r1,#4] - 2731410 ns MR4_I 00000234 6008d1fc - 2731470 ns MR4_D 40006004 00000001 - 2731470 ns R r2 00000001 - 2731470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2731490 ns R r2 80000000 - 2731490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2731510 ns R psr 81000200 - 2731510 ns MR4_I 00000238 48054770 - 2731530 ns MR4_I 00000230 07d2684a - 2731550 ns IT 00000230 684a LDR r2,[r1,#4] - 2731570 ns MR4_I 00000234 6008d1fc - 2731630 ns MR4_D 40006004 00000001 - 2731630 ns R r2 00000001 - 2731630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2731650 ns R r2 80000000 - 2731650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2731670 ns R psr 81000200 - 2731670 ns MR4_I 00000238 48054770 - 2731690 ns MR4_I 00000230 07d2684a - 2731710 ns IT 00000230 684a LDR r2,[r1,#4] - 2731730 ns MR4_I 00000234 6008d1fc - 2731790 ns MR4_D 40006004 00000001 - 2731790 ns R r2 00000001 - 2731790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2731810 ns R r2 80000000 - 2731810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2731830 ns R psr 81000200 - 2731830 ns MR4_I 00000238 48054770 - 2731850 ns MR4_I 00000230 07d2684a - 2731870 ns IT 00000230 684a LDR r2,[r1,#4] - 2731890 ns MR4_I 00000234 6008d1fc - 2731950 ns MR4_D 40006004 00000001 - 2731950 ns R r2 00000001 - 2731950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2731970 ns R r2 80000000 - 2731970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2731990 ns R psr 81000200 - 2731990 ns MR4_I 00000238 48054770 - 2732010 ns MR4_I 00000230 07d2684a - 2732030 ns IT 00000230 684a LDR r2,[r1,#4] - 2732050 ns MR4_I 00000234 6008d1fc - 2732110 ns MR4_D 40006004 00000001 - 2732110 ns R r2 00000001 - 2732110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2732130 ns R r2 80000000 - 2732130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2732150 ns R psr 81000200 - 2732150 ns MR4_I 00000238 48054770 - 2732170 ns MR4_I 00000230 07d2684a - 2732190 ns IT 00000230 684a LDR r2,[r1,#4] - 2732210 ns MR4_I 00000234 6008d1fc - 2732270 ns MR4_D 40006004 00000001 - 2732270 ns R r2 00000001 - 2732270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2732290 ns R r2 80000000 - 2732290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2732310 ns R psr 81000200 - 2732310 ns MR4_I 00000238 48054770 - 2732330 ns MR4_I 00000230 07d2684a - 2732350 ns IT 00000230 684a LDR r2,[r1,#4] - 2732370 ns MR4_I 00000234 6008d1fc - 2732430 ns MR4_D 40006004 00000001 - 2732430 ns R r2 00000001 - 2732430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2732450 ns R r2 80000000 - 2732450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2732470 ns R psr 81000200 - 2732470 ns MR4_I 00000238 48054770 - 2732490 ns MR4_I 00000230 07d2684a - 2732510 ns IT 00000230 684a LDR r2,[r1,#4] - 2732530 ns MR4_I 00000234 6008d1fc - 2732590 ns MR4_D 40006004 00000001 - 2732590 ns R r2 00000001 - 2732590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2732610 ns R r2 80000000 - 2732610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2732630 ns R psr 81000200 - 2732630 ns MR4_I 00000238 48054770 - 2732650 ns MR4_I 00000230 07d2684a - 2732670 ns IT 00000230 684a LDR r2,[r1,#4] - 2732690 ns MR4_I 00000234 6008d1fc - 2732750 ns MR4_D 40006004 00000001 - 2732750 ns R r2 00000001 - 2732750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2732770 ns R r2 80000000 - 2732770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2732790 ns R psr 81000200 - 2732790 ns MR4_I 00000238 48054770 - 2732810 ns MR4_I 00000230 07d2684a - 2732830 ns IT 00000230 684a LDR r2,[r1,#4] - 2732850 ns MR4_I 00000234 6008d1fc - 2732910 ns MR4_D 40006004 00000001 - 2732910 ns R r2 00000001 - 2732910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2732930 ns R r2 80000000 - 2732930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2732950 ns R psr 81000200 - 2732950 ns MR4_I 00000238 48054770 - 2732970 ns MR4_I 00000230 07d2684a - 2732990 ns IT 00000230 684a LDR r2,[r1,#4] - 2733010 ns MR4_I 00000234 6008d1fc - 2733070 ns MR4_D 40006004 00000001 - 2733070 ns R r2 00000001 - 2733070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2733090 ns R r2 80000000 - 2733090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2733110 ns R psr 81000200 - 2733110 ns MR4_I 00000238 48054770 - 2733130 ns MR4_I 00000230 07d2684a - 2733150 ns IT 00000230 684a LDR r2,[r1,#4] - 2733170 ns MR4_I 00000234 6008d1fc - 2733230 ns MR4_D 40006004 00000001 - 2733230 ns R r2 00000001 - 2733230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2733250 ns R r2 80000000 - 2733250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2733270 ns R psr 81000200 - 2733270 ns MR4_I 00000238 48054770 - 2733290 ns MR4_I 00000230 07d2684a - 2733310 ns IT 00000230 684a LDR r2,[r1,#4] - 2733330 ns MR4_I 00000234 6008d1fc - 2733390 ns MR4_D 40006004 00000001 - 2733390 ns R r2 00000001 - 2733390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2733410 ns R r2 80000000 - 2733410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2733430 ns R psr 81000200 - 2733430 ns MR4_I 00000238 48054770 - 2733450 ns MR4_I 00000230 07d2684a - 2733470 ns IT 00000230 684a LDR r2,[r1,#4] - 2733490 ns MR4_I 00000234 6008d1fc - 2733550 ns MR4_D 40006004 00000001 - 2733550 ns R r2 00000001 - 2733550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2733570 ns R r2 80000000 - 2733570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2733590 ns R psr 81000200 - 2733590 ns MR4_I 00000238 48054770 - 2733610 ns MR4_I 00000230 07d2684a - 2733630 ns IT 00000230 684a LDR r2,[r1,#4] - 2733650 ns MR4_I 00000234 6008d1fc - 2733710 ns MR4_D 40006004 00000001 - 2733710 ns R r2 00000001 - 2733710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2733730 ns R r2 80000000 - 2733730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2733750 ns R psr 81000200 - 2733750 ns MR4_I 00000238 48054770 - 2733770 ns MR4_I 00000230 07d2684a - 2733790 ns IT 00000230 684a LDR r2,[r1,#4] - 2733810 ns MR4_I 00000234 6008d1fc - 2733870 ns MR4_D 40006004 00000001 - 2733870 ns R r2 00000001 - 2733870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2733890 ns R r2 80000000 - 2733890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2733910 ns R psr 81000200 - 2733910 ns MR4_I 00000238 48054770 - 2733930 ns MR4_I 00000230 07d2684a - 2733950 ns IT 00000230 684a LDR r2,[r1,#4] - 2733970 ns MR4_I 00000234 6008d1fc - 2734030 ns MR4_D 40006004 00000001 - 2734030 ns R r2 00000001 - 2734030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2734050 ns R r2 80000000 - 2734050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2734070 ns R psr 81000200 - 2734070 ns MR4_I 00000238 48054770 - 2734090 ns MR4_I 00000230 07d2684a - 2734110 ns IT 00000230 684a LDR r2,[r1,#4] - 2734130 ns MR4_I 00000234 6008d1fc - 2734190 ns MR4_D 40006004 00000001 - 2734190 ns R r2 00000001 - 2734190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2734210 ns R r2 80000000 - 2734210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2734230 ns R psr 81000200 - 2734230 ns MR4_I 00000238 48054770 - 2734250 ns MR4_I 00000230 07d2684a - 2734270 ns IT 00000230 684a LDR r2,[r1,#4] - 2734290 ns MR4_I 00000234 6008d1fc - 2734350 ns MR4_D 40006004 00000001 - 2734350 ns R r2 00000001 - 2734350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2734370 ns R r2 80000000 - 2734370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2734390 ns R psr 81000200 - 2734390 ns MR4_I 00000238 48054770 - 2734410 ns MR4_I 00000230 07d2684a - 2734430 ns IT 00000230 684a LDR r2,[r1,#4] - 2734450 ns MR4_I 00000234 6008d1fc - 2734510 ns MR4_D 40006004 00000001 - 2734510 ns R r2 00000001 - 2734510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2734530 ns R r2 80000000 - 2734530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2734550 ns R psr 81000200 - 2734550 ns MR4_I 00000238 48054770 - 2734570 ns MR4_I 00000230 07d2684a - 2734590 ns IT 00000230 684a LDR r2,[r1,#4] - 2734610 ns MR4_I 00000234 6008d1fc - 2734670 ns MR4_D 40006004 00000001 - 2734670 ns R r2 00000001 - 2734670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2734690 ns R r2 80000000 - 2734690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2734710 ns R psr 81000200 - 2734710 ns MR4_I 00000238 48054770 - 2734730 ns MR4_I 00000230 07d2684a - 2734750 ns IT 00000230 684a LDR r2,[r1,#4] - 2734770 ns MR4_I 00000234 6008d1fc - 2734830 ns MR4_D 40006004 00000001 - 2734830 ns R r2 00000001 - 2734830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2734850 ns R r2 80000000 - 2734850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2734870 ns R psr 81000200 - 2734870 ns MR4_I 00000238 48054770 - 2734890 ns MR4_I 00000230 07d2684a - 2734910 ns IT 00000230 684a LDR r2,[r1,#4] - 2734930 ns MR4_I 00000234 6008d1fc - 2734990 ns MR4_D 40006004 00000001 - 2734990 ns R r2 00000001 - 2734990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2735010 ns R r2 80000000 - 2735010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2735030 ns R psr 81000200 - 2735030 ns MR4_I 00000238 48054770 - 2735050 ns MR4_I 00000230 07d2684a - 2735070 ns IT 00000230 684a LDR r2,[r1,#4] - 2735090 ns MR4_I 00000234 6008d1fc - 2735150 ns MR4_D 40006004 00000001 - 2735150 ns R r2 00000001 - 2735150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2735170 ns R r2 80000000 - 2735170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2735190 ns R psr 81000200 - 2735190 ns MR4_I 00000238 48054770 - 2735210 ns MR4_I 00000230 07d2684a - 2735230 ns IT 00000230 684a LDR r2,[r1,#4] - 2735250 ns MR4_I 00000234 6008d1fc - 2735310 ns MR4_D 40006004 00000001 - 2735310 ns R r2 00000001 - 2735310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2735330 ns R r2 80000000 - 2735330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2735350 ns R psr 81000200 - 2735350 ns MR4_I 00000238 48054770 - 2735370 ns MR4_I 00000230 07d2684a - 2735390 ns IT 00000230 684a LDR r2,[r1,#4] - 2735410 ns MR4_I 00000234 6008d1fc - 2735470 ns MR4_D 40006004 00000001 - 2735470 ns R r2 00000001 - 2735470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2735490 ns R r2 80000000 - 2735490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2735510 ns R psr 81000200 - 2735510 ns MR4_I 00000238 48054770 - 2735530 ns MR4_I 00000230 07d2684a - 2735550 ns IT 00000230 684a LDR r2,[r1,#4] - 2735570 ns MR4_I 00000234 6008d1fc - 2735630 ns MR4_D 40006004 00000001 - 2735630 ns R r2 00000001 - 2735630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2735650 ns R r2 80000000 - 2735650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2735670 ns R psr 81000200 - 2735670 ns MR4_I 00000238 48054770 - 2735690 ns MR4_I 00000230 07d2684a - 2735710 ns IT 00000230 684a LDR r2,[r1,#4] - 2735730 ns MR4_I 00000234 6008d1fc - 2735790 ns MR4_D 40006004 00000001 - 2735790 ns R r2 00000001 - 2735790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2735810 ns R r2 80000000 - 2735810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2735830 ns R psr 81000200 - 2735830 ns MR4_I 00000238 48054770 - 2735850 ns MR4_I 00000230 07d2684a - 2735870 ns IT 00000230 684a LDR r2,[r1,#4] - 2735890 ns MR4_I 00000234 6008d1fc - 2735950 ns MR4_D 40006004 00000001 - 2735950 ns R r2 00000001 - 2735950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2735970 ns R r2 80000000 - 2735970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2735990 ns R psr 81000200 - 2735990 ns MR4_I 00000238 48054770 - 2736010 ns MR4_I 00000230 07d2684a - 2736030 ns IT 00000230 684a LDR r2,[r1,#4] - 2736050 ns MR4_I 00000234 6008d1fc - 2736110 ns MR4_D 40006004 00000001 - 2736110 ns R r2 00000001 - 2736110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2736130 ns R r2 80000000 - 2736130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2736150 ns R psr 81000200 - 2736150 ns MR4_I 00000238 48054770 - 2736170 ns MR4_I 00000230 07d2684a - 2736190 ns IT 00000230 684a LDR r2,[r1,#4] - 2736210 ns MR4_I 00000234 6008d1fc - 2736270 ns MR4_D 40006004 00000001 - 2736270 ns R r2 00000001 - 2736270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2736290 ns R r2 80000000 - 2736290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2736310 ns R psr 81000200 - 2736310 ns MR4_I 00000238 48054770 - 2736330 ns MR4_I 00000230 07d2684a - 2736350 ns IT 00000230 684a LDR r2,[r1,#4] - 2736370 ns MR4_I 00000234 6008d1fc - 2736430 ns MR4_D 40006004 00000001 - 2736430 ns R r2 00000001 - 2736430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2736450 ns R r2 80000000 - 2736450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2736470 ns R psr 81000200 - 2736470 ns MR4_I 00000238 48054770 - 2736490 ns MR4_I 00000230 07d2684a - 2736510 ns IT 00000230 684a LDR r2,[r1,#4] - 2736530 ns MR4_I 00000234 6008d1fc - 2736590 ns MR4_D 40006004 00000001 - 2736590 ns R r2 00000001 - 2736590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2736610 ns R r2 80000000 - 2736610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2736630 ns R psr 81000200 - 2736630 ns MR4_I 00000238 48054770 - 2736650 ns MR4_I 00000230 07d2684a - 2736670 ns IT 00000230 684a LDR r2,[r1,#4] - 2736690 ns MR4_I 00000234 6008d1fc - 2736750 ns MR4_D 40006004 00000001 - 2736750 ns R r2 00000001 - 2736750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2736770 ns R r2 80000000 - 2736770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2736790 ns R psr 81000200 - 2736790 ns MR4_I 00000238 48054770 - 2736810 ns MR4_I 00000230 07d2684a - 2736830 ns IT 00000230 684a LDR r2,[r1,#4] - 2736850 ns MR4_I 00000234 6008d1fc - 2736910 ns MR4_D 40006004 00000001 - 2736910 ns R r2 00000001 - 2736910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2736930 ns R r2 80000000 - 2736930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2736950 ns R psr 81000200 - 2736950 ns MR4_I 00000238 48054770 - 2736970 ns MR4_I 00000230 07d2684a - 2736990 ns IT 00000230 684a LDR r2,[r1,#4] - 2737010 ns MR4_I 00000234 6008d1fc - 2737070 ns MR4_D 40006004 00000001 - 2737070 ns R r2 00000001 - 2737070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2737090 ns R r2 80000000 - 2737090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2737110 ns R psr 81000200 - 2737110 ns MR4_I 00000238 48054770 - 2737130 ns MR4_I 00000230 07d2684a - 2737150 ns IT 00000230 684a LDR r2,[r1,#4] - 2737170 ns MR4_I 00000234 6008d1fc - 2737230 ns MR4_D 40006004 00000001 - 2737230 ns R r2 00000001 - 2737230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2737250 ns R r2 80000000 - 2737250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2737270 ns R psr 81000200 - 2737270 ns MR4_I 00000238 48054770 - 2737290 ns MR4_I 00000230 07d2684a - 2737310 ns IT 00000230 684a LDR r2,[r1,#4] - 2737330 ns MR4_I 00000234 6008d1fc - 2737390 ns MR4_D 40006004 00000001 - 2737390 ns R r2 00000001 - 2737390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2737410 ns R r2 80000000 - 2737410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2737430 ns R psr 81000200 - 2737430 ns MR4_I 00000238 48054770 - 2737450 ns MR4_I 00000230 07d2684a - 2737470 ns IT 00000230 684a LDR r2,[r1,#4] - 2737490 ns MR4_I 00000234 6008d1fc - 2737550 ns MR4_D 40006004 00000001 - 2737550 ns R r2 00000001 - 2737550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2737570 ns R r2 80000000 - 2737570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2737590 ns R psr 81000200 - 2737590 ns MR4_I 00000238 48054770 - 2737610 ns MR4_I 00000230 07d2684a - 2737630 ns IT 00000230 684a LDR r2,[r1,#4] - 2737650 ns MR4_I 00000234 6008d1fc - 2737710 ns MR4_D 40006004 00000001 - 2737710 ns R r2 00000001 - 2737710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2737730 ns R r2 80000000 - 2737730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2737750 ns R psr 81000200 - 2737750 ns MR4_I 00000238 48054770 - 2737770 ns MR4_I 00000230 07d2684a - 2737790 ns IT 00000230 684a LDR r2,[r1,#4] - 2737810 ns MR4_I 00000234 6008d1fc - 2737870 ns MR4_D 40006004 00000001 - 2737870 ns R r2 00000001 - 2737870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2737890 ns R r2 80000000 - 2737890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2737910 ns R psr 81000200 - 2737910 ns MR4_I 00000238 48054770 - 2737930 ns MR4_I 00000230 07d2684a - 2737950 ns IT 00000230 684a LDR r2,[r1,#4] - 2737970 ns MR4_I 00000234 6008d1fc - 2738030 ns MR4_D 40006004 00000001 - 2738030 ns R r2 00000001 - 2738030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2738050 ns R r2 80000000 - 2738050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2738070 ns R psr 81000200 - 2738070 ns MR4_I 00000238 48054770 - 2738090 ns MR4_I 00000230 07d2684a - 2738110 ns IT 00000230 684a LDR r2,[r1,#4] - 2738130 ns MR4_I 00000234 6008d1fc - 2738190 ns MR4_D 40006004 00000001 - 2738190 ns R r2 00000001 - 2738190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2738210 ns R r2 80000000 - 2738210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2738230 ns R psr 81000200 - 2738230 ns MR4_I 00000238 48054770 - 2738250 ns MR4_I 00000230 07d2684a - 2738270 ns IT 00000230 684a LDR r2,[r1,#4] - 2738290 ns MR4_I 00000234 6008d1fc - 2738350 ns MR4_D 40006004 00000001 - 2738350 ns R r2 00000001 - 2738350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2738370 ns R r2 80000000 - 2738370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2738390 ns R psr 81000200 - 2738390 ns MR4_I 00000238 48054770 - 2738410 ns MR4_I 00000230 07d2684a - 2738430 ns IT 00000230 684a LDR r2,[r1,#4] - 2738450 ns MR4_I 00000234 6008d1fc - 2738510 ns MR4_D 40006004 00000001 - 2738510 ns R r2 00000001 - 2738510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2738530 ns R r2 80000000 - 2738530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2738550 ns R psr 81000200 - 2738550 ns MR4_I 00000238 48054770 - 2738570 ns MR4_I 00000230 07d2684a - 2738590 ns IT 00000230 684a LDR r2,[r1,#4] - 2738610 ns MR4_I 00000234 6008d1fc - 2738670 ns MR4_D 40006004 00000001 - 2738670 ns R r2 00000001 - 2738670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2738690 ns R r2 80000000 - 2738690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2738710 ns R psr 81000200 - 2738710 ns MR4_I 00000238 48054770 - 2738730 ns MR4_I 00000230 07d2684a - 2738750 ns IT 00000230 684a LDR r2,[r1,#4] - 2738770 ns MR4_I 00000234 6008d1fc - 2738830 ns MR4_D 40006004 00000001 - 2738830 ns R r2 00000001 - 2738830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2738850 ns R r2 80000000 - 2738850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2738870 ns R psr 81000200 - 2738870 ns MR4_I 00000238 48054770 - 2738890 ns MR4_I 00000230 07d2684a - 2738910 ns IT 00000230 684a LDR r2,[r1,#4] - 2738930 ns MR4_I 00000234 6008d1fc - 2738990 ns MR4_D 40006004 00000001 - 2738990 ns R r2 00000001 - 2738990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2739010 ns R r2 80000000 - 2739010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2739030 ns R psr 81000200 - 2739030 ns MR4_I 00000238 48054770 - 2739050 ns MR4_I 00000230 07d2684a - 2739070 ns IT 00000230 684a LDR r2,[r1,#4] - 2739090 ns MR4_I 00000234 6008d1fc - 2739150 ns MR4_D 40006004 00000001 - 2739150 ns R r2 00000001 - 2739150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2739170 ns R r2 80000000 - 2739170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2739190 ns R psr 81000200 - 2739190 ns MR4_I 00000238 48054770 - 2739210 ns MR4_I 00000230 07d2684a - 2739230 ns IT 00000230 684a LDR r2,[r1,#4] - 2739250 ns MR4_I 00000234 6008d1fc - 2739310 ns MR4_D 40006004 00000001 - 2739310 ns R r2 00000001 - 2739310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2739330 ns R r2 80000000 - 2739330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2739350 ns R psr 81000200 - 2739350 ns MR4_I 00000238 48054770 - 2739370 ns MR4_I 00000230 07d2684a - 2739390 ns IT 00000230 684a LDR r2,[r1,#4] - 2739410 ns MR4_I 00000234 6008d1fc - 2739470 ns MR4_D 40006004 00000001 - 2739470 ns R r2 00000001 - 2739470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2739490 ns R r2 80000000 - 2739490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2739510 ns R psr 81000200 - 2739510 ns MR4_I 00000238 48054770 - 2739530 ns MR4_I 00000230 07d2684a - 2739550 ns IT 00000230 684a LDR r2,[r1,#4] - 2739570 ns MR4_I 00000234 6008d1fc - 2739630 ns MR4_D 40006004 00000001 - 2739630 ns R r2 00000001 - 2739630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2739650 ns R r2 80000000 - 2739650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2739670 ns R psr 81000200 - 2739670 ns MR4_I 00000238 48054770 - 2739690 ns MR4_I 00000230 07d2684a - 2739710 ns IT 00000230 684a LDR r2,[r1,#4] - 2739730 ns MR4_I 00000234 6008d1fc - 2739790 ns MR4_D 40006004 00000001 - 2739790 ns R r2 00000001 - 2739790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2739810 ns R r2 80000000 - 2739810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2739830 ns R psr 81000200 - 2739830 ns MR4_I 00000238 48054770 - 2739850 ns MR4_I 00000230 07d2684a - 2739870 ns IT 00000230 684a LDR r2,[r1,#4] - 2739890 ns MR4_I 00000234 6008d1fc - 2739950 ns MR4_D 40006004 00000001 - 2739950 ns R r2 00000001 - 2739950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2739970 ns R r2 80000000 - 2739970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2739990 ns R psr 81000200 - 2739990 ns MR4_I 00000238 48054770 - 2740010 ns MR4_I 00000230 07d2684a - 2740030 ns IT 00000230 684a LDR r2,[r1,#4] - 2740050 ns MR4_I 00000234 6008d1fc - 2740110 ns MR4_D 40006004 00000001 - 2740110 ns R r2 00000001 - 2740110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2740130 ns R r2 80000000 - 2740130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2740150 ns R psr 81000200 - 2740150 ns MR4_I 00000238 48054770 - 2740170 ns MR4_I 00000230 07d2684a - 2740190 ns IT 00000230 684a LDR r2,[r1,#4] - 2740210 ns MR4_I 00000234 6008d1fc - 2740270 ns MR4_D 40006004 00000001 - 2740270 ns R r2 00000001 - 2740270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2740290 ns R r2 80000000 - 2740290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2740310 ns R psr 81000200 - 2740310 ns MR4_I 00000238 48054770 - 2740330 ns MR4_I 00000230 07d2684a - 2740350 ns IT 00000230 684a LDR r2,[r1,#4] - 2740370 ns MR4_I 00000234 6008d1fc - 2740430 ns MR4_D 40006004 00000001 - 2740430 ns R r2 00000001 - 2740430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2740450 ns R r2 80000000 - 2740450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2740470 ns R psr 81000200 - 2740470 ns MR4_I 00000238 48054770 - 2740490 ns MR4_I 00000230 07d2684a - 2740510 ns IT 00000230 684a LDR r2,[r1,#4] - 2740530 ns MR4_I 00000234 6008d1fc - 2740590 ns MR4_D 40006004 00000001 - 2740590 ns R r2 00000001 - 2740590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2740610 ns R r2 80000000 - 2740610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2740630 ns R psr 81000200 - 2740630 ns MR4_I 00000238 48054770 - 2740650 ns MR4_I 00000230 07d2684a - 2740670 ns IT 00000230 684a LDR r2,[r1,#4] - 2740690 ns MR4_I 00000234 6008d1fc - 2740750 ns MR4_D 40006004 00000001 - 2740750 ns R r2 00000001 - 2740750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2740770 ns R r2 80000000 - 2740770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2740790 ns R psr 81000200 - 2740790 ns MR4_I 00000238 48054770 - 2740810 ns MR4_I 00000230 07d2684a - 2740830 ns IT 00000230 684a LDR r2,[r1,#4] - 2740850 ns MR4_I 00000234 6008d1fc - 2740910 ns MR4_D 40006004 00000001 - 2740910 ns R r2 00000001 - 2740910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2740930 ns R r2 80000000 - 2740930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2740950 ns R psr 81000200 - 2740950 ns MR4_I 00000238 48054770 - 2740970 ns MR4_I 00000230 07d2684a - 2740990 ns IT 00000230 684a LDR r2,[r1,#4] - 2741010 ns MR4_I 00000234 6008d1fc - 2741070 ns MR4_D 40006004 00000001 - 2741070 ns R r2 00000001 - 2741070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2741090 ns R r2 80000000 - 2741090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2741110 ns R psr 81000200 - 2741110 ns MR4_I 00000238 48054770 - 2741130 ns MR4_I 00000230 07d2684a - 2741150 ns IT 00000230 684a LDR r2,[r1,#4] - 2741170 ns MR4_I 00000234 6008d1fc - 2741230 ns MR4_D 40006004 00000001 - 2741230 ns R r2 00000001 - 2741230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2741250 ns R r2 80000000 - 2741250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2741270 ns R psr 81000200 - 2741270 ns MR4_I 00000238 48054770 - 2741290 ns MR4_I 00000230 07d2684a - 2741310 ns IT 00000230 684a LDR r2,[r1,#4] - 2741330 ns MR4_I 00000234 6008d1fc - 2741390 ns MR4_D 40006004 00000001 - 2741390 ns R r2 00000001 - 2741390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2741410 ns R r2 80000000 - 2741410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2741430 ns R psr 81000200 - 2741430 ns MR4_I 00000238 48054770 - 2741450 ns MR4_I 00000230 07d2684a - 2741470 ns IT 00000230 684a LDR r2,[r1,#4] - 2741490 ns MR4_I 00000234 6008d1fc - 2741550 ns MR4_D 40006004 00000001 - 2741550 ns R r2 00000001 - 2741550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2741570 ns R r2 80000000 - 2741570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2741590 ns R psr 81000200 - 2741590 ns MR4_I 00000238 48054770 - 2741610 ns MR4_I 00000230 07d2684a - 2741630 ns IT 00000230 684a LDR r2,[r1,#4] - 2741650 ns MR4_I 00000234 6008d1fc - 2741710 ns MR4_D 40006004 00000001 - 2741710 ns R r2 00000001 - 2741710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2741730 ns R r2 80000000 - 2741730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2741750 ns R psr 81000200 - 2741750 ns MR4_I 00000238 48054770 - 2741770 ns MR4_I 00000230 07d2684a - 2741790 ns IT 00000230 684a LDR r2,[r1,#4] - 2741810 ns MR4_I 00000234 6008d1fc - 2741870 ns MR4_D 40006004 00000001 - 2741870 ns R r2 00000001 - 2741870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2741890 ns R r2 80000000 - 2741890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2741910 ns R psr 81000200 - 2741910 ns MR4_I 00000238 48054770 - 2741930 ns MR4_I 00000230 07d2684a - 2741950 ns IT 00000230 684a LDR r2,[r1,#4] - 2741970 ns MR4_I 00000234 6008d1fc - 2742030 ns MR4_D 40006004 00000001 - 2742030 ns R r2 00000001 - 2742030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2742050 ns R r2 80000000 - 2742050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2742070 ns R psr 81000200 - 2742070 ns MR4_I 00000238 48054770 - 2742090 ns MR4_I 00000230 07d2684a - 2742110 ns IT 00000230 684a LDR r2,[r1,#4] - 2742130 ns MR4_I 00000234 6008d1fc - 2742190 ns MR4_D 40006004 00000001 - 2742190 ns R r2 00000001 - 2742190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2742210 ns R r2 80000000 - 2742210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2742230 ns R psr 81000200 - 2742230 ns MR4_I 00000238 48054770 - 2742250 ns MR4_I 00000230 07d2684a - 2742270 ns IT 00000230 684a LDR r2,[r1,#4] - 2742290 ns MR4_I 00000234 6008d1fc - 2742350 ns MR4_D 40006004 00000001 - 2742350 ns R r2 00000001 - 2742350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2742370 ns R r2 80000000 - 2742370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2742390 ns R psr 81000200 - 2742390 ns MR4_I 00000238 48054770 - 2742410 ns MR4_I 00000230 07d2684a - 2742430 ns IT 00000230 684a LDR r2,[r1,#4] - 2742450 ns MR4_I 00000234 6008d1fc - 2742510 ns MR4_D 40006004 00000001 - 2742510 ns R r2 00000001 - 2742510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2742530 ns R r2 80000000 - 2742530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2742550 ns R psr 81000200 - 2742550 ns MR4_I 00000238 48054770 - 2742570 ns MR4_I 00000230 07d2684a - 2742590 ns IT 00000230 684a LDR r2,[r1,#4] - 2742610 ns MR4_I 00000234 6008d1fc - 2742670 ns MR4_D 40006004 00000001 - 2742670 ns R r2 00000001 - 2742670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2742690 ns R r2 80000000 - 2742690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2742710 ns R psr 81000200 - 2742710 ns MR4_I 00000238 48054770 - 2742730 ns MR4_I 00000230 07d2684a - 2742750 ns IT 00000230 684a LDR r2,[r1,#4] - 2742770 ns MR4_I 00000234 6008d1fc - 2742830 ns MR4_D 40006004 00000001 - 2742830 ns R r2 00000001 - 2742830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2742850 ns R r2 80000000 - 2742850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2742870 ns R psr 81000200 - 2742870 ns MR4_I 00000238 48054770 - 2742890 ns MR4_I 00000230 07d2684a - 2742910 ns IT 00000230 684a LDR r2,[r1,#4] - 2742930 ns MR4_I 00000234 6008d1fc - 2742990 ns MR4_D 40006004 00000001 - 2742990 ns R r2 00000001 - 2742990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2743010 ns R r2 80000000 - 2743010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2743030 ns R psr 81000200 - 2743030 ns MR4_I 00000238 48054770 - 2743050 ns MR4_I 00000230 07d2684a - 2743070 ns IT 00000230 684a LDR r2,[r1,#4] - 2743090 ns MR4_I 00000234 6008d1fc - 2743150 ns MR4_D 40006004 00000001 - 2743150 ns R r2 00000001 - 2743150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2743170 ns R r2 80000000 - 2743170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2743190 ns R psr 81000200 - 2743190 ns MR4_I 00000238 48054770 - 2743210 ns MR4_I 00000230 07d2684a - 2743230 ns IT 00000230 684a LDR r2,[r1,#4] - 2743250 ns MR4_I 00000234 6008d1fc - 2743310 ns MR4_D 40006004 00000001 - 2743310 ns R r2 00000001 - 2743310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2743330 ns R r2 80000000 - 2743330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2743350 ns R psr 81000200 - 2743350 ns MR4_I 00000238 48054770 - 2743370 ns MR4_I 00000230 07d2684a - 2743390 ns IT 00000230 684a LDR r2,[r1,#4] - 2743410 ns MR4_I 00000234 6008d1fc - 2743470 ns MR4_D 40006004 00000001 - 2743470 ns R r2 00000001 - 2743470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2743490 ns R r2 80000000 - 2743490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2743510 ns R psr 81000200 - 2743510 ns MR4_I 00000238 48054770 - 2743530 ns MR4_I 00000230 07d2684a - 2743550 ns IT 00000230 684a LDR r2,[r1,#4] - 2743570 ns MR4_I 00000234 6008d1fc - 2743630 ns MR4_D 40006004 00000001 - 2743630 ns R r2 00000001 - 2743630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2743650 ns R r2 80000000 - 2743650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2743670 ns R psr 81000200 - 2743670 ns MR4_I 00000238 48054770 - 2743690 ns MR4_I 00000230 07d2684a - 2743710 ns IT 00000230 684a LDR r2,[r1,#4] - 2743730 ns MR4_I 00000234 6008d1fc - 2743790 ns MR4_D 40006004 00000001 - 2743790 ns R r2 00000001 - 2743790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2743810 ns R r2 80000000 - 2743810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2743830 ns R psr 81000200 - 2743830 ns MR4_I 00000238 48054770 - 2743850 ns MR4_I 00000230 07d2684a - 2743870 ns IT 00000230 684a LDR r2,[r1,#4] - 2743890 ns MR4_I 00000234 6008d1fc - 2743950 ns MR4_D 40006004 00000001 - 2743950 ns R r2 00000001 - 2743950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2743970 ns R r2 80000000 - 2743970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2743990 ns R psr 81000200 - 2743990 ns MR4_I 00000238 48054770 - 2744010 ns MR4_I 00000230 07d2684a - 2744030 ns IT 00000230 684a LDR r2,[r1,#4] - 2744050 ns MR4_I 00000234 6008d1fc - 2744110 ns MR4_D 40006004 00000001 - 2744110 ns R r2 00000001 - 2744110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2744130 ns R r2 80000000 - 2744130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2744150 ns R psr 81000200 - 2744150 ns MR4_I 00000238 48054770 - 2744170 ns MR4_I 00000230 07d2684a - 2744190 ns IT 00000230 684a LDR r2,[r1,#4] - 2744210 ns MR4_I 00000234 6008d1fc - 2744270 ns MR4_D 40006004 00000001 - 2744270 ns R r2 00000001 - 2744270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2744290 ns R r2 80000000 - 2744290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2744310 ns R psr 81000200 - 2744310 ns MR4_I 00000238 48054770 - 2744330 ns MR4_I 00000230 07d2684a - 2744350 ns IT 00000230 684a LDR r2,[r1,#4] - 2744370 ns MR4_I 00000234 6008d1fc - 2744430 ns MR4_D 40006004 00000001 - 2744430 ns R r2 00000001 - 2744430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2744450 ns R r2 80000000 - 2744450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2744470 ns R psr 81000200 - 2744470 ns MR4_I 00000238 48054770 - 2744490 ns MR4_I 00000230 07d2684a - 2744510 ns IT 00000230 684a LDR r2,[r1,#4] - 2744530 ns MR4_I 00000234 6008d1fc - 2744590 ns MR4_D 40006004 00000001 - 2744590 ns R r2 00000001 - 2744590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2744610 ns R r2 80000000 - 2744610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2744630 ns R psr 81000200 - 2744630 ns MR4_I 00000238 48054770 - 2744650 ns MR4_I 00000230 07d2684a - 2744670 ns IT 00000230 684a LDR r2,[r1,#4] - 2744690 ns MR4_I 00000234 6008d1fc - 2744750 ns MR4_D 40006004 00000001 - 2744750 ns R r2 00000001 - 2744750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2744770 ns R r2 80000000 - 2744770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2744790 ns R psr 81000200 - 2744790 ns MR4_I 00000238 48054770 - 2744810 ns MR4_I 00000230 07d2684a - 2744830 ns IT 00000230 684a LDR r2,[r1,#4] - 2744850 ns MR4_I 00000234 6008d1fc - 2744910 ns MR4_D 40006004 00000001 - 2744910 ns R r2 00000001 - 2744910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2744930 ns R r2 80000000 - 2744930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2744950 ns R psr 81000200 - 2744950 ns MR4_I 00000238 48054770 - 2744970 ns MR4_I 00000230 07d2684a - 2744990 ns IT 00000230 684a LDR r2,[r1,#4] - 2745010 ns MR4_I 00000234 6008d1fc - 2745070 ns MR4_D 40006004 00000001 - 2745070 ns R r2 00000001 - 2745070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2745090 ns R r2 80000000 - 2745090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2745110 ns R psr 81000200 - 2745110 ns MR4_I 00000238 48054770 - 2745130 ns MR4_I 00000230 07d2684a - 2745150 ns IT 00000230 684a LDR r2,[r1,#4] - 2745170 ns MR4_I 00000234 6008d1fc - 2745230 ns MR4_D 40006004 00000001 - 2745230 ns R r2 00000001 - 2745230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2745250 ns R r2 80000000 - 2745250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2745270 ns R psr 81000200 - 2745270 ns MR4_I 00000238 48054770 - 2745290 ns MR4_I 00000230 07d2684a - 2745310 ns IT 00000230 684a LDR r2,[r1,#4] - 2745330 ns MR4_I 00000234 6008d1fc - 2745390 ns MR4_D 40006004 00000001 - 2745390 ns R r2 00000001 - 2745390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2745410 ns R r2 80000000 - 2745410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2745430 ns R psr 81000200 - 2745430 ns MR4_I 00000238 48054770 - 2745450 ns MR4_I 00000230 07d2684a - 2745470 ns IT 00000230 684a LDR r2,[r1,#4] - 2745490 ns MR4_I 00000234 6008d1fc - 2745550 ns MR4_D 40006004 00000001 - 2745550 ns R r2 00000001 - 2745550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2745570 ns R r2 80000000 - 2745570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2745590 ns R psr 81000200 - 2745590 ns MR4_I 00000238 48054770 - 2745610 ns MR4_I 00000230 07d2684a - 2745630 ns IT 00000230 684a LDR r2,[r1,#4] - 2745650 ns MR4_I 00000234 6008d1fc - 2745710 ns MR4_D 40006004 00000001 - 2745710 ns R r2 00000001 - 2745710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2745730 ns R r2 80000000 - 2745730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2745750 ns R psr 81000200 - 2745750 ns MR4_I 00000238 48054770 - 2745770 ns MR4_I 00000230 07d2684a - 2745790 ns IT 00000230 684a LDR r2,[r1,#4] - 2745810 ns MR4_I 00000234 6008d1fc - 2745870 ns MR4_D 40006004 00000001 - 2745870 ns R r2 00000001 - 2745870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2745890 ns R r2 80000000 - 2745890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2745910 ns R psr 81000200 - 2745910 ns MR4_I 00000238 48054770 - 2745930 ns MR4_I 00000230 07d2684a - 2745950 ns IT 00000230 684a LDR r2,[r1,#4] - 2745970 ns MR4_I 00000234 6008d1fc - 2746030 ns MR4_D 40006004 00000001 - 2746030 ns R r2 00000001 - 2746030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2746050 ns R r2 80000000 - 2746050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2746070 ns R psr 81000200 - 2746070 ns MR4_I 00000238 48054770 - 2746090 ns MR4_I 00000230 07d2684a - 2746110 ns IT 00000230 684a LDR r2,[r1,#4] - 2746130 ns MR4_I 00000234 6008d1fc - 2746190 ns MR4_D 40006004 00000001 - 2746190 ns R r2 00000001 - 2746190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2746210 ns R r2 80000000 - 2746210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2746230 ns R psr 81000200 - 2746230 ns MR4_I 00000238 48054770 - 2746250 ns MR4_I 00000230 07d2684a - 2746270 ns IT 00000230 684a LDR r2,[r1,#4] - 2746290 ns MR4_I 00000234 6008d1fc - 2746350 ns MR4_D 40006004 00000001 - 2746350 ns R r2 00000001 - 2746350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2746370 ns R r2 80000000 - 2746370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2746390 ns R psr 81000200 - 2746390 ns MR4_I 00000238 48054770 - 2746410 ns MR4_I 00000230 07d2684a - 2746430 ns IT 00000230 684a LDR r2,[r1,#4] - 2746450 ns MR4_I 00000234 6008d1fc - 2746510 ns MR4_D 40006004 00000001 - 2746510 ns R r2 00000001 - 2746510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2746530 ns R r2 80000000 - 2746530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2746550 ns R psr 81000200 - 2746550 ns MR4_I 00000238 48054770 - 2746570 ns MR4_I 00000230 07d2684a - 2746590 ns IT 00000230 684a LDR r2,[r1,#4] - 2746610 ns MR4_I 00000234 6008d1fc - 2746670 ns MR4_D 40006004 00000001 - 2746670 ns R r2 00000001 - 2746670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2746690 ns R r2 80000000 - 2746690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2746710 ns R psr 81000200 - 2746710 ns MR4_I 00000238 48054770 - 2746730 ns MR4_I 00000230 07d2684a - 2746750 ns IT 00000230 684a LDR r2,[r1,#4] - 2746770 ns MR4_I 00000234 6008d1fc - 2746830 ns MR4_D 40006004 00000001 - 2746830 ns R r2 00000001 - 2746830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2746850 ns R r2 80000000 - 2746850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2746870 ns R psr 81000200 - 2746870 ns MR4_I 00000238 48054770 - 2746890 ns MR4_I 00000230 07d2684a - 2746910 ns IT 00000230 684a LDR r2,[r1,#4] - 2746930 ns MR4_I 00000234 6008d1fc - 2746990 ns MR4_D 40006004 00000001 - 2746990 ns R r2 00000001 - 2746990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2747010 ns R r2 80000000 - 2747010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2747030 ns R psr 81000200 - 2747030 ns MR4_I 00000238 48054770 - 2747050 ns MR4_I 00000230 07d2684a - 2747070 ns IT 00000230 684a LDR r2,[r1,#4] - 2747090 ns MR4_I 00000234 6008d1fc - 2747150 ns MR4_D 40006004 00000001 - 2747150 ns R r2 00000001 - 2747150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2747170 ns R r2 80000000 - 2747170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2747190 ns R psr 81000200 - 2747190 ns MR4_I 00000238 48054770 - 2747210 ns MR4_I 00000230 07d2684a - 2747230 ns IT 00000230 684a LDR r2,[r1,#4] - 2747250 ns MR4_I 00000234 6008d1fc - 2747310 ns MR4_D 40006004 00000001 - 2747310 ns R r2 00000001 - 2747310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2747330 ns R r2 80000000 - 2747330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2747350 ns R psr 81000200 - 2747350 ns MR4_I 00000238 48054770 - 2747370 ns MR4_I 00000230 07d2684a - 2747390 ns IT 00000230 684a LDR r2,[r1,#4] - 2747410 ns MR4_I 00000234 6008d1fc - 2747470 ns MR4_D 40006004 00000001 - 2747470 ns R r2 00000001 - 2747470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2747490 ns R r2 80000000 - 2747490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2747510 ns R psr 81000200 - 2747510 ns MR4_I 00000238 48054770 - 2747530 ns MR4_I 00000230 07d2684a - 2747550 ns IT 00000230 684a LDR r2,[r1,#4] - 2747570 ns MR4_I 00000234 6008d1fc - 2747630 ns MR4_D 40006004 00000001 - 2747630 ns R r2 00000001 - 2747630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2747650 ns R r2 80000000 - 2747650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2747670 ns R psr 81000200 - 2747670 ns MR4_I 00000238 48054770 - 2747690 ns MR4_I 00000230 07d2684a - 2747710 ns IT 00000230 684a LDR r2,[r1,#4] - 2747730 ns MR4_I 00000234 6008d1fc - 2747790 ns MR4_D 40006004 00000001 - 2747790 ns R r2 00000001 - 2747790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2747810 ns R r2 80000000 - 2747810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2747830 ns R psr 81000200 - 2747830 ns MR4_I 00000238 48054770 - 2747850 ns MR4_I 00000230 07d2684a - 2747870 ns IT 00000230 684a LDR r2,[r1,#4] - 2747890 ns MR4_I 00000234 6008d1fc - 2747950 ns MR4_D 40006004 00000001 - 2747950 ns R r2 00000001 - 2747950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2747970 ns R r2 80000000 - 2747970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2747990 ns R psr 81000200 - 2747990 ns MR4_I 00000238 48054770 - 2748010 ns MR4_I 00000230 07d2684a - 2748030 ns IT 00000230 684a LDR r2,[r1,#4] - 2748050 ns MR4_I 00000234 6008d1fc - 2748110 ns MR4_D 40006004 00000001 - 2748110 ns R r2 00000001 - 2748110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2748130 ns R r2 80000000 - 2748130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2748150 ns R psr 81000200 - 2748150 ns MR4_I 00000238 48054770 - 2748170 ns MR4_I 00000230 07d2684a - 2748190 ns IT 00000230 684a LDR r2,[r1,#4] - 2748210 ns MR4_I 00000234 6008d1fc - 2748270 ns MR4_D 40006004 00000001 - 2748270 ns R r2 00000001 - 2748270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2748290 ns R r2 80000000 - 2748290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2748310 ns R psr 81000200 - 2748310 ns MR4_I 00000238 48054770 - 2748330 ns MR4_I 00000230 07d2684a - 2748350 ns IT 00000230 684a LDR r2,[r1,#4] - 2748370 ns MR4_I 00000234 6008d1fc - 2748430 ns MR4_D 40006004 00000001 - 2748430 ns R r2 00000001 - 2748430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2748450 ns R r2 80000000 - 2748450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2748470 ns R psr 81000200 - 2748470 ns MR4_I 00000238 48054770 - 2748490 ns MR4_I 00000230 07d2684a - 2748510 ns IT 00000230 684a LDR r2,[r1,#4] - 2748530 ns MR4_I 00000234 6008d1fc - 2748590 ns MR4_D 40006004 00000001 - 2748590 ns R r2 00000001 - 2748590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2748610 ns R r2 80000000 - 2748610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2748630 ns R psr 81000200 - 2748630 ns MR4_I 00000238 48054770 - 2748650 ns MR4_I 00000230 07d2684a - 2748670 ns IT 00000230 684a LDR r2,[r1,#4] - 2748690 ns MR4_I 00000234 6008d1fc - 2748750 ns MR4_D 40006004 00000001 - 2748750 ns R r2 00000001 - 2748750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2748770 ns R r2 80000000 - 2748770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2748790 ns R psr 81000200 - 2748790 ns MR4_I 00000238 48054770 - 2748810 ns MR4_I 00000230 07d2684a - 2748830 ns IT 00000230 684a LDR r2,[r1,#4] - 2748850 ns MR4_I 00000234 6008d1fc - 2748910 ns MR4_D 40006004 00000001 - 2748910 ns R r2 00000001 - 2748910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2748930 ns R r2 80000000 - 2748930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2748950 ns R psr 81000200 - 2748950 ns MR4_I 00000238 48054770 - 2748970 ns MR4_I 00000230 07d2684a - 2748990 ns IT 00000230 684a LDR r2,[r1,#4] - 2749010 ns MR4_I 00000234 6008d1fc - 2749070 ns MR4_D 40006004 00000001 - 2749070 ns R r2 00000001 - 2749070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2749090 ns R r2 80000000 - 2749090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2749110 ns R psr 81000200 - 2749110 ns MR4_I 00000238 48054770 - 2749130 ns MR4_I 00000230 07d2684a - 2749150 ns IT 00000230 684a LDR r2,[r1,#4] - 2749170 ns MR4_I 00000234 6008d1fc - 2749230 ns MR4_D 40006004 00000001 - 2749230 ns R r2 00000001 - 2749230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2749250 ns R r2 80000000 - 2749250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2749270 ns R psr 81000200 - 2749270 ns MR4_I 00000238 48054770 - 2749290 ns MR4_I 00000230 07d2684a - 2749310 ns IT 00000230 684a LDR r2,[r1,#4] - 2749330 ns MR4_I 00000234 6008d1fc - 2749390 ns MR4_D 40006004 00000001 - 2749390 ns R r2 00000001 - 2749390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2749410 ns R r2 80000000 - 2749410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2749430 ns R psr 81000200 - 2749430 ns MR4_I 00000238 48054770 - 2749450 ns MR4_I 00000230 07d2684a - 2749470 ns IT 00000230 684a LDR r2,[r1,#4] - 2749490 ns MR4_I 00000234 6008d1fc - 2749550 ns MR4_D 40006004 00000001 - 2749550 ns R r2 00000001 - 2749550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2749570 ns R r2 80000000 - 2749570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2749590 ns R psr 81000200 - 2749590 ns MR4_I 00000238 48054770 - 2749610 ns MR4_I 00000230 07d2684a - 2749630 ns IT 00000230 684a LDR r2,[r1,#4] - 2749650 ns MR4_I 00000234 6008d1fc - 2749710 ns MR4_D 40006004 00000001 - 2749710 ns R r2 00000001 - 2749710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2749730 ns R r2 80000000 - 2749730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2749750 ns R psr 81000200 - 2749750 ns MR4_I 00000238 48054770 - 2749770 ns MR4_I 00000230 07d2684a - 2749790 ns IT 00000230 684a LDR r2,[r1,#4] - 2749810 ns MR4_I 00000234 6008d1fc - 2749870 ns MR4_D 40006004 00000001 - 2749870 ns R r2 00000001 - 2749870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2749890 ns R r2 80000000 - 2749890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2749910 ns R psr 81000200 - 2749910 ns MR4_I 00000238 48054770 - 2749930 ns MR4_I 00000230 07d2684a - 2749950 ns IT 00000230 684a LDR r2,[r1,#4] - 2749970 ns MR4_I 00000234 6008d1fc - 2750030 ns MR4_D 40006004 00000001 - 2750030 ns R r2 00000001 - 2750030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2750050 ns R r2 80000000 - 2750050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2750070 ns R psr 81000200 - 2750070 ns MR4_I 00000238 48054770 - 2750090 ns MR4_I 00000230 07d2684a - 2750110 ns IT 00000230 684a LDR r2,[r1,#4] - 2750130 ns MR4_I 00000234 6008d1fc - 2750190 ns MR4_D 40006004 00000001 - 2750190 ns R r2 00000001 - 2750190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2750210 ns R r2 80000000 - 2750210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2750230 ns R psr 81000200 - 2750230 ns MR4_I 00000238 48054770 - 2750250 ns MR4_I 00000230 07d2684a - 2750270 ns IT 00000230 684a LDR r2,[r1,#4] - 2750290 ns MR4_I 00000234 6008d1fc - 2750350 ns MR4_D 40006004 00000001 - 2750350 ns R r2 00000001 - 2750350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2750370 ns R r2 80000000 - 2750370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2750390 ns R psr 81000200 - 2750390 ns MR4_I 00000238 48054770 - 2750410 ns MR4_I 00000230 07d2684a - 2750430 ns IT 00000230 684a LDR r2,[r1,#4] - 2750450 ns MR4_I 00000234 6008d1fc - 2750510 ns MR4_D 40006004 00000001 - 2750510 ns R r2 00000001 - 2750510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2750530 ns R r2 80000000 - 2750530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2750550 ns R psr 81000200 - 2750550 ns MR4_I 00000238 48054770 - 2750570 ns MR4_I 00000230 07d2684a - 2750590 ns IT 00000230 684a LDR r2,[r1,#4] - 2750610 ns MR4_I 00000234 6008d1fc - 2750670 ns MR4_D 40006004 00000001 - 2750670 ns R r2 00000001 - 2750670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2750690 ns R r2 80000000 - 2750690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2750710 ns R psr 81000200 - 2750710 ns MR4_I 00000238 48054770 - 2750730 ns MR4_I 00000230 07d2684a - 2750750 ns IT 00000230 684a LDR r2,[r1,#4] - 2750770 ns MR4_I 00000234 6008d1fc - 2750830 ns MR4_D 40006004 00000001 - 2750830 ns R r2 00000001 - 2750830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2750850 ns R r2 80000000 - 2750850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2750870 ns R psr 81000200 - 2750870 ns MR4_I 00000238 48054770 - 2750890 ns MR4_I 00000230 07d2684a - 2750910 ns IT 00000230 684a LDR r2,[r1,#4] - 2750930 ns MR4_I 00000234 6008d1fc - 2750990 ns MR4_D 40006004 00000001 - 2750990 ns R r2 00000001 - 2750990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2751010 ns R r2 80000000 - 2751010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2751030 ns R psr 81000200 - 2751030 ns MR4_I 00000238 48054770 - 2751050 ns MR4_I 00000230 07d2684a - 2751070 ns IT 00000230 684a LDR r2,[r1,#4] - 2751090 ns MR4_I 00000234 6008d1fc - 2751150 ns MR4_D 40006004 00000001 - 2751150 ns R r2 00000001 - 2751150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2751170 ns R r2 80000000 - 2751170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2751190 ns R psr 81000200 - 2751190 ns MR4_I 00000238 48054770 - 2751210 ns MR4_I 00000230 07d2684a - 2751230 ns IT 00000230 684a LDR r2,[r1,#4] - 2751250 ns MR4_I 00000234 6008d1fc - 2751310 ns MR4_D 40006004 00000001 - 2751310 ns R r2 00000001 - 2751310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2751330 ns R r2 80000000 - 2751330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2751350 ns R psr 81000200 - 2751350 ns MR4_I 00000238 48054770 - 2751370 ns MR4_I 00000230 07d2684a - 2751390 ns IT 00000230 684a LDR r2,[r1,#4] - 2751410 ns MR4_I 00000234 6008d1fc - 2751470 ns MR4_D 40006004 00000001 - 2751470 ns R r2 00000001 - 2751470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2751490 ns R r2 80000000 - 2751490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2751510 ns R psr 81000200 - 2751510 ns MR4_I 00000238 48054770 - 2751530 ns MR4_I 00000230 07d2684a - 2751550 ns IT 00000230 684a LDR r2,[r1,#4] - 2751570 ns MR4_I 00000234 6008d1fc - 2751630 ns MR4_D 40006004 00000001 - 2751630 ns R r2 00000001 - 2751630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2751650 ns R r2 80000000 - 2751650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2751670 ns R psr 81000200 - 2751670 ns MR4_I 00000238 48054770 - 2751690 ns MR4_I 00000230 07d2684a - 2751710 ns IT 00000230 684a LDR r2,[r1,#4] - 2751730 ns MR4_I 00000234 6008d1fc - 2751790 ns MR4_D 40006004 00000001 - 2751790 ns R r2 00000001 - 2751790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2751810 ns R r2 80000000 - 2751810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2751830 ns R psr 81000200 - 2751830 ns MR4_I 00000238 48054770 - 2751850 ns MR4_I 00000230 07d2684a - 2751870 ns IT 00000230 684a LDR r2,[r1,#4] - 2751890 ns MR4_I 00000234 6008d1fc - 2751950 ns MR4_D 40006004 00000001 - 2751950 ns R r2 00000001 - 2751950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2751970 ns R r2 80000000 - 2751970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2751990 ns R psr 81000200 - 2751990 ns MR4_I 00000238 48054770 - 2752010 ns MR4_I 00000230 07d2684a - 2752030 ns IT 00000230 684a LDR r2,[r1,#4] - 2752050 ns MR4_I 00000234 6008d1fc - 2752110 ns MR4_D 40006004 00000001 - 2752110 ns R r2 00000001 - 2752110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2752130 ns R r2 80000000 - 2752130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2752150 ns R psr 81000200 - 2752150 ns MR4_I 00000238 48054770 - 2752170 ns MR4_I 00000230 07d2684a - 2752190 ns IT 00000230 684a LDR r2,[r1,#4] - 2752210 ns MR4_I 00000234 6008d1fc - 2752270 ns MR4_D 40006004 00000001 - 2752270 ns R r2 00000001 - 2752270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2752290 ns R r2 80000000 - 2752290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2752310 ns R psr 81000200 - 2752310 ns MR4_I 00000238 48054770 - 2752330 ns MR4_I 00000230 07d2684a - 2752350 ns IT 00000230 684a LDR r2,[r1,#4] - 2752370 ns MR4_I 00000234 6008d1fc - 2752430 ns MR4_D 40006004 00000001 - 2752430 ns R r2 00000001 - 2752430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2752450 ns R r2 80000000 - 2752450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2752470 ns R psr 81000200 - 2752470 ns MR4_I 00000238 48054770 - 2752490 ns MR4_I 00000230 07d2684a - 2752510 ns IT 00000230 684a LDR r2,[r1,#4] - 2752530 ns MR4_I 00000234 6008d1fc - 2752590 ns MR4_D 40006004 00000001 - 2752590 ns R r2 00000001 - 2752590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2752610 ns R r2 80000000 - 2752610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2752630 ns R psr 81000200 - 2752630 ns MR4_I 00000238 48054770 - 2752650 ns MR4_I 00000230 07d2684a - 2752670 ns IT 00000230 684a LDR r2,[r1,#4] - 2752690 ns MR4_I 00000234 6008d1fc - 2752750 ns MR4_D 40006004 00000001 - 2752750 ns R r2 00000001 - 2752750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2752770 ns R r2 80000000 - 2752770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2752790 ns R psr 81000200 - 2752790 ns MR4_I 00000238 48054770 - 2752810 ns MR4_I 00000230 07d2684a - 2752830 ns IT 00000230 684a LDR r2,[r1,#4] - 2752850 ns MR4_I 00000234 6008d1fc - 2752910 ns MR4_D 40006004 00000001 - 2752910 ns R r2 00000001 - 2752910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2752930 ns R r2 80000000 - 2752930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2752950 ns R psr 81000200 - 2752950 ns MR4_I 00000238 48054770 - 2752970 ns MR4_I 00000230 07d2684a - 2752990 ns IT 00000230 684a LDR r2,[r1,#4] - 2753010 ns MR4_I 00000234 6008d1fc - 2753070 ns MR4_D 40006004 00000001 - 2753070 ns R r2 00000001 - 2753070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2753090 ns R r2 80000000 - 2753090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2753110 ns R psr 81000200 - 2753110 ns MR4_I 00000238 48054770 - 2753130 ns MR4_I 00000230 07d2684a - 2753150 ns IT 00000230 684a LDR r2,[r1,#4] - 2753170 ns MR4_I 00000234 6008d1fc - 2753230 ns MR4_D 40006004 00000001 - 2753230 ns R r2 00000001 - 2753230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2753250 ns R r2 80000000 - 2753250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2753270 ns R psr 81000200 - 2753270 ns MR4_I 00000238 48054770 - 2753290 ns MR4_I 00000230 07d2684a - 2753310 ns IT 00000230 684a LDR r2,[r1,#4] - 2753330 ns MR4_I 00000234 6008d1fc - 2753390 ns MR4_D 40006004 00000001 - 2753390 ns R r2 00000001 - 2753390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2753410 ns R r2 80000000 - 2753410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2753430 ns R psr 81000200 - 2753430 ns MR4_I 00000238 48054770 - 2753450 ns MR4_I 00000230 07d2684a - 2753470 ns IT 00000230 684a LDR r2,[r1,#4] - 2753490 ns MR4_I 00000234 6008d1fc - 2753550 ns MR4_D 40006004 00000001 - 2753550 ns R r2 00000001 - 2753550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2753570 ns R r2 80000000 - 2753570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2753590 ns R psr 81000200 - 2753590 ns MR4_I 00000238 48054770 - 2753610 ns MR4_I 00000230 07d2684a - 2753630 ns IT 00000230 684a LDR r2,[r1,#4] - 2753650 ns MR4_I 00000234 6008d1fc - 2753710 ns MR4_D 40006004 00000001 - 2753710 ns R r2 00000001 - 2753710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2753730 ns R r2 80000000 - 2753730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2753750 ns R psr 81000200 - 2753750 ns MR4_I 00000238 48054770 - 2753770 ns MR4_I 00000230 07d2684a - 2753790 ns IT 00000230 684a LDR r2,[r1,#4] - 2753810 ns MR4_I 00000234 6008d1fc - 2753870 ns MR4_D 40006004 00000001 - 2753870 ns R r2 00000001 - 2753870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2753890 ns R r2 80000000 - 2753890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2753910 ns R psr 81000200 - 2753910 ns MR4_I 00000238 48054770 - 2753930 ns MR4_I 00000230 07d2684a - 2753950 ns IT 00000230 684a LDR r2,[r1,#4] - 2753970 ns MR4_I 00000234 6008d1fc - 2754030 ns MR4_D 40006004 00000001 - 2754030 ns R r2 00000001 - 2754030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2754050 ns R r2 80000000 - 2754050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2754070 ns R psr 81000200 - 2754070 ns MR4_I 00000238 48054770 - 2754090 ns MR4_I 00000230 07d2684a - 2754110 ns IT 00000230 684a LDR r2,[r1,#4] - 2754130 ns MR4_I 00000234 6008d1fc - 2754190 ns MR4_D 40006004 00000001 - 2754190 ns R r2 00000001 - 2754190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2754210 ns R r2 80000000 - 2754210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2754230 ns R psr 81000200 - 2754230 ns MR4_I 00000238 48054770 - 2754250 ns MR4_I 00000230 07d2684a - 2754270 ns IT 00000230 684a LDR r2,[r1,#4] - 2754290 ns MR4_I 00000234 6008d1fc - 2754350 ns MR4_D 40006004 00000001 - 2754350 ns R r2 00000001 - 2754350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2754370 ns R r2 80000000 - 2754370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2754390 ns R psr 81000200 - 2754390 ns MR4_I 00000238 48054770 - 2754410 ns MR4_I 00000230 07d2684a - 2754430 ns IT 00000230 684a LDR r2,[r1,#4] - 2754450 ns MR4_I 00000234 6008d1fc - 2754510 ns MR4_D 40006004 00000001 - 2754510 ns R r2 00000001 - 2754510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2754530 ns R r2 80000000 - 2754530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2754550 ns R psr 81000200 - 2754550 ns MR4_I 00000238 48054770 - 2754570 ns MR4_I 00000230 07d2684a - 2754590 ns IT 00000230 684a LDR r2,[r1,#4] - 2754610 ns MR4_I 00000234 6008d1fc - 2754670 ns MR4_D 40006004 00000001 - 2754670 ns R r2 00000001 - 2754670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2754690 ns R r2 80000000 - 2754690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2754710 ns R psr 81000200 - 2754710 ns MR4_I 00000238 48054770 - 2754730 ns MR4_I 00000230 07d2684a - 2754750 ns IT 00000230 684a LDR r2,[r1,#4] - 2754770 ns MR4_I 00000234 6008d1fc - 2754830 ns MR4_D 40006004 00000001 - 2754830 ns R r2 00000001 - 2754830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2754850 ns R r2 80000000 - 2754850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2754870 ns R psr 81000200 - 2754870 ns MR4_I 00000238 48054770 - 2754890 ns MR4_I 00000230 07d2684a - 2754910 ns IT 00000230 684a LDR r2,[r1,#4] - 2754930 ns MR4_I 00000234 6008d1fc - 2754990 ns MR4_D 40006004 00000001 - 2754990 ns R r2 00000001 - 2754990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2755010 ns R r2 80000000 - 2755010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2755030 ns R psr 81000200 - 2755030 ns MR4_I 00000238 48054770 - 2755050 ns MR4_I 00000230 07d2684a - 2755070 ns IT 00000230 684a LDR r2,[r1,#4] - 2755090 ns MR4_I 00000234 6008d1fc - 2755150 ns MR4_D 40006004 00000001 - 2755150 ns R r2 00000001 - 2755150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2755170 ns R r2 80000000 - 2755170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2755190 ns R psr 81000200 - 2755190 ns MR4_I 00000238 48054770 - 2755210 ns MR4_I 00000230 07d2684a - 2755230 ns IT 00000230 684a LDR r2,[r1,#4] - 2755250 ns MR4_I 00000234 6008d1fc - 2755310 ns MR4_D 40006004 00000001 - 2755310 ns R r2 00000001 - 2755310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2755330 ns R r2 80000000 - 2755330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2755350 ns R psr 81000200 - 2755350 ns MR4_I 00000238 48054770 - 2755370 ns MR4_I 00000230 07d2684a - 2755390 ns IT 00000230 684a LDR r2,[r1,#4] - 2755410 ns MR4_I 00000234 6008d1fc - 2755470 ns MR4_D 40006004 00000001 - 2755470 ns R r2 00000001 - 2755470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2755490 ns R r2 80000000 - 2755490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2755510 ns R psr 81000200 - 2755510 ns MR4_I 00000238 48054770 - 2755530 ns MR4_I 00000230 07d2684a - 2755550 ns IT 00000230 684a LDR r2,[r1,#4] - 2755570 ns MR4_I 00000234 6008d1fc - 2755630 ns MR4_D 40006004 00000001 - 2755630 ns R r2 00000001 - 2755630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2755650 ns R r2 80000000 - 2755650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2755670 ns R psr 81000200 - 2755670 ns MR4_I 00000238 48054770 - 2755690 ns MR4_I 00000230 07d2684a - 2755710 ns IT 00000230 684a LDR r2,[r1,#4] - 2755730 ns MR4_I 00000234 6008d1fc - 2755790 ns MR4_D 40006004 00000001 - 2755790 ns R r2 00000001 - 2755790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2755810 ns R r2 80000000 - 2755810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2755830 ns R psr 81000200 - 2755830 ns MR4_I 00000238 48054770 - 2755850 ns MR4_I 00000230 07d2684a - 2755870 ns IT 00000230 684a LDR r2,[r1,#4] - 2755890 ns MR4_I 00000234 6008d1fc - 2755950 ns MR4_D 40006004 00000001 - 2755950 ns R r2 00000001 - 2755950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2755970 ns R r2 80000000 - 2755970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2755990 ns R psr 81000200 - 2755990 ns MR4_I 00000238 48054770 - 2756010 ns MR4_I 00000230 07d2684a - 2756030 ns IT 00000230 684a LDR r2,[r1,#4] - 2756050 ns MR4_I 00000234 6008d1fc - 2756110 ns MR4_D 40006004 00000001 - 2756110 ns R r2 00000001 - 2756110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2756130 ns R r2 80000000 - 2756130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2756150 ns R psr 81000200 - 2756150 ns MR4_I 00000238 48054770 - 2756170 ns MR4_I 00000230 07d2684a - 2756190 ns IT 00000230 684a LDR r2,[r1,#4] - 2756210 ns MR4_I 00000234 6008d1fc - 2756270 ns MR4_D 40006004 00000001 - 2756270 ns R r2 00000001 - 2756270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2756290 ns R r2 80000000 - 2756290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2756310 ns R psr 81000200 - 2756310 ns MR4_I 00000238 48054770 - 2756330 ns MR4_I 00000230 07d2684a - 2756350 ns IT 00000230 684a LDR r2,[r1,#4] - 2756370 ns MR4_I 00000234 6008d1fc - 2756430 ns MR4_D 40006004 00000001 - 2756430 ns R r2 00000001 - 2756430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2756450 ns R r2 80000000 - 2756450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2756470 ns R psr 81000200 - 2756470 ns MR4_I 00000238 48054770 - 2756490 ns MR4_I 00000230 07d2684a - 2756510 ns IT 00000230 684a LDR r2,[r1,#4] - 2756530 ns MR4_I 00000234 6008d1fc - 2756590 ns MR4_D 40006004 00000001 - 2756590 ns R r2 00000001 - 2756590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2756610 ns R r2 80000000 - 2756610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2756630 ns R psr 81000200 - 2756630 ns MR4_I 00000238 48054770 - 2756650 ns MR4_I 00000230 07d2684a - 2756670 ns IT 00000230 684a LDR r2,[r1,#4] - 2756690 ns MR4_I 00000234 6008d1fc - 2756750 ns MR4_D 40006004 00000001 - 2756750 ns R r2 00000001 - 2756750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2756770 ns R r2 80000000 - 2756770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2756790 ns R psr 81000200 - 2756790 ns MR4_I 00000238 48054770 - 2756810 ns MR4_I 00000230 07d2684a - 2756830 ns IT 00000230 684a LDR r2,[r1,#4] - 2756850 ns MR4_I 00000234 6008d1fc - 2756910 ns MR4_D 40006004 00000001 - 2756910 ns R r2 00000001 - 2756910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2756930 ns R r2 80000000 - 2756930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2756950 ns R psr 81000200 - 2756950 ns MR4_I 00000238 48054770 - 2756970 ns MR4_I 00000230 07d2684a - 2756990 ns IT 00000230 684a LDR r2,[r1,#4] - 2757010 ns MR4_I 00000234 6008d1fc - 2757070 ns MR4_D 40006004 00000001 - 2757070 ns R r2 00000001 - 2757070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2757090 ns R r2 80000000 - 2757090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2757110 ns R psr 81000200 - 2757110 ns MR4_I 00000238 48054770 - 2757130 ns MR4_I 00000230 07d2684a - 2757150 ns IT 00000230 684a LDR r2,[r1,#4] - 2757170 ns MR4_I 00000234 6008d1fc - 2757230 ns MR4_D 40006004 00000001 - 2757230 ns R r2 00000001 - 2757230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2757250 ns R r2 80000000 - 2757250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2757270 ns R psr 81000200 - 2757270 ns MR4_I 00000238 48054770 - 2757290 ns MR4_I 00000230 07d2684a - 2757310 ns IT 00000230 684a LDR r2,[r1,#4] - 2757330 ns MR4_I 00000234 6008d1fc - 2757390 ns MR4_D 40006004 00000001 - 2757390 ns R r2 00000001 - 2757390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2757410 ns R r2 80000000 - 2757410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2757430 ns R psr 81000200 - 2757430 ns MR4_I 00000238 48054770 - 2757450 ns MR4_I 00000230 07d2684a - 2757470 ns IT 00000230 684a LDR r2,[r1,#4] - 2757490 ns MR4_I 00000234 6008d1fc - 2757550 ns MR4_D 40006004 00000001 - 2757550 ns R r2 00000001 - 2757550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2757570 ns R r2 80000000 - 2757570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2757590 ns R psr 81000200 - 2757590 ns MR4_I 00000238 48054770 - 2757610 ns MR4_I 00000230 07d2684a - 2757630 ns IT 00000230 684a LDR r2,[r1,#4] - 2757650 ns MR4_I 00000234 6008d1fc - 2757710 ns MR4_D 40006004 00000001 - 2757710 ns R r2 00000001 - 2757710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2757730 ns R r2 80000000 - 2757730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2757750 ns R psr 81000200 - 2757750 ns MR4_I 00000238 48054770 - 2757770 ns MR4_I 00000230 07d2684a - 2757790 ns IT 00000230 684a LDR r2,[r1,#4] - 2757810 ns MR4_I 00000234 6008d1fc - 2757870 ns MR4_D 40006004 00000001 - 2757870 ns R r2 00000001 - 2757870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2757890 ns R r2 80000000 - 2757890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2757910 ns R psr 81000200 - 2757910 ns MR4_I 00000238 48054770 - 2757930 ns MR4_I 00000230 07d2684a - 2757950 ns IT 00000230 684a LDR r2,[r1,#4] - 2757970 ns MR4_I 00000234 6008d1fc - 2758030 ns MR4_D 40006004 00000001 - 2758030 ns R r2 00000001 - 2758030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2758050 ns R r2 80000000 - 2758050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2758070 ns R psr 81000200 - 2758070 ns MR4_I 00000238 48054770 - 2758090 ns MR4_I 00000230 07d2684a - 2758110 ns IT 00000230 684a LDR r2,[r1,#4] - 2758130 ns MR4_I 00000234 6008d1fc - 2758190 ns MR4_D 40006004 00000001 - 2758190 ns R r2 00000001 - 2758190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2758210 ns R r2 80000000 - 2758210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2758230 ns R psr 81000200 - 2758230 ns MR4_I 00000238 48054770 - 2758250 ns MR4_I 00000230 07d2684a - 2758270 ns IT 00000230 684a LDR r2,[r1,#4] - 2758290 ns MR4_I 00000234 6008d1fc - 2758350 ns MR4_D 40006004 00000001 - 2758350 ns R r2 00000001 - 2758350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2758370 ns R r2 80000000 - 2758370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2758390 ns R psr 81000200 - 2758390 ns MR4_I 00000238 48054770 - 2758410 ns MR4_I 00000230 07d2684a - 2758430 ns IT 00000230 684a LDR r2,[r1,#4] - 2758450 ns MR4_I 00000234 6008d1fc - 2758510 ns MR4_D 40006004 00000001 - 2758510 ns R r2 00000001 - 2758510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2758530 ns R r2 80000000 - 2758530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2758550 ns R psr 81000200 - 2758550 ns MR4_I 00000238 48054770 - 2758570 ns MR4_I 00000230 07d2684a - 2758590 ns IT 00000230 684a LDR r2,[r1,#4] - 2758610 ns MR4_I 00000234 6008d1fc - 2758670 ns MR4_D 40006004 00000001 - 2758670 ns R r2 00000001 - 2758670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2758690 ns R r2 80000000 - 2758690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2758710 ns R psr 81000200 - 2758710 ns MR4_I 00000238 48054770 - 2758730 ns MR4_I 00000230 07d2684a - 2758750 ns IT 00000230 684a LDR r2,[r1,#4] - 2758770 ns MR4_I 00000234 6008d1fc - 2758830 ns MR4_D 40006004 00000001 - 2758830 ns R r2 00000001 - 2758830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2758850 ns R r2 80000000 - 2758850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2758870 ns R psr 81000200 - 2758870 ns MR4_I 00000238 48054770 - 2758890 ns MR4_I 00000230 07d2684a - 2758910 ns IT 00000230 684a LDR r2,[r1,#4] - 2758930 ns MR4_I 00000234 6008d1fc - 2758990 ns MR4_D 40006004 00000001 - 2758990 ns R r2 00000001 - 2758990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2759010 ns R r2 80000000 - 2759010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2759030 ns R psr 81000200 - 2759030 ns MR4_I 00000238 48054770 - 2759050 ns MR4_I 00000230 07d2684a - 2759070 ns IT 00000230 684a LDR r2,[r1,#4] - 2759090 ns MR4_I 00000234 6008d1fc - 2759150 ns MR4_D 40006004 00000001 - 2759150 ns R r2 00000001 - 2759150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2759170 ns R r2 80000000 - 2759170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2759190 ns R psr 81000200 - 2759190 ns MR4_I 00000238 48054770 - 2759210 ns MR4_I 00000230 07d2684a - 2759230 ns IT 00000230 684a LDR r2,[r1,#4] - 2759250 ns MR4_I 00000234 6008d1fc - 2759310 ns MR4_D 40006004 00000001 - 2759310 ns R r2 00000001 - 2759310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2759330 ns R r2 80000000 - 2759330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2759350 ns R psr 81000200 - 2759350 ns MR4_I 00000238 48054770 - 2759370 ns MR4_I 00000230 07d2684a - 2759390 ns IT 00000230 684a LDR r2,[r1,#4] - 2759410 ns MR4_I 00000234 6008d1fc - 2759470 ns MR4_D 40006004 00000001 - 2759470 ns R r2 00000001 - 2759470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2759490 ns R r2 80000000 - 2759490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2759510 ns R psr 81000200 - 2759510 ns MR4_I 00000238 48054770 - 2759530 ns MR4_I 00000230 07d2684a - 2759550 ns IT 00000230 684a LDR r2,[r1,#4] - 2759570 ns MR4_I 00000234 6008d1fc - 2759630 ns MR4_D 40006004 00000001 - 2759630 ns R r2 00000001 - 2759630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2759650 ns R r2 80000000 - 2759650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2759670 ns R psr 81000200 - 2759670 ns MR4_I 00000238 48054770 - 2759690 ns MR4_I 00000230 07d2684a - 2759710 ns IT 00000230 684a LDR r2,[r1,#4] - 2759730 ns MR4_I 00000234 6008d1fc - 2759790 ns MR4_D 40006004 00000001 - 2759790 ns R r2 00000001 - 2759790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2759810 ns R r2 80000000 - 2759810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2759830 ns R psr 81000200 - 2759830 ns MR4_I 00000238 48054770 - 2759850 ns MR4_I 00000230 07d2684a - 2759870 ns IT 00000230 684a LDR r2,[r1,#4] - 2759890 ns MR4_I 00000234 6008d1fc - 2759950 ns MR4_D 40006004 00000001 - 2759950 ns R r2 00000001 - 2759950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2759970 ns R r2 80000000 - 2759970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2759990 ns R psr 81000200 - 2759990 ns MR4_I 00000238 48054770 - 2760010 ns MR4_I 00000230 07d2684a - 2760030 ns IT 00000230 684a LDR r2,[r1,#4] - 2760050 ns MR4_I 00000234 6008d1fc - 2760110 ns MR4_D 40006004 00000001 - 2760110 ns R r2 00000001 - 2760110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2760130 ns R r2 80000000 - 2760130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2760150 ns R psr 81000200 - 2760150 ns MR4_I 00000238 48054770 - 2760170 ns MR4_I 00000230 07d2684a - 2760190 ns IT 00000230 684a LDR r2,[r1,#4] - 2760210 ns MR4_I 00000234 6008d1fc - 2760270 ns MR4_D 40006004 00000001 - 2760270 ns R r2 00000001 - 2760270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2760290 ns R r2 80000000 - 2760290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2760310 ns R psr 81000200 - 2760310 ns MR4_I 00000238 48054770 - 2760330 ns MR4_I 00000230 07d2684a - 2760350 ns IT 00000230 684a LDR r2,[r1,#4] - 2760370 ns MR4_I 00000234 6008d1fc - 2760430 ns MR4_D 40006004 00000001 - 2760430 ns R r2 00000001 - 2760430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2760450 ns R r2 80000000 - 2760450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2760470 ns R psr 81000200 - 2760470 ns MR4_I 00000238 48054770 - 2760490 ns MR4_I 00000230 07d2684a - 2760510 ns IT 00000230 684a LDR r2,[r1,#4] - 2760530 ns MR4_I 00000234 6008d1fc - 2760590 ns MR4_D 40006004 00000001 - 2760590 ns R r2 00000001 - 2760590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2760610 ns R r2 80000000 - 2760610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2760630 ns R psr 81000200 - 2760630 ns MR4_I 00000238 48054770 - 2760650 ns MR4_I 00000230 07d2684a - 2760670 ns IT 00000230 684a LDR r2,[r1,#4] - 2760690 ns MR4_I 00000234 6008d1fc - 2760750 ns MR4_D 40006004 00000001 - 2760750 ns R r2 00000001 - 2760750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2760770 ns R r2 80000000 - 2760770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2760790 ns R psr 81000200 - 2760790 ns MR4_I 00000238 48054770 - 2760810 ns MR4_I 00000230 07d2684a - 2760830 ns IT 00000230 684a LDR r2,[r1,#4] - 2760850 ns MR4_I 00000234 6008d1fc - 2760910 ns MR4_D 40006004 00000001 - 2760910 ns R r2 00000001 - 2760910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2760930 ns R r2 80000000 - 2760930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2760950 ns R psr 81000200 - 2760950 ns MR4_I 00000238 48054770 - 2760970 ns MR4_I 00000230 07d2684a - 2760990 ns IT 00000230 684a LDR r2,[r1,#4] - 2761010 ns MR4_I 00000234 6008d1fc - 2761070 ns MR4_D 40006004 00000001 - 2761070 ns R r2 00000001 - 2761070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2761090 ns R r2 80000000 - 2761090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2761110 ns R psr 81000200 - 2761110 ns MR4_I 00000238 48054770 - 2761130 ns MR4_I 00000230 07d2684a - 2761150 ns IT 00000230 684a LDR r2,[r1,#4] - 2761170 ns MR4_I 00000234 6008d1fc - 2761230 ns MR4_D 40006004 00000001 - 2761230 ns R r2 00000001 - 2761230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2761250 ns R r2 80000000 - 2761250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2761270 ns R psr 81000200 - 2761270 ns MR4_I 00000238 48054770 - 2761290 ns MR4_I 00000230 07d2684a - 2761310 ns IT 00000230 684a LDR r2,[r1,#4] - 2761330 ns MR4_I 00000234 6008d1fc - 2761390 ns MR4_D 40006004 00000001 - 2761390 ns R r2 00000001 - 2761390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2761410 ns R r2 80000000 - 2761410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2761430 ns R psr 81000200 - 2761430 ns MR4_I 00000238 48054770 - 2761450 ns MR4_I 00000230 07d2684a - 2761470 ns IT 00000230 684a LDR r2,[r1,#4] - 2761490 ns MR4_I 00000234 6008d1fc - 2761550 ns MR4_D 40006004 00000001 - 2761550 ns R r2 00000001 - 2761550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2761570 ns R r2 80000000 - 2761570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2761590 ns R psr 81000200 - 2761590 ns MR4_I 00000238 48054770 - 2761610 ns MR4_I 00000230 07d2684a - 2761630 ns IT 00000230 684a LDR r2,[r1,#4] - 2761650 ns MR4_I 00000234 6008d1fc - 2761710 ns MR4_D 40006004 00000001 - 2761710 ns R r2 00000001 - 2761710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2761730 ns R r2 80000000 - 2761730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2761750 ns R psr 81000200 - 2761750 ns MR4_I 00000238 48054770 - 2761770 ns MR4_I 00000230 07d2684a - 2761790 ns IT 00000230 684a LDR r2,[r1,#4] - 2761810 ns MR4_I 00000234 6008d1fc - 2761870 ns MR4_D 40006004 00000001 - 2761870 ns R r2 00000001 - 2761870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2761890 ns R r2 80000000 - 2761890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2761910 ns R psr 81000200 - 2761910 ns MR4_I 00000238 48054770 - 2761930 ns MR4_I 00000230 07d2684a - 2761950 ns IT 00000230 684a LDR r2,[r1,#4] - 2761970 ns MR4_I 00000234 6008d1fc - 2762030 ns MR4_D 40006004 00000001 - 2762030 ns R r2 00000001 - 2762030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2762050 ns R r2 80000000 - 2762050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2762070 ns R psr 81000200 - 2762070 ns MR4_I 00000238 48054770 - 2762090 ns MR4_I 00000230 07d2684a - 2762110 ns IT 00000230 684a LDR r2,[r1,#4] - 2762130 ns MR4_I 00000234 6008d1fc - 2762190 ns MR4_D 40006004 00000001 - 2762190 ns R r2 00000001 - 2762190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2762210 ns R r2 80000000 - 2762210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2762230 ns R psr 81000200 - 2762230 ns MR4_I 00000238 48054770 - 2762250 ns MR4_I 00000230 07d2684a - 2762270 ns IT 00000230 684a LDR r2,[r1,#4] - 2762290 ns MR4_I 00000234 6008d1fc - 2762350 ns MR4_D 40006004 00000000 - 2762350 ns R r2 00000000 - 2762350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2762370 ns R r2 00000000 - 2762370 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2762390 ns R psr 41000200 - 2762390 ns MR4_I 00000238 48054770 - 2762390 ns IT 00000236 6008 STR r0,[r1,#0] - 2762470 ns MW4_D 40006000 00000020 - 2762470 ns IT 00000238 4770 BX lr - 2762490 ns MR4_I 0000023c 07896841 - 2762510 ns R psr 41000200 - 2762510 ns MR4_I 000001fc b510bd10 - 2762530 ns IT 000001fc bd10 POP {r4,pc} - 2762550 ns MR4_I 00000200 f81bf000 - 2762550 ns R r13 200002e0 (MSP) - 2762570 ns MR4_D 200002d8 00000007 - 2762570 ns R r4 00000007 - 2762590 ns MR4_D 200002dc 0000032d - 2762610 ns R psr 41000200 - 2762630 ns MR4_I 0000032c 46301c64 - 2762650 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 2762670 ns MR4_I 00000330 280047a8 - 2762670 ns R r4 00000008 - 2762670 ns IT 0000032e 4630 MOV r0,r6 - 2762690 ns R psr 01000200 - 2762690 ns R r0 200002f8 - 2762690 ns IT 00000330 47a8 BLX r5 - 2762710 ns MR4_I 00000334 4620d1f8 - 2762730 ns R psr 01000200 - 2762730 ns MR4_I 000002a8 1c4a6901 - 2762730 ns R r14 00000333 - 2762750 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2762770 ns MR4_I 000002ac 78086102 - 2762790 ns MR4_D 20000308 00000198 - 2762790 ns R r1 00000198 - 2762790 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2762810 ns R r2 00000199 - 2762810 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2762830 ns R psr 01000200 - 2762830 ns MR4_I 000002b0 b5004770 - 2762850 ns MW4_D 20000308 00000199 - 2762850 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2762890 ns MR1_D 00000198 53534150 - 2762890 ns R r0 00000050 - 2762890 ns IT 000002b0 4770 BX lr - 2762910 ns MR4_I 000002b4 9102b08f - 2762930 ns R psr 01000200 - 2762930 ns MR4_I 00000330 280047a8 - 2762950 ns MR4_I 00000334 4620d1f8 - 2762950 ns IT 00000332 2800 CMP r0,#0 - 2762970 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2762990 ns R psr 21000200 - 2762990 ns MR4_I 00000338 b510bdf8 - 2763010 ns MR4_I 00000328 47b89900 - 2763030 ns IT 00000328 9900 LDR r1,[sp,#0] - 2763050 ns MR4_I 0000032c 46301c64 - 2763070 ns MR4_D 200002e0 20000004 - 2763070 ns R r1 20000004 - 2763070 ns IT 0000032a 47b8 BLX r7 - 2763110 ns R psr 21000200 - 2763110 ns MR4_I 000001f4 b2c0b510 - 2763110 ns R r14 0000032d - 2763130 ns IT 000001f4 b510 PUSH {r4,lr} - 2763150 ns MR4_I 000001f8 f819f000 - 2763170 ns MW4_D 200002d8 00000008 - 2763190 ns MW4_D 200002dc 0000032d - 2763190 ns R r13 200002d8 (MSP) - 2763190 ns IT 000001f6 b2c0 UXTB r0,r0 - 2763210 ns R r0 00000050 - 2763210 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 2763230 ns MR4_I 000001fc b510bd10 - 2763250 ns R r14 000001fd - 2763270 ns MR4_I 0000022c 49084770 - 2763290 ns MR4_I 00000230 07d2684a - 2763290 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 2763330 ns MR4_D 00000250 40006000 - 2763330 ns R r1 40006000 - 2763330 ns IT 00000230 684a LDR r2,[r1,#4] - 2763350 ns MR4_I 00000234 6008d1fc - 2763410 ns MR4_D 40006004 00000001 - 2763410 ns R r2 00000001 - 2763410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2763430 ns R r2 80000000 - 2763430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2763450 ns R psr 81000200 - 2763450 ns MR4_I 00000238 48054770 - 2763470 ns MR4_I 00000230 07d2684a - 2763490 ns IT 00000230 684a LDR r2,[r1,#4] - 2763510 ns MR4_I 00000234 6008d1fc - 2763570 ns MR4_D 40006004 00000001 - 2763570 ns R r2 00000001 - 2763570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2763590 ns R r2 80000000 - 2763590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2763610 ns R psr 81000200 - 2763610 ns MR4_I 00000238 48054770 - 2763630 ns MR4_I 00000230 07d2684a - 2763650 ns IT 00000230 684a LDR r2,[r1,#4] - 2763670 ns MR4_I 00000234 6008d1fc - 2763730 ns MR4_D 40006004 00000001 - 2763730 ns R r2 00000001 - 2763730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2763750 ns R r2 80000000 - 2763750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2763770 ns R psr 81000200 - 2763770 ns MR4_I 00000238 48054770 - 2763790 ns MR4_I 00000230 07d2684a - 2763810 ns IT 00000230 684a LDR r2,[r1,#4] - 2763830 ns MR4_I 00000234 6008d1fc - 2763890 ns MR4_D 40006004 00000001 - 2763890 ns R r2 00000001 - 2763890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2763910 ns R r2 80000000 - 2763910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2763930 ns R psr 81000200 - 2763930 ns MR4_I 00000238 48054770 - 2763950 ns MR4_I 00000230 07d2684a - 2763970 ns IT 00000230 684a LDR r2,[r1,#4] - 2763990 ns MR4_I 00000234 6008d1fc - 2764050 ns MR4_D 40006004 00000001 - 2764050 ns R r2 00000001 - 2764050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2764070 ns R r2 80000000 - 2764070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2764090 ns R psr 81000200 - 2764090 ns MR4_I 00000238 48054770 - 2764110 ns MR4_I 00000230 07d2684a - 2764130 ns IT 00000230 684a LDR r2,[r1,#4] - 2764150 ns MR4_I 00000234 6008d1fc - 2764210 ns MR4_D 40006004 00000001 - 2764210 ns R r2 00000001 - 2764210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2764230 ns R r2 80000000 - 2764230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2764250 ns R psr 81000200 - 2764250 ns MR4_I 00000238 48054770 - 2764270 ns MR4_I 00000230 07d2684a - 2764290 ns IT 00000230 684a LDR r2,[r1,#4] - 2764310 ns MR4_I 00000234 6008d1fc - 2764370 ns MR4_D 40006004 00000001 - 2764370 ns R r2 00000001 - 2764370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2764390 ns R r2 80000000 - 2764390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2764410 ns R psr 81000200 - 2764410 ns MR4_I 00000238 48054770 - 2764430 ns MR4_I 00000230 07d2684a - 2764450 ns IT 00000230 684a LDR r2,[r1,#4] - 2764470 ns MR4_I 00000234 6008d1fc - 2764530 ns MR4_D 40006004 00000001 - 2764530 ns R r2 00000001 - 2764530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2764550 ns R r2 80000000 - 2764550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2764570 ns R psr 81000200 - 2764570 ns MR4_I 00000238 48054770 - 2764590 ns MR4_I 00000230 07d2684a - 2764610 ns IT 00000230 684a LDR r2,[r1,#4] - 2764630 ns MR4_I 00000234 6008d1fc - 2764690 ns MR4_D 40006004 00000001 - 2764690 ns R r2 00000001 - 2764690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2764710 ns R r2 80000000 - 2764710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2764730 ns R psr 81000200 - 2764730 ns MR4_I 00000238 48054770 - 2764750 ns MR4_I 00000230 07d2684a - 2764770 ns IT 00000230 684a LDR r2,[r1,#4] - 2764790 ns MR4_I 00000234 6008d1fc - 2764850 ns MR4_D 40006004 00000001 - 2764850 ns R r2 00000001 - 2764850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2764870 ns R r2 80000000 - 2764870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2764890 ns R psr 81000200 - 2764890 ns MR4_I 00000238 48054770 - 2764910 ns MR4_I 00000230 07d2684a - 2764930 ns IT 00000230 684a LDR r2,[r1,#4] - 2764950 ns MR4_I 00000234 6008d1fc - 2765010 ns MR4_D 40006004 00000001 - 2765010 ns R r2 00000001 - 2765010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2765030 ns R r2 80000000 - 2765030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2765050 ns R psr 81000200 - 2765050 ns MR4_I 00000238 48054770 - 2765070 ns MR4_I 00000230 07d2684a - 2765090 ns IT 00000230 684a LDR r2,[r1,#4] - 2765110 ns MR4_I 00000234 6008d1fc - 2765170 ns MR4_D 40006004 00000001 - 2765170 ns R r2 00000001 - 2765170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2765190 ns R r2 80000000 - 2765190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2765210 ns R psr 81000200 - 2765210 ns MR4_I 00000238 48054770 - 2765230 ns MR4_I 00000230 07d2684a - 2765250 ns IT 00000230 684a LDR r2,[r1,#4] - 2765270 ns MR4_I 00000234 6008d1fc - 2765330 ns MR4_D 40006004 00000001 - 2765330 ns R r2 00000001 - 2765330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2765350 ns R r2 80000000 - 2765350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2765370 ns R psr 81000200 - 2765370 ns MR4_I 00000238 48054770 - 2765390 ns MR4_I 00000230 07d2684a - 2765410 ns IT 00000230 684a LDR r2,[r1,#4] - 2765430 ns MR4_I 00000234 6008d1fc - 2765490 ns MR4_D 40006004 00000001 - 2765490 ns R r2 00000001 - 2765490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2765510 ns R r2 80000000 - 2765510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2765530 ns R psr 81000200 - 2765530 ns MR4_I 00000238 48054770 - 2765550 ns MR4_I 00000230 07d2684a - 2765570 ns IT 00000230 684a LDR r2,[r1,#4] - 2765590 ns MR4_I 00000234 6008d1fc - 2765650 ns MR4_D 40006004 00000001 - 2765650 ns R r2 00000001 - 2765650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2765670 ns R r2 80000000 - 2765670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2765690 ns R psr 81000200 - 2765690 ns MR4_I 00000238 48054770 - 2765710 ns MR4_I 00000230 07d2684a - 2765730 ns IT 00000230 684a LDR r2,[r1,#4] - 2765750 ns MR4_I 00000234 6008d1fc - 2765810 ns MR4_D 40006004 00000001 - 2765810 ns R r2 00000001 - 2765810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2765830 ns R r2 80000000 - 2765830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2765850 ns R psr 81000200 - 2765850 ns MR4_I 00000238 48054770 - 2765870 ns MR4_I 00000230 07d2684a - 2765890 ns IT 00000230 684a LDR r2,[r1,#4] - 2765910 ns MR4_I 00000234 6008d1fc - 2765970 ns MR4_D 40006004 00000001 - 2765970 ns R r2 00000001 - 2765970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2765990 ns R r2 80000000 - 2765990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2766010 ns R psr 81000200 - 2766010 ns MR4_I 00000238 48054770 - 2766030 ns MR4_I 00000230 07d2684a - 2766050 ns IT 00000230 684a LDR r2,[r1,#4] - 2766070 ns MR4_I 00000234 6008d1fc - 2766130 ns MR4_D 40006004 00000001 - 2766130 ns R r2 00000001 - 2766130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2766150 ns R r2 80000000 - 2766150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2766170 ns R psr 81000200 - 2766170 ns MR4_I 00000238 48054770 - 2766190 ns MR4_I 00000230 07d2684a - 2766210 ns IT 00000230 684a LDR r2,[r1,#4] - 2766230 ns MR4_I 00000234 6008d1fc - 2766290 ns MR4_D 40006004 00000001 - 2766290 ns R r2 00000001 - 2766290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2766310 ns R r2 80000000 - 2766310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2766330 ns R psr 81000200 - 2766330 ns MR4_I 00000238 48054770 - 2766350 ns MR4_I 00000230 07d2684a - 2766370 ns IT 00000230 684a LDR r2,[r1,#4] - 2766390 ns MR4_I 00000234 6008d1fc - 2766450 ns MR4_D 40006004 00000001 - 2766450 ns R r2 00000001 - 2766450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2766470 ns R r2 80000000 - 2766470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2766490 ns R psr 81000200 - 2766490 ns MR4_I 00000238 48054770 - 2766510 ns MR4_I 00000230 07d2684a - 2766530 ns IT 00000230 684a LDR r2,[r1,#4] - 2766550 ns MR4_I 00000234 6008d1fc - 2766610 ns MR4_D 40006004 00000001 - 2766610 ns R r2 00000001 - 2766610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2766630 ns R r2 80000000 - 2766630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2766650 ns R psr 81000200 - 2766650 ns MR4_I 00000238 48054770 - 2766670 ns MR4_I 00000230 07d2684a - 2766690 ns IT 00000230 684a LDR r2,[r1,#4] - 2766710 ns MR4_I 00000234 6008d1fc - 2766770 ns MR4_D 40006004 00000001 - 2766770 ns R r2 00000001 - 2766770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2766790 ns R r2 80000000 - 2766790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2766810 ns R psr 81000200 - 2766810 ns MR4_I 00000238 48054770 - 2766830 ns MR4_I 00000230 07d2684a - 2766850 ns IT 00000230 684a LDR r2,[r1,#4] - 2766870 ns MR4_I 00000234 6008d1fc - 2766930 ns MR4_D 40006004 00000001 - 2766930 ns R r2 00000001 - 2766930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2766950 ns R r2 80000000 - 2766950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2766970 ns R psr 81000200 - 2766970 ns MR4_I 00000238 48054770 - 2766990 ns MR4_I 00000230 07d2684a - 2767010 ns IT 00000230 684a LDR r2,[r1,#4] - 2767030 ns MR4_I 00000234 6008d1fc - 2767090 ns MR4_D 40006004 00000001 - 2767090 ns R r2 00000001 - 2767090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2767110 ns R r2 80000000 - 2767110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2767130 ns R psr 81000200 - 2767130 ns MR4_I 00000238 48054770 - 2767150 ns MR4_I 00000230 07d2684a - 2767170 ns IT 00000230 684a LDR r2,[r1,#4] - 2767190 ns MR4_I 00000234 6008d1fc - 2767250 ns MR4_D 40006004 00000001 - 2767250 ns R r2 00000001 - 2767250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2767270 ns R r2 80000000 - 2767270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2767290 ns R psr 81000200 - 2767290 ns MR4_I 00000238 48054770 - 2767310 ns MR4_I 00000230 07d2684a - 2767330 ns IT 00000230 684a LDR r2,[r1,#4] - 2767350 ns MR4_I 00000234 6008d1fc - 2767410 ns MR4_D 40006004 00000001 - 2767410 ns R r2 00000001 - 2767410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2767430 ns R r2 80000000 - 2767430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2767450 ns R psr 81000200 - 2767450 ns MR4_I 00000238 48054770 - 2767470 ns MR4_I 00000230 07d2684a - 2767490 ns IT 00000230 684a LDR r2,[r1,#4] - 2767510 ns MR4_I 00000234 6008d1fc - 2767570 ns MR4_D 40006004 00000001 - 2767570 ns R r2 00000001 - 2767570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2767590 ns R r2 80000000 - 2767590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2767610 ns R psr 81000200 - 2767610 ns MR4_I 00000238 48054770 - 2767630 ns MR4_I 00000230 07d2684a - 2767650 ns IT 00000230 684a LDR r2,[r1,#4] - 2767670 ns MR4_I 00000234 6008d1fc - 2767730 ns MR4_D 40006004 00000001 - 2767730 ns R r2 00000001 - 2767730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2767750 ns R r2 80000000 - 2767750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2767770 ns R psr 81000200 - 2767770 ns MR4_I 00000238 48054770 - 2767790 ns MR4_I 00000230 07d2684a - 2767810 ns IT 00000230 684a LDR r2,[r1,#4] - 2767830 ns MR4_I 00000234 6008d1fc - 2767890 ns MR4_D 40006004 00000001 - 2767890 ns R r2 00000001 - 2767890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2767910 ns R r2 80000000 - 2767910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2767930 ns R psr 81000200 - 2767930 ns MR4_I 00000238 48054770 - 2767950 ns MR4_I 00000230 07d2684a - 2767970 ns IT 00000230 684a LDR r2,[r1,#4] - 2767990 ns MR4_I 00000234 6008d1fc - 2768050 ns MR4_D 40006004 00000001 - 2768050 ns R r2 00000001 - 2768050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2768070 ns R r2 80000000 - 2768070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2768090 ns R psr 81000200 - 2768090 ns MR4_I 00000238 48054770 - 2768110 ns MR4_I 00000230 07d2684a - 2768130 ns IT 00000230 684a LDR r2,[r1,#4] - 2768150 ns MR4_I 00000234 6008d1fc - 2768210 ns MR4_D 40006004 00000001 - 2768210 ns R r2 00000001 - 2768210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2768230 ns R r2 80000000 - 2768230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2768250 ns R psr 81000200 - 2768250 ns MR4_I 00000238 48054770 - 2768270 ns MR4_I 00000230 07d2684a - 2768290 ns IT 00000230 684a LDR r2,[r1,#4] - 2768310 ns MR4_I 00000234 6008d1fc - 2768370 ns MR4_D 40006004 00000001 - 2768370 ns R r2 00000001 - 2768370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2768390 ns R r2 80000000 - 2768390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2768410 ns R psr 81000200 - 2768410 ns MR4_I 00000238 48054770 - 2768430 ns MR4_I 00000230 07d2684a - 2768450 ns IT 00000230 684a LDR r2,[r1,#4] - 2768470 ns MR4_I 00000234 6008d1fc - 2768530 ns MR4_D 40006004 00000001 - 2768530 ns R r2 00000001 - 2768530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2768550 ns R r2 80000000 - 2768550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2768570 ns R psr 81000200 - 2768570 ns MR4_I 00000238 48054770 - 2768590 ns MR4_I 00000230 07d2684a - 2768610 ns IT 00000230 684a LDR r2,[r1,#4] - 2768630 ns MR4_I 00000234 6008d1fc - 2768690 ns MR4_D 40006004 00000001 - 2768690 ns R r2 00000001 - 2768690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2768710 ns R r2 80000000 - 2768710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2768730 ns R psr 81000200 - 2768730 ns MR4_I 00000238 48054770 - 2768750 ns MR4_I 00000230 07d2684a - 2768770 ns IT 00000230 684a LDR r2,[r1,#4] - 2768790 ns MR4_I 00000234 6008d1fc - 2768850 ns MR4_D 40006004 00000001 - 2768850 ns R r2 00000001 - 2768850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2768870 ns R r2 80000000 - 2768870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2768890 ns R psr 81000200 - 2768890 ns MR4_I 00000238 48054770 - 2768910 ns MR4_I 00000230 07d2684a - 2768930 ns IT 00000230 684a LDR r2,[r1,#4] - 2768950 ns MR4_I 00000234 6008d1fc - 2769010 ns MR4_D 40006004 00000001 - 2769010 ns R r2 00000001 - 2769010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2769030 ns R r2 80000000 - 2769030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2769050 ns R psr 81000200 - 2769050 ns MR4_I 00000238 48054770 - 2769070 ns MR4_I 00000230 07d2684a - 2769090 ns IT 00000230 684a LDR r2,[r1,#4] - 2769110 ns MR4_I 00000234 6008d1fc - 2769170 ns MR4_D 40006004 00000001 - 2769170 ns R r2 00000001 - 2769170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2769190 ns R r2 80000000 - 2769190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2769210 ns R psr 81000200 - 2769210 ns MR4_I 00000238 48054770 - 2769230 ns MR4_I 00000230 07d2684a - 2769250 ns IT 00000230 684a LDR r2,[r1,#4] - 2769270 ns MR4_I 00000234 6008d1fc - 2769330 ns MR4_D 40006004 00000001 - 2769330 ns R r2 00000001 - 2769330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2769350 ns R r2 80000000 - 2769350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2769370 ns R psr 81000200 - 2769370 ns MR4_I 00000238 48054770 - 2769390 ns MR4_I 00000230 07d2684a - 2769410 ns IT 00000230 684a LDR r2,[r1,#4] - 2769430 ns MR4_I 00000234 6008d1fc - 2769490 ns MR4_D 40006004 00000001 - 2769490 ns R r2 00000001 - 2769490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2769510 ns R r2 80000000 - 2769510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2769530 ns R psr 81000200 - 2769530 ns MR4_I 00000238 48054770 - 2769550 ns MR4_I 00000230 07d2684a - 2769570 ns IT 00000230 684a LDR r2,[r1,#4] - 2769590 ns MR4_I 00000234 6008d1fc - 2769650 ns MR4_D 40006004 00000001 - 2769650 ns R r2 00000001 - 2769650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2769670 ns R r2 80000000 - 2769670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2769690 ns R psr 81000200 - 2769690 ns MR4_I 00000238 48054770 - 2769710 ns MR4_I 00000230 07d2684a - 2769730 ns IT 00000230 684a LDR r2,[r1,#4] - 2769750 ns MR4_I 00000234 6008d1fc - 2769810 ns MR4_D 40006004 00000001 - 2769810 ns R r2 00000001 - 2769810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2769830 ns R r2 80000000 - 2769830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2769850 ns R psr 81000200 - 2769850 ns MR4_I 00000238 48054770 - 2769870 ns MR4_I 00000230 07d2684a - 2769890 ns IT 00000230 684a LDR r2,[r1,#4] - 2769910 ns MR4_I 00000234 6008d1fc - 2769970 ns MR4_D 40006004 00000001 - 2769970 ns R r2 00000001 - 2769970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2769990 ns R r2 80000000 - 2769990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2770010 ns R psr 81000200 - 2770010 ns MR4_I 00000238 48054770 - 2770030 ns MR4_I 00000230 07d2684a - 2770050 ns IT 00000230 684a LDR r2,[r1,#4] - 2770070 ns MR4_I 00000234 6008d1fc - 2770130 ns MR4_D 40006004 00000001 - 2770130 ns R r2 00000001 - 2770130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2770150 ns R r2 80000000 - 2770150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2770170 ns R psr 81000200 - 2770170 ns MR4_I 00000238 48054770 - 2770190 ns MR4_I 00000230 07d2684a - 2770210 ns IT 00000230 684a LDR r2,[r1,#4] - 2770230 ns MR4_I 00000234 6008d1fc - 2770290 ns MR4_D 40006004 00000001 - 2770290 ns R r2 00000001 - 2770290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2770310 ns R r2 80000000 - 2770310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2770330 ns R psr 81000200 - 2770330 ns MR4_I 00000238 48054770 - 2770350 ns MR4_I 00000230 07d2684a - 2770370 ns IT 00000230 684a LDR r2,[r1,#4] - 2770390 ns MR4_I 00000234 6008d1fc - 2770450 ns MR4_D 40006004 00000001 - 2770450 ns R r2 00000001 - 2770450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2770470 ns R r2 80000000 - 2770470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2770490 ns R psr 81000200 - 2770490 ns MR4_I 00000238 48054770 - 2770510 ns MR4_I 00000230 07d2684a - 2770530 ns IT 00000230 684a LDR r2,[r1,#4] - 2770550 ns MR4_I 00000234 6008d1fc - 2770610 ns MR4_D 40006004 00000001 - 2770610 ns R r2 00000001 - 2770610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2770630 ns R r2 80000000 - 2770630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2770650 ns R psr 81000200 - 2770650 ns MR4_I 00000238 48054770 - 2770670 ns MR4_I 00000230 07d2684a - 2770690 ns IT 00000230 684a LDR r2,[r1,#4] - 2770710 ns MR4_I 00000234 6008d1fc - 2770770 ns MR4_D 40006004 00000001 - 2770770 ns R r2 00000001 - 2770770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2770790 ns R r2 80000000 - 2770790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2770810 ns R psr 81000200 - 2770810 ns MR4_I 00000238 48054770 - 2770830 ns MR4_I 00000230 07d2684a - 2770850 ns IT 00000230 684a LDR r2,[r1,#4] - 2770870 ns MR4_I 00000234 6008d1fc - 2770930 ns MR4_D 40006004 00000001 - 2770930 ns R r2 00000001 - 2770930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2770950 ns R r2 80000000 - 2770950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2770970 ns R psr 81000200 - 2770970 ns MR4_I 00000238 48054770 - 2770990 ns MR4_I 00000230 07d2684a - 2771010 ns IT 00000230 684a LDR r2,[r1,#4] - 2771030 ns MR4_I 00000234 6008d1fc - 2771090 ns MR4_D 40006004 00000001 - 2771090 ns R r2 00000001 - 2771090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2771110 ns R r2 80000000 - 2771110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2771130 ns R psr 81000200 - 2771130 ns MR4_I 00000238 48054770 - 2771150 ns MR4_I 00000230 07d2684a - 2771170 ns IT 00000230 684a LDR r2,[r1,#4] - 2771190 ns MR4_I 00000234 6008d1fc - 2771250 ns MR4_D 40006004 00000001 - 2771250 ns R r2 00000001 - 2771250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2771270 ns R r2 80000000 - 2771270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2771290 ns R psr 81000200 - 2771290 ns MR4_I 00000238 48054770 - 2771310 ns MR4_I 00000230 07d2684a - 2771330 ns IT 00000230 684a LDR r2,[r1,#4] - 2771350 ns MR4_I 00000234 6008d1fc - 2771410 ns MR4_D 40006004 00000001 - 2771410 ns R r2 00000001 - 2771410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2771430 ns R r2 80000000 - 2771430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2771450 ns R psr 81000200 - 2771450 ns MR4_I 00000238 48054770 - 2771470 ns MR4_I 00000230 07d2684a - 2771490 ns IT 00000230 684a LDR r2,[r1,#4] - 2771510 ns MR4_I 00000234 6008d1fc - 2771570 ns MR4_D 40006004 00000001 - 2771570 ns R r2 00000001 - 2771570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2771590 ns R r2 80000000 - 2771590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2771610 ns R psr 81000200 - 2771610 ns MR4_I 00000238 48054770 - 2771630 ns MR4_I 00000230 07d2684a - 2771650 ns IT 00000230 684a LDR r2,[r1,#4] - 2771670 ns MR4_I 00000234 6008d1fc - 2771730 ns MR4_D 40006004 00000001 - 2771730 ns R r2 00000001 - 2771730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2771750 ns R r2 80000000 - 2771750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2771770 ns R psr 81000200 - 2771770 ns MR4_I 00000238 48054770 - 2771790 ns MR4_I 00000230 07d2684a - 2771810 ns IT 00000230 684a LDR r2,[r1,#4] - 2771830 ns MR4_I 00000234 6008d1fc - 2771890 ns MR4_D 40006004 00000001 - 2771890 ns R r2 00000001 - 2771890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2771910 ns R r2 80000000 - 2771910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2771930 ns R psr 81000200 - 2771930 ns MR4_I 00000238 48054770 - 2771950 ns MR4_I 00000230 07d2684a - 2771970 ns IT 00000230 684a LDR r2,[r1,#4] - 2771990 ns MR4_I 00000234 6008d1fc - 2772050 ns MR4_D 40006004 00000001 - 2772050 ns R r2 00000001 - 2772050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2772070 ns R r2 80000000 - 2772070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2772090 ns R psr 81000200 - 2772090 ns MR4_I 00000238 48054770 - 2772110 ns MR4_I 00000230 07d2684a - 2772130 ns IT 00000230 684a LDR r2,[r1,#4] - 2772150 ns MR4_I 00000234 6008d1fc - 2772210 ns MR4_D 40006004 00000001 - 2772210 ns R r2 00000001 - 2772210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2772230 ns R r2 80000000 - 2772230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2772250 ns R psr 81000200 - 2772250 ns MR4_I 00000238 48054770 - 2772270 ns MR4_I 00000230 07d2684a - 2772290 ns IT 00000230 684a LDR r2,[r1,#4] - 2772310 ns MR4_I 00000234 6008d1fc - 2772370 ns MR4_D 40006004 00000001 - 2772370 ns R r2 00000001 - 2772370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2772390 ns R r2 80000000 - 2772390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2772410 ns R psr 81000200 - 2772410 ns MR4_I 00000238 48054770 - 2772430 ns MR4_I 00000230 07d2684a - 2772450 ns IT 00000230 684a LDR r2,[r1,#4] - 2772470 ns MR4_I 00000234 6008d1fc - 2772530 ns MR4_D 40006004 00000001 - 2772530 ns R r2 00000001 - 2772530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2772550 ns R r2 80000000 - 2772550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2772570 ns R psr 81000200 - 2772570 ns MR4_I 00000238 48054770 - 2772590 ns MR4_I 00000230 07d2684a - 2772610 ns IT 00000230 684a LDR r2,[r1,#4] - 2772630 ns MR4_I 00000234 6008d1fc - 2772690 ns MR4_D 40006004 00000001 - 2772690 ns R r2 00000001 - 2772690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2772710 ns R r2 80000000 - 2772710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2772730 ns R psr 81000200 - 2772730 ns MR4_I 00000238 48054770 - 2772750 ns MR4_I 00000230 07d2684a - 2772770 ns IT 00000230 684a LDR r2,[r1,#4] - 2772790 ns MR4_I 00000234 6008d1fc - 2772850 ns MR4_D 40006004 00000001 - 2772850 ns R r2 00000001 - 2772850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2772870 ns R r2 80000000 - 2772870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2772890 ns R psr 81000200 - 2772890 ns MR4_I 00000238 48054770 - 2772910 ns MR4_I 00000230 07d2684a - 2772930 ns IT 00000230 684a LDR r2,[r1,#4] - 2772950 ns MR4_I 00000234 6008d1fc - 2773010 ns MR4_D 40006004 00000001 - 2773010 ns R r2 00000001 - 2773010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2773030 ns R r2 80000000 - 2773030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2773050 ns R psr 81000200 - 2773050 ns MR4_I 00000238 48054770 - 2773070 ns MR4_I 00000230 07d2684a - 2773090 ns IT 00000230 684a LDR r2,[r1,#4] - 2773110 ns MR4_I 00000234 6008d1fc - 2773170 ns MR4_D 40006004 00000001 - 2773170 ns R r2 00000001 - 2773170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2773190 ns R r2 80000000 - 2773190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2773210 ns R psr 81000200 - 2773210 ns MR4_I 00000238 48054770 - 2773230 ns MR4_I 00000230 07d2684a - 2773250 ns IT 00000230 684a LDR r2,[r1,#4] - 2773270 ns MR4_I 00000234 6008d1fc - 2773330 ns MR4_D 40006004 00000001 - 2773330 ns R r2 00000001 - 2773330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2773350 ns R r2 80000000 - 2773350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2773370 ns R psr 81000200 - 2773370 ns MR4_I 00000238 48054770 - 2773390 ns MR4_I 00000230 07d2684a - 2773410 ns IT 00000230 684a LDR r2,[r1,#4] - 2773430 ns MR4_I 00000234 6008d1fc - 2773490 ns MR4_D 40006004 00000001 - 2773490 ns R r2 00000001 - 2773490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2773510 ns R r2 80000000 - 2773510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2773530 ns R psr 81000200 - 2773530 ns MR4_I 00000238 48054770 - 2773550 ns MR4_I 00000230 07d2684a - 2773570 ns IT 00000230 684a LDR r2,[r1,#4] - 2773590 ns MR4_I 00000234 6008d1fc - 2773650 ns MR4_D 40006004 00000001 - 2773650 ns R r2 00000001 - 2773650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2773670 ns R r2 80000000 - 2773670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2773690 ns R psr 81000200 - 2773690 ns MR4_I 00000238 48054770 - 2773710 ns MR4_I 00000230 07d2684a - 2773730 ns IT 00000230 684a LDR r2,[r1,#4] - 2773750 ns MR4_I 00000234 6008d1fc - 2773810 ns MR4_D 40006004 00000001 - 2773810 ns R r2 00000001 - 2773810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2773830 ns R r2 80000000 - 2773830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2773850 ns R psr 81000200 - 2773850 ns MR4_I 00000238 48054770 - 2773870 ns MR4_I 00000230 07d2684a - 2773890 ns IT 00000230 684a LDR r2,[r1,#4] - 2773910 ns MR4_I 00000234 6008d1fc - 2773970 ns MR4_D 40006004 00000001 - 2773970 ns R r2 00000001 - 2773970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2773990 ns R r2 80000000 - 2773990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2774010 ns R psr 81000200 - 2774010 ns MR4_I 00000238 48054770 - 2774030 ns MR4_I 00000230 07d2684a - 2774050 ns IT 00000230 684a LDR r2,[r1,#4] - 2774070 ns MR4_I 00000234 6008d1fc - 2774130 ns MR4_D 40006004 00000001 - 2774130 ns R r2 00000001 - 2774130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2774150 ns R r2 80000000 - 2774150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2774170 ns R psr 81000200 - 2774170 ns MR4_I 00000238 48054770 - 2774190 ns MR4_I 00000230 07d2684a - 2774210 ns IT 00000230 684a LDR r2,[r1,#4] - 2774230 ns MR4_I 00000234 6008d1fc - 2774290 ns MR4_D 40006004 00000001 - 2774290 ns R r2 00000001 - 2774290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2774310 ns R r2 80000000 - 2774310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2774330 ns R psr 81000200 - 2774330 ns MR4_I 00000238 48054770 - 2774350 ns MR4_I 00000230 07d2684a - 2774370 ns IT 00000230 684a LDR r2,[r1,#4] - 2774390 ns MR4_I 00000234 6008d1fc - 2774450 ns MR4_D 40006004 00000001 - 2774450 ns R r2 00000001 - 2774450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2774470 ns R r2 80000000 - 2774470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2774490 ns R psr 81000200 - 2774490 ns MR4_I 00000238 48054770 - 2774510 ns MR4_I 00000230 07d2684a - 2774530 ns IT 00000230 684a LDR r2,[r1,#4] - 2774550 ns MR4_I 00000234 6008d1fc - 2774610 ns MR4_D 40006004 00000001 - 2774610 ns R r2 00000001 - 2774610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2774630 ns R r2 80000000 - 2774630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2774650 ns R psr 81000200 - 2774650 ns MR4_I 00000238 48054770 - 2774670 ns MR4_I 00000230 07d2684a - 2774690 ns IT 00000230 684a LDR r2,[r1,#4] - 2774710 ns MR4_I 00000234 6008d1fc - 2774770 ns MR4_D 40006004 00000001 - 2774770 ns R r2 00000001 - 2774770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2774790 ns R r2 80000000 - 2774790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2774810 ns R psr 81000200 - 2774810 ns MR4_I 00000238 48054770 - 2774830 ns MR4_I 00000230 07d2684a - 2774850 ns IT 00000230 684a LDR r2,[r1,#4] - 2774870 ns MR4_I 00000234 6008d1fc - 2774930 ns MR4_D 40006004 00000001 - 2774930 ns R r2 00000001 - 2774930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2774950 ns R r2 80000000 - 2774950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2774970 ns R psr 81000200 - 2774970 ns MR4_I 00000238 48054770 - 2774990 ns MR4_I 00000230 07d2684a - 2775010 ns IT 00000230 684a LDR r2,[r1,#4] - 2775030 ns MR4_I 00000234 6008d1fc - 2775090 ns MR4_D 40006004 00000001 - 2775090 ns R r2 00000001 - 2775090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2775110 ns R r2 80000000 - 2775110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2775130 ns R psr 81000200 - 2775130 ns MR4_I 00000238 48054770 - 2775150 ns MR4_I 00000230 07d2684a - 2775170 ns IT 00000230 684a LDR r2,[r1,#4] - 2775190 ns MR4_I 00000234 6008d1fc - 2775250 ns MR4_D 40006004 00000001 - 2775250 ns R r2 00000001 - 2775250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2775270 ns R r2 80000000 - 2775270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2775290 ns R psr 81000200 - 2775290 ns MR4_I 00000238 48054770 - 2775310 ns MR4_I 00000230 07d2684a - 2775330 ns IT 00000230 684a LDR r2,[r1,#4] - 2775350 ns MR4_I 00000234 6008d1fc - 2775410 ns MR4_D 40006004 00000001 - 2775410 ns R r2 00000001 - 2775410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2775430 ns R r2 80000000 - 2775430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2775450 ns R psr 81000200 - 2775450 ns MR4_I 00000238 48054770 - 2775470 ns MR4_I 00000230 07d2684a - 2775490 ns IT 00000230 684a LDR r2,[r1,#4] - 2775510 ns MR4_I 00000234 6008d1fc - 2775570 ns MR4_D 40006004 00000001 - 2775570 ns R r2 00000001 - 2775570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2775590 ns R r2 80000000 - 2775590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2775610 ns R psr 81000200 - 2775610 ns MR4_I 00000238 48054770 - 2775630 ns MR4_I 00000230 07d2684a - 2775650 ns IT 00000230 684a LDR r2,[r1,#4] - 2775670 ns MR4_I 00000234 6008d1fc - 2775730 ns MR4_D 40006004 00000001 - 2775730 ns R r2 00000001 - 2775730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2775750 ns R r2 80000000 - 2775750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2775770 ns R psr 81000200 - 2775770 ns MR4_I 00000238 48054770 - 2775790 ns MR4_I 00000230 07d2684a - 2775810 ns IT 00000230 684a LDR r2,[r1,#4] - 2775830 ns MR4_I 00000234 6008d1fc - 2775890 ns MR4_D 40006004 00000001 - 2775890 ns R r2 00000001 - 2775890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2775910 ns R r2 80000000 - 2775910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2775930 ns R psr 81000200 - 2775930 ns MR4_I 00000238 48054770 - 2775950 ns MR4_I 00000230 07d2684a - 2775970 ns IT 00000230 684a LDR r2,[r1,#4] - 2775990 ns MR4_I 00000234 6008d1fc - 2776050 ns MR4_D 40006004 00000001 - 2776050 ns R r2 00000001 - 2776050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2776070 ns R r2 80000000 - 2776070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2776090 ns R psr 81000200 - 2776090 ns MR4_I 00000238 48054770 - 2776110 ns MR4_I 00000230 07d2684a - 2776130 ns IT 00000230 684a LDR r2,[r1,#4] - 2776150 ns MR4_I 00000234 6008d1fc - 2776210 ns MR4_D 40006004 00000001 - 2776210 ns R r2 00000001 - 2776210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2776230 ns R r2 80000000 - 2776230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2776250 ns R psr 81000200 - 2776250 ns MR4_I 00000238 48054770 - 2776270 ns MR4_I 00000230 07d2684a - 2776290 ns IT 00000230 684a LDR r2,[r1,#4] - 2776310 ns MR4_I 00000234 6008d1fc - 2776370 ns MR4_D 40006004 00000001 - 2776370 ns R r2 00000001 - 2776370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2776390 ns R r2 80000000 - 2776390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2776410 ns R psr 81000200 - 2776410 ns MR4_I 00000238 48054770 - 2776430 ns MR4_I 00000230 07d2684a - 2776450 ns IT 00000230 684a LDR r2,[r1,#4] - 2776470 ns MR4_I 00000234 6008d1fc - 2776530 ns MR4_D 40006004 00000001 - 2776530 ns R r2 00000001 - 2776530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2776550 ns R r2 80000000 - 2776550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2776570 ns R psr 81000200 - 2776570 ns MR4_I 00000238 48054770 - 2776590 ns MR4_I 00000230 07d2684a - 2776610 ns IT 00000230 684a LDR r2,[r1,#4] - 2776630 ns MR4_I 00000234 6008d1fc - 2776690 ns MR4_D 40006004 00000001 - 2776690 ns R r2 00000001 - 2776690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2776710 ns R r2 80000000 - 2776710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2776730 ns R psr 81000200 - 2776730 ns MR4_I 00000238 48054770 - 2776750 ns MR4_I 00000230 07d2684a - 2776770 ns IT 00000230 684a LDR r2,[r1,#4] - 2776790 ns MR4_I 00000234 6008d1fc - 2776850 ns MR4_D 40006004 00000001 - 2776850 ns R r2 00000001 - 2776850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2776870 ns R r2 80000000 - 2776870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2776890 ns R psr 81000200 - 2776890 ns MR4_I 00000238 48054770 - 2776910 ns MR4_I 00000230 07d2684a - 2776930 ns IT 00000230 684a LDR r2,[r1,#4] - 2776950 ns MR4_I 00000234 6008d1fc - 2777010 ns MR4_D 40006004 00000001 - 2777010 ns R r2 00000001 - 2777010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2777030 ns R r2 80000000 - 2777030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2777050 ns R psr 81000200 - 2777050 ns MR4_I 00000238 48054770 - 2777070 ns MR4_I 00000230 07d2684a - 2777090 ns IT 00000230 684a LDR r2,[r1,#4] - 2777110 ns MR4_I 00000234 6008d1fc - 2777170 ns MR4_D 40006004 00000001 - 2777170 ns R r2 00000001 - 2777170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2777190 ns R r2 80000000 - 2777190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2777210 ns R psr 81000200 - 2777210 ns MR4_I 00000238 48054770 - 2777230 ns MR4_I 00000230 07d2684a - 2777250 ns IT 00000230 684a LDR r2,[r1,#4] - 2777270 ns MR4_I 00000234 6008d1fc - 2777330 ns MR4_D 40006004 00000001 - 2777330 ns R r2 00000001 - 2777330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2777350 ns R r2 80000000 - 2777350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2777370 ns R psr 81000200 - 2777370 ns MR4_I 00000238 48054770 - 2777390 ns MR4_I 00000230 07d2684a - 2777410 ns IT 00000230 684a LDR r2,[r1,#4] - 2777430 ns MR4_I 00000234 6008d1fc - 2777490 ns MR4_D 40006004 00000001 - 2777490 ns R r2 00000001 - 2777490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2777510 ns R r2 80000000 - 2777510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2777530 ns R psr 81000200 - 2777530 ns MR4_I 00000238 48054770 - 2777550 ns MR4_I 00000230 07d2684a - 2777570 ns IT 00000230 684a LDR r2,[r1,#4] - 2777590 ns MR4_I 00000234 6008d1fc - 2777650 ns MR4_D 40006004 00000001 - 2777650 ns R r2 00000001 - 2777650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2777670 ns R r2 80000000 - 2777670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2777690 ns R psr 81000200 - 2777690 ns MR4_I 00000238 48054770 - 2777710 ns MR4_I 00000230 07d2684a - 2777730 ns IT 00000230 684a LDR r2,[r1,#4] - 2777750 ns MR4_I 00000234 6008d1fc - 2777810 ns MR4_D 40006004 00000001 - 2777810 ns R r2 00000001 - 2777810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2777830 ns R r2 80000000 - 2777830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2777850 ns R psr 81000200 - 2777850 ns MR4_I 00000238 48054770 - 2777870 ns MR4_I 00000230 07d2684a - 2777890 ns IT 00000230 684a LDR r2,[r1,#4] - 2777910 ns MR4_I 00000234 6008d1fc - 2777970 ns MR4_D 40006004 00000001 - 2777970 ns R r2 00000001 - 2777970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2777990 ns R r2 80000000 - 2777990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2778010 ns R psr 81000200 - 2778010 ns MR4_I 00000238 48054770 - 2778030 ns MR4_I 00000230 07d2684a - 2778050 ns IT 00000230 684a LDR r2,[r1,#4] - 2778070 ns MR4_I 00000234 6008d1fc - 2778130 ns MR4_D 40006004 00000001 - 2778130 ns R r2 00000001 - 2778130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2778150 ns R r2 80000000 - 2778150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2778170 ns R psr 81000200 - 2778170 ns MR4_I 00000238 48054770 - 2778190 ns MR4_I 00000230 07d2684a - 2778210 ns IT 00000230 684a LDR r2,[r1,#4] - 2778230 ns MR4_I 00000234 6008d1fc - 2778290 ns MR4_D 40006004 00000001 - 2778290 ns R r2 00000001 - 2778290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2778310 ns R r2 80000000 - 2778310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2778330 ns R psr 81000200 - 2778330 ns MR4_I 00000238 48054770 - 2778350 ns MR4_I 00000230 07d2684a - 2778370 ns IT 00000230 684a LDR r2,[r1,#4] - 2778390 ns MR4_I 00000234 6008d1fc - 2778450 ns MR4_D 40006004 00000001 - 2778450 ns R r2 00000001 - 2778450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2778470 ns R r2 80000000 - 2778470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2778490 ns R psr 81000200 - 2778490 ns MR4_I 00000238 48054770 - 2778510 ns MR4_I 00000230 07d2684a - 2778530 ns IT 00000230 684a LDR r2,[r1,#4] - 2778550 ns MR4_I 00000234 6008d1fc - 2778610 ns MR4_D 40006004 00000001 - 2778610 ns R r2 00000001 - 2778610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2778630 ns R r2 80000000 - 2778630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2778650 ns R psr 81000200 - 2778650 ns MR4_I 00000238 48054770 - 2778670 ns MR4_I 00000230 07d2684a - 2778690 ns IT 00000230 684a LDR r2,[r1,#4] - 2778710 ns MR4_I 00000234 6008d1fc - 2778770 ns MR4_D 40006004 00000001 - 2778770 ns R r2 00000001 - 2778770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2778790 ns R r2 80000000 - 2778790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2778810 ns R psr 81000200 - 2778810 ns MR4_I 00000238 48054770 - 2778830 ns MR4_I 00000230 07d2684a - 2778850 ns IT 00000230 684a LDR r2,[r1,#4] - 2778870 ns MR4_I 00000234 6008d1fc - 2778930 ns MR4_D 40006004 00000001 - 2778930 ns R r2 00000001 - 2778930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2778950 ns R r2 80000000 - 2778950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2778970 ns R psr 81000200 - 2778970 ns MR4_I 00000238 48054770 - 2778990 ns MR4_I 00000230 07d2684a - 2779010 ns IT 00000230 684a LDR r2,[r1,#4] - 2779030 ns MR4_I 00000234 6008d1fc - 2779090 ns MR4_D 40006004 00000001 - 2779090 ns R r2 00000001 - 2779090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2779110 ns R r2 80000000 - 2779110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2779130 ns R psr 81000200 - 2779130 ns MR4_I 00000238 48054770 - 2779150 ns MR4_I 00000230 07d2684a - 2779170 ns IT 00000230 684a LDR r2,[r1,#4] - 2779190 ns MR4_I 00000234 6008d1fc - 2779250 ns MR4_D 40006004 00000001 - 2779250 ns R r2 00000001 - 2779250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2779270 ns R r2 80000000 - 2779270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2779290 ns R psr 81000200 - 2779290 ns MR4_I 00000238 48054770 - 2779310 ns MR4_I 00000230 07d2684a - 2779330 ns IT 00000230 684a LDR r2,[r1,#4] - 2779350 ns MR4_I 00000234 6008d1fc - 2779410 ns MR4_D 40006004 00000001 - 2779410 ns R r2 00000001 - 2779410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2779430 ns R r2 80000000 - 2779430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2779450 ns R psr 81000200 - 2779450 ns MR4_I 00000238 48054770 - 2779470 ns MR4_I 00000230 07d2684a - 2779490 ns IT 00000230 684a LDR r2,[r1,#4] - 2779510 ns MR4_I 00000234 6008d1fc - 2779570 ns MR4_D 40006004 00000001 - 2779570 ns R r2 00000001 - 2779570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2779590 ns R r2 80000000 - 2779590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2779610 ns R psr 81000200 - 2779610 ns MR4_I 00000238 48054770 - 2779630 ns MR4_I 00000230 07d2684a - 2779650 ns IT 00000230 684a LDR r2,[r1,#4] - 2779670 ns MR4_I 00000234 6008d1fc - 2779730 ns MR4_D 40006004 00000001 - 2779730 ns R r2 00000001 - 2779730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2779750 ns R r2 80000000 - 2779750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2779770 ns R psr 81000200 - 2779770 ns MR4_I 00000238 48054770 - 2779790 ns MR4_I 00000230 07d2684a - 2779810 ns IT 00000230 684a LDR r2,[r1,#4] - 2779830 ns MR4_I 00000234 6008d1fc - 2779890 ns MR4_D 40006004 00000001 - 2779890 ns R r2 00000001 - 2779890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2779910 ns R r2 80000000 - 2779910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2779930 ns R psr 81000200 - 2779930 ns MR4_I 00000238 48054770 - 2779950 ns MR4_I 00000230 07d2684a - 2779970 ns IT 00000230 684a LDR r2,[r1,#4] - 2779990 ns MR4_I 00000234 6008d1fc - 2780050 ns MR4_D 40006004 00000001 - 2780050 ns R r2 00000001 - 2780050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2780070 ns R r2 80000000 - 2780070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2780090 ns R psr 81000200 - 2780090 ns MR4_I 00000238 48054770 - 2780110 ns MR4_I 00000230 07d2684a - 2780130 ns IT 00000230 684a LDR r2,[r1,#4] - 2780150 ns MR4_I 00000234 6008d1fc - 2780210 ns MR4_D 40006004 00000001 - 2780210 ns R r2 00000001 - 2780210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2780230 ns R r2 80000000 - 2780230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2780250 ns R psr 81000200 - 2780250 ns MR4_I 00000238 48054770 - 2780270 ns MR4_I 00000230 07d2684a - 2780290 ns IT 00000230 684a LDR r2,[r1,#4] - 2780310 ns MR4_I 00000234 6008d1fc - 2780370 ns MR4_D 40006004 00000001 - 2780370 ns R r2 00000001 - 2780370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2780390 ns R r2 80000000 - 2780390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2780410 ns R psr 81000200 - 2780410 ns MR4_I 00000238 48054770 - 2780430 ns MR4_I 00000230 07d2684a - 2780450 ns IT 00000230 684a LDR r2,[r1,#4] - 2780470 ns MR4_I 00000234 6008d1fc - 2780530 ns MR4_D 40006004 00000001 - 2780530 ns R r2 00000001 - 2780530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2780550 ns R r2 80000000 - 2780550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2780570 ns R psr 81000200 - 2780570 ns MR4_I 00000238 48054770 - 2780590 ns MR4_I 00000230 07d2684a - 2780610 ns IT 00000230 684a LDR r2,[r1,#4] - 2780630 ns MR4_I 00000234 6008d1fc - 2780690 ns MR4_D 40006004 00000001 - 2780690 ns R r2 00000001 - 2780690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2780710 ns R r2 80000000 - 2780710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2780730 ns R psr 81000200 - 2780730 ns MR4_I 00000238 48054770 - 2780750 ns MR4_I 00000230 07d2684a - 2780770 ns IT 00000230 684a LDR r2,[r1,#4] - 2780790 ns MR4_I 00000234 6008d1fc - 2780850 ns MR4_D 40006004 00000001 - 2780850 ns R r2 00000001 - 2780850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2780870 ns R r2 80000000 - 2780870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2780890 ns R psr 81000200 - 2780890 ns MR4_I 00000238 48054770 - 2780910 ns MR4_I 00000230 07d2684a - 2780930 ns IT 00000230 684a LDR r2,[r1,#4] - 2780950 ns MR4_I 00000234 6008d1fc - 2781010 ns MR4_D 40006004 00000001 - 2781010 ns R r2 00000001 - 2781010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2781030 ns R r2 80000000 - 2781030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2781050 ns R psr 81000200 - 2781050 ns MR4_I 00000238 48054770 - 2781070 ns MR4_I 00000230 07d2684a - 2781090 ns IT 00000230 684a LDR r2,[r1,#4] - 2781110 ns MR4_I 00000234 6008d1fc - 2781170 ns MR4_D 40006004 00000001 - 2781170 ns R r2 00000001 - 2781170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2781190 ns R r2 80000000 - 2781190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2781210 ns R psr 81000200 - 2781210 ns MR4_I 00000238 48054770 - 2781230 ns MR4_I 00000230 07d2684a - 2781250 ns IT 00000230 684a LDR r2,[r1,#4] - 2781270 ns MR4_I 00000234 6008d1fc - 2781330 ns MR4_D 40006004 00000001 - 2781330 ns R r2 00000001 - 2781330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2781350 ns R r2 80000000 - 2781350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2781370 ns R psr 81000200 - 2781370 ns MR4_I 00000238 48054770 - 2781390 ns MR4_I 00000230 07d2684a - 2781410 ns IT 00000230 684a LDR r2,[r1,#4] - 2781430 ns MR4_I 00000234 6008d1fc - 2781490 ns MR4_D 40006004 00000001 - 2781490 ns R r2 00000001 - 2781490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2781510 ns R r2 80000000 - 2781510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2781530 ns R psr 81000200 - 2781530 ns MR4_I 00000238 48054770 - 2781550 ns MR4_I 00000230 07d2684a - 2781570 ns IT 00000230 684a LDR r2,[r1,#4] - 2781590 ns MR4_I 00000234 6008d1fc - 2781650 ns MR4_D 40006004 00000001 - 2781650 ns R r2 00000001 - 2781650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2781670 ns R r2 80000000 - 2781670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2781690 ns R psr 81000200 - 2781690 ns MR4_I 00000238 48054770 - 2781710 ns MR4_I 00000230 07d2684a - 2781730 ns IT 00000230 684a LDR r2,[r1,#4] - 2781750 ns MR4_I 00000234 6008d1fc - 2781810 ns MR4_D 40006004 00000001 - 2781810 ns R r2 00000001 - 2781810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2781830 ns R r2 80000000 - 2781830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2781850 ns R psr 81000200 - 2781850 ns MR4_I 00000238 48054770 - 2781870 ns MR4_I 00000230 07d2684a - 2781890 ns IT 00000230 684a LDR r2,[r1,#4] - 2781910 ns MR4_I 00000234 6008d1fc - 2781970 ns MR4_D 40006004 00000001 - 2781970 ns R r2 00000001 - 2781970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2781990 ns R r2 80000000 - 2781990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2782010 ns R psr 81000200 - 2782010 ns MR4_I 00000238 48054770 - 2782030 ns MR4_I 00000230 07d2684a - 2782050 ns IT 00000230 684a LDR r2,[r1,#4] - 2782070 ns MR4_I 00000234 6008d1fc - 2782130 ns MR4_D 40006004 00000001 - 2782130 ns R r2 00000001 - 2782130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2782150 ns R r2 80000000 - 2782150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2782170 ns R psr 81000200 - 2782170 ns MR4_I 00000238 48054770 - 2782190 ns MR4_I 00000230 07d2684a - 2782210 ns IT 00000230 684a LDR r2,[r1,#4] - 2782230 ns MR4_I 00000234 6008d1fc - 2782290 ns MR4_D 40006004 00000001 - 2782290 ns R r2 00000001 - 2782290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2782310 ns R r2 80000000 - 2782310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2782330 ns R psr 81000200 - 2782330 ns MR4_I 00000238 48054770 - 2782350 ns MR4_I 00000230 07d2684a - 2782370 ns IT 00000230 684a LDR r2,[r1,#4] - 2782390 ns MR4_I 00000234 6008d1fc - 2782450 ns MR4_D 40006004 00000001 - 2782450 ns R r2 00000001 - 2782450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2782470 ns R r2 80000000 - 2782470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2782490 ns R psr 81000200 - 2782490 ns MR4_I 00000238 48054770 - 2782510 ns MR4_I 00000230 07d2684a - 2782530 ns IT 00000230 684a LDR r2,[r1,#4] - 2782550 ns MR4_I 00000234 6008d1fc - 2782610 ns MR4_D 40006004 00000001 - 2782610 ns R r2 00000001 - 2782610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2782630 ns R r2 80000000 - 2782630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2782650 ns R psr 81000200 - 2782650 ns MR4_I 00000238 48054770 - 2782670 ns MR4_I 00000230 07d2684a - 2782690 ns IT 00000230 684a LDR r2,[r1,#4] - 2782710 ns MR4_I 00000234 6008d1fc - 2782770 ns MR4_D 40006004 00000001 - 2782770 ns R r2 00000001 - 2782770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2782790 ns R r2 80000000 - 2782790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2782810 ns R psr 81000200 - 2782810 ns MR4_I 00000238 48054770 - 2782830 ns MR4_I 00000230 07d2684a - 2782850 ns IT 00000230 684a LDR r2,[r1,#4] - 2782870 ns MR4_I 00000234 6008d1fc - 2782930 ns MR4_D 40006004 00000001 - 2782930 ns R r2 00000001 - 2782930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2782950 ns R r2 80000000 - 2782950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2782970 ns R psr 81000200 - 2782970 ns MR4_I 00000238 48054770 - 2782990 ns MR4_I 00000230 07d2684a - 2783010 ns IT 00000230 684a LDR r2,[r1,#4] - 2783030 ns MR4_I 00000234 6008d1fc - 2783090 ns MR4_D 40006004 00000001 - 2783090 ns R r2 00000001 - 2783090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2783110 ns R r2 80000000 - 2783110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2783130 ns R psr 81000200 - 2783130 ns MR4_I 00000238 48054770 - 2783150 ns MR4_I 00000230 07d2684a - 2783170 ns IT 00000230 684a LDR r2,[r1,#4] - 2783190 ns MR4_I 00000234 6008d1fc - 2783250 ns MR4_D 40006004 00000001 - 2783250 ns R r2 00000001 - 2783250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2783270 ns R r2 80000000 - 2783270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2783290 ns R psr 81000200 - 2783290 ns MR4_I 00000238 48054770 - 2783310 ns MR4_I 00000230 07d2684a - 2783330 ns IT 00000230 684a LDR r2,[r1,#4] - 2783350 ns MR4_I 00000234 6008d1fc - 2783410 ns MR4_D 40006004 00000001 - 2783410 ns R r2 00000001 - 2783410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2783430 ns R r2 80000000 - 2783430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2783450 ns R psr 81000200 - 2783450 ns MR4_I 00000238 48054770 - 2783470 ns MR4_I 00000230 07d2684a - 2783490 ns IT 00000230 684a LDR r2,[r1,#4] - 2783510 ns MR4_I 00000234 6008d1fc - 2783570 ns MR4_D 40006004 00000001 - 2783570 ns R r2 00000001 - 2783570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2783590 ns R r2 80000000 - 2783590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2783610 ns R psr 81000200 - 2783610 ns MR4_I 00000238 48054770 - 2783630 ns MR4_I 00000230 07d2684a - 2783650 ns IT 00000230 684a LDR r2,[r1,#4] - 2783670 ns MR4_I 00000234 6008d1fc - 2783730 ns MR4_D 40006004 00000001 - 2783730 ns R r2 00000001 - 2783730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2783750 ns R r2 80000000 - 2783750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2783770 ns R psr 81000200 - 2783770 ns MR4_I 00000238 48054770 - 2783790 ns MR4_I 00000230 07d2684a - 2783810 ns IT 00000230 684a LDR r2,[r1,#4] - 2783830 ns MR4_I 00000234 6008d1fc - 2783890 ns MR4_D 40006004 00000001 - 2783890 ns R r2 00000001 - 2783890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2783910 ns R r2 80000000 - 2783910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2783930 ns R psr 81000200 - 2783930 ns MR4_I 00000238 48054770 - 2783950 ns MR4_I 00000230 07d2684a - 2783970 ns IT 00000230 684a LDR r2,[r1,#4] - 2783990 ns MR4_I 00000234 6008d1fc - 2784050 ns MR4_D 40006004 00000001 - 2784050 ns R r2 00000001 - 2784050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2784070 ns R r2 80000000 - 2784070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2784090 ns R psr 81000200 - 2784090 ns MR4_I 00000238 48054770 - 2784110 ns MR4_I 00000230 07d2684a - 2784130 ns IT 00000230 684a LDR r2,[r1,#4] - 2784150 ns MR4_I 00000234 6008d1fc - 2784210 ns MR4_D 40006004 00000001 - 2784210 ns R r2 00000001 - 2784210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2784230 ns R r2 80000000 - 2784230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2784250 ns R psr 81000200 - 2784250 ns MR4_I 00000238 48054770 - 2784270 ns MR4_I 00000230 07d2684a - 2784290 ns IT 00000230 684a LDR r2,[r1,#4] - 2784310 ns MR4_I 00000234 6008d1fc - 2784370 ns MR4_D 40006004 00000001 - 2784370 ns R r2 00000001 - 2784370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2784390 ns R r2 80000000 - 2784390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2784410 ns R psr 81000200 - 2784410 ns MR4_I 00000238 48054770 - 2784430 ns MR4_I 00000230 07d2684a - 2784450 ns IT 00000230 684a LDR r2,[r1,#4] - 2784470 ns MR4_I 00000234 6008d1fc - 2784530 ns MR4_D 40006004 00000001 - 2784530 ns R r2 00000001 - 2784530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2784550 ns R r2 80000000 - 2784550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2784570 ns R psr 81000200 - 2784570 ns MR4_I 00000238 48054770 - 2784590 ns MR4_I 00000230 07d2684a - 2784610 ns IT 00000230 684a LDR r2,[r1,#4] - 2784630 ns MR4_I 00000234 6008d1fc - 2784690 ns MR4_D 40006004 00000001 - 2784690 ns R r2 00000001 - 2784690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2784710 ns R r2 80000000 - 2784710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2784730 ns R psr 81000200 - 2784730 ns MR4_I 00000238 48054770 - 2784750 ns MR4_I 00000230 07d2684a - 2784770 ns IT 00000230 684a LDR r2,[r1,#4] - 2784790 ns MR4_I 00000234 6008d1fc - 2784850 ns MR4_D 40006004 00000001 - 2784850 ns R r2 00000001 - 2784850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2784870 ns R r2 80000000 - 2784870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2784890 ns R psr 81000200 - 2784890 ns MR4_I 00000238 48054770 - 2784910 ns MR4_I 00000230 07d2684a - 2784930 ns IT 00000230 684a LDR r2,[r1,#4] - 2784950 ns MR4_I 00000234 6008d1fc - 2785010 ns MR4_D 40006004 00000001 - 2785010 ns R r2 00000001 - 2785010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2785030 ns R r2 80000000 - 2785030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2785050 ns R psr 81000200 - 2785050 ns MR4_I 00000238 48054770 - 2785070 ns MR4_I 00000230 07d2684a - 2785090 ns IT 00000230 684a LDR r2,[r1,#4] - 2785110 ns MR4_I 00000234 6008d1fc - 2785170 ns MR4_D 40006004 00000001 - 2785170 ns R r2 00000001 - 2785170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2785190 ns R r2 80000000 - 2785190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2785210 ns R psr 81000200 - 2785210 ns MR4_I 00000238 48054770 - 2785230 ns MR4_I 00000230 07d2684a - 2785250 ns IT 00000230 684a LDR r2,[r1,#4] - 2785270 ns MR4_I 00000234 6008d1fc - 2785330 ns MR4_D 40006004 00000001 - 2785330 ns R r2 00000001 - 2785330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2785350 ns R r2 80000000 - 2785350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2785370 ns R psr 81000200 - 2785370 ns MR4_I 00000238 48054770 - 2785390 ns MR4_I 00000230 07d2684a - 2785410 ns IT 00000230 684a LDR r2,[r1,#4] - 2785430 ns MR4_I 00000234 6008d1fc - 2785490 ns MR4_D 40006004 00000001 - 2785490 ns R r2 00000001 - 2785490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2785510 ns R r2 80000000 - 2785510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2785530 ns R psr 81000200 - 2785530 ns MR4_I 00000238 48054770 - 2785550 ns MR4_I 00000230 07d2684a - 2785570 ns IT 00000230 684a LDR r2,[r1,#4] - 2785590 ns MR4_I 00000234 6008d1fc - 2785650 ns MR4_D 40006004 00000001 - 2785650 ns R r2 00000001 - 2785650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2785670 ns R r2 80000000 - 2785670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2785690 ns R psr 81000200 - 2785690 ns MR4_I 00000238 48054770 - 2785710 ns MR4_I 00000230 07d2684a - 2785730 ns IT 00000230 684a LDR r2,[r1,#4] - 2785750 ns MR4_I 00000234 6008d1fc - 2785810 ns MR4_D 40006004 00000001 - 2785810 ns R r2 00000001 - 2785810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2785830 ns R r2 80000000 - 2785830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2785850 ns R psr 81000200 - 2785850 ns MR4_I 00000238 48054770 - 2785870 ns MR4_I 00000230 07d2684a - 2785890 ns IT 00000230 684a LDR r2,[r1,#4] - 2785910 ns MR4_I 00000234 6008d1fc - 2785970 ns MR4_D 40006004 00000001 - 2785970 ns R r2 00000001 - 2785970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2785990 ns R r2 80000000 - 2785990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2786010 ns R psr 81000200 - 2786010 ns MR4_I 00000238 48054770 - 2786030 ns MR4_I 00000230 07d2684a - 2786050 ns IT 00000230 684a LDR r2,[r1,#4] - 2786070 ns MR4_I 00000234 6008d1fc - 2786130 ns MR4_D 40006004 00000001 - 2786130 ns R r2 00000001 - 2786130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2786150 ns R r2 80000000 - 2786150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2786170 ns R psr 81000200 - 2786170 ns MR4_I 00000238 48054770 - 2786190 ns MR4_I 00000230 07d2684a - 2786210 ns IT 00000230 684a LDR r2,[r1,#4] - 2786230 ns MR4_I 00000234 6008d1fc - 2786290 ns MR4_D 40006004 00000001 - 2786290 ns R r2 00000001 - 2786290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2786310 ns R r2 80000000 - 2786310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2786330 ns R psr 81000200 - 2786330 ns MR4_I 00000238 48054770 - 2786350 ns MR4_I 00000230 07d2684a - 2786370 ns IT 00000230 684a LDR r2,[r1,#4] - 2786390 ns MR4_I 00000234 6008d1fc - 2786450 ns MR4_D 40006004 00000001 - 2786450 ns R r2 00000001 - 2786450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2786470 ns R r2 80000000 - 2786470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2786490 ns R psr 81000200 - 2786490 ns MR4_I 00000238 48054770 - 2786510 ns MR4_I 00000230 07d2684a - 2786530 ns IT 00000230 684a LDR r2,[r1,#4] - 2786550 ns MR4_I 00000234 6008d1fc - 2786610 ns MR4_D 40006004 00000001 - 2786610 ns R r2 00000001 - 2786610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2786630 ns R r2 80000000 - 2786630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2786650 ns R psr 81000200 - 2786650 ns MR4_I 00000238 48054770 - 2786670 ns MR4_I 00000230 07d2684a - 2786690 ns IT 00000230 684a LDR r2,[r1,#4] - 2786710 ns MR4_I 00000234 6008d1fc - 2786770 ns MR4_D 40006004 00000001 - 2786770 ns R r2 00000001 - 2786770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2786790 ns R r2 80000000 - 2786790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2786810 ns R psr 81000200 - 2786810 ns MR4_I 00000238 48054770 - 2786830 ns MR4_I 00000230 07d2684a - 2786850 ns IT 00000230 684a LDR r2,[r1,#4] - 2786870 ns MR4_I 00000234 6008d1fc - 2786930 ns MR4_D 40006004 00000001 - 2786930 ns R r2 00000001 - 2786930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2786950 ns R r2 80000000 - 2786950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2786970 ns R psr 81000200 - 2786970 ns MR4_I 00000238 48054770 - 2786990 ns MR4_I 00000230 07d2684a - 2787010 ns IT 00000230 684a LDR r2,[r1,#4] - 2787030 ns MR4_I 00000234 6008d1fc - 2787090 ns MR4_D 40006004 00000001 - 2787090 ns R r2 00000001 - 2787090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2787110 ns R r2 80000000 - 2787110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2787130 ns R psr 81000200 - 2787130 ns MR4_I 00000238 48054770 - 2787150 ns MR4_I 00000230 07d2684a - 2787170 ns IT 00000230 684a LDR r2,[r1,#4] - 2787190 ns MR4_I 00000234 6008d1fc - 2787250 ns MR4_D 40006004 00000001 - 2787250 ns R r2 00000001 - 2787250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2787270 ns R r2 80000000 - 2787270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2787290 ns R psr 81000200 - 2787290 ns MR4_I 00000238 48054770 - 2787310 ns MR4_I 00000230 07d2684a - 2787330 ns IT 00000230 684a LDR r2,[r1,#4] - 2787350 ns MR4_I 00000234 6008d1fc - 2787410 ns MR4_D 40006004 00000001 - 2787410 ns R r2 00000001 - 2787410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2787430 ns R r2 80000000 - 2787430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2787450 ns R psr 81000200 - 2787450 ns MR4_I 00000238 48054770 - 2787470 ns MR4_I 00000230 07d2684a - 2787490 ns IT 00000230 684a LDR r2,[r1,#4] - 2787510 ns MR4_I 00000234 6008d1fc - 2787570 ns MR4_D 40006004 00000001 - 2787570 ns R r2 00000001 - 2787570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2787590 ns R r2 80000000 - 2787590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2787610 ns R psr 81000200 - 2787610 ns MR4_I 00000238 48054770 - 2787630 ns MR4_I 00000230 07d2684a - 2787650 ns IT 00000230 684a LDR r2,[r1,#4] - 2787670 ns MR4_I 00000234 6008d1fc - 2787730 ns MR4_D 40006004 00000001 - 2787730 ns R r2 00000001 - 2787730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2787750 ns R r2 80000000 - 2787750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2787770 ns R psr 81000200 - 2787770 ns MR4_I 00000238 48054770 - 2787790 ns MR4_I 00000230 07d2684a - 2787810 ns IT 00000230 684a LDR r2,[r1,#4] - 2787830 ns MR4_I 00000234 6008d1fc - 2787890 ns MR4_D 40006004 00000001 - 2787890 ns R r2 00000001 - 2787890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2787910 ns R r2 80000000 - 2787910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2787930 ns R psr 81000200 - 2787930 ns MR4_I 00000238 48054770 - 2787950 ns MR4_I 00000230 07d2684a - 2787970 ns IT 00000230 684a LDR r2,[r1,#4] - 2787990 ns MR4_I 00000234 6008d1fc - 2788050 ns MR4_D 40006004 00000001 - 2788050 ns R r2 00000001 - 2788050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2788070 ns R r2 80000000 - 2788070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2788090 ns R psr 81000200 - 2788090 ns MR4_I 00000238 48054770 - 2788110 ns MR4_I 00000230 07d2684a - 2788130 ns IT 00000230 684a LDR r2,[r1,#4] - 2788150 ns MR4_I 00000234 6008d1fc - 2788210 ns MR4_D 40006004 00000001 - 2788210 ns R r2 00000001 - 2788210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2788230 ns R r2 80000000 - 2788230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2788250 ns R psr 81000200 - 2788250 ns MR4_I 00000238 48054770 - 2788270 ns MR4_I 00000230 07d2684a - 2788290 ns IT 00000230 684a LDR r2,[r1,#4] - 2788310 ns MR4_I 00000234 6008d1fc - 2788370 ns MR4_D 40006004 00000001 - 2788370 ns R r2 00000001 - 2788370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2788390 ns R r2 80000000 - 2788390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2788410 ns R psr 81000200 - 2788410 ns MR4_I 00000238 48054770 - 2788430 ns MR4_I 00000230 07d2684a - 2788450 ns IT 00000230 684a LDR r2,[r1,#4] - 2788470 ns MR4_I 00000234 6008d1fc - 2788530 ns MR4_D 40006004 00000001 - 2788530 ns R r2 00000001 - 2788530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2788550 ns R r2 80000000 - 2788550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2788570 ns R psr 81000200 - 2788570 ns MR4_I 00000238 48054770 - 2788590 ns MR4_I 00000230 07d2684a - 2788610 ns IT 00000230 684a LDR r2,[r1,#4] - 2788630 ns MR4_I 00000234 6008d1fc - 2788690 ns MR4_D 40006004 00000001 - 2788690 ns R r2 00000001 - 2788690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2788710 ns R r2 80000000 - 2788710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2788730 ns R psr 81000200 - 2788730 ns MR4_I 00000238 48054770 - 2788750 ns MR4_I 00000230 07d2684a - 2788770 ns IT 00000230 684a LDR r2,[r1,#4] - 2788790 ns MR4_I 00000234 6008d1fc - 2788850 ns MR4_D 40006004 00000001 - 2788850 ns R r2 00000001 - 2788850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2788870 ns R r2 80000000 - 2788870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2788890 ns R psr 81000200 - 2788890 ns MR4_I 00000238 48054770 - 2788910 ns MR4_I 00000230 07d2684a - 2788930 ns IT 00000230 684a LDR r2,[r1,#4] - 2788950 ns MR4_I 00000234 6008d1fc - 2789010 ns MR4_D 40006004 00000001 - 2789010 ns R r2 00000001 - 2789010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2789030 ns R r2 80000000 - 2789030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2789050 ns R psr 81000200 - 2789050 ns MR4_I 00000238 48054770 - 2789070 ns MR4_I 00000230 07d2684a - 2789090 ns IT 00000230 684a LDR r2,[r1,#4] - 2789110 ns MR4_I 00000234 6008d1fc - 2789170 ns MR4_D 40006004 00000001 - 2789170 ns R r2 00000001 - 2789170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2789190 ns R r2 80000000 - 2789190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2789210 ns R psr 81000200 - 2789210 ns MR4_I 00000238 48054770 - 2789230 ns MR4_I 00000230 07d2684a - 2789250 ns IT 00000230 684a LDR r2,[r1,#4] - 2789270 ns MR4_I 00000234 6008d1fc - 2789330 ns MR4_D 40006004 00000001 - 2789330 ns R r2 00000001 - 2789330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2789350 ns R r2 80000000 - 2789350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2789370 ns R psr 81000200 - 2789370 ns MR4_I 00000238 48054770 - 2789390 ns MR4_I 00000230 07d2684a - 2789410 ns IT 00000230 684a LDR r2,[r1,#4] - 2789430 ns MR4_I 00000234 6008d1fc - 2789490 ns MR4_D 40006004 00000001 - 2789490 ns R r2 00000001 - 2789490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2789510 ns R r2 80000000 - 2789510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2789530 ns R psr 81000200 - 2789530 ns MR4_I 00000238 48054770 - 2789550 ns MR4_I 00000230 07d2684a - 2789570 ns IT 00000230 684a LDR r2,[r1,#4] - 2789590 ns MR4_I 00000234 6008d1fc - 2789650 ns MR4_D 40006004 00000001 - 2789650 ns R r2 00000001 - 2789650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2789670 ns R r2 80000000 - 2789670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2789690 ns R psr 81000200 - 2789690 ns MR4_I 00000238 48054770 - 2789710 ns MR4_I 00000230 07d2684a - 2789730 ns IT 00000230 684a LDR r2,[r1,#4] - 2789750 ns MR4_I 00000234 6008d1fc - 2789810 ns MR4_D 40006004 00000001 - 2789810 ns R r2 00000001 - 2789810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2789830 ns R r2 80000000 - 2789830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2789850 ns R psr 81000200 - 2789850 ns MR4_I 00000238 48054770 - 2789870 ns MR4_I 00000230 07d2684a - 2789890 ns IT 00000230 684a LDR r2,[r1,#4] - 2789910 ns MR4_I 00000234 6008d1fc - 2789970 ns MR4_D 40006004 00000001 - 2789970 ns R r2 00000001 - 2789970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2789990 ns R r2 80000000 - 2789990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2790010 ns R psr 81000200 - 2790010 ns MR4_I 00000238 48054770 - 2790030 ns MR4_I 00000230 07d2684a - 2790050 ns IT 00000230 684a LDR r2,[r1,#4] - 2790070 ns MR4_I 00000234 6008d1fc - 2790130 ns MR4_D 40006004 00000001 - 2790130 ns R r2 00000001 - 2790130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2790150 ns R r2 80000000 - 2790150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2790170 ns R psr 81000200 - 2790170 ns MR4_I 00000238 48054770 - 2790190 ns MR4_I 00000230 07d2684a - 2790210 ns IT 00000230 684a LDR r2,[r1,#4] - 2790230 ns MR4_I 00000234 6008d1fc - 2790290 ns MR4_D 40006004 00000001 - 2790290 ns R r2 00000001 - 2790290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2790310 ns R r2 80000000 - 2790310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2790330 ns R psr 81000200 - 2790330 ns MR4_I 00000238 48054770 - 2790350 ns MR4_I 00000230 07d2684a - 2790370 ns IT 00000230 684a LDR r2,[r1,#4] - 2790390 ns MR4_I 00000234 6008d1fc - 2790450 ns MR4_D 40006004 00000001 - 2790450 ns R r2 00000001 - 2790450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2790470 ns R r2 80000000 - 2790470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2790490 ns R psr 81000200 - 2790490 ns MR4_I 00000238 48054770 - 2790510 ns MR4_I 00000230 07d2684a - 2790530 ns IT 00000230 684a LDR r2,[r1,#4] - 2790550 ns MR4_I 00000234 6008d1fc - 2790610 ns MR4_D 40006004 00000001 - 2790610 ns R r2 00000001 - 2790610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2790630 ns R r2 80000000 - 2790630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2790650 ns R psr 81000200 - 2790650 ns MR4_I 00000238 48054770 - 2790670 ns MR4_I 00000230 07d2684a - 2790690 ns IT 00000230 684a LDR r2,[r1,#4] - 2790710 ns MR4_I 00000234 6008d1fc - 2790770 ns MR4_D 40006004 00000001 - 2790770 ns R r2 00000001 - 2790770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2790790 ns R r2 80000000 - 2790790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2790810 ns R psr 81000200 - 2790810 ns MR4_I 00000238 48054770 - 2790830 ns MR4_I 00000230 07d2684a - 2790850 ns IT 00000230 684a LDR r2,[r1,#4] - 2790870 ns MR4_I 00000234 6008d1fc - 2790930 ns MR4_D 40006004 00000001 - 2790930 ns R r2 00000001 - 2790930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2790950 ns R r2 80000000 - 2790950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2790970 ns R psr 81000200 - 2790970 ns MR4_I 00000238 48054770 - 2790990 ns MR4_I 00000230 07d2684a - 2791010 ns IT 00000230 684a LDR r2,[r1,#4] - 2791030 ns MR4_I 00000234 6008d1fc - 2791090 ns MR4_D 40006004 00000001 - 2791090 ns R r2 00000001 - 2791090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2791110 ns R r2 80000000 - 2791110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2791130 ns R psr 81000200 - 2791130 ns MR4_I 00000238 48054770 - 2791150 ns MR4_I 00000230 07d2684a - 2791170 ns IT 00000230 684a LDR r2,[r1,#4] - 2791190 ns MR4_I 00000234 6008d1fc - 2791250 ns MR4_D 40006004 00000001 - 2791250 ns R r2 00000001 - 2791250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2791270 ns R r2 80000000 - 2791270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2791290 ns R psr 81000200 - 2791290 ns MR4_I 00000238 48054770 - 2791310 ns MR4_I 00000230 07d2684a - 2791330 ns IT 00000230 684a LDR r2,[r1,#4] - 2791350 ns MR4_I 00000234 6008d1fc - 2791410 ns MR4_D 40006004 00000001 - 2791410 ns R r2 00000001 - 2791410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2791430 ns R r2 80000000 - 2791430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2791450 ns R psr 81000200 - 2791450 ns MR4_I 00000238 48054770 - 2791470 ns MR4_I 00000230 07d2684a - 2791490 ns IT 00000230 684a LDR r2,[r1,#4] - 2791510 ns MR4_I 00000234 6008d1fc - 2791570 ns MR4_D 40006004 00000001 - 2791570 ns R r2 00000001 - 2791570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2791590 ns R r2 80000000 - 2791590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2791610 ns R psr 81000200 - 2791610 ns MR4_I 00000238 48054770 - 2791630 ns MR4_I 00000230 07d2684a - 2791650 ns IT 00000230 684a LDR r2,[r1,#4] - 2791670 ns MR4_I 00000234 6008d1fc - 2791730 ns MR4_D 40006004 00000001 - 2791730 ns R r2 00000001 - 2791730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2791750 ns R r2 80000000 - 2791750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2791770 ns R psr 81000200 - 2791770 ns MR4_I 00000238 48054770 - 2791790 ns MR4_I 00000230 07d2684a - 2791810 ns IT 00000230 684a LDR r2,[r1,#4] - 2791830 ns MR4_I 00000234 6008d1fc - 2791890 ns MR4_D 40006004 00000001 - 2791890 ns R r2 00000001 - 2791890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2791910 ns R r2 80000000 - 2791910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2791930 ns R psr 81000200 - 2791930 ns MR4_I 00000238 48054770 - 2791950 ns MR4_I 00000230 07d2684a - 2791970 ns IT 00000230 684a LDR r2,[r1,#4] - 2791990 ns MR4_I 00000234 6008d1fc - 2792050 ns MR4_D 40006004 00000001 - 2792050 ns R r2 00000001 - 2792050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2792070 ns R r2 80000000 - 2792070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2792090 ns R psr 81000200 - 2792090 ns MR4_I 00000238 48054770 - 2792110 ns MR4_I 00000230 07d2684a - 2792130 ns IT 00000230 684a LDR r2,[r1,#4] - 2792150 ns MR4_I 00000234 6008d1fc - 2792210 ns MR4_D 40006004 00000001 - 2792210 ns R r2 00000001 - 2792210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2792230 ns R r2 80000000 - 2792230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2792250 ns R psr 81000200 - 2792250 ns MR4_I 00000238 48054770 - 2792270 ns MR4_I 00000230 07d2684a - 2792290 ns IT 00000230 684a LDR r2,[r1,#4] - 2792310 ns MR4_I 00000234 6008d1fc - 2792370 ns MR4_D 40006004 00000001 - 2792370 ns R r2 00000001 - 2792370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2792390 ns R r2 80000000 - 2792390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2792410 ns R psr 81000200 - 2792410 ns MR4_I 00000238 48054770 - 2792430 ns MR4_I 00000230 07d2684a - 2792450 ns IT 00000230 684a LDR r2,[r1,#4] - 2792470 ns MR4_I 00000234 6008d1fc - 2792530 ns MR4_D 40006004 00000001 - 2792530 ns R r2 00000001 - 2792530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2792550 ns R r2 80000000 - 2792550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2792570 ns R psr 81000200 - 2792570 ns MR4_I 00000238 48054770 - 2792590 ns MR4_I 00000230 07d2684a - 2792610 ns IT 00000230 684a LDR r2,[r1,#4] - 2792630 ns MR4_I 00000234 6008d1fc - 2792690 ns MR4_D 40006004 00000001 - 2792690 ns R r2 00000001 - 2792690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2792710 ns R r2 80000000 - 2792710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2792730 ns R psr 81000200 - 2792730 ns MR4_I 00000238 48054770 - 2792750 ns MR4_I 00000230 07d2684a - 2792770 ns IT 00000230 684a LDR r2,[r1,#4] - 2792790 ns MR4_I 00000234 6008d1fc - 2792850 ns MR4_D 40006004 00000001 - 2792850 ns R r2 00000001 - 2792850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2792870 ns R r2 80000000 - 2792870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2792890 ns R psr 81000200 - 2792890 ns MR4_I 00000238 48054770 - 2792910 ns MR4_I 00000230 07d2684a - 2792930 ns IT 00000230 684a LDR r2,[r1,#4] - 2792950 ns MR4_I 00000234 6008d1fc - 2793010 ns MR4_D 40006004 00000001 - 2793010 ns R r2 00000001 - 2793010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2793030 ns R r2 80000000 - 2793030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2793050 ns R psr 81000200 - 2793050 ns MR4_I 00000238 48054770 - 2793070 ns MR4_I 00000230 07d2684a - 2793090 ns IT 00000230 684a LDR r2,[r1,#4] - 2793110 ns MR4_I 00000234 6008d1fc - 2793170 ns MR4_D 40006004 00000001 - 2793170 ns R r2 00000001 - 2793170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2793190 ns R r2 80000000 - 2793190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2793210 ns R psr 81000200 - 2793210 ns MR4_I 00000238 48054770 - 2793230 ns MR4_I 00000230 07d2684a - 2793250 ns IT 00000230 684a LDR r2,[r1,#4] - 2793270 ns MR4_I 00000234 6008d1fc - 2793330 ns MR4_D 40006004 00000001 - 2793330 ns R r2 00000001 - 2793330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2793350 ns R r2 80000000 - 2793350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2793370 ns R psr 81000200 - 2793370 ns MR4_I 00000238 48054770 - 2793390 ns MR4_I 00000230 07d2684a - 2793410 ns IT 00000230 684a LDR r2,[r1,#4] - 2793430 ns MR4_I 00000234 6008d1fc - 2793490 ns MR4_D 40006004 00000001 - 2793490 ns R r2 00000001 - 2793490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2793510 ns R r2 80000000 - 2793510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2793530 ns R psr 81000200 - 2793530 ns MR4_I 00000238 48054770 - 2793550 ns MR4_I 00000230 07d2684a - 2793570 ns IT 00000230 684a LDR r2,[r1,#4] - 2793590 ns MR4_I 00000234 6008d1fc - 2793650 ns MR4_D 40006004 00000001 - 2793650 ns R r2 00000001 - 2793650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2793670 ns R r2 80000000 - 2793670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2793690 ns R psr 81000200 - 2793690 ns MR4_I 00000238 48054770 - 2793710 ns MR4_I 00000230 07d2684a - 2793730 ns IT 00000230 684a LDR r2,[r1,#4] - 2793750 ns MR4_I 00000234 6008d1fc - 2793810 ns MR4_D 40006004 00000001 - 2793810 ns R r2 00000001 - 2793810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2793830 ns R r2 80000000 - 2793830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2793850 ns R psr 81000200 - 2793850 ns MR4_I 00000238 48054770 - 2793870 ns MR4_I 00000230 07d2684a - 2793890 ns IT 00000230 684a LDR r2,[r1,#4] - 2793910 ns MR4_I 00000234 6008d1fc - 2793970 ns MR4_D 40006004 00000001 - 2793970 ns R r2 00000001 - 2793970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2793990 ns R r2 80000000 - 2793990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2794010 ns R psr 81000200 - 2794010 ns MR4_I 00000238 48054770 - 2794030 ns MR4_I 00000230 07d2684a - 2794050 ns IT 00000230 684a LDR r2,[r1,#4] - 2794070 ns MR4_I 00000234 6008d1fc - 2794130 ns MR4_D 40006004 00000001 - 2794130 ns R r2 00000001 - 2794130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2794150 ns R r2 80000000 - 2794150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2794170 ns R psr 81000200 - 2794170 ns MR4_I 00000238 48054770 - 2794190 ns MR4_I 00000230 07d2684a - 2794210 ns IT 00000230 684a LDR r2,[r1,#4] - 2794230 ns MR4_I 00000234 6008d1fc - 2794290 ns MR4_D 40006004 00000001 - 2794290 ns R r2 00000001 - 2794290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2794310 ns R r2 80000000 - 2794310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2794330 ns R psr 81000200 - 2794330 ns MR4_I 00000238 48054770 - 2794350 ns MR4_I 00000230 07d2684a - 2794370 ns IT 00000230 684a LDR r2,[r1,#4] - 2794390 ns MR4_I 00000234 6008d1fc - 2794450 ns MR4_D 40006004 00000001 - 2794450 ns R r2 00000001 - 2794450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2794470 ns R r2 80000000 - 2794470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2794490 ns R psr 81000200 - 2794490 ns MR4_I 00000238 48054770 - 2794510 ns MR4_I 00000230 07d2684a - 2794530 ns IT 00000230 684a LDR r2,[r1,#4] - 2794550 ns MR4_I 00000234 6008d1fc - 2794610 ns MR4_D 40006004 00000001 - 2794610 ns R r2 00000001 - 2794610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2794630 ns R r2 80000000 - 2794630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2794650 ns R psr 81000200 - 2794650 ns MR4_I 00000238 48054770 - 2794670 ns MR4_I 00000230 07d2684a - 2794690 ns IT 00000230 684a LDR r2,[r1,#4] - 2794710 ns MR4_I 00000234 6008d1fc - 2794770 ns MR4_D 40006004 00000001 - 2794770 ns R r2 00000001 - 2794770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2794790 ns R r2 80000000 - 2794790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2794810 ns R psr 81000200 - 2794810 ns MR4_I 00000238 48054770 - 2794830 ns MR4_I 00000230 07d2684a - 2794850 ns IT 00000230 684a LDR r2,[r1,#4] - 2794870 ns MR4_I 00000234 6008d1fc - 2794930 ns MR4_D 40006004 00000001 - 2794930 ns R r2 00000001 - 2794930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2794950 ns R r2 80000000 - 2794950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2794970 ns R psr 81000200 - 2794970 ns MR4_I 00000238 48054770 - 2794990 ns MR4_I 00000230 07d2684a - 2795010 ns IT 00000230 684a LDR r2,[r1,#4] - 2795030 ns MR4_I 00000234 6008d1fc - 2795090 ns MR4_D 40006004 00000001 - 2795090 ns R r2 00000001 - 2795090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2795110 ns R r2 80000000 - 2795110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2795130 ns R psr 81000200 - 2795130 ns MR4_I 00000238 48054770 - 2795150 ns MR4_I 00000230 07d2684a - 2795170 ns IT 00000230 684a LDR r2,[r1,#4] - 2795190 ns MR4_I 00000234 6008d1fc - 2795250 ns MR4_D 40006004 00000001 - 2795250 ns R r2 00000001 - 2795250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2795270 ns R r2 80000000 - 2795270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2795290 ns R psr 81000200 - 2795290 ns MR4_I 00000238 48054770 - 2795310 ns MR4_I 00000230 07d2684a - 2795330 ns IT 00000230 684a LDR r2,[r1,#4] - 2795350 ns MR4_I 00000234 6008d1fc - 2795410 ns MR4_D 40006004 00000001 - 2795410 ns R r2 00000001 - 2795410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2795430 ns R r2 80000000 - 2795430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2795450 ns R psr 81000200 - 2795450 ns MR4_I 00000238 48054770 - 2795470 ns MR4_I 00000230 07d2684a - 2795490 ns IT 00000230 684a LDR r2,[r1,#4] - 2795510 ns MR4_I 00000234 6008d1fc - 2795570 ns MR4_D 40006004 00000001 - 2795570 ns R r2 00000001 - 2795570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2795590 ns R r2 80000000 - 2795590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2795610 ns R psr 81000200 - 2795610 ns MR4_I 00000238 48054770 - 2795630 ns MR4_I 00000230 07d2684a - 2795650 ns IT 00000230 684a LDR r2,[r1,#4] - 2795670 ns MR4_I 00000234 6008d1fc - 2795730 ns MR4_D 40006004 00000001 - 2795730 ns R r2 00000001 - 2795730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2795750 ns R r2 80000000 - 2795750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2795770 ns R psr 81000200 - 2795770 ns MR4_I 00000238 48054770 - 2795790 ns MR4_I 00000230 07d2684a - 2795810 ns IT 00000230 684a LDR r2,[r1,#4] - 2795830 ns MR4_I 00000234 6008d1fc - 2795890 ns MR4_D 40006004 00000001 - 2795890 ns R r2 00000001 - 2795890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2795910 ns R r2 80000000 - 2795910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2795930 ns R psr 81000200 - 2795930 ns MR4_I 00000238 48054770 - 2795950 ns MR4_I 00000230 07d2684a - 2795970 ns IT 00000230 684a LDR r2,[r1,#4] - 2795990 ns MR4_I 00000234 6008d1fc - 2796050 ns MR4_D 40006004 00000001 - 2796050 ns R r2 00000001 - 2796050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2796070 ns R r2 80000000 - 2796070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2796090 ns R psr 81000200 - 2796090 ns MR4_I 00000238 48054770 - 2796110 ns MR4_I 00000230 07d2684a - 2796130 ns IT 00000230 684a LDR r2,[r1,#4] - 2796150 ns MR4_I 00000234 6008d1fc - 2796210 ns MR4_D 40006004 00000001 - 2796210 ns R r2 00000001 - 2796210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2796230 ns R r2 80000000 - 2796230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2796250 ns R psr 81000200 - 2796250 ns MR4_I 00000238 48054770 - 2796270 ns MR4_I 00000230 07d2684a - 2796290 ns IT 00000230 684a LDR r2,[r1,#4] - 2796310 ns MR4_I 00000234 6008d1fc - 2796370 ns MR4_D 40006004 00000001 - 2796370 ns R r2 00000001 - 2796370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2796390 ns R r2 80000000 - 2796390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2796410 ns R psr 81000200 - 2796410 ns MR4_I 00000238 48054770 - 2796430 ns MR4_I 00000230 07d2684a - 2796450 ns IT 00000230 684a LDR r2,[r1,#4] - 2796470 ns MR4_I 00000234 6008d1fc - 2796530 ns MR4_D 40006004 00000001 - 2796530 ns R r2 00000001 - 2796530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2796550 ns R r2 80000000 - 2796550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2796570 ns R psr 81000200 - 2796570 ns MR4_I 00000238 48054770 - 2796590 ns MR4_I 00000230 07d2684a - 2796610 ns IT 00000230 684a LDR r2,[r1,#4] - 2796630 ns MR4_I 00000234 6008d1fc - 2796690 ns MR4_D 40006004 00000001 - 2796690 ns R r2 00000001 - 2796690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2796710 ns R r2 80000000 - 2796710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2796730 ns R psr 81000200 - 2796730 ns MR4_I 00000238 48054770 - 2796750 ns MR4_I 00000230 07d2684a - 2796770 ns IT 00000230 684a LDR r2,[r1,#4] - 2796790 ns MR4_I 00000234 6008d1fc - 2796850 ns MR4_D 40006004 00000001 - 2796850 ns R r2 00000001 - 2796850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2796870 ns R r2 80000000 - 2796870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2796890 ns R psr 81000200 - 2796890 ns MR4_I 00000238 48054770 - 2796910 ns MR4_I 00000230 07d2684a - 2796930 ns IT 00000230 684a LDR r2,[r1,#4] - 2796950 ns MR4_I 00000234 6008d1fc - 2797010 ns MR4_D 40006004 00000001 - 2797010 ns R r2 00000001 - 2797010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2797030 ns R r2 80000000 - 2797030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2797050 ns R psr 81000200 - 2797050 ns MR4_I 00000238 48054770 - 2797070 ns MR4_I 00000230 07d2684a - 2797090 ns IT 00000230 684a LDR r2,[r1,#4] - 2797110 ns MR4_I 00000234 6008d1fc - 2797170 ns MR4_D 40006004 00000001 - 2797170 ns R r2 00000001 - 2797170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2797190 ns R r2 80000000 - 2797190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2797210 ns R psr 81000200 - 2797210 ns MR4_I 00000238 48054770 - 2797230 ns MR4_I 00000230 07d2684a - 2797250 ns IT 00000230 684a LDR r2,[r1,#4] - 2797270 ns MR4_I 00000234 6008d1fc - 2797330 ns MR4_D 40006004 00000001 - 2797330 ns R r2 00000001 - 2797330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2797350 ns R r2 80000000 - 2797350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2797370 ns R psr 81000200 - 2797370 ns MR4_I 00000238 48054770 - 2797390 ns MR4_I 00000230 07d2684a - 2797410 ns IT 00000230 684a LDR r2,[r1,#4] - 2797430 ns MR4_I 00000234 6008d1fc - 2797490 ns MR4_D 40006004 00000001 - 2797490 ns R r2 00000001 - 2797490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2797510 ns R r2 80000000 - 2797510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2797530 ns R psr 81000200 - 2797530 ns MR4_I 00000238 48054770 - 2797550 ns MR4_I 00000230 07d2684a - 2797570 ns IT 00000230 684a LDR r2,[r1,#4] - 2797590 ns MR4_I 00000234 6008d1fc - 2797650 ns MR4_D 40006004 00000001 - 2797650 ns R r2 00000001 - 2797650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2797670 ns R r2 80000000 - 2797670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2797690 ns R psr 81000200 - 2797690 ns MR4_I 00000238 48054770 - 2797710 ns MR4_I 00000230 07d2684a - 2797730 ns IT 00000230 684a LDR r2,[r1,#4] - 2797750 ns MR4_I 00000234 6008d1fc - 2797810 ns MR4_D 40006004 00000001 - 2797810 ns R r2 00000001 - 2797810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2797830 ns R r2 80000000 - 2797830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2797850 ns R psr 81000200 - 2797850 ns MR4_I 00000238 48054770 - 2797870 ns MR4_I 00000230 07d2684a - 2797890 ns IT 00000230 684a LDR r2,[r1,#4] - 2797910 ns MR4_I 00000234 6008d1fc - 2797970 ns MR4_D 40006004 00000001 - 2797970 ns R r2 00000001 - 2797970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2797990 ns R r2 80000000 - 2797990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2798010 ns R psr 81000200 - 2798010 ns MR4_I 00000238 48054770 - 2798030 ns MR4_I 00000230 07d2684a - 2798050 ns IT 00000230 684a LDR r2,[r1,#4] - 2798070 ns MR4_I 00000234 6008d1fc - 2798130 ns MR4_D 40006004 00000001 - 2798130 ns R r2 00000001 - 2798130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2798150 ns R r2 80000000 - 2798150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2798170 ns R psr 81000200 - 2798170 ns MR4_I 00000238 48054770 - 2798190 ns MR4_I 00000230 07d2684a - 2798210 ns IT 00000230 684a LDR r2,[r1,#4] - 2798230 ns MR4_I 00000234 6008d1fc - 2798290 ns MR4_D 40006004 00000001 - 2798290 ns R r2 00000001 - 2798290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2798310 ns R r2 80000000 - 2798310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2798330 ns R psr 81000200 - 2798330 ns MR4_I 00000238 48054770 - 2798350 ns MR4_I 00000230 07d2684a - 2798370 ns IT 00000230 684a LDR r2,[r1,#4] - 2798390 ns MR4_I 00000234 6008d1fc - 2798450 ns MR4_D 40006004 00000001 - 2798450 ns R r2 00000001 - 2798450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2798470 ns R r2 80000000 - 2798470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2798490 ns R psr 81000200 - 2798490 ns MR4_I 00000238 48054770 - 2798510 ns MR4_I 00000230 07d2684a - 2798530 ns IT 00000230 684a LDR r2,[r1,#4] - 2798550 ns MR4_I 00000234 6008d1fc - 2798610 ns MR4_D 40006004 00000001 - 2798610 ns R r2 00000001 - 2798610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2798630 ns R r2 80000000 - 2798630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2798650 ns R psr 81000200 - 2798650 ns MR4_I 00000238 48054770 - 2798670 ns MR4_I 00000230 07d2684a - 2798690 ns IT 00000230 684a LDR r2,[r1,#4] - 2798710 ns MR4_I 00000234 6008d1fc - 2798770 ns MR4_D 40006004 00000001 - 2798770 ns R r2 00000001 - 2798770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2798790 ns R r2 80000000 - 2798790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2798810 ns R psr 81000200 - 2798810 ns MR4_I 00000238 48054770 - 2798830 ns MR4_I 00000230 07d2684a - 2798850 ns IT 00000230 684a LDR r2,[r1,#4] - 2798870 ns MR4_I 00000234 6008d1fc - 2798930 ns MR4_D 40006004 00000001 - 2798930 ns R r2 00000001 - 2798930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2798950 ns R r2 80000000 - 2798950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2798970 ns R psr 81000200 - 2798970 ns MR4_I 00000238 48054770 - 2798990 ns MR4_I 00000230 07d2684a - 2799010 ns IT 00000230 684a LDR r2,[r1,#4] - 2799030 ns MR4_I 00000234 6008d1fc - 2799090 ns MR4_D 40006004 00000001 - 2799090 ns R r2 00000001 - 2799090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2799110 ns R r2 80000000 - 2799110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2799130 ns R psr 81000200 - 2799130 ns MR4_I 00000238 48054770 - 2799150 ns MR4_I 00000230 07d2684a - 2799170 ns IT 00000230 684a LDR r2,[r1,#4] - 2799190 ns MR4_I 00000234 6008d1fc - 2799250 ns MR4_D 40006004 00000001 - 2799250 ns R r2 00000001 - 2799250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2799270 ns R r2 80000000 - 2799270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2799290 ns R psr 81000200 - 2799290 ns MR4_I 00000238 48054770 - 2799310 ns MR4_I 00000230 07d2684a - 2799330 ns IT 00000230 684a LDR r2,[r1,#4] - 2799350 ns MR4_I 00000234 6008d1fc - 2799410 ns MR4_D 40006004 00000001 - 2799410 ns R r2 00000001 - 2799410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2799430 ns R r2 80000000 - 2799430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2799450 ns R psr 81000200 - 2799450 ns MR4_I 00000238 48054770 - 2799470 ns MR4_I 00000230 07d2684a - 2799490 ns IT 00000230 684a LDR r2,[r1,#4] - 2799510 ns MR4_I 00000234 6008d1fc - 2799570 ns MR4_D 40006004 00000001 - 2799570 ns R r2 00000001 - 2799570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2799590 ns R r2 80000000 - 2799590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2799610 ns R psr 81000200 - 2799610 ns MR4_I 00000238 48054770 - 2799630 ns MR4_I 00000230 07d2684a - 2799650 ns IT 00000230 684a LDR r2,[r1,#4] - 2799670 ns MR4_I 00000234 6008d1fc - 2799730 ns MR4_D 40006004 00000001 - 2799730 ns R r2 00000001 - 2799730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2799750 ns R r2 80000000 - 2799750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2799770 ns R psr 81000200 - 2799770 ns MR4_I 00000238 48054770 - 2799790 ns MR4_I 00000230 07d2684a - 2799810 ns IT 00000230 684a LDR r2,[r1,#4] - 2799830 ns MR4_I 00000234 6008d1fc - 2799890 ns MR4_D 40006004 00000001 - 2799890 ns R r2 00000001 - 2799890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2799910 ns R r2 80000000 - 2799910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2799930 ns R psr 81000200 - 2799930 ns MR4_I 00000238 48054770 - 2799950 ns MR4_I 00000230 07d2684a - 2799970 ns IT 00000230 684a LDR r2,[r1,#4] - 2799990 ns MR4_I 00000234 6008d1fc - 2800050 ns MR4_D 40006004 00000001 - 2800050 ns R r2 00000001 - 2800050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2800070 ns R r2 80000000 - 2800070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2800090 ns R psr 81000200 - 2800090 ns MR4_I 00000238 48054770 - 2800110 ns MR4_I 00000230 07d2684a - 2800130 ns IT 00000230 684a LDR r2,[r1,#4] - 2800150 ns MR4_I 00000234 6008d1fc - 2800210 ns MR4_D 40006004 00000001 - 2800210 ns R r2 00000001 - 2800210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2800230 ns R r2 80000000 - 2800230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2800250 ns R psr 81000200 - 2800250 ns MR4_I 00000238 48054770 - 2800270 ns MR4_I 00000230 07d2684a - 2800290 ns IT 00000230 684a LDR r2,[r1,#4] - 2800310 ns MR4_I 00000234 6008d1fc - 2800370 ns MR4_D 40006004 00000001 - 2800370 ns R r2 00000001 - 2800370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2800390 ns R r2 80000000 - 2800390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2800410 ns R psr 81000200 - 2800410 ns MR4_I 00000238 48054770 - 2800430 ns MR4_I 00000230 07d2684a - 2800450 ns IT 00000230 684a LDR r2,[r1,#4] - 2800470 ns MR4_I 00000234 6008d1fc - 2800530 ns MR4_D 40006004 00000001 - 2800530 ns R r2 00000001 - 2800530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2800550 ns R r2 80000000 - 2800550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2800570 ns R psr 81000200 - 2800570 ns MR4_I 00000238 48054770 - 2800590 ns MR4_I 00000230 07d2684a - 2800610 ns IT 00000230 684a LDR r2,[r1,#4] - 2800630 ns MR4_I 00000234 6008d1fc - 2800690 ns MR4_D 40006004 00000001 - 2800690 ns R r2 00000001 - 2800690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2800710 ns R r2 80000000 - 2800710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2800730 ns R psr 81000200 - 2800730 ns MR4_I 00000238 48054770 - 2800750 ns MR4_I 00000230 07d2684a - 2800770 ns IT 00000230 684a LDR r2,[r1,#4] - 2800790 ns MR4_I 00000234 6008d1fc - 2800850 ns MR4_D 40006004 00000001 - 2800850 ns R r2 00000001 - 2800850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2800870 ns R r2 80000000 - 2800870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2800890 ns R psr 81000200 - 2800890 ns MR4_I 00000238 48054770 - 2800910 ns MR4_I 00000230 07d2684a - 2800930 ns IT 00000230 684a LDR r2,[r1,#4] - 2800950 ns MR4_I 00000234 6008d1fc - 2801010 ns MR4_D 40006004 00000001 - 2801010 ns R r2 00000001 - 2801010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2801030 ns R r2 80000000 - 2801030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2801050 ns R psr 81000200 - 2801050 ns MR4_I 00000238 48054770 - 2801070 ns MR4_I 00000230 07d2684a - 2801090 ns IT 00000230 684a LDR r2,[r1,#4] - 2801110 ns MR4_I 00000234 6008d1fc - 2801170 ns MR4_D 40006004 00000001 - 2801170 ns R r2 00000001 - 2801170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2801190 ns R r2 80000000 - 2801190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2801210 ns R psr 81000200 - 2801210 ns MR4_I 00000238 48054770 - 2801230 ns MR4_I 00000230 07d2684a - 2801250 ns IT 00000230 684a LDR r2,[r1,#4] - 2801270 ns MR4_I 00000234 6008d1fc - 2801330 ns MR4_D 40006004 00000001 - 2801330 ns R r2 00000001 - 2801330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2801350 ns R r2 80000000 - 2801350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2801370 ns R psr 81000200 - 2801370 ns MR4_I 00000238 48054770 - 2801390 ns MR4_I 00000230 07d2684a - 2801410 ns IT 00000230 684a LDR r2,[r1,#4] - 2801430 ns MR4_I 00000234 6008d1fc - 2801490 ns MR4_D 40006004 00000001 - 2801490 ns R r2 00000001 - 2801490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2801510 ns R r2 80000000 - 2801510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2801530 ns R psr 81000200 - 2801530 ns MR4_I 00000238 48054770 - 2801550 ns MR4_I 00000230 07d2684a - 2801570 ns IT 00000230 684a LDR r2,[r1,#4] - 2801590 ns MR4_I 00000234 6008d1fc - 2801650 ns MR4_D 40006004 00000001 - 2801650 ns R r2 00000001 - 2801650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2801670 ns R r2 80000000 - 2801670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2801690 ns R psr 81000200 - 2801690 ns MR4_I 00000238 48054770 - 2801710 ns MR4_I 00000230 07d2684a - 2801730 ns IT 00000230 684a LDR r2,[r1,#4] - 2801750 ns MR4_I 00000234 6008d1fc - 2801810 ns MR4_D 40006004 00000001 - 2801810 ns R r2 00000001 - 2801810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2801830 ns R r2 80000000 - 2801830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2801850 ns R psr 81000200 - 2801850 ns MR4_I 00000238 48054770 - 2801870 ns MR4_I 00000230 07d2684a - 2801890 ns IT 00000230 684a LDR r2,[r1,#4] - 2801910 ns MR4_I 00000234 6008d1fc - 2801970 ns MR4_D 40006004 00000001 - 2801970 ns R r2 00000001 - 2801970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2801990 ns R r2 80000000 - 2801990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2802010 ns R psr 81000200 - 2802010 ns MR4_I 00000238 48054770 - 2802030 ns MR4_I 00000230 07d2684a - 2802050 ns IT 00000230 684a LDR r2,[r1,#4] - 2802070 ns MR4_I 00000234 6008d1fc - 2802130 ns MR4_D 40006004 00000001 - 2802130 ns R r2 00000001 - 2802130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2802150 ns R r2 80000000 - 2802150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2802170 ns R psr 81000200 - 2802170 ns MR4_I 00000238 48054770 - 2802190 ns MR4_I 00000230 07d2684a - 2802210 ns IT 00000230 684a LDR r2,[r1,#4] - 2802230 ns MR4_I 00000234 6008d1fc - 2802290 ns MR4_D 40006004 00000001 - 2802290 ns R r2 00000001 - 2802290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2802310 ns R r2 80000000 - 2802310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2802330 ns R psr 81000200 - 2802330 ns MR4_I 00000238 48054770 - 2802350 ns MR4_I 00000230 07d2684a - 2802370 ns IT 00000230 684a LDR r2,[r1,#4] - 2802390 ns MR4_I 00000234 6008d1fc - 2802450 ns MR4_D 40006004 00000001 - 2802450 ns R r2 00000001 - 2802450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2802470 ns R r2 80000000 - 2802470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2802490 ns R psr 81000200 - 2802490 ns MR4_I 00000238 48054770 - 2802510 ns MR4_I 00000230 07d2684a - 2802530 ns IT 00000230 684a LDR r2,[r1,#4] - 2802550 ns MR4_I 00000234 6008d1fc - 2802610 ns MR4_D 40006004 00000001 - 2802610 ns R r2 00000001 - 2802610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2802630 ns R r2 80000000 - 2802630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2802650 ns R psr 81000200 - 2802650 ns MR4_I 00000238 48054770 - 2802670 ns MR4_I 00000230 07d2684a - 2802690 ns IT 00000230 684a LDR r2,[r1,#4] - 2802710 ns MR4_I 00000234 6008d1fc - 2802770 ns MR4_D 40006004 00000001 - 2802770 ns R r2 00000001 - 2802770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2802790 ns R r2 80000000 - 2802790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2802810 ns R psr 81000200 - 2802810 ns MR4_I 00000238 48054770 - 2802830 ns MR4_I 00000230 07d2684a - 2802850 ns IT 00000230 684a LDR r2,[r1,#4] - 2802870 ns MR4_I 00000234 6008d1fc - 2802930 ns MR4_D 40006004 00000001 - 2802930 ns R r2 00000001 - 2802930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2802950 ns R r2 80000000 - 2802950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2802970 ns R psr 81000200 - 2802970 ns MR4_I 00000238 48054770 - 2802990 ns MR4_I 00000230 07d2684a - 2803010 ns IT 00000230 684a LDR r2,[r1,#4] - 2803030 ns MR4_I 00000234 6008d1fc - 2803090 ns MR4_D 40006004 00000001 - 2803090 ns R r2 00000001 - 2803090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2803110 ns R r2 80000000 - 2803110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2803130 ns R psr 81000200 - 2803130 ns MR4_I 00000238 48054770 - 2803150 ns MR4_I 00000230 07d2684a - 2803170 ns IT 00000230 684a LDR r2,[r1,#4] - 2803190 ns MR4_I 00000234 6008d1fc - 2803250 ns MR4_D 40006004 00000001 - 2803250 ns R r2 00000001 - 2803250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2803270 ns R r2 80000000 - 2803270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2803290 ns R psr 81000200 - 2803290 ns MR4_I 00000238 48054770 - 2803310 ns MR4_I 00000230 07d2684a - 2803330 ns IT 00000230 684a LDR r2,[r1,#4] - 2803350 ns MR4_I 00000234 6008d1fc - 2803410 ns MR4_D 40006004 00000001 - 2803410 ns R r2 00000001 - 2803410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2803430 ns R r2 80000000 - 2803430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2803450 ns R psr 81000200 - 2803450 ns MR4_I 00000238 48054770 - 2803470 ns MR4_I 00000230 07d2684a - 2803490 ns IT 00000230 684a LDR r2,[r1,#4] - 2803510 ns MR4_I 00000234 6008d1fc - 2803570 ns MR4_D 40006004 00000001 - 2803570 ns R r2 00000001 - 2803570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2803590 ns R r2 80000000 - 2803590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2803610 ns R psr 81000200 - 2803610 ns MR4_I 00000238 48054770 - 2803630 ns MR4_I 00000230 07d2684a - 2803650 ns IT 00000230 684a LDR r2,[r1,#4] - 2803670 ns MR4_I 00000234 6008d1fc - 2803730 ns MR4_D 40006004 00000001 - 2803730 ns R r2 00000001 - 2803730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2803750 ns R r2 80000000 - 2803750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2803770 ns R psr 81000200 - 2803770 ns MR4_I 00000238 48054770 - 2803790 ns MR4_I 00000230 07d2684a - 2803810 ns IT 00000230 684a LDR r2,[r1,#4] - 2803830 ns MR4_I 00000234 6008d1fc - 2803890 ns MR4_D 40006004 00000001 - 2803890 ns R r2 00000001 - 2803890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2803910 ns R r2 80000000 - 2803910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2803930 ns R psr 81000200 - 2803930 ns MR4_I 00000238 48054770 - 2803950 ns MR4_I 00000230 07d2684a - 2803970 ns IT 00000230 684a LDR r2,[r1,#4] - 2803990 ns MR4_I 00000234 6008d1fc - 2804050 ns MR4_D 40006004 00000000 - 2804050 ns R r2 00000000 - 2804050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2804070 ns R r2 00000000 - 2804070 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2804090 ns R psr 41000200 - 2804090 ns MR4_I 00000238 48054770 - 2804090 ns IT 00000236 6008 STR r0,[r1,#0] - 2804170 ns MW4_D 40006000 00000050 - 2804170 ns IT 00000238 4770 BX lr - 2804190 ns MR4_I 0000023c 07896841 - 2804210 ns R psr 41000200 - 2804210 ns MR4_I 000001fc b510bd10 - 2804230 ns IT 000001fc bd10 POP {r4,pc} - 2804250 ns MR4_I 00000200 f81bf000 - 2804250 ns R r13 200002e0 (MSP) - 2804270 ns MR4_D 200002d8 00000008 - 2804270 ns R r4 00000008 - 2804290 ns MR4_D 200002dc 0000032d - 2804310 ns R psr 41000200 - 2804330 ns MR4_I 0000032c 46301c64 - 2804350 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 2804370 ns MR4_I 00000330 280047a8 - 2804370 ns R r4 00000009 - 2804370 ns IT 0000032e 4630 MOV r0,r6 - 2804390 ns R psr 01000200 - 2804390 ns R r0 200002f8 - 2804390 ns IT 00000330 47a8 BLX r5 - 2804410 ns MR4_I 00000334 4620d1f8 - 2804430 ns R psr 01000200 - 2804430 ns MR4_I 000002a8 1c4a6901 - 2804430 ns R r14 00000333 - 2804450 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2804470 ns MR4_I 000002ac 78086102 - 2804490 ns MR4_D 20000308 00000199 - 2804490 ns R r1 00000199 - 2804490 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2804510 ns R r2 0000019a - 2804510 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2804530 ns R psr 01000200 - 2804530 ns MR4_I 000002b0 b5004770 - 2804550 ns MW4_D 20000308 0000019a - 2804550 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2804590 ns MR1_D 00000199 53534150 - 2804590 ns R r0 00000041 - 2804590 ns IT 000002b0 4770 BX lr - 2804610 ns MR4_I 000002b4 9102b08f - 2804630 ns R psr 01000200 - 2804630 ns MR4_I 00000330 280047a8 - 2804650 ns MR4_I 00000334 4620d1f8 - 2804650 ns IT 00000332 2800 CMP r0,#0 - 2804670 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2804690 ns R psr 21000200 - 2804690 ns MR4_I 00000338 b510bdf8 - 2804710 ns MR4_I 00000328 47b89900 - 2804730 ns IT 00000328 9900 LDR r1,[sp,#0] - 2804750 ns MR4_I 0000032c 46301c64 - 2804770 ns MR4_D 200002e0 20000004 - 2804770 ns R r1 20000004 - 2804770 ns IT 0000032a 47b8 BLX r7 - 2804810 ns R psr 21000200 - 2804810 ns MR4_I 000001f4 b2c0b510 - 2804810 ns R r14 0000032d - 2804830 ns IT 000001f4 b510 PUSH {r4,lr} - 2804850 ns MR4_I 000001f8 f819f000 - 2804870 ns MW4_D 200002d8 00000009 - 2804890 ns MW4_D 200002dc 0000032d - 2804890 ns R r13 200002d8 (MSP) - 2804890 ns IT 000001f6 b2c0 UXTB r0,r0 - 2804910 ns R r0 00000041 - 2804910 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 2804930 ns MR4_I 000001fc b510bd10 - 2804950 ns R r14 000001fd - 2804970 ns MR4_I 0000022c 49084770 - 2804990 ns MR4_I 00000230 07d2684a - 2804990 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 2805030 ns MR4_D 00000250 40006000 - 2805030 ns R r1 40006000 - 2805030 ns IT 00000230 684a LDR r2,[r1,#4] - 2805050 ns MR4_I 00000234 6008d1fc - 2805110 ns MR4_D 40006004 00000001 - 2805110 ns R r2 00000001 - 2805110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2805130 ns R r2 80000000 - 2805130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2805150 ns R psr 81000200 - 2805150 ns MR4_I 00000238 48054770 - 2805170 ns MR4_I 00000230 07d2684a - 2805190 ns IT 00000230 684a LDR r2,[r1,#4] - 2805210 ns MR4_I 00000234 6008d1fc - 2805270 ns MR4_D 40006004 00000001 - 2805270 ns R r2 00000001 - 2805270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2805290 ns R r2 80000000 - 2805290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2805310 ns R psr 81000200 - 2805310 ns MR4_I 00000238 48054770 - 2805330 ns MR4_I 00000230 07d2684a - 2805350 ns IT 00000230 684a LDR r2,[r1,#4] - 2805370 ns MR4_I 00000234 6008d1fc - 2805430 ns MR4_D 40006004 00000001 - 2805430 ns R r2 00000001 - 2805430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2805450 ns R r2 80000000 - 2805450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2805470 ns R psr 81000200 - 2805470 ns MR4_I 00000238 48054770 - 2805490 ns MR4_I 00000230 07d2684a - 2805510 ns IT 00000230 684a LDR r2,[r1,#4] - 2805530 ns MR4_I 00000234 6008d1fc - 2805590 ns MR4_D 40006004 00000001 - 2805590 ns R r2 00000001 - 2805590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2805610 ns R r2 80000000 - 2805610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2805630 ns R psr 81000200 - 2805630 ns MR4_I 00000238 48054770 - 2805650 ns MR4_I 00000230 07d2684a - 2805670 ns IT 00000230 684a LDR r2,[r1,#4] - 2805690 ns MR4_I 00000234 6008d1fc - 2805750 ns MR4_D 40006004 00000001 - 2805750 ns R r2 00000001 - 2805750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2805770 ns R r2 80000000 - 2805770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2805790 ns R psr 81000200 - 2805790 ns MR4_I 00000238 48054770 - 2805810 ns MR4_I 00000230 07d2684a - 2805830 ns IT 00000230 684a LDR r2,[r1,#4] - 2805850 ns MR4_I 00000234 6008d1fc - 2805910 ns MR4_D 40006004 00000001 - 2805910 ns R r2 00000001 - 2805910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2805930 ns R r2 80000000 - 2805930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2805950 ns R psr 81000200 - 2805950 ns MR4_I 00000238 48054770 - 2805970 ns MR4_I 00000230 07d2684a - 2805990 ns IT 00000230 684a LDR r2,[r1,#4] - 2806010 ns MR4_I 00000234 6008d1fc - 2806070 ns MR4_D 40006004 00000001 - 2806070 ns R r2 00000001 - 2806070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2806090 ns R r2 80000000 - 2806090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2806110 ns R psr 81000200 - 2806110 ns MR4_I 00000238 48054770 - 2806130 ns MR4_I 00000230 07d2684a - 2806150 ns IT 00000230 684a LDR r2,[r1,#4] - 2806170 ns MR4_I 00000234 6008d1fc - 2806230 ns MR4_D 40006004 00000001 - 2806230 ns R r2 00000001 - 2806230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2806250 ns R r2 80000000 - 2806250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2806270 ns R psr 81000200 - 2806270 ns MR4_I 00000238 48054770 - 2806290 ns MR4_I 00000230 07d2684a - 2806310 ns IT 00000230 684a LDR r2,[r1,#4] - 2806330 ns MR4_I 00000234 6008d1fc - 2806390 ns MR4_D 40006004 00000001 - 2806390 ns R r2 00000001 - 2806390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2806410 ns R r2 80000000 - 2806410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2806430 ns R psr 81000200 - 2806430 ns MR4_I 00000238 48054770 - 2806450 ns MR4_I 00000230 07d2684a - 2806470 ns IT 00000230 684a LDR r2,[r1,#4] - 2806490 ns MR4_I 00000234 6008d1fc - 2806550 ns MR4_D 40006004 00000001 - 2806550 ns R r2 00000001 - 2806550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2806570 ns R r2 80000000 - 2806570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2806590 ns R psr 81000200 - 2806590 ns MR4_I 00000238 48054770 - 2806610 ns MR4_I 00000230 07d2684a - 2806630 ns IT 00000230 684a LDR r2,[r1,#4] - 2806650 ns MR4_I 00000234 6008d1fc - 2806710 ns MR4_D 40006004 00000001 - 2806710 ns R r2 00000001 - 2806710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2806730 ns R r2 80000000 - 2806730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2806750 ns R psr 81000200 - 2806750 ns MR4_I 00000238 48054770 - 2806770 ns MR4_I 00000230 07d2684a - 2806790 ns IT 00000230 684a LDR r2,[r1,#4] - 2806810 ns MR4_I 00000234 6008d1fc - 2806870 ns MR4_D 40006004 00000001 - 2806870 ns R r2 00000001 - 2806870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2806890 ns R r2 80000000 - 2806890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2806910 ns R psr 81000200 - 2806910 ns MR4_I 00000238 48054770 - 2806930 ns MR4_I 00000230 07d2684a - 2806950 ns IT 00000230 684a LDR r2,[r1,#4] - 2806970 ns MR4_I 00000234 6008d1fc - 2807030 ns MR4_D 40006004 00000001 - 2807030 ns R r2 00000001 - 2807030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2807050 ns R r2 80000000 - 2807050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2807070 ns R psr 81000200 - 2807070 ns MR4_I 00000238 48054770 - 2807090 ns MR4_I 00000230 07d2684a - 2807110 ns IT 00000230 684a LDR r2,[r1,#4] - 2807130 ns MR4_I 00000234 6008d1fc - 2807190 ns MR4_D 40006004 00000001 - 2807190 ns R r2 00000001 - 2807190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2807210 ns R r2 80000000 - 2807210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2807230 ns R psr 81000200 - 2807230 ns MR4_I 00000238 48054770 - 2807250 ns MR4_I 00000230 07d2684a - 2807270 ns IT 00000230 684a LDR r2,[r1,#4] - 2807290 ns MR4_I 00000234 6008d1fc - 2807350 ns MR4_D 40006004 00000001 - 2807350 ns R r2 00000001 - 2807350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2807370 ns R r2 80000000 - 2807370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2807390 ns R psr 81000200 - 2807390 ns MR4_I 00000238 48054770 - 2807410 ns MR4_I 00000230 07d2684a - 2807430 ns IT 00000230 684a LDR r2,[r1,#4] - 2807450 ns MR4_I 00000234 6008d1fc - 2807510 ns MR4_D 40006004 00000001 - 2807510 ns R r2 00000001 - 2807510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2807530 ns R r2 80000000 - 2807530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2807550 ns R psr 81000200 - 2807550 ns MR4_I 00000238 48054770 - 2807570 ns MR4_I 00000230 07d2684a - 2807590 ns IT 00000230 684a LDR r2,[r1,#4] - 2807610 ns MR4_I 00000234 6008d1fc - 2807670 ns MR4_D 40006004 00000001 - 2807670 ns R r2 00000001 - 2807670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2807690 ns R r2 80000000 - 2807690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2807710 ns R psr 81000200 - 2807710 ns MR4_I 00000238 48054770 - 2807730 ns MR4_I 00000230 07d2684a - 2807750 ns IT 00000230 684a LDR r2,[r1,#4] - 2807770 ns MR4_I 00000234 6008d1fc - 2807830 ns MR4_D 40006004 00000001 - 2807830 ns R r2 00000001 - 2807830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2807850 ns R r2 80000000 - 2807850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2807870 ns R psr 81000200 - 2807870 ns MR4_I 00000238 48054770 - 2807890 ns MR4_I 00000230 07d2684a - 2807910 ns IT 00000230 684a LDR r2,[r1,#4] - 2807930 ns MR4_I 00000234 6008d1fc - 2807990 ns MR4_D 40006004 00000001 - 2807990 ns R r2 00000001 - 2807990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2808010 ns R r2 80000000 - 2808010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2808030 ns R psr 81000200 - 2808030 ns MR4_I 00000238 48054770 - 2808050 ns MR4_I 00000230 07d2684a - 2808070 ns IT 00000230 684a LDR r2,[r1,#4] - 2808090 ns MR4_I 00000234 6008d1fc - 2808150 ns MR4_D 40006004 00000001 - 2808150 ns R r2 00000001 - 2808150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2808170 ns R r2 80000000 - 2808170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2808190 ns R psr 81000200 - 2808190 ns MR4_I 00000238 48054770 - 2808210 ns MR4_I 00000230 07d2684a - 2808230 ns IT 00000230 684a LDR r2,[r1,#4] - 2808250 ns MR4_I 00000234 6008d1fc - 2808310 ns MR4_D 40006004 00000001 - 2808310 ns R r2 00000001 - 2808310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2808330 ns R r2 80000000 - 2808330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2808350 ns R psr 81000200 - 2808350 ns MR4_I 00000238 48054770 - 2808370 ns MR4_I 00000230 07d2684a - 2808390 ns IT 00000230 684a LDR r2,[r1,#4] - 2808410 ns MR4_I 00000234 6008d1fc - 2808470 ns MR4_D 40006004 00000001 - 2808470 ns R r2 00000001 - 2808470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2808490 ns R r2 80000000 - 2808490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2808510 ns R psr 81000200 - 2808510 ns MR4_I 00000238 48054770 - 2808530 ns MR4_I 00000230 07d2684a - 2808550 ns IT 00000230 684a LDR r2,[r1,#4] - 2808570 ns MR4_I 00000234 6008d1fc - 2808630 ns MR4_D 40006004 00000001 - 2808630 ns R r2 00000001 - 2808630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2808650 ns R r2 80000000 - 2808650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2808670 ns R psr 81000200 - 2808670 ns MR4_I 00000238 48054770 - 2808690 ns MR4_I 00000230 07d2684a - 2808710 ns IT 00000230 684a LDR r2,[r1,#4] - 2808730 ns MR4_I 00000234 6008d1fc - 2808790 ns MR4_D 40006004 00000001 - 2808790 ns R r2 00000001 - 2808790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2808810 ns R r2 80000000 - 2808810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2808830 ns R psr 81000200 - 2808830 ns MR4_I 00000238 48054770 - 2808850 ns MR4_I 00000230 07d2684a - 2808870 ns IT 00000230 684a LDR r2,[r1,#4] - 2808890 ns MR4_I 00000234 6008d1fc - 2808950 ns MR4_D 40006004 00000001 - 2808950 ns R r2 00000001 - 2808950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2808970 ns R r2 80000000 - 2808970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2808990 ns R psr 81000200 - 2808990 ns MR4_I 00000238 48054770 - 2809010 ns MR4_I 00000230 07d2684a - 2809030 ns IT 00000230 684a LDR r2,[r1,#4] - 2809050 ns MR4_I 00000234 6008d1fc - 2809110 ns MR4_D 40006004 00000001 - 2809110 ns R r2 00000001 - 2809110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2809130 ns R r2 80000000 - 2809130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2809150 ns R psr 81000200 - 2809150 ns MR4_I 00000238 48054770 - 2809170 ns MR4_I 00000230 07d2684a - 2809190 ns IT 00000230 684a LDR r2,[r1,#4] - 2809210 ns MR4_I 00000234 6008d1fc - 2809270 ns MR4_D 40006004 00000001 - 2809270 ns R r2 00000001 - 2809270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2809290 ns R r2 80000000 - 2809290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2809310 ns R psr 81000200 - 2809310 ns MR4_I 00000238 48054770 - 2809330 ns MR4_I 00000230 07d2684a - 2809350 ns IT 00000230 684a LDR r2,[r1,#4] - 2809370 ns MR4_I 00000234 6008d1fc - 2809430 ns MR4_D 40006004 00000001 - 2809430 ns R r2 00000001 - 2809430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2809450 ns R r2 80000000 - 2809450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2809470 ns R psr 81000200 - 2809470 ns MR4_I 00000238 48054770 - 2809490 ns MR4_I 00000230 07d2684a - 2809510 ns IT 00000230 684a LDR r2,[r1,#4] - 2809530 ns MR4_I 00000234 6008d1fc - 2809590 ns MR4_D 40006004 00000001 - 2809590 ns R r2 00000001 - 2809590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2809610 ns R r2 80000000 - 2809610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2809630 ns R psr 81000200 - 2809630 ns MR4_I 00000238 48054770 - 2809650 ns MR4_I 00000230 07d2684a - 2809670 ns IT 00000230 684a LDR r2,[r1,#4] - 2809690 ns MR4_I 00000234 6008d1fc - 2809750 ns MR4_D 40006004 00000001 - 2809750 ns R r2 00000001 - 2809750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2809770 ns R r2 80000000 - 2809770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2809790 ns R psr 81000200 - 2809790 ns MR4_I 00000238 48054770 - 2809810 ns MR4_I 00000230 07d2684a - 2809830 ns IT 00000230 684a LDR r2,[r1,#4] - 2809850 ns MR4_I 00000234 6008d1fc - 2809910 ns MR4_D 40006004 00000001 - 2809910 ns R r2 00000001 - 2809910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2809930 ns R r2 80000000 - 2809930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2809950 ns R psr 81000200 - 2809950 ns MR4_I 00000238 48054770 - 2809970 ns MR4_I 00000230 07d2684a - 2809990 ns IT 00000230 684a LDR r2,[r1,#4] - 2810010 ns MR4_I 00000234 6008d1fc - 2810070 ns MR4_D 40006004 00000001 - 2810070 ns R r2 00000001 - 2810070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2810090 ns R r2 80000000 - 2810090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2810110 ns R psr 81000200 - 2810110 ns MR4_I 00000238 48054770 - 2810130 ns MR4_I 00000230 07d2684a - 2810150 ns IT 00000230 684a LDR r2,[r1,#4] - 2810170 ns MR4_I 00000234 6008d1fc - 2810230 ns MR4_D 40006004 00000001 - 2810230 ns R r2 00000001 - 2810230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2810250 ns R r2 80000000 - 2810250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2810270 ns R psr 81000200 - 2810270 ns MR4_I 00000238 48054770 - 2810290 ns MR4_I 00000230 07d2684a - 2810310 ns IT 00000230 684a LDR r2,[r1,#4] - 2810330 ns MR4_I 00000234 6008d1fc - 2810390 ns MR4_D 40006004 00000001 - 2810390 ns R r2 00000001 - 2810390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2810410 ns R r2 80000000 - 2810410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2810430 ns R psr 81000200 - 2810430 ns MR4_I 00000238 48054770 - 2810450 ns MR4_I 00000230 07d2684a - 2810470 ns IT 00000230 684a LDR r2,[r1,#4] - 2810490 ns MR4_I 00000234 6008d1fc - 2810550 ns MR4_D 40006004 00000001 - 2810550 ns R r2 00000001 - 2810550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2810570 ns R r2 80000000 - 2810570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2810590 ns R psr 81000200 - 2810590 ns MR4_I 00000238 48054770 - 2810610 ns MR4_I 00000230 07d2684a - 2810630 ns IT 00000230 684a LDR r2,[r1,#4] - 2810650 ns MR4_I 00000234 6008d1fc - 2810710 ns MR4_D 40006004 00000001 - 2810710 ns R r2 00000001 - 2810710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2810730 ns R r2 80000000 - 2810730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2810750 ns R psr 81000200 - 2810750 ns MR4_I 00000238 48054770 - 2810770 ns MR4_I 00000230 07d2684a - 2810790 ns IT 00000230 684a LDR r2,[r1,#4] - 2810810 ns MR4_I 00000234 6008d1fc - 2810870 ns MR4_D 40006004 00000001 - 2810870 ns R r2 00000001 - 2810870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2810890 ns R r2 80000000 - 2810890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2810910 ns R psr 81000200 - 2810910 ns MR4_I 00000238 48054770 - 2810930 ns MR4_I 00000230 07d2684a - 2810950 ns IT 00000230 684a LDR r2,[r1,#4] - 2810970 ns MR4_I 00000234 6008d1fc - 2811030 ns MR4_D 40006004 00000001 - 2811030 ns R r2 00000001 - 2811030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2811050 ns R r2 80000000 - 2811050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2811070 ns R psr 81000200 - 2811070 ns MR4_I 00000238 48054770 - 2811090 ns MR4_I 00000230 07d2684a - 2811110 ns IT 00000230 684a LDR r2,[r1,#4] - 2811130 ns MR4_I 00000234 6008d1fc - 2811190 ns MR4_D 40006004 00000001 - 2811190 ns R r2 00000001 - 2811190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2811210 ns R r2 80000000 - 2811210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2811230 ns R psr 81000200 - 2811230 ns MR4_I 00000238 48054770 - 2811250 ns MR4_I 00000230 07d2684a - 2811270 ns IT 00000230 684a LDR r2,[r1,#4] - 2811290 ns MR4_I 00000234 6008d1fc - 2811350 ns MR4_D 40006004 00000001 - 2811350 ns R r2 00000001 - 2811350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2811370 ns R r2 80000000 - 2811370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2811390 ns R psr 81000200 - 2811390 ns MR4_I 00000238 48054770 - 2811410 ns MR4_I 00000230 07d2684a - 2811430 ns IT 00000230 684a LDR r2,[r1,#4] - 2811450 ns MR4_I 00000234 6008d1fc - 2811510 ns MR4_D 40006004 00000001 - 2811510 ns R r2 00000001 - 2811510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2811530 ns R r2 80000000 - 2811530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2811550 ns R psr 81000200 - 2811550 ns MR4_I 00000238 48054770 - 2811570 ns MR4_I 00000230 07d2684a - 2811590 ns IT 00000230 684a LDR r2,[r1,#4] - 2811610 ns MR4_I 00000234 6008d1fc - 2811670 ns MR4_D 40006004 00000001 - 2811670 ns R r2 00000001 - 2811670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2811690 ns R r2 80000000 - 2811690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2811710 ns R psr 81000200 - 2811710 ns MR4_I 00000238 48054770 - 2811730 ns MR4_I 00000230 07d2684a - 2811750 ns IT 00000230 684a LDR r2,[r1,#4] - 2811770 ns MR4_I 00000234 6008d1fc - 2811830 ns MR4_D 40006004 00000001 - 2811830 ns R r2 00000001 - 2811830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2811850 ns R r2 80000000 - 2811850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2811870 ns R psr 81000200 - 2811870 ns MR4_I 00000238 48054770 - 2811890 ns MR4_I 00000230 07d2684a - 2811910 ns IT 00000230 684a LDR r2,[r1,#4] - 2811930 ns MR4_I 00000234 6008d1fc - 2811990 ns MR4_D 40006004 00000001 - 2811990 ns R r2 00000001 - 2811990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2812010 ns R r2 80000000 - 2812010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2812030 ns R psr 81000200 - 2812030 ns MR4_I 00000238 48054770 - 2812050 ns MR4_I 00000230 07d2684a - 2812070 ns IT 00000230 684a LDR r2,[r1,#4] - 2812090 ns MR4_I 00000234 6008d1fc - 2812150 ns MR4_D 40006004 00000001 - 2812150 ns R r2 00000001 - 2812150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2812170 ns R r2 80000000 - 2812170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2812190 ns R psr 81000200 - 2812190 ns MR4_I 00000238 48054770 - 2812210 ns MR4_I 00000230 07d2684a - 2812230 ns IT 00000230 684a LDR r2,[r1,#4] - 2812250 ns MR4_I 00000234 6008d1fc - 2812310 ns MR4_D 40006004 00000001 - 2812310 ns R r2 00000001 - 2812310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2812330 ns R r2 80000000 - 2812330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2812350 ns R psr 81000200 - 2812350 ns MR4_I 00000238 48054770 - 2812370 ns MR4_I 00000230 07d2684a - 2812390 ns IT 00000230 684a LDR r2,[r1,#4] - 2812410 ns MR4_I 00000234 6008d1fc - 2812470 ns MR4_D 40006004 00000001 - 2812470 ns R r2 00000001 - 2812470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2812490 ns R r2 80000000 - 2812490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2812510 ns R psr 81000200 - 2812510 ns MR4_I 00000238 48054770 - 2812530 ns MR4_I 00000230 07d2684a - 2812550 ns IT 00000230 684a LDR r2,[r1,#4] - 2812570 ns MR4_I 00000234 6008d1fc - 2812630 ns MR4_D 40006004 00000001 - 2812630 ns R r2 00000001 - 2812630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2812650 ns R r2 80000000 - 2812650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2812670 ns R psr 81000200 - 2812670 ns MR4_I 00000238 48054770 - 2812690 ns MR4_I 00000230 07d2684a - 2812710 ns IT 00000230 684a LDR r2,[r1,#4] - 2812730 ns MR4_I 00000234 6008d1fc - 2812790 ns MR4_D 40006004 00000001 - 2812790 ns R r2 00000001 - 2812790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2812810 ns R r2 80000000 - 2812810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2812830 ns R psr 81000200 - 2812830 ns MR4_I 00000238 48054770 - 2812850 ns MR4_I 00000230 07d2684a - 2812870 ns IT 00000230 684a LDR r2,[r1,#4] - 2812890 ns MR4_I 00000234 6008d1fc - 2812950 ns MR4_D 40006004 00000001 - 2812950 ns R r2 00000001 - 2812950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2812970 ns R r2 80000000 - 2812970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2812990 ns R psr 81000200 - 2812990 ns MR4_I 00000238 48054770 - 2813010 ns MR4_I 00000230 07d2684a - 2813030 ns IT 00000230 684a LDR r2,[r1,#4] - 2813050 ns MR4_I 00000234 6008d1fc - 2813110 ns MR4_D 40006004 00000001 - 2813110 ns R r2 00000001 - 2813110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2813130 ns R r2 80000000 - 2813130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2813150 ns R psr 81000200 - 2813150 ns MR4_I 00000238 48054770 - 2813170 ns MR4_I 00000230 07d2684a - 2813190 ns IT 00000230 684a LDR r2,[r1,#4] - 2813210 ns MR4_I 00000234 6008d1fc - 2813270 ns MR4_D 40006004 00000001 - 2813270 ns R r2 00000001 - 2813270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2813290 ns R r2 80000000 - 2813290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2813310 ns R psr 81000200 - 2813310 ns MR4_I 00000238 48054770 - 2813330 ns MR4_I 00000230 07d2684a - 2813350 ns IT 00000230 684a LDR r2,[r1,#4] - 2813370 ns MR4_I 00000234 6008d1fc - 2813430 ns MR4_D 40006004 00000001 - 2813430 ns R r2 00000001 - 2813430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2813450 ns R r2 80000000 - 2813450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2813470 ns R psr 81000200 - 2813470 ns MR4_I 00000238 48054770 - 2813490 ns MR4_I 00000230 07d2684a - 2813510 ns IT 00000230 684a LDR r2,[r1,#4] - 2813530 ns MR4_I 00000234 6008d1fc - 2813590 ns MR4_D 40006004 00000001 - 2813590 ns R r2 00000001 - 2813590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2813610 ns R r2 80000000 - 2813610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2813630 ns R psr 81000200 - 2813630 ns MR4_I 00000238 48054770 - 2813650 ns MR4_I 00000230 07d2684a - 2813670 ns IT 00000230 684a LDR r2,[r1,#4] - 2813690 ns MR4_I 00000234 6008d1fc - 2813750 ns MR4_D 40006004 00000001 - 2813750 ns R r2 00000001 - 2813750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2813770 ns R r2 80000000 - 2813770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2813790 ns R psr 81000200 - 2813790 ns MR4_I 00000238 48054770 - 2813810 ns MR4_I 00000230 07d2684a - 2813830 ns IT 00000230 684a LDR r2,[r1,#4] - 2813850 ns MR4_I 00000234 6008d1fc - 2813910 ns MR4_D 40006004 00000001 - 2813910 ns R r2 00000001 - 2813910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2813930 ns R r2 80000000 - 2813930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2813950 ns R psr 81000200 - 2813950 ns MR4_I 00000238 48054770 - 2813970 ns MR4_I 00000230 07d2684a - 2813990 ns IT 00000230 684a LDR r2,[r1,#4] - 2814010 ns MR4_I 00000234 6008d1fc - 2814070 ns MR4_D 40006004 00000001 - 2814070 ns R r2 00000001 - 2814070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2814090 ns R r2 80000000 - 2814090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2814110 ns R psr 81000200 - 2814110 ns MR4_I 00000238 48054770 - 2814130 ns MR4_I 00000230 07d2684a - 2814150 ns IT 00000230 684a LDR r2,[r1,#4] - 2814170 ns MR4_I 00000234 6008d1fc - 2814230 ns MR4_D 40006004 00000001 - 2814230 ns R r2 00000001 - 2814230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2814250 ns R r2 80000000 - 2814250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2814270 ns R psr 81000200 - 2814270 ns MR4_I 00000238 48054770 - 2814290 ns MR4_I 00000230 07d2684a - 2814310 ns IT 00000230 684a LDR r2,[r1,#4] - 2814330 ns MR4_I 00000234 6008d1fc - 2814390 ns MR4_D 40006004 00000001 - 2814390 ns R r2 00000001 - 2814390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2814410 ns R r2 80000000 - 2814410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2814430 ns R psr 81000200 - 2814430 ns MR4_I 00000238 48054770 - 2814450 ns MR4_I 00000230 07d2684a - 2814470 ns IT 00000230 684a LDR r2,[r1,#4] - 2814490 ns MR4_I 00000234 6008d1fc - 2814550 ns MR4_D 40006004 00000001 - 2814550 ns R r2 00000001 - 2814550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2814570 ns R r2 80000000 - 2814570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2814590 ns R psr 81000200 - 2814590 ns MR4_I 00000238 48054770 - 2814610 ns MR4_I 00000230 07d2684a - 2814630 ns IT 00000230 684a LDR r2,[r1,#4] - 2814650 ns MR4_I 00000234 6008d1fc - 2814710 ns MR4_D 40006004 00000001 - 2814710 ns R r2 00000001 - 2814710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2814730 ns R r2 80000000 - 2814730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2814750 ns R psr 81000200 - 2814750 ns MR4_I 00000238 48054770 - 2814770 ns MR4_I 00000230 07d2684a - 2814790 ns IT 00000230 684a LDR r2,[r1,#4] - 2814810 ns MR4_I 00000234 6008d1fc - 2814870 ns MR4_D 40006004 00000001 - 2814870 ns R r2 00000001 - 2814870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2814890 ns R r2 80000000 - 2814890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2814910 ns R psr 81000200 - 2814910 ns MR4_I 00000238 48054770 - 2814930 ns MR4_I 00000230 07d2684a - 2814950 ns IT 00000230 684a LDR r2,[r1,#4] - 2814970 ns MR4_I 00000234 6008d1fc - 2815030 ns MR4_D 40006004 00000001 - 2815030 ns R r2 00000001 - 2815030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2815050 ns R r2 80000000 - 2815050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2815070 ns R psr 81000200 - 2815070 ns MR4_I 00000238 48054770 - 2815090 ns MR4_I 00000230 07d2684a - 2815110 ns IT 00000230 684a LDR r2,[r1,#4] - 2815130 ns MR4_I 00000234 6008d1fc - 2815190 ns MR4_D 40006004 00000001 - 2815190 ns R r2 00000001 - 2815190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2815210 ns R r2 80000000 - 2815210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2815230 ns R psr 81000200 - 2815230 ns MR4_I 00000238 48054770 - 2815250 ns MR4_I 00000230 07d2684a - 2815270 ns IT 00000230 684a LDR r2,[r1,#4] - 2815290 ns MR4_I 00000234 6008d1fc - 2815350 ns MR4_D 40006004 00000001 - 2815350 ns R r2 00000001 - 2815350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2815370 ns R r2 80000000 - 2815370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2815390 ns R psr 81000200 - 2815390 ns MR4_I 00000238 48054770 - 2815410 ns MR4_I 00000230 07d2684a - 2815430 ns IT 00000230 684a LDR r2,[r1,#4] - 2815450 ns MR4_I 00000234 6008d1fc - 2815510 ns MR4_D 40006004 00000001 - 2815510 ns R r2 00000001 - 2815510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2815530 ns R r2 80000000 - 2815530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2815550 ns R psr 81000200 - 2815550 ns MR4_I 00000238 48054770 - 2815570 ns MR4_I 00000230 07d2684a - 2815590 ns IT 00000230 684a LDR r2,[r1,#4] - 2815610 ns MR4_I 00000234 6008d1fc - 2815670 ns MR4_D 40006004 00000001 - 2815670 ns R r2 00000001 - 2815670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2815690 ns R r2 80000000 - 2815690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2815710 ns R psr 81000200 - 2815710 ns MR4_I 00000238 48054770 - 2815730 ns MR4_I 00000230 07d2684a - 2815750 ns IT 00000230 684a LDR r2,[r1,#4] - 2815770 ns MR4_I 00000234 6008d1fc - 2815830 ns MR4_D 40006004 00000001 - 2815830 ns R r2 00000001 - 2815830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2815850 ns R r2 80000000 - 2815850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2815870 ns R psr 81000200 - 2815870 ns MR4_I 00000238 48054770 - 2815890 ns MR4_I 00000230 07d2684a - 2815910 ns IT 00000230 684a LDR r2,[r1,#4] - 2815930 ns MR4_I 00000234 6008d1fc - 2815990 ns MR4_D 40006004 00000001 - 2815990 ns R r2 00000001 - 2815990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2816010 ns R r2 80000000 - 2816010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2816030 ns R psr 81000200 - 2816030 ns MR4_I 00000238 48054770 - 2816050 ns MR4_I 00000230 07d2684a - 2816070 ns IT 00000230 684a LDR r2,[r1,#4] - 2816090 ns MR4_I 00000234 6008d1fc - 2816150 ns MR4_D 40006004 00000001 - 2816150 ns R r2 00000001 - 2816150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2816170 ns R r2 80000000 - 2816170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2816190 ns R psr 81000200 - 2816190 ns MR4_I 00000238 48054770 - 2816210 ns MR4_I 00000230 07d2684a - 2816230 ns IT 00000230 684a LDR r2,[r1,#4] - 2816250 ns MR4_I 00000234 6008d1fc - 2816310 ns MR4_D 40006004 00000001 - 2816310 ns R r2 00000001 - 2816310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2816330 ns R r2 80000000 - 2816330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2816350 ns R psr 81000200 - 2816350 ns MR4_I 00000238 48054770 - 2816370 ns MR4_I 00000230 07d2684a - 2816390 ns IT 00000230 684a LDR r2,[r1,#4] - 2816410 ns MR4_I 00000234 6008d1fc - 2816470 ns MR4_D 40006004 00000001 - 2816470 ns R r2 00000001 - 2816470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2816490 ns R r2 80000000 - 2816490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2816510 ns R psr 81000200 - 2816510 ns MR4_I 00000238 48054770 - 2816530 ns MR4_I 00000230 07d2684a - 2816550 ns IT 00000230 684a LDR r2,[r1,#4] - 2816570 ns MR4_I 00000234 6008d1fc - 2816630 ns MR4_D 40006004 00000001 - 2816630 ns R r2 00000001 - 2816630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2816650 ns R r2 80000000 - 2816650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2816670 ns R psr 81000200 - 2816670 ns MR4_I 00000238 48054770 - 2816690 ns MR4_I 00000230 07d2684a - 2816710 ns IT 00000230 684a LDR r2,[r1,#4] - 2816730 ns MR4_I 00000234 6008d1fc - 2816790 ns MR4_D 40006004 00000001 - 2816790 ns R r2 00000001 - 2816790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2816810 ns R r2 80000000 - 2816810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2816830 ns R psr 81000200 - 2816830 ns MR4_I 00000238 48054770 - 2816850 ns MR4_I 00000230 07d2684a - 2816870 ns IT 00000230 684a LDR r2,[r1,#4] - 2816890 ns MR4_I 00000234 6008d1fc - 2816950 ns MR4_D 40006004 00000001 - 2816950 ns R r2 00000001 - 2816950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2816970 ns R r2 80000000 - 2816970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2816990 ns R psr 81000200 - 2816990 ns MR4_I 00000238 48054770 - 2817010 ns MR4_I 00000230 07d2684a - 2817030 ns IT 00000230 684a LDR r2,[r1,#4] - 2817050 ns MR4_I 00000234 6008d1fc - 2817110 ns MR4_D 40006004 00000001 - 2817110 ns R r2 00000001 - 2817110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2817130 ns R r2 80000000 - 2817130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2817150 ns R psr 81000200 - 2817150 ns MR4_I 00000238 48054770 - 2817170 ns MR4_I 00000230 07d2684a - 2817190 ns IT 00000230 684a LDR r2,[r1,#4] - 2817210 ns MR4_I 00000234 6008d1fc - 2817270 ns MR4_D 40006004 00000001 - 2817270 ns R r2 00000001 - 2817270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2817290 ns R r2 80000000 - 2817290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2817310 ns R psr 81000200 - 2817310 ns MR4_I 00000238 48054770 - 2817330 ns MR4_I 00000230 07d2684a - 2817350 ns IT 00000230 684a LDR r2,[r1,#4] - 2817370 ns MR4_I 00000234 6008d1fc - 2817430 ns MR4_D 40006004 00000001 - 2817430 ns R r2 00000001 - 2817430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2817450 ns R r2 80000000 - 2817450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2817470 ns R psr 81000200 - 2817470 ns MR4_I 00000238 48054770 - 2817490 ns MR4_I 00000230 07d2684a - 2817510 ns IT 00000230 684a LDR r2,[r1,#4] - 2817530 ns MR4_I 00000234 6008d1fc - 2817590 ns MR4_D 40006004 00000001 - 2817590 ns R r2 00000001 - 2817590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2817610 ns R r2 80000000 - 2817610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2817630 ns R psr 81000200 - 2817630 ns MR4_I 00000238 48054770 - 2817650 ns MR4_I 00000230 07d2684a - 2817670 ns IT 00000230 684a LDR r2,[r1,#4] - 2817690 ns MR4_I 00000234 6008d1fc - 2817750 ns MR4_D 40006004 00000001 - 2817750 ns R r2 00000001 - 2817750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2817770 ns R r2 80000000 - 2817770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2817790 ns R psr 81000200 - 2817790 ns MR4_I 00000238 48054770 - 2817810 ns MR4_I 00000230 07d2684a - 2817830 ns IT 00000230 684a LDR r2,[r1,#4] - 2817850 ns MR4_I 00000234 6008d1fc - 2817910 ns MR4_D 40006004 00000001 - 2817910 ns R r2 00000001 - 2817910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2817930 ns R r2 80000000 - 2817930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2817950 ns R psr 81000200 - 2817950 ns MR4_I 00000238 48054770 - 2817970 ns MR4_I 00000230 07d2684a - 2817990 ns IT 00000230 684a LDR r2,[r1,#4] - 2818010 ns MR4_I 00000234 6008d1fc - 2818070 ns MR4_D 40006004 00000001 - 2818070 ns R r2 00000001 - 2818070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2818090 ns R r2 80000000 - 2818090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2818110 ns R psr 81000200 - 2818110 ns MR4_I 00000238 48054770 - 2818130 ns MR4_I 00000230 07d2684a - 2818150 ns IT 00000230 684a LDR r2,[r1,#4] - 2818170 ns MR4_I 00000234 6008d1fc - 2818230 ns MR4_D 40006004 00000001 - 2818230 ns R r2 00000001 - 2818230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2818250 ns R r2 80000000 - 2818250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2818270 ns R psr 81000200 - 2818270 ns MR4_I 00000238 48054770 - 2818290 ns MR4_I 00000230 07d2684a - 2818310 ns IT 00000230 684a LDR r2,[r1,#4] - 2818330 ns MR4_I 00000234 6008d1fc - 2818390 ns MR4_D 40006004 00000001 - 2818390 ns R r2 00000001 - 2818390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2818410 ns R r2 80000000 - 2818410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2818430 ns R psr 81000200 - 2818430 ns MR4_I 00000238 48054770 - 2818450 ns MR4_I 00000230 07d2684a - 2818470 ns IT 00000230 684a LDR r2,[r1,#4] - 2818490 ns MR4_I 00000234 6008d1fc - 2818550 ns MR4_D 40006004 00000001 - 2818550 ns R r2 00000001 - 2818550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2818570 ns R r2 80000000 - 2818570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2818590 ns R psr 81000200 - 2818590 ns MR4_I 00000238 48054770 - 2818610 ns MR4_I 00000230 07d2684a - 2818630 ns IT 00000230 684a LDR r2,[r1,#4] - 2818650 ns MR4_I 00000234 6008d1fc - 2818710 ns MR4_D 40006004 00000001 - 2818710 ns R r2 00000001 - 2818710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2818730 ns R r2 80000000 - 2818730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2818750 ns R psr 81000200 - 2818750 ns MR4_I 00000238 48054770 - 2818770 ns MR4_I 00000230 07d2684a - 2818790 ns IT 00000230 684a LDR r2,[r1,#4] - 2818810 ns MR4_I 00000234 6008d1fc - 2818870 ns MR4_D 40006004 00000001 - 2818870 ns R r2 00000001 - 2818870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2818890 ns R r2 80000000 - 2818890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2818910 ns R psr 81000200 - 2818910 ns MR4_I 00000238 48054770 - 2818930 ns MR4_I 00000230 07d2684a - 2818950 ns IT 00000230 684a LDR r2,[r1,#4] - 2818970 ns MR4_I 00000234 6008d1fc - 2819030 ns MR4_D 40006004 00000001 - 2819030 ns R r2 00000001 - 2819030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2819050 ns R r2 80000000 - 2819050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2819070 ns R psr 81000200 - 2819070 ns MR4_I 00000238 48054770 - 2819090 ns MR4_I 00000230 07d2684a - 2819110 ns IT 00000230 684a LDR r2,[r1,#4] - 2819130 ns MR4_I 00000234 6008d1fc - 2819190 ns MR4_D 40006004 00000001 - 2819190 ns R r2 00000001 - 2819190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2819210 ns R r2 80000000 - 2819210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2819230 ns R psr 81000200 - 2819230 ns MR4_I 00000238 48054770 - 2819250 ns MR4_I 00000230 07d2684a - 2819270 ns IT 00000230 684a LDR r2,[r1,#4] - 2819290 ns MR4_I 00000234 6008d1fc - 2819350 ns MR4_D 40006004 00000001 - 2819350 ns R r2 00000001 - 2819350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2819370 ns R r2 80000000 - 2819370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2819390 ns R psr 81000200 - 2819390 ns MR4_I 00000238 48054770 - 2819410 ns MR4_I 00000230 07d2684a - 2819430 ns IT 00000230 684a LDR r2,[r1,#4] - 2819450 ns MR4_I 00000234 6008d1fc - 2819510 ns MR4_D 40006004 00000001 - 2819510 ns R r2 00000001 - 2819510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2819530 ns R r2 80000000 - 2819530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2819550 ns R psr 81000200 - 2819550 ns MR4_I 00000238 48054770 - 2819570 ns MR4_I 00000230 07d2684a - 2819590 ns IT 00000230 684a LDR r2,[r1,#4] - 2819610 ns MR4_I 00000234 6008d1fc - 2819670 ns MR4_D 40006004 00000001 - 2819670 ns R r2 00000001 - 2819670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2819690 ns R r2 80000000 - 2819690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2819710 ns R psr 81000200 - 2819710 ns MR4_I 00000238 48054770 - 2819730 ns MR4_I 00000230 07d2684a - 2819750 ns IT 00000230 684a LDR r2,[r1,#4] - 2819770 ns MR4_I 00000234 6008d1fc - 2819830 ns MR4_D 40006004 00000001 - 2819830 ns R r2 00000001 - 2819830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2819850 ns R r2 80000000 - 2819850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2819870 ns R psr 81000200 - 2819870 ns MR4_I 00000238 48054770 - 2819890 ns MR4_I 00000230 07d2684a - 2819910 ns IT 00000230 684a LDR r2,[r1,#4] - 2819930 ns MR4_I 00000234 6008d1fc - 2819990 ns MR4_D 40006004 00000001 - 2819990 ns R r2 00000001 - 2819990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2820010 ns R r2 80000000 - 2820010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2820030 ns R psr 81000200 - 2820030 ns MR4_I 00000238 48054770 - 2820050 ns MR4_I 00000230 07d2684a - 2820070 ns IT 00000230 684a LDR r2,[r1,#4] - 2820090 ns MR4_I 00000234 6008d1fc - 2820150 ns MR4_D 40006004 00000001 - 2820150 ns R r2 00000001 - 2820150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2820170 ns R r2 80000000 - 2820170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2820190 ns R psr 81000200 - 2820190 ns MR4_I 00000238 48054770 - 2820210 ns MR4_I 00000230 07d2684a - 2820230 ns IT 00000230 684a LDR r2,[r1,#4] - 2820250 ns MR4_I 00000234 6008d1fc - 2820310 ns MR4_D 40006004 00000001 - 2820310 ns R r2 00000001 - 2820310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2820330 ns R r2 80000000 - 2820330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2820350 ns R psr 81000200 - 2820350 ns MR4_I 00000238 48054770 - 2820370 ns MR4_I 00000230 07d2684a - 2820390 ns IT 00000230 684a LDR r2,[r1,#4] - 2820410 ns MR4_I 00000234 6008d1fc - 2820470 ns MR4_D 40006004 00000001 - 2820470 ns R r2 00000001 - 2820470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2820490 ns R r2 80000000 - 2820490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2820510 ns R psr 81000200 - 2820510 ns MR4_I 00000238 48054770 - 2820530 ns MR4_I 00000230 07d2684a - 2820550 ns IT 00000230 684a LDR r2,[r1,#4] - 2820570 ns MR4_I 00000234 6008d1fc - 2820630 ns MR4_D 40006004 00000001 - 2820630 ns R r2 00000001 - 2820630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2820650 ns R r2 80000000 - 2820650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2820670 ns R psr 81000200 - 2820670 ns MR4_I 00000238 48054770 - 2820690 ns MR4_I 00000230 07d2684a - 2820710 ns IT 00000230 684a LDR r2,[r1,#4] - 2820730 ns MR4_I 00000234 6008d1fc - 2820790 ns MR4_D 40006004 00000001 - 2820790 ns R r2 00000001 - 2820790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2820810 ns R r2 80000000 - 2820810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2820830 ns R psr 81000200 - 2820830 ns MR4_I 00000238 48054770 - 2820850 ns MR4_I 00000230 07d2684a - 2820870 ns IT 00000230 684a LDR r2,[r1,#4] - 2820890 ns MR4_I 00000234 6008d1fc - 2820950 ns MR4_D 40006004 00000001 - 2820950 ns R r2 00000001 - 2820950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2820970 ns R r2 80000000 - 2820970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2820990 ns R psr 81000200 - 2820990 ns MR4_I 00000238 48054770 - 2821010 ns MR4_I 00000230 07d2684a - 2821030 ns IT 00000230 684a LDR r2,[r1,#4] - 2821050 ns MR4_I 00000234 6008d1fc - 2821110 ns MR4_D 40006004 00000001 - 2821110 ns R r2 00000001 - 2821110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2821130 ns R r2 80000000 - 2821130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2821150 ns R psr 81000200 - 2821150 ns MR4_I 00000238 48054770 - 2821170 ns MR4_I 00000230 07d2684a - 2821190 ns IT 00000230 684a LDR r2,[r1,#4] - 2821210 ns MR4_I 00000234 6008d1fc - 2821270 ns MR4_D 40006004 00000001 - 2821270 ns R r2 00000001 - 2821270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2821290 ns R r2 80000000 - 2821290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2821310 ns R psr 81000200 - 2821310 ns MR4_I 00000238 48054770 - 2821330 ns MR4_I 00000230 07d2684a - 2821350 ns IT 00000230 684a LDR r2,[r1,#4] - 2821370 ns MR4_I 00000234 6008d1fc - 2821430 ns MR4_D 40006004 00000001 - 2821430 ns R r2 00000001 - 2821430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2821450 ns R r2 80000000 - 2821450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2821470 ns R psr 81000200 - 2821470 ns MR4_I 00000238 48054770 - 2821490 ns MR4_I 00000230 07d2684a - 2821510 ns IT 00000230 684a LDR r2,[r1,#4] - 2821530 ns MR4_I 00000234 6008d1fc - 2821590 ns MR4_D 40006004 00000001 - 2821590 ns R r2 00000001 - 2821590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2821610 ns R r2 80000000 - 2821610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2821630 ns R psr 81000200 - 2821630 ns MR4_I 00000238 48054770 - 2821650 ns MR4_I 00000230 07d2684a - 2821670 ns IT 00000230 684a LDR r2,[r1,#4] - 2821690 ns MR4_I 00000234 6008d1fc - 2821750 ns MR4_D 40006004 00000001 - 2821750 ns R r2 00000001 - 2821750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2821770 ns R r2 80000000 - 2821770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2821790 ns R psr 81000200 - 2821790 ns MR4_I 00000238 48054770 - 2821810 ns MR4_I 00000230 07d2684a - 2821830 ns IT 00000230 684a LDR r2,[r1,#4] - 2821850 ns MR4_I 00000234 6008d1fc - 2821910 ns MR4_D 40006004 00000001 - 2821910 ns R r2 00000001 - 2821910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2821930 ns R r2 80000000 - 2821930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2821950 ns R psr 81000200 - 2821950 ns MR4_I 00000238 48054770 - 2821970 ns MR4_I 00000230 07d2684a - 2821990 ns IT 00000230 684a LDR r2,[r1,#4] - 2822010 ns MR4_I 00000234 6008d1fc - 2822070 ns MR4_D 40006004 00000001 - 2822070 ns R r2 00000001 - 2822070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2822090 ns R r2 80000000 - 2822090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2822110 ns R psr 81000200 - 2822110 ns MR4_I 00000238 48054770 - 2822130 ns MR4_I 00000230 07d2684a - 2822150 ns IT 00000230 684a LDR r2,[r1,#4] - 2822170 ns MR4_I 00000234 6008d1fc - 2822230 ns MR4_D 40006004 00000001 - 2822230 ns R r2 00000001 - 2822230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2822250 ns R r2 80000000 - 2822250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2822270 ns R psr 81000200 - 2822270 ns MR4_I 00000238 48054770 - 2822290 ns MR4_I 00000230 07d2684a - 2822310 ns IT 00000230 684a LDR r2,[r1,#4] - 2822330 ns MR4_I 00000234 6008d1fc - 2822390 ns MR4_D 40006004 00000001 - 2822390 ns R r2 00000001 - 2822390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2822410 ns R r2 80000000 - 2822410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2822430 ns R psr 81000200 - 2822430 ns MR4_I 00000238 48054770 - 2822450 ns MR4_I 00000230 07d2684a - 2822470 ns IT 00000230 684a LDR r2,[r1,#4] - 2822490 ns MR4_I 00000234 6008d1fc - 2822550 ns MR4_D 40006004 00000001 - 2822550 ns R r2 00000001 - 2822550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2822570 ns R r2 80000000 - 2822570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2822590 ns R psr 81000200 - 2822590 ns MR4_I 00000238 48054770 - 2822610 ns MR4_I 00000230 07d2684a - 2822630 ns IT 00000230 684a LDR r2,[r1,#4] - 2822650 ns MR4_I 00000234 6008d1fc - 2822710 ns MR4_D 40006004 00000001 - 2822710 ns R r2 00000001 - 2822710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2822730 ns R r2 80000000 - 2822730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2822750 ns R psr 81000200 - 2822750 ns MR4_I 00000238 48054770 - 2822770 ns MR4_I 00000230 07d2684a - 2822790 ns IT 00000230 684a LDR r2,[r1,#4] - 2822810 ns MR4_I 00000234 6008d1fc - 2822870 ns MR4_D 40006004 00000001 - 2822870 ns R r2 00000001 - 2822870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2822890 ns R r2 80000000 - 2822890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2822910 ns R psr 81000200 - 2822910 ns MR4_I 00000238 48054770 - 2822930 ns MR4_I 00000230 07d2684a - 2822950 ns IT 00000230 684a LDR r2,[r1,#4] - 2822970 ns MR4_I 00000234 6008d1fc - 2823030 ns MR4_D 40006004 00000001 - 2823030 ns R r2 00000001 - 2823030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2823050 ns R r2 80000000 - 2823050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2823070 ns R psr 81000200 - 2823070 ns MR4_I 00000238 48054770 - 2823090 ns MR4_I 00000230 07d2684a - 2823110 ns IT 00000230 684a LDR r2,[r1,#4] - 2823130 ns MR4_I 00000234 6008d1fc - 2823190 ns MR4_D 40006004 00000001 - 2823190 ns R r2 00000001 - 2823190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2823210 ns R r2 80000000 - 2823210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2823230 ns R psr 81000200 - 2823230 ns MR4_I 00000238 48054770 - 2823250 ns MR4_I 00000230 07d2684a - 2823270 ns IT 00000230 684a LDR r2,[r1,#4] - 2823290 ns MR4_I 00000234 6008d1fc - 2823350 ns MR4_D 40006004 00000001 - 2823350 ns R r2 00000001 - 2823350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2823370 ns R r2 80000000 - 2823370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2823390 ns R psr 81000200 - 2823390 ns MR4_I 00000238 48054770 - 2823410 ns MR4_I 00000230 07d2684a - 2823430 ns IT 00000230 684a LDR r2,[r1,#4] - 2823450 ns MR4_I 00000234 6008d1fc - 2823510 ns MR4_D 40006004 00000001 - 2823510 ns R r2 00000001 - 2823510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2823530 ns R r2 80000000 - 2823530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2823550 ns R psr 81000200 - 2823550 ns MR4_I 00000238 48054770 - 2823570 ns MR4_I 00000230 07d2684a - 2823590 ns IT 00000230 684a LDR r2,[r1,#4] - 2823610 ns MR4_I 00000234 6008d1fc - 2823670 ns MR4_D 40006004 00000001 - 2823670 ns R r2 00000001 - 2823670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2823690 ns R r2 80000000 - 2823690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2823710 ns R psr 81000200 - 2823710 ns MR4_I 00000238 48054770 - 2823730 ns MR4_I 00000230 07d2684a - 2823750 ns IT 00000230 684a LDR r2,[r1,#4] - 2823770 ns MR4_I 00000234 6008d1fc - 2823830 ns MR4_D 40006004 00000001 - 2823830 ns R r2 00000001 - 2823830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2823850 ns R r2 80000000 - 2823850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2823870 ns R psr 81000200 - 2823870 ns MR4_I 00000238 48054770 - 2823890 ns MR4_I 00000230 07d2684a - 2823910 ns IT 00000230 684a LDR r2,[r1,#4] - 2823930 ns MR4_I 00000234 6008d1fc - 2823990 ns MR4_D 40006004 00000001 - 2823990 ns R r2 00000001 - 2823990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2824010 ns R r2 80000000 - 2824010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2824030 ns R psr 81000200 - 2824030 ns MR4_I 00000238 48054770 - 2824050 ns MR4_I 00000230 07d2684a - 2824070 ns IT 00000230 684a LDR r2,[r1,#4] - 2824090 ns MR4_I 00000234 6008d1fc - 2824150 ns MR4_D 40006004 00000001 - 2824150 ns R r2 00000001 - 2824150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2824170 ns R r2 80000000 - 2824170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2824190 ns R psr 81000200 - 2824190 ns MR4_I 00000238 48054770 - 2824210 ns MR4_I 00000230 07d2684a - 2824230 ns IT 00000230 684a LDR r2,[r1,#4] - 2824250 ns MR4_I 00000234 6008d1fc - 2824310 ns MR4_D 40006004 00000001 - 2824310 ns R r2 00000001 - 2824310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2824330 ns R r2 80000000 - 2824330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2824350 ns R psr 81000200 - 2824350 ns MR4_I 00000238 48054770 - 2824370 ns MR4_I 00000230 07d2684a - 2824390 ns IT 00000230 684a LDR r2,[r1,#4] - 2824410 ns MR4_I 00000234 6008d1fc - 2824470 ns MR4_D 40006004 00000001 - 2824470 ns R r2 00000001 - 2824470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2824490 ns R r2 80000000 - 2824490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2824510 ns R psr 81000200 - 2824510 ns MR4_I 00000238 48054770 - 2824530 ns MR4_I 00000230 07d2684a - 2824550 ns IT 00000230 684a LDR r2,[r1,#4] - 2824570 ns MR4_I 00000234 6008d1fc - 2824630 ns MR4_D 40006004 00000001 - 2824630 ns R r2 00000001 - 2824630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2824650 ns R r2 80000000 - 2824650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2824670 ns R psr 81000200 - 2824670 ns MR4_I 00000238 48054770 - 2824690 ns MR4_I 00000230 07d2684a - 2824710 ns IT 00000230 684a LDR r2,[r1,#4] - 2824730 ns MR4_I 00000234 6008d1fc - 2824790 ns MR4_D 40006004 00000001 - 2824790 ns R r2 00000001 - 2824790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2824810 ns R r2 80000000 - 2824810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2824830 ns R psr 81000200 - 2824830 ns MR4_I 00000238 48054770 - 2824850 ns MR4_I 00000230 07d2684a - 2824870 ns IT 00000230 684a LDR r2,[r1,#4] - 2824890 ns MR4_I 00000234 6008d1fc - 2824950 ns MR4_D 40006004 00000001 - 2824950 ns R r2 00000001 - 2824950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2824970 ns R r2 80000000 - 2824970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2824990 ns R psr 81000200 - 2824990 ns MR4_I 00000238 48054770 - 2825010 ns MR4_I 00000230 07d2684a - 2825030 ns IT 00000230 684a LDR r2,[r1,#4] - 2825050 ns MR4_I 00000234 6008d1fc - 2825110 ns MR4_D 40006004 00000001 - 2825110 ns R r2 00000001 - 2825110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2825130 ns R r2 80000000 - 2825130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2825150 ns R psr 81000200 - 2825150 ns MR4_I 00000238 48054770 - 2825170 ns MR4_I 00000230 07d2684a - 2825190 ns IT 00000230 684a LDR r2,[r1,#4] - 2825210 ns MR4_I 00000234 6008d1fc - 2825270 ns MR4_D 40006004 00000001 - 2825270 ns R r2 00000001 - 2825270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2825290 ns R r2 80000000 - 2825290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2825310 ns R psr 81000200 - 2825310 ns MR4_I 00000238 48054770 - 2825330 ns MR4_I 00000230 07d2684a - 2825350 ns IT 00000230 684a LDR r2,[r1,#4] - 2825370 ns MR4_I 00000234 6008d1fc - 2825430 ns MR4_D 40006004 00000001 - 2825430 ns R r2 00000001 - 2825430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2825450 ns R r2 80000000 - 2825450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2825470 ns R psr 81000200 - 2825470 ns MR4_I 00000238 48054770 - 2825490 ns MR4_I 00000230 07d2684a - 2825510 ns IT 00000230 684a LDR r2,[r1,#4] - 2825530 ns MR4_I 00000234 6008d1fc - 2825590 ns MR4_D 40006004 00000001 - 2825590 ns R r2 00000001 - 2825590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2825610 ns R r2 80000000 - 2825610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2825630 ns R psr 81000200 - 2825630 ns MR4_I 00000238 48054770 - 2825650 ns MR4_I 00000230 07d2684a - 2825670 ns IT 00000230 684a LDR r2,[r1,#4] - 2825690 ns MR4_I 00000234 6008d1fc - 2825750 ns MR4_D 40006004 00000001 - 2825750 ns R r2 00000001 - 2825750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2825770 ns R r2 80000000 - 2825770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2825790 ns R psr 81000200 - 2825790 ns MR4_I 00000238 48054770 - 2825810 ns MR4_I 00000230 07d2684a - 2825830 ns IT 00000230 684a LDR r2,[r1,#4] - 2825850 ns MR4_I 00000234 6008d1fc - 2825910 ns MR4_D 40006004 00000001 - 2825910 ns R r2 00000001 - 2825910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2825930 ns R r2 80000000 - 2825930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2825950 ns R psr 81000200 - 2825950 ns MR4_I 00000238 48054770 - 2825970 ns MR4_I 00000230 07d2684a - 2825990 ns IT 00000230 684a LDR r2,[r1,#4] - 2826010 ns MR4_I 00000234 6008d1fc - 2826070 ns MR4_D 40006004 00000001 - 2826070 ns R r2 00000001 - 2826070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2826090 ns R r2 80000000 - 2826090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2826110 ns R psr 81000200 - 2826110 ns MR4_I 00000238 48054770 - 2826130 ns MR4_I 00000230 07d2684a - 2826150 ns IT 00000230 684a LDR r2,[r1,#4] - 2826170 ns MR4_I 00000234 6008d1fc - 2826230 ns MR4_D 40006004 00000001 - 2826230 ns R r2 00000001 - 2826230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2826250 ns R r2 80000000 - 2826250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2826270 ns R psr 81000200 - 2826270 ns MR4_I 00000238 48054770 - 2826290 ns MR4_I 00000230 07d2684a - 2826310 ns IT 00000230 684a LDR r2,[r1,#4] - 2826330 ns MR4_I 00000234 6008d1fc - 2826390 ns MR4_D 40006004 00000001 - 2826390 ns R r2 00000001 - 2826390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2826410 ns R r2 80000000 - 2826410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2826430 ns R psr 81000200 - 2826430 ns MR4_I 00000238 48054770 - 2826450 ns MR4_I 00000230 07d2684a - 2826470 ns IT 00000230 684a LDR r2,[r1,#4] - 2826490 ns MR4_I 00000234 6008d1fc - 2826550 ns MR4_D 40006004 00000001 - 2826550 ns R r2 00000001 - 2826550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2826570 ns R r2 80000000 - 2826570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2826590 ns R psr 81000200 - 2826590 ns MR4_I 00000238 48054770 - 2826610 ns MR4_I 00000230 07d2684a - 2826630 ns IT 00000230 684a LDR r2,[r1,#4] - 2826650 ns MR4_I 00000234 6008d1fc - 2826710 ns MR4_D 40006004 00000001 - 2826710 ns R r2 00000001 - 2826710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2826730 ns R r2 80000000 - 2826730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2826750 ns R psr 81000200 - 2826750 ns MR4_I 00000238 48054770 - 2826770 ns MR4_I 00000230 07d2684a - 2826790 ns IT 00000230 684a LDR r2,[r1,#4] - 2826810 ns MR4_I 00000234 6008d1fc - 2826870 ns MR4_D 40006004 00000001 - 2826870 ns R r2 00000001 - 2826870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2826890 ns R r2 80000000 - 2826890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2826910 ns R psr 81000200 - 2826910 ns MR4_I 00000238 48054770 - 2826930 ns MR4_I 00000230 07d2684a - 2826950 ns IT 00000230 684a LDR r2,[r1,#4] - 2826970 ns MR4_I 00000234 6008d1fc - 2827030 ns MR4_D 40006004 00000001 - 2827030 ns R r2 00000001 - 2827030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2827050 ns R r2 80000000 - 2827050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2827070 ns R psr 81000200 - 2827070 ns MR4_I 00000238 48054770 - 2827090 ns MR4_I 00000230 07d2684a - 2827110 ns IT 00000230 684a LDR r2,[r1,#4] - 2827130 ns MR4_I 00000234 6008d1fc - 2827190 ns MR4_D 40006004 00000001 - 2827190 ns R r2 00000001 - 2827190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2827210 ns R r2 80000000 - 2827210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2827230 ns R psr 81000200 - 2827230 ns MR4_I 00000238 48054770 - 2827250 ns MR4_I 00000230 07d2684a - 2827270 ns IT 00000230 684a LDR r2,[r1,#4] - 2827290 ns MR4_I 00000234 6008d1fc - 2827350 ns MR4_D 40006004 00000001 - 2827350 ns R r2 00000001 - 2827350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2827370 ns R r2 80000000 - 2827370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2827390 ns R psr 81000200 - 2827390 ns MR4_I 00000238 48054770 - 2827410 ns MR4_I 00000230 07d2684a - 2827430 ns IT 00000230 684a LDR r2,[r1,#4] - 2827450 ns MR4_I 00000234 6008d1fc - 2827510 ns MR4_D 40006004 00000001 - 2827510 ns R r2 00000001 - 2827510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2827530 ns R r2 80000000 - 2827530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2827550 ns R psr 81000200 - 2827550 ns MR4_I 00000238 48054770 - 2827570 ns MR4_I 00000230 07d2684a - 2827590 ns IT 00000230 684a LDR r2,[r1,#4] - 2827610 ns MR4_I 00000234 6008d1fc - 2827670 ns MR4_D 40006004 00000001 - 2827670 ns R r2 00000001 - 2827670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2827690 ns R r2 80000000 - 2827690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2827710 ns R psr 81000200 - 2827710 ns MR4_I 00000238 48054770 - 2827730 ns MR4_I 00000230 07d2684a - 2827750 ns IT 00000230 684a LDR r2,[r1,#4] - 2827770 ns MR4_I 00000234 6008d1fc - 2827830 ns MR4_D 40006004 00000001 - 2827830 ns R r2 00000001 - 2827830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2827850 ns R r2 80000000 - 2827850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2827870 ns R psr 81000200 - 2827870 ns MR4_I 00000238 48054770 - 2827890 ns MR4_I 00000230 07d2684a - 2827910 ns IT 00000230 684a LDR r2,[r1,#4] - 2827930 ns MR4_I 00000234 6008d1fc - 2827990 ns MR4_D 40006004 00000001 - 2827990 ns R r2 00000001 - 2827990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2828010 ns R r2 80000000 - 2828010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2828030 ns R psr 81000200 - 2828030 ns MR4_I 00000238 48054770 - 2828050 ns MR4_I 00000230 07d2684a - 2828070 ns IT 00000230 684a LDR r2,[r1,#4] - 2828090 ns MR4_I 00000234 6008d1fc - 2828150 ns MR4_D 40006004 00000001 - 2828150 ns R r2 00000001 - 2828150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2828170 ns R r2 80000000 - 2828170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2828190 ns R psr 81000200 - 2828190 ns MR4_I 00000238 48054770 - 2828210 ns MR4_I 00000230 07d2684a - 2828230 ns IT 00000230 684a LDR r2,[r1,#4] - 2828250 ns MR4_I 00000234 6008d1fc - 2828310 ns MR4_D 40006004 00000001 - 2828310 ns R r2 00000001 - 2828310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2828330 ns R r2 80000000 - 2828330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2828350 ns R psr 81000200 - 2828350 ns MR4_I 00000238 48054770 - 2828370 ns MR4_I 00000230 07d2684a - 2828390 ns IT 00000230 684a LDR r2,[r1,#4] - 2828410 ns MR4_I 00000234 6008d1fc - 2828470 ns MR4_D 40006004 00000001 - 2828470 ns R r2 00000001 - 2828470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2828490 ns R r2 80000000 - 2828490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2828510 ns R psr 81000200 - 2828510 ns MR4_I 00000238 48054770 - 2828530 ns MR4_I 00000230 07d2684a - 2828550 ns IT 00000230 684a LDR r2,[r1,#4] - 2828570 ns MR4_I 00000234 6008d1fc - 2828630 ns MR4_D 40006004 00000001 - 2828630 ns R r2 00000001 - 2828630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2828650 ns R r2 80000000 - 2828650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2828670 ns R psr 81000200 - 2828670 ns MR4_I 00000238 48054770 - 2828690 ns MR4_I 00000230 07d2684a - 2828710 ns IT 00000230 684a LDR r2,[r1,#4] - 2828730 ns MR4_I 00000234 6008d1fc - 2828790 ns MR4_D 40006004 00000001 - 2828790 ns R r2 00000001 - 2828790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2828810 ns R r2 80000000 - 2828810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2828830 ns R psr 81000200 - 2828830 ns MR4_I 00000238 48054770 - 2828850 ns MR4_I 00000230 07d2684a - 2828870 ns IT 00000230 684a LDR r2,[r1,#4] - 2828890 ns MR4_I 00000234 6008d1fc - 2828950 ns MR4_D 40006004 00000001 - 2828950 ns R r2 00000001 - 2828950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2828970 ns R r2 80000000 - 2828970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2828990 ns R psr 81000200 - 2828990 ns MR4_I 00000238 48054770 - 2829010 ns MR4_I 00000230 07d2684a - 2829030 ns IT 00000230 684a LDR r2,[r1,#4] - 2829050 ns MR4_I 00000234 6008d1fc - 2829110 ns MR4_D 40006004 00000001 - 2829110 ns R r2 00000001 - 2829110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2829130 ns R r2 80000000 - 2829130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2829150 ns R psr 81000200 - 2829150 ns MR4_I 00000238 48054770 - 2829170 ns MR4_I 00000230 07d2684a - 2829190 ns IT 00000230 684a LDR r2,[r1,#4] - 2829210 ns MR4_I 00000234 6008d1fc - 2829270 ns MR4_D 40006004 00000001 - 2829270 ns R r2 00000001 - 2829270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2829290 ns R r2 80000000 - 2829290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2829310 ns R psr 81000200 - 2829310 ns MR4_I 00000238 48054770 - 2829330 ns MR4_I 00000230 07d2684a - 2829350 ns IT 00000230 684a LDR r2,[r1,#4] - 2829370 ns MR4_I 00000234 6008d1fc - 2829430 ns MR4_D 40006004 00000001 - 2829430 ns R r2 00000001 - 2829430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2829450 ns R r2 80000000 - 2829450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2829470 ns R psr 81000200 - 2829470 ns MR4_I 00000238 48054770 - 2829490 ns MR4_I 00000230 07d2684a - 2829510 ns IT 00000230 684a LDR r2,[r1,#4] - 2829530 ns MR4_I 00000234 6008d1fc - 2829590 ns MR4_D 40006004 00000001 - 2829590 ns R r2 00000001 - 2829590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2829610 ns R r2 80000000 - 2829610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2829630 ns R psr 81000200 - 2829630 ns MR4_I 00000238 48054770 - 2829650 ns MR4_I 00000230 07d2684a - 2829670 ns IT 00000230 684a LDR r2,[r1,#4] - 2829690 ns MR4_I 00000234 6008d1fc - 2829750 ns MR4_D 40006004 00000001 - 2829750 ns R r2 00000001 - 2829750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2829770 ns R r2 80000000 - 2829770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2829790 ns R psr 81000200 - 2829790 ns MR4_I 00000238 48054770 - 2829810 ns MR4_I 00000230 07d2684a - 2829830 ns IT 00000230 684a LDR r2,[r1,#4] - 2829850 ns MR4_I 00000234 6008d1fc - 2829910 ns MR4_D 40006004 00000001 - 2829910 ns R r2 00000001 - 2829910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2829930 ns R r2 80000000 - 2829930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2829950 ns R psr 81000200 - 2829950 ns MR4_I 00000238 48054770 - 2829970 ns MR4_I 00000230 07d2684a - 2829990 ns IT 00000230 684a LDR r2,[r1,#4] - 2830010 ns MR4_I 00000234 6008d1fc - 2830070 ns MR4_D 40006004 00000001 - 2830070 ns R r2 00000001 - 2830070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2830090 ns R r2 80000000 - 2830090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2830110 ns R psr 81000200 - 2830110 ns MR4_I 00000238 48054770 - 2830130 ns MR4_I 00000230 07d2684a - 2830150 ns IT 00000230 684a LDR r2,[r1,#4] - 2830170 ns MR4_I 00000234 6008d1fc - 2830230 ns MR4_D 40006004 00000001 - 2830230 ns R r2 00000001 - 2830230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2830250 ns R r2 80000000 - 2830250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2830270 ns R psr 81000200 - 2830270 ns MR4_I 00000238 48054770 - 2830290 ns MR4_I 00000230 07d2684a - 2830310 ns IT 00000230 684a LDR r2,[r1,#4] - 2830330 ns MR4_I 00000234 6008d1fc - 2830390 ns MR4_D 40006004 00000001 - 2830390 ns R r2 00000001 - 2830390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2830410 ns R r2 80000000 - 2830410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2830430 ns R psr 81000200 - 2830430 ns MR4_I 00000238 48054770 - 2830450 ns MR4_I 00000230 07d2684a - 2830470 ns IT 00000230 684a LDR r2,[r1,#4] - 2830490 ns MR4_I 00000234 6008d1fc - 2830550 ns MR4_D 40006004 00000001 - 2830550 ns R r2 00000001 - 2830550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2830570 ns R r2 80000000 - 2830570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2830590 ns R psr 81000200 - 2830590 ns MR4_I 00000238 48054770 - 2830610 ns MR4_I 00000230 07d2684a - 2830630 ns IT 00000230 684a LDR r2,[r1,#4] - 2830650 ns MR4_I 00000234 6008d1fc - 2830710 ns MR4_D 40006004 00000001 - 2830710 ns R r2 00000001 - 2830710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2830730 ns R r2 80000000 - 2830730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2830750 ns R psr 81000200 - 2830750 ns MR4_I 00000238 48054770 - 2830770 ns MR4_I 00000230 07d2684a - 2830790 ns IT 00000230 684a LDR r2,[r1,#4] - 2830810 ns MR4_I 00000234 6008d1fc - 2830870 ns MR4_D 40006004 00000001 - 2830870 ns R r2 00000001 - 2830870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2830890 ns R r2 80000000 - 2830890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2830910 ns R psr 81000200 - 2830910 ns MR4_I 00000238 48054770 - 2830930 ns MR4_I 00000230 07d2684a - 2830950 ns IT 00000230 684a LDR r2,[r1,#4] - 2830970 ns MR4_I 00000234 6008d1fc - 2831030 ns MR4_D 40006004 00000001 - 2831030 ns R r2 00000001 - 2831030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2831050 ns R r2 80000000 - 2831050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2831070 ns R psr 81000200 - 2831070 ns MR4_I 00000238 48054770 - 2831090 ns MR4_I 00000230 07d2684a - 2831110 ns IT 00000230 684a LDR r2,[r1,#4] - 2831130 ns MR4_I 00000234 6008d1fc - 2831190 ns MR4_D 40006004 00000001 - 2831190 ns R r2 00000001 - 2831190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2831210 ns R r2 80000000 - 2831210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2831230 ns R psr 81000200 - 2831230 ns MR4_I 00000238 48054770 - 2831250 ns MR4_I 00000230 07d2684a - 2831270 ns IT 00000230 684a LDR r2,[r1,#4] - 2831290 ns MR4_I 00000234 6008d1fc - 2831350 ns MR4_D 40006004 00000001 - 2831350 ns R r2 00000001 - 2831350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2831370 ns R r2 80000000 - 2831370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2831390 ns R psr 81000200 - 2831390 ns MR4_I 00000238 48054770 - 2831410 ns MR4_I 00000230 07d2684a - 2831430 ns IT 00000230 684a LDR r2,[r1,#4] - 2831450 ns MR4_I 00000234 6008d1fc - 2831510 ns MR4_D 40006004 00000001 - 2831510 ns R r2 00000001 - 2831510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2831530 ns R r2 80000000 - 2831530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2831550 ns R psr 81000200 - 2831550 ns MR4_I 00000238 48054770 - 2831570 ns MR4_I 00000230 07d2684a - 2831590 ns IT 00000230 684a LDR r2,[r1,#4] - 2831610 ns MR4_I 00000234 6008d1fc - 2831670 ns MR4_D 40006004 00000001 - 2831670 ns R r2 00000001 - 2831670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2831690 ns R r2 80000000 - 2831690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2831710 ns R psr 81000200 - 2831710 ns MR4_I 00000238 48054770 - 2831730 ns MR4_I 00000230 07d2684a - 2831750 ns IT 00000230 684a LDR r2,[r1,#4] - 2831770 ns MR4_I 00000234 6008d1fc - 2831830 ns MR4_D 40006004 00000001 - 2831830 ns R r2 00000001 - 2831830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2831850 ns R r2 80000000 - 2831850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2831870 ns R psr 81000200 - 2831870 ns MR4_I 00000238 48054770 - 2831890 ns MR4_I 00000230 07d2684a - 2831910 ns IT 00000230 684a LDR r2,[r1,#4] - 2831930 ns MR4_I 00000234 6008d1fc - 2831990 ns MR4_D 40006004 00000001 - 2831990 ns R r2 00000001 - 2831990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2832010 ns R r2 80000000 - 2832010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2832030 ns R psr 81000200 - 2832030 ns MR4_I 00000238 48054770 - 2832050 ns MR4_I 00000230 07d2684a - 2832070 ns IT 00000230 684a LDR r2,[r1,#4] - 2832090 ns MR4_I 00000234 6008d1fc - 2832150 ns MR4_D 40006004 00000001 - 2832150 ns R r2 00000001 - 2832150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2832170 ns R r2 80000000 - 2832170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2832190 ns R psr 81000200 - 2832190 ns MR4_I 00000238 48054770 - 2832210 ns MR4_I 00000230 07d2684a - 2832230 ns IT 00000230 684a LDR r2,[r1,#4] - 2832250 ns MR4_I 00000234 6008d1fc - 2832310 ns MR4_D 40006004 00000001 - 2832310 ns R r2 00000001 - 2832310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2832330 ns R r2 80000000 - 2832330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2832350 ns R psr 81000200 - 2832350 ns MR4_I 00000238 48054770 - 2832370 ns MR4_I 00000230 07d2684a - 2832390 ns IT 00000230 684a LDR r2,[r1,#4] - 2832410 ns MR4_I 00000234 6008d1fc - 2832470 ns MR4_D 40006004 00000001 - 2832470 ns R r2 00000001 - 2832470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2832490 ns R r2 80000000 - 2832490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2832510 ns R psr 81000200 - 2832510 ns MR4_I 00000238 48054770 - 2832530 ns MR4_I 00000230 07d2684a - 2832550 ns IT 00000230 684a LDR r2,[r1,#4] - 2832570 ns MR4_I 00000234 6008d1fc - 2832630 ns MR4_D 40006004 00000001 - 2832630 ns R r2 00000001 - 2832630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2832650 ns R r2 80000000 - 2832650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2832670 ns R psr 81000200 - 2832670 ns MR4_I 00000238 48054770 - 2832690 ns MR4_I 00000230 07d2684a - 2832710 ns IT 00000230 684a LDR r2,[r1,#4] - 2832730 ns MR4_I 00000234 6008d1fc - 2832790 ns MR4_D 40006004 00000001 - 2832790 ns R r2 00000001 - 2832790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2832810 ns R r2 80000000 - 2832810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2832830 ns R psr 81000200 - 2832830 ns MR4_I 00000238 48054770 - 2832850 ns MR4_I 00000230 07d2684a - 2832870 ns IT 00000230 684a LDR r2,[r1,#4] - 2832890 ns MR4_I 00000234 6008d1fc - 2832950 ns MR4_D 40006004 00000001 - 2832950 ns R r2 00000001 - 2832950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2832970 ns R r2 80000000 - 2832970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2832990 ns R psr 81000200 - 2832990 ns MR4_I 00000238 48054770 - 2833010 ns MR4_I 00000230 07d2684a - 2833030 ns IT 00000230 684a LDR r2,[r1,#4] - 2833050 ns MR4_I 00000234 6008d1fc - 2833110 ns MR4_D 40006004 00000001 - 2833110 ns R r2 00000001 - 2833110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2833130 ns R r2 80000000 - 2833130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2833150 ns R psr 81000200 - 2833150 ns MR4_I 00000238 48054770 - 2833170 ns MR4_I 00000230 07d2684a - 2833190 ns IT 00000230 684a LDR r2,[r1,#4] - 2833210 ns MR4_I 00000234 6008d1fc - 2833270 ns MR4_D 40006004 00000001 - 2833270 ns R r2 00000001 - 2833270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2833290 ns R r2 80000000 - 2833290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2833310 ns R psr 81000200 - 2833310 ns MR4_I 00000238 48054770 - 2833330 ns MR4_I 00000230 07d2684a - 2833350 ns IT 00000230 684a LDR r2,[r1,#4] - 2833370 ns MR4_I 00000234 6008d1fc - 2833430 ns MR4_D 40006004 00000001 - 2833430 ns R r2 00000001 - 2833430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2833450 ns R r2 80000000 - 2833450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2833470 ns R psr 81000200 - 2833470 ns MR4_I 00000238 48054770 - 2833490 ns MR4_I 00000230 07d2684a - 2833510 ns IT 00000230 684a LDR r2,[r1,#4] - 2833530 ns MR4_I 00000234 6008d1fc - 2833590 ns MR4_D 40006004 00000001 - 2833590 ns R r2 00000001 - 2833590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2833610 ns R r2 80000000 - 2833610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2833630 ns R psr 81000200 - 2833630 ns MR4_I 00000238 48054770 - 2833650 ns MR4_I 00000230 07d2684a - 2833670 ns IT 00000230 684a LDR r2,[r1,#4] - 2833690 ns MR4_I 00000234 6008d1fc - 2833750 ns MR4_D 40006004 00000001 - 2833750 ns R r2 00000001 - 2833750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2833770 ns R r2 80000000 - 2833770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2833790 ns R psr 81000200 - 2833790 ns MR4_I 00000238 48054770 - 2833810 ns MR4_I 00000230 07d2684a - 2833830 ns IT 00000230 684a LDR r2,[r1,#4] - 2833850 ns MR4_I 00000234 6008d1fc - 2833910 ns MR4_D 40006004 00000001 - 2833910 ns R r2 00000001 - 2833910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2833930 ns R r2 80000000 - 2833930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2833950 ns R psr 81000200 - 2833950 ns MR4_I 00000238 48054770 - 2833970 ns MR4_I 00000230 07d2684a - 2833990 ns IT 00000230 684a LDR r2,[r1,#4] - 2834010 ns MR4_I 00000234 6008d1fc - 2834070 ns MR4_D 40006004 00000001 - 2834070 ns R r2 00000001 - 2834070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2834090 ns R r2 80000000 - 2834090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2834110 ns R psr 81000200 - 2834110 ns MR4_I 00000238 48054770 - 2834130 ns MR4_I 00000230 07d2684a - 2834150 ns IT 00000230 684a LDR r2,[r1,#4] - 2834170 ns MR4_I 00000234 6008d1fc - 2834230 ns MR4_D 40006004 00000001 - 2834230 ns R r2 00000001 - 2834230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2834250 ns R r2 80000000 - 2834250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2834270 ns R psr 81000200 - 2834270 ns MR4_I 00000238 48054770 - 2834290 ns MR4_I 00000230 07d2684a - 2834310 ns IT 00000230 684a LDR r2,[r1,#4] - 2834330 ns MR4_I 00000234 6008d1fc - 2834390 ns MR4_D 40006004 00000001 - 2834390 ns R r2 00000001 - 2834390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2834410 ns R r2 80000000 - 2834410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2834430 ns R psr 81000200 - 2834430 ns MR4_I 00000238 48054770 - 2834450 ns MR4_I 00000230 07d2684a - 2834470 ns IT 00000230 684a LDR r2,[r1,#4] - 2834490 ns MR4_I 00000234 6008d1fc - 2834550 ns MR4_D 40006004 00000001 - 2834550 ns R r2 00000001 - 2834550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2834570 ns R r2 80000000 - 2834570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2834590 ns R psr 81000200 - 2834590 ns MR4_I 00000238 48054770 - 2834610 ns MR4_I 00000230 07d2684a - 2834630 ns IT 00000230 684a LDR r2,[r1,#4] - 2834650 ns MR4_I 00000234 6008d1fc - 2834710 ns MR4_D 40006004 00000001 - 2834710 ns R r2 00000001 - 2834710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2834730 ns R r2 80000000 - 2834730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2834750 ns R psr 81000200 - 2834750 ns MR4_I 00000238 48054770 - 2834770 ns MR4_I 00000230 07d2684a - 2834790 ns IT 00000230 684a LDR r2,[r1,#4] - 2834810 ns MR4_I 00000234 6008d1fc - 2834870 ns MR4_D 40006004 00000001 - 2834870 ns R r2 00000001 - 2834870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2834890 ns R r2 80000000 - 2834890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2834910 ns R psr 81000200 - 2834910 ns MR4_I 00000238 48054770 - 2834930 ns MR4_I 00000230 07d2684a - 2834950 ns IT 00000230 684a LDR r2,[r1,#4] - 2834970 ns MR4_I 00000234 6008d1fc - 2835030 ns MR4_D 40006004 00000001 - 2835030 ns R r2 00000001 - 2835030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2835050 ns R r2 80000000 - 2835050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2835070 ns R psr 81000200 - 2835070 ns MR4_I 00000238 48054770 - 2835090 ns MR4_I 00000230 07d2684a - 2835110 ns IT 00000230 684a LDR r2,[r1,#4] - 2835130 ns MR4_I 00000234 6008d1fc - 2835190 ns MR4_D 40006004 00000001 - 2835190 ns R r2 00000001 - 2835190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2835210 ns R r2 80000000 - 2835210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2835230 ns R psr 81000200 - 2835230 ns MR4_I 00000238 48054770 - 2835250 ns MR4_I 00000230 07d2684a - 2835270 ns IT 00000230 684a LDR r2,[r1,#4] - 2835290 ns MR4_I 00000234 6008d1fc - 2835350 ns MR4_D 40006004 00000001 - 2835350 ns R r2 00000001 - 2835350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2835370 ns R r2 80000000 - 2835370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2835390 ns R psr 81000200 - 2835390 ns MR4_I 00000238 48054770 - 2835410 ns MR4_I 00000230 07d2684a - 2835430 ns IT 00000230 684a LDR r2,[r1,#4] - 2835450 ns MR4_I 00000234 6008d1fc - 2835510 ns MR4_D 40006004 00000001 - 2835510 ns R r2 00000001 - 2835510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2835530 ns R r2 80000000 - 2835530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2835550 ns R psr 81000200 - 2835550 ns MR4_I 00000238 48054770 - 2835570 ns MR4_I 00000230 07d2684a - 2835590 ns IT 00000230 684a LDR r2,[r1,#4] - 2835610 ns MR4_I 00000234 6008d1fc - 2835670 ns MR4_D 40006004 00000001 - 2835670 ns R r2 00000001 - 2835670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2835690 ns R r2 80000000 - 2835690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2835710 ns R psr 81000200 - 2835710 ns MR4_I 00000238 48054770 - 2835730 ns MR4_I 00000230 07d2684a - 2835750 ns IT 00000230 684a LDR r2,[r1,#4] - 2835770 ns MR4_I 00000234 6008d1fc - 2835830 ns MR4_D 40006004 00000001 - 2835830 ns R r2 00000001 - 2835830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2835850 ns R r2 80000000 - 2835850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2835870 ns R psr 81000200 - 2835870 ns MR4_I 00000238 48054770 - 2835890 ns MR4_I 00000230 07d2684a - 2835910 ns IT 00000230 684a LDR r2,[r1,#4] - 2835930 ns MR4_I 00000234 6008d1fc - 2835990 ns MR4_D 40006004 00000001 - 2835990 ns R r2 00000001 - 2835990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2836010 ns R r2 80000000 - 2836010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2836030 ns R psr 81000200 - 2836030 ns MR4_I 00000238 48054770 - 2836050 ns MR4_I 00000230 07d2684a - 2836070 ns IT 00000230 684a LDR r2,[r1,#4] - 2836090 ns MR4_I 00000234 6008d1fc - 2836150 ns MR4_D 40006004 00000001 - 2836150 ns R r2 00000001 - 2836150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2836170 ns R r2 80000000 - 2836170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2836190 ns R psr 81000200 - 2836190 ns MR4_I 00000238 48054770 - 2836210 ns MR4_I 00000230 07d2684a - 2836230 ns IT 00000230 684a LDR r2,[r1,#4] - 2836250 ns MR4_I 00000234 6008d1fc - 2836310 ns MR4_D 40006004 00000001 - 2836310 ns R r2 00000001 - 2836310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2836330 ns R r2 80000000 - 2836330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2836350 ns R psr 81000200 - 2836350 ns MR4_I 00000238 48054770 - 2836370 ns MR4_I 00000230 07d2684a - 2836390 ns IT 00000230 684a LDR r2,[r1,#4] - 2836410 ns MR4_I 00000234 6008d1fc - 2836470 ns MR4_D 40006004 00000001 - 2836470 ns R r2 00000001 - 2836470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2836490 ns R r2 80000000 - 2836490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2836510 ns R psr 81000200 - 2836510 ns MR4_I 00000238 48054770 - 2836530 ns MR4_I 00000230 07d2684a - 2836550 ns IT 00000230 684a LDR r2,[r1,#4] - 2836570 ns MR4_I 00000234 6008d1fc - 2836630 ns MR4_D 40006004 00000001 - 2836630 ns R r2 00000001 - 2836630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2836650 ns R r2 80000000 - 2836650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2836670 ns R psr 81000200 - 2836670 ns MR4_I 00000238 48054770 - 2836690 ns MR4_I 00000230 07d2684a - 2836710 ns IT 00000230 684a LDR r2,[r1,#4] - 2836730 ns MR4_I 00000234 6008d1fc - 2836790 ns MR4_D 40006004 00000001 - 2836790 ns R r2 00000001 - 2836790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2836810 ns R r2 80000000 - 2836810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2836830 ns R psr 81000200 - 2836830 ns MR4_I 00000238 48054770 - 2836850 ns MR4_I 00000230 07d2684a - 2836870 ns IT 00000230 684a LDR r2,[r1,#4] - 2836890 ns MR4_I 00000234 6008d1fc - 2836950 ns MR4_D 40006004 00000001 - 2836950 ns R r2 00000001 - 2836950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2836970 ns R r2 80000000 - 2836970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2836990 ns R psr 81000200 - 2836990 ns MR4_I 00000238 48054770 - 2837010 ns MR4_I 00000230 07d2684a - 2837030 ns IT 00000230 684a LDR r2,[r1,#4] - 2837050 ns MR4_I 00000234 6008d1fc - 2837110 ns MR4_D 40006004 00000001 - 2837110 ns R r2 00000001 - 2837110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2837130 ns R r2 80000000 - 2837130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2837150 ns R psr 81000200 - 2837150 ns MR4_I 00000238 48054770 - 2837170 ns MR4_I 00000230 07d2684a - 2837190 ns IT 00000230 684a LDR r2,[r1,#4] - 2837210 ns MR4_I 00000234 6008d1fc - 2837270 ns MR4_D 40006004 00000001 - 2837270 ns R r2 00000001 - 2837270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2837290 ns R r2 80000000 - 2837290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2837310 ns R psr 81000200 - 2837310 ns MR4_I 00000238 48054770 - 2837330 ns MR4_I 00000230 07d2684a - 2837350 ns IT 00000230 684a LDR r2,[r1,#4] - 2837370 ns MR4_I 00000234 6008d1fc - 2837430 ns MR4_D 40006004 00000001 - 2837430 ns R r2 00000001 - 2837430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2837450 ns R r2 80000000 - 2837450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2837470 ns R psr 81000200 - 2837470 ns MR4_I 00000238 48054770 - 2837490 ns MR4_I 00000230 07d2684a - 2837510 ns IT 00000230 684a LDR r2,[r1,#4] - 2837530 ns MR4_I 00000234 6008d1fc - 2837590 ns MR4_D 40006004 00000001 - 2837590 ns R r2 00000001 - 2837590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2837610 ns R r2 80000000 - 2837610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2837630 ns R psr 81000200 - 2837630 ns MR4_I 00000238 48054770 - 2837650 ns MR4_I 00000230 07d2684a - 2837670 ns IT 00000230 684a LDR r2,[r1,#4] - 2837690 ns MR4_I 00000234 6008d1fc - 2837750 ns MR4_D 40006004 00000001 - 2837750 ns R r2 00000001 - 2837750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2837770 ns R r2 80000000 - 2837770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2837790 ns R psr 81000200 - 2837790 ns MR4_I 00000238 48054770 - 2837810 ns MR4_I 00000230 07d2684a - 2837830 ns IT 00000230 684a LDR r2,[r1,#4] - 2837850 ns MR4_I 00000234 6008d1fc - 2837910 ns MR4_D 40006004 00000001 - 2837910 ns R r2 00000001 - 2837910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2837930 ns R r2 80000000 - 2837930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2837950 ns R psr 81000200 - 2837950 ns MR4_I 00000238 48054770 - 2837970 ns MR4_I 00000230 07d2684a - 2837990 ns IT 00000230 684a LDR r2,[r1,#4] - 2838010 ns MR4_I 00000234 6008d1fc - 2838070 ns MR4_D 40006004 00000001 - 2838070 ns R r2 00000001 - 2838070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2838090 ns R r2 80000000 - 2838090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2838110 ns R psr 81000200 - 2838110 ns MR4_I 00000238 48054770 - 2838130 ns MR4_I 00000230 07d2684a - 2838150 ns IT 00000230 684a LDR r2,[r1,#4] - 2838170 ns MR4_I 00000234 6008d1fc - 2838230 ns MR4_D 40006004 00000001 - 2838230 ns R r2 00000001 - 2838230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2838250 ns R r2 80000000 - 2838250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2838270 ns R psr 81000200 - 2838270 ns MR4_I 00000238 48054770 - 2838290 ns MR4_I 00000230 07d2684a - 2838310 ns IT 00000230 684a LDR r2,[r1,#4] - 2838330 ns MR4_I 00000234 6008d1fc - 2838390 ns MR4_D 40006004 00000001 - 2838390 ns R r2 00000001 - 2838390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2838410 ns R r2 80000000 - 2838410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2838430 ns R psr 81000200 - 2838430 ns MR4_I 00000238 48054770 - 2838450 ns MR4_I 00000230 07d2684a - 2838470 ns IT 00000230 684a LDR r2,[r1,#4] - 2838490 ns MR4_I 00000234 6008d1fc - 2838550 ns MR4_D 40006004 00000001 - 2838550 ns R r2 00000001 - 2838550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2838570 ns R r2 80000000 - 2838570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2838590 ns R psr 81000200 - 2838590 ns MR4_I 00000238 48054770 - 2838610 ns MR4_I 00000230 07d2684a - 2838630 ns IT 00000230 684a LDR r2,[r1,#4] - 2838650 ns MR4_I 00000234 6008d1fc - 2838710 ns MR4_D 40006004 00000001 - 2838710 ns R r2 00000001 - 2838710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2838730 ns R r2 80000000 - 2838730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2838750 ns R psr 81000200 - 2838750 ns MR4_I 00000238 48054770 - 2838770 ns MR4_I 00000230 07d2684a - 2838790 ns IT 00000230 684a LDR r2,[r1,#4] - 2838810 ns MR4_I 00000234 6008d1fc - 2838870 ns MR4_D 40006004 00000001 - 2838870 ns R r2 00000001 - 2838870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2838890 ns R r2 80000000 - 2838890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2838910 ns R psr 81000200 - 2838910 ns MR4_I 00000238 48054770 - 2838930 ns MR4_I 00000230 07d2684a - 2838950 ns IT 00000230 684a LDR r2,[r1,#4] - 2838970 ns MR4_I 00000234 6008d1fc - 2839030 ns MR4_D 40006004 00000001 - 2839030 ns R r2 00000001 - 2839030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2839050 ns R r2 80000000 - 2839050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2839070 ns R psr 81000200 - 2839070 ns MR4_I 00000238 48054770 - 2839090 ns MR4_I 00000230 07d2684a - 2839110 ns IT 00000230 684a LDR r2,[r1,#4] - 2839130 ns MR4_I 00000234 6008d1fc - 2839190 ns MR4_D 40006004 00000001 - 2839190 ns R r2 00000001 - 2839190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2839210 ns R r2 80000000 - 2839210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2839230 ns R psr 81000200 - 2839230 ns MR4_I 00000238 48054770 - 2839250 ns MR4_I 00000230 07d2684a - 2839270 ns IT 00000230 684a LDR r2,[r1,#4] - 2839290 ns MR4_I 00000234 6008d1fc - 2839350 ns MR4_D 40006004 00000001 - 2839350 ns R r2 00000001 - 2839350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2839370 ns R r2 80000000 - 2839370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2839390 ns R psr 81000200 - 2839390 ns MR4_I 00000238 48054770 - 2839410 ns MR4_I 00000230 07d2684a - 2839430 ns IT 00000230 684a LDR r2,[r1,#4] - 2839450 ns MR4_I 00000234 6008d1fc - 2839510 ns MR4_D 40006004 00000001 - 2839510 ns R r2 00000001 - 2839510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2839530 ns R r2 80000000 - 2839530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2839550 ns R psr 81000200 - 2839550 ns MR4_I 00000238 48054770 - 2839570 ns MR4_I 00000230 07d2684a - 2839590 ns IT 00000230 684a LDR r2,[r1,#4] - 2839610 ns MR4_I 00000234 6008d1fc - 2839670 ns MR4_D 40006004 00000001 - 2839670 ns R r2 00000001 - 2839670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2839690 ns R r2 80000000 - 2839690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2839710 ns R psr 81000200 - 2839710 ns MR4_I 00000238 48054770 - 2839730 ns MR4_I 00000230 07d2684a - 2839750 ns IT 00000230 684a LDR r2,[r1,#4] - 2839770 ns MR4_I 00000234 6008d1fc - 2839830 ns MR4_D 40006004 00000001 - 2839830 ns R r2 00000001 - 2839830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2839850 ns R r2 80000000 - 2839850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2839870 ns R psr 81000200 - 2839870 ns MR4_I 00000238 48054770 - 2839890 ns MR4_I 00000230 07d2684a - 2839910 ns IT 00000230 684a LDR r2,[r1,#4] - 2839930 ns MR4_I 00000234 6008d1fc - 2839990 ns MR4_D 40006004 00000001 - 2839990 ns R r2 00000001 - 2839990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2840010 ns R r2 80000000 - 2840010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2840030 ns R psr 81000200 - 2840030 ns MR4_I 00000238 48054770 - 2840050 ns MR4_I 00000230 07d2684a - 2840070 ns IT 00000230 684a LDR r2,[r1,#4] - 2840090 ns MR4_I 00000234 6008d1fc - 2840150 ns MR4_D 40006004 00000001 - 2840150 ns R r2 00000001 - 2840150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2840170 ns R r2 80000000 - 2840170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2840190 ns R psr 81000200 - 2840190 ns MR4_I 00000238 48054770 - 2840210 ns MR4_I 00000230 07d2684a - 2840230 ns IT 00000230 684a LDR r2,[r1,#4] - 2840250 ns MR4_I 00000234 6008d1fc - 2840310 ns MR4_D 40006004 00000001 - 2840310 ns R r2 00000001 - 2840310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2840330 ns R r2 80000000 - 2840330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2840350 ns R psr 81000200 - 2840350 ns MR4_I 00000238 48054770 - 2840370 ns MR4_I 00000230 07d2684a - 2840390 ns IT 00000230 684a LDR r2,[r1,#4] - 2840410 ns MR4_I 00000234 6008d1fc - 2840470 ns MR4_D 40006004 00000001 - 2840470 ns R r2 00000001 - 2840470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2840490 ns R r2 80000000 - 2840490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2840510 ns R psr 81000200 - 2840510 ns MR4_I 00000238 48054770 - 2840530 ns MR4_I 00000230 07d2684a - 2840550 ns IT 00000230 684a LDR r2,[r1,#4] - 2840570 ns MR4_I 00000234 6008d1fc - 2840630 ns MR4_D 40006004 00000001 - 2840630 ns R r2 00000001 - 2840630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2840650 ns R r2 80000000 - 2840650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2840670 ns R psr 81000200 - 2840670 ns MR4_I 00000238 48054770 - 2840690 ns MR4_I 00000230 07d2684a - 2840710 ns IT 00000230 684a LDR r2,[r1,#4] - 2840730 ns MR4_I 00000234 6008d1fc - 2840790 ns MR4_D 40006004 00000001 - 2840790 ns R r2 00000001 - 2840790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2840810 ns R r2 80000000 - 2840810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2840830 ns R psr 81000200 - 2840830 ns MR4_I 00000238 48054770 - 2840850 ns MR4_I 00000230 07d2684a - 2840870 ns IT 00000230 684a LDR r2,[r1,#4] - 2840890 ns MR4_I 00000234 6008d1fc - 2840950 ns MR4_D 40006004 00000001 - 2840950 ns R r2 00000001 - 2840950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2840970 ns R r2 80000000 - 2840970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2840990 ns R psr 81000200 - 2840990 ns MR4_I 00000238 48054770 - 2841010 ns MR4_I 00000230 07d2684a - 2841030 ns IT 00000230 684a LDR r2,[r1,#4] - 2841050 ns MR4_I 00000234 6008d1fc - 2841110 ns MR4_D 40006004 00000001 - 2841110 ns R r2 00000001 - 2841110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2841130 ns R r2 80000000 - 2841130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2841150 ns R psr 81000200 - 2841150 ns MR4_I 00000238 48054770 - 2841170 ns MR4_I 00000230 07d2684a - 2841190 ns IT 00000230 684a LDR r2,[r1,#4] - 2841210 ns MR4_I 00000234 6008d1fc - 2841270 ns MR4_D 40006004 00000001 - 2841270 ns R r2 00000001 - 2841270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2841290 ns R r2 80000000 - 2841290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2841310 ns R psr 81000200 - 2841310 ns MR4_I 00000238 48054770 - 2841330 ns MR4_I 00000230 07d2684a - 2841350 ns IT 00000230 684a LDR r2,[r1,#4] - 2841370 ns MR4_I 00000234 6008d1fc - 2841430 ns MR4_D 40006004 00000001 - 2841430 ns R r2 00000001 - 2841430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2841450 ns R r2 80000000 - 2841450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2841470 ns R psr 81000200 - 2841470 ns MR4_I 00000238 48054770 - 2841490 ns MR4_I 00000230 07d2684a - 2841510 ns IT 00000230 684a LDR r2,[r1,#4] - 2841530 ns MR4_I 00000234 6008d1fc - 2841590 ns MR4_D 40006004 00000001 - 2841590 ns R r2 00000001 - 2841590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2841610 ns R r2 80000000 - 2841610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2841630 ns R psr 81000200 - 2841630 ns MR4_I 00000238 48054770 - 2841650 ns MR4_I 00000230 07d2684a - 2841670 ns IT 00000230 684a LDR r2,[r1,#4] - 2841690 ns MR4_I 00000234 6008d1fc - 2841750 ns MR4_D 40006004 00000001 - 2841750 ns R r2 00000001 - 2841750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2841770 ns R r2 80000000 - 2841770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2841790 ns R psr 81000200 - 2841790 ns MR4_I 00000238 48054770 - 2841810 ns MR4_I 00000230 07d2684a - 2841830 ns IT 00000230 684a LDR r2,[r1,#4] - 2841850 ns MR4_I 00000234 6008d1fc - 2841910 ns MR4_D 40006004 00000001 - 2841910 ns R r2 00000001 - 2841910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2841930 ns R r2 80000000 - 2841930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2841950 ns R psr 81000200 - 2841950 ns MR4_I 00000238 48054770 - 2841970 ns MR4_I 00000230 07d2684a - 2841990 ns IT 00000230 684a LDR r2,[r1,#4] - 2842010 ns MR4_I 00000234 6008d1fc - 2842070 ns MR4_D 40006004 00000001 - 2842070 ns R r2 00000001 - 2842070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2842090 ns R r2 80000000 - 2842090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2842110 ns R psr 81000200 - 2842110 ns MR4_I 00000238 48054770 - 2842130 ns MR4_I 00000230 07d2684a - 2842150 ns IT 00000230 684a LDR r2,[r1,#4] - 2842170 ns MR4_I 00000234 6008d1fc - 2842230 ns MR4_D 40006004 00000001 - 2842230 ns R r2 00000001 - 2842230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2842250 ns R r2 80000000 - 2842250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2842270 ns R psr 81000200 - 2842270 ns MR4_I 00000238 48054770 - 2842290 ns MR4_I 00000230 07d2684a - 2842310 ns IT 00000230 684a LDR r2,[r1,#4] - 2842330 ns MR4_I 00000234 6008d1fc - 2842390 ns MR4_D 40006004 00000001 - 2842390 ns R r2 00000001 - 2842390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2842410 ns R r2 80000000 - 2842410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2842430 ns R psr 81000200 - 2842430 ns MR4_I 00000238 48054770 - 2842450 ns MR4_I 00000230 07d2684a - 2842470 ns IT 00000230 684a LDR r2,[r1,#4] - 2842490 ns MR4_I 00000234 6008d1fc - 2842550 ns MR4_D 40006004 00000001 - 2842550 ns R r2 00000001 - 2842550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2842570 ns R r2 80000000 - 2842570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2842590 ns R psr 81000200 - 2842590 ns MR4_I 00000238 48054770 - 2842610 ns MR4_I 00000230 07d2684a - 2842630 ns IT 00000230 684a LDR r2,[r1,#4] - 2842650 ns MR4_I 00000234 6008d1fc - 2842710 ns MR4_D 40006004 00000001 - 2842710 ns R r2 00000001 - 2842710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2842730 ns R r2 80000000 - 2842730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2842750 ns R psr 81000200 - 2842750 ns MR4_I 00000238 48054770 - 2842770 ns MR4_I 00000230 07d2684a - 2842790 ns IT 00000230 684a LDR r2,[r1,#4] - 2842810 ns MR4_I 00000234 6008d1fc - 2842870 ns MR4_D 40006004 00000001 - 2842870 ns R r2 00000001 - 2842870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2842890 ns R r2 80000000 - 2842890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2842910 ns R psr 81000200 - 2842910 ns MR4_I 00000238 48054770 - 2842930 ns MR4_I 00000230 07d2684a - 2842950 ns IT 00000230 684a LDR r2,[r1,#4] - 2842970 ns MR4_I 00000234 6008d1fc - 2843030 ns MR4_D 40006004 00000001 - 2843030 ns R r2 00000001 - 2843030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2843050 ns R r2 80000000 - 2843050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2843070 ns R psr 81000200 - 2843070 ns MR4_I 00000238 48054770 - 2843090 ns MR4_I 00000230 07d2684a - 2843110 ns IT 00000230 684a LDR r2,[r1,#4] - 2843130 ns MR4_I 00000234 6008d1fc - 2843190 ns MR4_D 40006004 00000001 - 2843190 ns R r2 00000001 - 2843190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2843210 ns R r2 80000000 - 2843210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2843230 ns R psr 81000200 - 2843230 ns MR4_I 00000238 48054770 - 2843250 ns MR4_I 00000230 07d2684a - 2843270 ns IT 00000230 684a LDR r2,[r1,#4] - 2843290 ns MR4_I 00000234 6008d1fc - 2843350 ns MR4_D 40006004 00000001 - 2843350 ns R r2 00000001 - 2843350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2843370 ns R r2 80000000 - 2843370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2843390 ns R psr 81000200 - 2843390 ns MR4_I 00000238 48054770 - 2843410 ns MR4_I 00000230 07d2684a - 2843430 ns IT 00000230 684a LDR r2,[r1,#4] - 2843450 ns MR4_I 00000234 6008d1fc - 2843510 ns MR4_D 40006004 00000001 - 2843510 ns R r2 00000001 - 2843510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2843530 ns R r2 80000000 - 2843530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2843550 ns R psr 81000200 - 2843550 ns MR4_I 00000238 48054770 - 2843570 ns MR4_I 00000230 07d2684a - 2843590 ns IT 00000230 684a LDR r2,[r1,#4] - 2843610 ns MR4_I 00000234 6008d1fc - 2843670 ns MR4_D 40006004 00000001 - 2843670 ns R r2 00000001 - 2843670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2843690 ns R r2 80000000 - 2843690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2843710 ns R psr 81000200 - 2843710 ns MR4_I 00000238 48054770 - 2843730 ns MR4_I 00000230 07d2684a - 2843750 ns IT 00000230 684a LDR r2,[r1,#4] - 2843770 ns MR4_I 00000234 6008d1fc - 2843830 ns MR4_D 40006004 00000001 - 2843830 ns R r2 00000001 - 2843830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2843850 ns R r2 80000000 - 2843850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2843870 ns R psr 81000200 - 2843870 ns MR4_I 00000238 48054770 - 2843890 ns MR4_I 00000230 07d2684a - 2843910 ns IT 00000230 684a LDR r2,[r1,#4] - 2843930 ns MR4_I 00000234 6008d1fc - 2843990 ns MR4_D 40006004 00000001 - 2843990 ns R r2 00000001 - 2843990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2844010 ns R r2 80000000 - 2844010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2844030 ns R psr 81000200 - 2844030 ns MR4_I 00000238 48054770 - 2844050 ns MR4_I 00000230 07d2684a - 2844070 ns IT 00000230 684a LDR r2,[r1,#4] - 2844090 ns MR4_I 00000234 6008d1fc - 2844150 ns MR4_D 40006004 00000001 - 2844150 ns R r2 00000001 - 2844150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2844170 ns R r2 80000000 - 2844170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2844190 ns R psr 81000200 - 2844190 ns MR4_I 00000238 48054770 - 2844210 ns MR4_I 00000230 07d2684a - 2844230 ns IT 00000230 684a LDR r2,[r1,#4] - 2844250 ns MR4_I 00000234 6008d1fc - 2844310 ns MR4_D 40006004 00000001 - 2844310 ns R r2 00000001 - 2844310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2844330 ns R r2 80000000 - 2844330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2844350 ns R psr 81000200 - 2844350 ns MR4_I 00000238 48054770 - 2844370 ns MR4_I 00000230 07d2684a - 2844390 ns IT 00000230 684a LDR r2,[r1,#4] - 2844410 ns MR4_I 00000234 6008d1fc - 2844470 ns MR4_D 40006004 00000001 - 2844470 ns R r2 00000001 - 2844470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2844490 ns R r2 80000000 - 2844490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2844510 ns R psr 81000200 - 2844510 ns MR4_I 00000238 48054770 - 2844530 ns MR4_I 00000230 07d2684a - 2844550 ns IT 00000230 684a LDR r2,[r1,#4] - 2844570 ns MR4_I 00000234 6008d1fc - 2844630 ns MR4_D 40006004 00000001 - 2844630 ns R r2 00000001 - 2844630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2844650 ns R r2 80000000 - 2844650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2844670 ns R psr 81000200 - 2844670 ns MR4_I 00000238 48054770 - 2844690 ns MR4_I 00000230 07d2684a - 2844710 ns IT 00000230 684a LDR r2,[r1,#4] - 2844730 ns MR4_I 00000234 6008d1fc - 2844790 ns MR4_D 40006004 00000001 - 2844790 ns R r2 00000001 - 2844790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2844810 ns R r2 80000000 - 2844810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2844830 ns R psr 81000200 - 2844830 ns MR4_I 00000238 48054770 - 2844850 ns MR4_I 00000230 07d2684a - 2844870 ns IT 00000230 684a LDR r2,[r1,#4] - 2844890 ns MR4_I 00000234 6008d1fc - 2844950 ns MR4_D 40006004 00000001 - 2844950 ns R r2 00000001 - 2844950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2844970 ns R r2 80000000 - 2844970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2844990 ns R psr 81000200 - 2844990 ns MR4_I 00000238 48054770 - 2845010 ns MR4_I 00000230 07d2684a - 2845030 ns IT 00000230 684a LDR r2,[r1,#4] - 2845050 ns MR4_I 00000234 6008d1fc - 2845110 ns MR4_D 40006004 00000001 - 2845110 ns R r2 00000001 - 2845110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2845130 ns R r2 80000000 - 2845130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2845150 ns R psr 81000200 - 2845150 ns MR4_I 00000238 48054770 - 2845170 ns MR4_I 00000230 07d2684a - 2845190 ns IT 00000230 684a LDR r2,[r1,#4] - 2845210 ns MR4_I 00000234 6008d1fc - 2845270 ns MR4_D 40006004 00000001 - 2845270 ns R r2 00000001 - 2845270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2845290 ns R r2 80000000 - 2845290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2845310 ns R psr 81000200 - 2845310 ns MR4_I 00000238 48054770 - 2845330 ns MR4_I 00000230 07d2684a - 2845350 ns IT 00000230 684a LDR r2,[r1,#4] - 2845370 ns MR4_I 00000234 6008d1fc - 2845430 ns MR4_D 40006004 00000001 - 2845430 ns R r2 00000001 - 2845430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2845450 ns R r2 80000000 - 2845450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2845470 ns R psr 81000200 - 2845470 ns MR4_I 00000238 48054770 - 2845490 ns MR4_I 00000230 07d2684a - 2845510 ns IT 00000230 684a LDR r2,[r1,#4] - 2845530 ns MR4_I 00000234 6008d1fc - 2845590 ns MR4_D 40006004 00000000 - 2845590 ns R r2 00000000 - 2845590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2845610 ns R r2 00000000 - 2845610 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2845630 ns R psr 41000200 - 2845630 ns MR4_I 00000238 48054770 - 2845630 ns IT 00000236 6008 STR r0,[r1,#0] - 2845710 ns MW4_D 40006000 00000041 - 2845710 ns IT 00000238 4770 BX lr - 2845730 ns MR4_I 0000023c 07896841 - 2845750 ns R psr 41000200 - 2845750 ns MR4_I 000001fc b510bd10 - 2845770 ns IT 000001fc bd10 POP {r4,pc} - 2845790 ns MR4_I 00000200 f81bf000 - 2845790 ns R r13 200002e0 (MSP) - 2845810 ns MR4_D 200002d8 00000009 - 2845810 ns R r4 00000009 - 2845830 ns MR4_D 200002dc 0000032d - 2845850 ns R psr 41000200 - 2845870 ns MR4_I 0000032c 46301c64 - 2845890 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 2845910 ns MR4_I 00000330 280047a8 - 2845910 ns R r4 0000000a - 2845910 ns IT 0000032e 4630 MOV r0,r6 - 2845930 ns R psr 01000200 - 2845930 ns R r0 200002f8 - 2845930 ns IT 00000330 47a8 BLX r5 - 2845950 ns MR4_I 00000334 4620d1f8 - 2845970 ns R psr 01000200 - 2845970 ns MR4_I 000002a8 1c4a6901 - 2845970 ns R r14 00000333 - 2845990 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2846010 ns MR4_I 000002ac 78086102 - 2846030 ns MR4_D 20000308 0000019a - 2846030 ns R r1 0000019a - 2846030 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2846050 ns R r2 0000019b - 2846050 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2846070 ns R psr 01000200 - 2846070 ns MR4_I 000002b0 b5004770 - 2846090 ns MW4_D 20000308 0000019b - 2846090 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2846130 ns MR1_D 0000019a 53534150 - 2846130 ns R r0 00000053 - 2846130 ns IT 000002b0 4770 BX lr - 2846150 ns MR4_I 000002b4 9102b08f - 2846170 ns R psr 01000200 - 2846170 ns MR4_I 00000330 280047a8 - 2846190 ns MR4_I 00000334 4620d1f8 - 2846190 ns IT 00000332 2800 CMP r0,#0 - 2846210 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2846230 ns R psr 21000200 - 2846230 ns MR4_I 00000338 b510bdf8 - 2846250 ns MR4_I 00000328 47b89900 - 2846270 ns IT 00000328 9900 LDR r1,[sp,#0] - 2846290 ns MR4_I 0000032c 46301c64 - 2846310 ns MR4_D 200002e0 20000004 - 2846310 ns R r1 20000004 - 2846310 ns IT 0000032a 47b8 BLX r7 - 2846350 ns R psr 21000200 - 2846350 ns MR4_I 000001f4 b2c0b510 - 2846350 ns R r14 0000032d - 2846370 ns IT 000001f4 b510 PUSH {r4,lr} - 2846390 ns MR4_I 000001f8 f819f000 - 2846410 ns MW4_D 200002d8 0000000a - 2846430 ns MW4_D 200002dc 0000032d - 2846430 ns R r13 200002d8 (MSP) - 2846430 ns IT 000001f6 b2c0 UXTB r0,r0 - 2846450 ns R r0 00000053 - 2846450 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 2846470 ns MR4_I 000001fc b510bd10 - 2846490 ns R r14 000001fd - 2846510 ns MR4_I 0000022c 49084770 - 2846530 ns MR4_I 00000230 07d2684a - 2846530 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 2846570 ns MR4_D 00000250 40006000 - 2846570 ns R r1 40006000 - 2846570 ns IT 00000230 684a LDR r2,[r1,#4] - 2846590 ns MR4_I 00000234 6008d1fc - 2846650 ns MR4_D 40006004 00000001 - 2846650 ns R r2 00000001 - 2846650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2846670 ns R r2 80000000 - 2846670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2846690 ns R psr 81000200 - 2846690 ns MR4_I 00000238 48054770 - 2846710 ns MR4_I 00000230 07d2684a - 2846730 ns IT 00000230 684a LDR r2,[r1,#4] - 2846750 ns MR4_I 00000234 6008d1fc - 2846810 ns MR4_D 40006004 00000001 - 2846810 ns R r2 00000001 - 2846810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2846830 ns R r2 80000000 - 2846830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2846850 ns R psr 81000200 - 2846850 ns MR4_I 00000238 48054770 - 2846870 ns MR4_I 00000230 07d2684a - 2846890 ns IT 00000230 684a LDR r2,[r1,#4] - 2846910 ns MR4_I 00000234 6008d1fc - 2846970 ns MR4_D 40006004 00000001 - 2846970 ns R r2 00000001 - 2846970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2846990 ns R r2 80000000 - 2846990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2847010 ns R psr 81000200 - 2847010 ns MR4_I 00000238 48054770 - 2847030 ns MR4_I 00000230 07d2684a - 2847050 ns IT 00000230 684a LDR r2,[r1,#4] - 2847070 ns MR4_I 00000234 6008d1fc - 2847130 ns MR4_D 40006004 00000001 - 2847130 ns R r2 00000001 - 2847130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2847150 ns R r2 80000000 - 2847150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2847170 ns R psr 81000200 - 2847170 ns MR4_I 00000238 48054770 - 2847190 ns MR4_I 00000230 07d2684a - 2847210 ns IT 00000230 684a LDR r2,[r1,#4] - 2847230 ns MR4_I 00000234 6008d1fc - 2847290 ns MR4_D 40006004 00000001 - 2847290 ns R r2 00000001 - 2847290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2847310 ns R r2 80000000 - 2847310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2847330 ns R psr 81000200 - 2847330 ns MR4_I 00000238 48054770 - 2847350 ns MR4_I 00000230 07d2684a - 2847370 ns IT 00000230 684a LDR r2,[r1,#4] - 2847390 ns MR4_I 00000234 6008d1fc - 2847450 ns MR4_D 40006004 00000001 - 2847450 ns R r2 00000001 - 2847450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2847470 ns R r2 80000000 - 2847470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2847490 ns R psr 81000200 - 2847490 ns MR4_I 00000238 48054770 - 2847510 ns MR4_I 00000230 07d2684a - 2847530 ns IT 00000230 684a LDR r2,[r1,#4] - 2847550 ns MR4_I 00000234 6008d1fc - 2847610 ns MR4_D 40006004 00000001 - 2847610 ns R r2 00000001 - 2847610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2847630 ns R r2 80000000 - 2847630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2847650 ns R psr 81000200 - 2847650 ns MR4_I 00000238 48054770 - 2847670 ns MR4_I 00000230 07d2684a - 2847690 ns IT 00000230 684a LDR r2,[r1,#4] - 2847710 ns MR4_I 00000234 6008d1fc - 2847770 ns MR4_D 40006004 00000001 - 2847770 ns R r2 00000001 - 2847770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2847790 ns R r2 80000000 - 2847790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2847810 ns R psr 81000200 - 2847810 ns MR4_I 00000238 48054770 - 2847830 ns MR4_I 00000230 07d2684a - 2847850 ns IT 00000230 684a LDR r2,[r1,#4] - 2847870 ns MR4_I 00000234 6008d1fc - 2847930 ns MR4_D 40006004 00000001 - 2847930 ns R r2 00000001 - 2847930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2847950 ns R r2 80000000 - 2847950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2847970 ns R psr 81000200 - 2847970 ns MR4_I 00000238 48054770 - 2847990 ns MR4_I 00000230 07d2684a - 2848010 ns IT 00000230 684a LDR r2,[r1,#4] - 2848030 ns MR4_I 00000234 6008d1fc - 2848090 ns MR4_D 40006004 00000001 - 2848090 ns R r2 00000001 - 2848090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2848110 ns R r2 80000000 - 2848110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2848130 ns R psr 81000200 - 2848130 ns MR4_I 00000238 48054770 - 2848150 ns MR4_I 00000230 07d2684a - 2848170 ns IT 00000230 684a LDR r2,[r1,#4] - 2848190 ns MR4_I 00000234 6008d1fc - 2848250 ns MR4_D 40006004 00000001 - 2848250 ns R r2 00000001 - 2848250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2848270 ns R r2 80000000 - 2848270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2848290 ns R psr 81000200 - 2848290 ns MR4_I 00000238 48054770 - 2848310 ns MR4_I 00000230 07d2684a - 2848330 ns IT 00000230 684a LDR r2,[r1,#4] - 2848350 ns MR4_I 00000234 6008d1fc - 2848410 ns MR4_D 40006004 00000001 - 2848410 ns R r2 00000001 - 2848410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2848430 ns R r2 80000000 - 2848430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2848450 ns R psr 81000200 - 2848450 ns MR4_I 00000238 48054770 - 2848470 ns MR4_I 00000230 07d2684a - 2848490 ns IT 00000230 684a LDR r2,[r1,#4] - 2848510 ns MR4_I 00000234 6008d1fc - 2848570 ns MR4_D 40006004 00000001 - 2848570 ns R r2 00000001 - 2848570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2848590 ns R r2 80000000 - 2848590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2848610 ns R psr 81000200 - 2848610 ns MR4_I 00000238 48054770 - 2848630 ns MR4_I 00000230 07d2684a - 2848650 ns IT 00000230 684a LDR r2,[r1,#4] - 2848670 ns MR4_I 00000234 6008d1fc - 2848730 ns MR4_D 40006004 00000001 - 2848730 ns R r2 00000001 - 2848730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2848750 ns R r2 80000000 - 2848750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2848770 ns R psr 81000200 - 2848770 ns MR4_I 00000238 48054770 - 2848790 ns MR4_I 00000230 07d2684a - 2848810 ns IT 00000230 684a LDR r2,[r1,#4] - 2848830 ns MR4_I 00000234 6008d1fc - 2848890 ns MR4_D 40006004 00000001 - 2848890 ns R r2 00000001 - 2848890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2848910 ns R r2 80000000 - 2848910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2848930 ns R psr 81000200 - 2848930 ns MR4_I 00000238 48054770 - 2848950 ns MR4_I 00000230 07d2684a - 2848970 ns IT 00000230 684a LDR r2,[r1,#4] - 2848990 ns MR4_I 00000234 6008d1fc - 2849050 ns MR4_D 40006004 00000001 - 2849050 ns R r2 00000001 - 2849050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2849070 ns R r2 80000000 - 2849070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2849090 ns R psr 81000200 - 2849090 ns MR4_I 00000238 48054770 - 2849110 ns MR4_I 00000230 07d2684a - 2849130 ns IT 00000230 684a LDR r2,[r1,#4] - 2849150 ns MR4_I 00000234 6008d1fc - 2849210 ns MR4_D 40006004 00000001 - 2849210 ns R r2 00000001 - 2849210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2849230 ns R r2 80000000 - 2849230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2849250 ns R psr 81000200 - 2849250 ns MR4_I 00000238 48054770 - 2849270 ns MR4_I 00000230 07d2684a - 2849290 ns IT 00000230 684a LDR r2,[r1,#4] - 2849310 ns MR4_I 00000234 6008d1fc - 2849370 ns MR4_D 40006004 00000001 - 2849370 ns R r2 00000001 - 2849370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2849390 ns R r2 80000000 - 2849390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2849410 ns R psr 81000200 - 2849410 ns MR4_I 00000238 48054770 - 2849430 ns MR4_I 00000230 07d2684a - 2849450 ns IT 00000230 684a LDR r2,[r1,#4] - 2849470 ns MR4_I 00000234 6008d1fc - 2849530 ns MR4_D 40006004 00000001 - 2849530 ns R r2 00000001 - 2849530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2849550 ns R r2 80000000 - 2849550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2849570 ns R psr 81000200 - 2849570 ns MR4_I 00000238 48054770 - 2849590 ns MR4_I 00000230 07d2684a - 2849610 ns IT 00000230 684a LDR r2,[r1,#4] - 2849630 ns MR4_I 00000234 6008d1fc - 2849690 ns MR4_D 40006004 00000001 - 2849690 ns R r2 00000001 - 2849690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2849710 ns R r2 80000000 - 2849710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2849730 ns R psr 81000200 - 2849730 ns MR4_I 00000238 48054770 - 2849750 ns MR4_I 00000230 07d2684a - 2849770 ns IT 00000230 684a LDR r2,[r1,#4] - 2849790 ns MR4_I 00000234 6008d1fc - 2849850 ns MR4_D 40006004 00000001 - 2849850 ns R r2 00000001 - 2849850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2849870 ns R r2 80000000 - 2849870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2849890 ns R psr 81000200 - 2849890 ns MR4_I 00000238 48054770 - 2849910 ns MR4_I 00000230 07d2684a - 2849930 ns IT 00000230 684a LDR r2,[r1,#4] - 2849950 ns MR4_I 00000234 6008d1fc - 2850010 ns MR4_D 40006004 00000001 - 2850010 ns R r2 00000001 - 2850010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2850030 ns R r2 80000000 - 2850030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2850050 ns R psr 81000200 - 2850050 ns MR4_I 00000238 48054770 - 2850070 ns MR4_I 00000230 07d2684a - 2850090 ns IT 00000230 684a LDR r2,[r1,#4] - 2850110 ns MR4_I 00000234 6008d1fc - 2850170 ns MR4_D 40006004 00000001 - 2850170 ns R r2 00000001 - 2850170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2850190 ns R r2 80000000 - 2850190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2850210 ns R psr 81000200 - 2850210 ns MR4_I 00000238 48054770 - 2850230 ns MR4_I 00000230 07d2684a - 2850250 ns IT 00000230 684a LDR r2,[r1,#4] - 2850270 ns MR4_I 00000234 6008d1fc - 2850330 ns MR4_D 40006004 00000001 - 2850330 ns R r2 00000001 - 2850330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2850350 ns R r2 80000000 - 2850350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2850370 ns R psr 81000200 - 2850370 ns MR4_I 00000238 48054770 - 2850390 ns MR4_I 00000230 07d2684a - 2850410 ns IT 00000230 684a LDR r2,[r1,#4] - 2850430 ns MR4_I 00000234 6008d1fc - 2850490 ns MR4_D 40006004 00000001 - 2850490 ns R r2 00000001 - 2850490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2850510 ns R r2 80000000 - 2850510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2850530 ns R psr 81000200 - 2850530 ns MR4_I 00000238 48054770 - 2850550 ns MR4_I 00000230 07d2684a - 2850570 ns IT 00000230 684a LDR r2,[r1,#4] - 2850590 ns MR4_I 00000234 6008d1fc - 2850650 ns MR4_D 40006004 00000001 - 2850650 ns R r2 00000001 - 2850650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2850670 ns R r2 80000000 - 2850670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2850690 ns R psr 81000200 - 2850690 ns MR4_I 00000238 48054770 - 2850710 ns MR4_I 00000230 07d2684a - 2850730 ns IT 00000230 684a LDR r2,[r1,#4] - 2850750 ns MR4_I 00000234 6008d1fc - 2850810 ns MR4_D 40006004 00000001 - 2850810 ns R r2 00000001 - 2850810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2850830 ns R r2 80000000 - 2850830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2850850 ns R psr 81000200 - 2850850 ns MR4_I 00000238 48054770 - 2850870 ns MR4_I 00000230 07d2684a - 2850890 ns IT 00000230 684a LDR r2,[r1,#4] - 2850910 ns MR4_I 00000234 6008d1fc - 2850970 ns MR4_D 40006004 00000001 - 2850970 ns R r2 00000001 - 2850970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2850990 ns R r2 80000000 - 2850990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2851010 ns R psr 81000200 - 2851010 ns MR4_I 00000238 48054770 - 2851030 ns MR4_I 00000230 07d2684a - 2851050 ns IT 00000230 684a LDR r2,[r1,#4] - 2851070 ns MR4_I 00000234 6008d1fc - 2851130 ns MR4_D 40006004 00000001 - 2851130 ns R r2 00000001 - 2851130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2851150 ns R r2 80000000 - 2851150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2851170 ns R psr 81000200 - 2851170 ns MR4_I 00000238 48054770 - 2851190 ns MR4_I 00000230 07d2684a - 2851210 ns IT 00000230 684a LDR r2,[r1,#4] - 2851230 ns MR4_I 00000234 6008d1fc - 2851290 ns MR4_D 40006004 00000001 - 2851290 ns R r2 00000001 - 2851290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2851310 ns R r2 80000000 - 2851310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2851330 ns R psr 81000200 - 2851330 ns MR4_I 00000238 48054770 - 2851350 ns MR4_I 00000230 07d2684a - 2851370 ns IT 00000230 684a LDR r2,[r1,#4] - 2851390 ns MR4_I 00000234 6008d1fc - 2851450 ns MR4_D 40006004 00000001 - 2851450 ns R r2 00000001 - 2851450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2851470 ns R r2 80000000 - 2851470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2851490 ns R psr 81000200 - 2851490 ns MR4_I 00000238 48054770 - 2851510 ns MR4_I 00000230 07d2684a - 2851530 ns IT 00000230 684a LDR r2,[r1,#4] - 2851550 ns MR4_I 00000234 6008d1fc - 2851610 ns MR4_D 40006004 00000001 - 2851610 ns R r2 00000001 - 2851610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2851630 ns R r2 80000000 - 2851630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2851650 ns R psr 81000200 - 2851650 ns MR4_I 00000238 48054770 - 2851670 ns MR4_I 00000230 07d2684a - 2851690 ns IT 00000230 684a LDR r2,[r1,#4] - 2851710 ns MR4_I 00000234 6008d1fc - 2851770 ns MR4_D 40006004 00000001 - 2851770 ns R r2 00000001 - 2851770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2851790 ns R r2 80000000 - 2851790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2851810 ns R psr 81000200 - 2851810 ns MR4_I 00000238 48054770 - 2851830 ns MR4_I 00000230 07d2684a - 2851850 ns IT 00000230 684a LDR r2,[r1,#4] - 2851870 ns MR4_I 00000234 6008d1fc - 2851930 ns MR4_D 40006004 00000001 - 2851930 ns R r2 00000001 - 2851930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2851950 ns R r2 80000000 - 2851950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2851970 ns R psr 81000200 - 2851970 ns MR4_I 00000238 48054770 - 2851990 ns MR4_I 00000230 07d2684a - 2852010 ns IT 00000230 684a LDR r2,[r1,#4] - 2852030 ns MR4_I 00000234 6008d1fc - 2852090 ns MR4_D 40006004 00000001 - 2852090 ns R r2 00000001 - 2852090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2852110 ns R r2 80000000 - 2852110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2852130 ns R psr 81000200 - 2852130 ns MR4_I 00000238 48054770 - 2852150 ns MR4_I 00000230 07d2684a - 2852170 ns IT 00000230 684a LDR r2,[r1,#4] - 2852190 ns MR4_I 00000234 6008d1fc - 2852250 ns MR4_D 40006004 00000001 - 2852250 ns R r2 00000001 - 2852250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2852270 ns R r2 80000000 - 2852270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2852290 ns R psr 81000200 - 2852290 ns MR4_I 00000238 48054770 - 2852310 ns MR4_I 00000230 07d2684a - 2852330 ns IT 00000230 684a LDR r2,[r1,#4] - 2852350 ns MR4_I 00000234 6008d1fc - 2852410 ns MR4_D 40006004 00000001 - 2852410 ns R r2 00000001 - 2852410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2852430 ns R r2 80000000 - 2852430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2852450 ns R psr 81000200 - 2852450 ns MR4_I 00000238 48054770 - 2852470 ns MR4_I 00000230 07d2684a - 2852490 ns IT 00000230 684a LDR r2,[r1,#4] - 2852510 ns MR4_I 00000234 6008d1fc - 2852570 ns MR4_D 40006004 00000001 - 2852570 ns R r2 00000001 - 2852570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2852590 ns R r2 80000000 - 2852590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2852610 ns R psr 81000200 - 2852610 ns MR4_I 00000238 48054770 - 2852630 ns MR4_I 00000230 07d2684a - 2852650 ns IT 00000230 684a LDR r2,[r1,#4] - 2852670 ns MR4_I 00000234 6008d1fc - 2852730 ns MR4_D 40006004 00000001 - 2852730 ns R r2 00000001 - 2852730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2852750 ns R r2 80000000 - 2852750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2852770 ns R psr 81000200 - 2852770 ns MR4_I 00000238 48054770 - 2852790 ns MR4_I 00000230 07d2684a - 2852810 ns IT 00000230 684a LDR r2,[r1,#4] - 2852830 ns MR4_I 00000234 6008d1fc - 2852890 ns MR4_D 40006004 00000001 - 2852890 ns R r2 00000001 - 2852890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2852910 ns R r2 80000000 - 2852910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2852930 ns R psr 81000200 - 2852930 ns MR4_I 00000238 48054770 - 2852950 ns MR4_I 00000230 07d2684a - 2852970 ns IT 00000230 684a LDR r2,[r1,#4] - 2852990 ns MR4_I 00000234 6008d1fc - 2853050 ns MR4_D 40006004 00000001 - 2853050 ns R r2 00000001 - 2853050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2853070 ns R r2 80000000 - 2853070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2853090 ns R psr 81000200 - 2853090 ns MR4_I 00000238 48054770 - 2853110 ns MR4_I 00000230 07d2684a - 2853130 ns IT 00000230 684a LDR r2,[r1,#4] - 2853150 ns MR4_I 00000234 6008d1fc - 2853210 ns MR4_D 40006004 00000001 - 2853210 ns R r2 00000001 - 2853210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2853230 ns R r2 80000000 - 2853230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2853250 ns R psr 81000200 - 2853250 ns MR4_I 00000238 48054770 - 2853270 ns MR4_I 00000230 07d2684a - 2853290 ns IT 00000230 684a LDR r2,[r1,#4] - 2853310 ns MR4_I 00000234 6008d1fc - 2853370 ns MR4_D 40006004 00000001 - 2853370 ns R r2 00000001 - 2853370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2853390 ns R r2 80000000 - 2853390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2853410 ns R psr 81000200 - 2853410 ns MR4_I 00000238 48054770 - 2853430 ns MR4_I 00000230 07d2684a - 2853450 ns IT 00000230 684a LDR r2,[r1,#4] - 2853470 ns MR4_I 00000234 6008d1fc - 2853530 ns MR4_D 40006004 00000001 - 2853530 ns R r2 00000001 - 2853530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2853550 ns R r2 80000000 - 2853550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2853570 ns R psr 81000200 - 2853570 ns MR4_I 00000238 48054770 - 2853590 ns MR4_I 00000230 07d2684a - 2853610 ns IT 00000230 684a LDR r2,[r1,#4] - 2853630 ns MR4_I 00000234 6008d1fc - 2853690 ns MR4_D 40006004 00000001 - 2853690 ns R r2 00000001 - 2853690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2853710 ns R r2 80000000 - 2853710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2853730 ns R psr 81000200 - 2853730 ns MR4_I 00000238 48054770 - 2853750 ns MR4_I 00000230 07d2684a - 2853770 ns IT 00000230 684a LDR r2,[r1,#4] - 2853790 ns MR4_I 00000234 6008d1fc - 2853850 ns MR4_D 40006004 00000001 - 2853850 ns R r2 00000001 - 2853850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2853870 ns R r2 80000000 - 2853870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2853890 ns R psr 81000200 - 2853890 ns MR4_I 00000238 48054770 - 2853910 ns MR4_I 00000230 07d2684a - 2853930 ns IT 00000230 684a LDR r2,[r1,#4] - 2853950 ns MR4_I 00000234 6008d1fc - 2854010 ns MR4_D 40006004 00000001 - 2854010 ns R r2 00000001 - 2854010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2854030 ns R r2 80000000 - 2854030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2854050 ns R psr 81000200 - 2854050 ns MR4_I 00000238 48054770 - 2854070 ns MR4_I 00000230 07d2684a - 2854090 ns IT 00000230 684a LDR r2,[r1,#4] - 2854110 ns MR4_I 00000234 6008d1fc - 2854170 ns MR4_D 40006004 00000001 - 2854170 ns R r2 00000001 - 2854170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2854190 ns R r2 80000000 - 2854190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2854210 ns R psr 81000200 - 2854210 ns MR4_I 00000238 48054770 - 2854230 ns MR4_I 00000230 07d2684a - 2854250 ns IT 00000230 684a LDR r2,[r1,#4] - 2854270 ns MR4_I 00000234 6008d1fc - 2854330 ns MR4_D 40006004 00000001 - 2854330 ns R r2 00000001 - 2854330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2854350 ns R r2 80000000 - 2854350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2854370 ns R psr 81000200 - 2854370 ns MR4_I 00000238 48054770 - 2854390 ns MR4_I 00000230 07d2684a - 2854410 ns IT 00000230 684a LDR r2,[r1,#4] - 2854430 ns MR4_I 00000234 6008d1fc - 2854490 ns MR4_D 40006004 00000001 - 2854490 ns R r2 00000001 - 2854490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2854510 ns R r2 80000000 - 2854510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2854530 ns R psr 81000200 - 2854530 ns MR4_I 00000238 48054770 - 2854550 ns MR4_I 00000230 07d2684a - 2854570 ns IT 00000230 684a LDR r2,[r1,#4] - 2854590 ns MR4_I 00000234 6008d1fc - 2854650 ns MR4_D 40006004 00000001 - 2854650 ns R r2 00000001 - 2854650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2854670 ns R r2 80000000 - 2854670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2854690 ns R psr 81000200 - 2854690 ns MR4_I 00000238 48054770 - 2854710 ns MR4_I 00000230 07d2684a - 2854730 ns IT 00000230 684a LDR r2,[r1,#4] - 2854750 ns MR4_I 00000234 6008d1fc - 2854810 ns MR4_D 40006004 00000001 - 2854810 ns R r2 00000001 - 2854810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2854830 ns R r2 80000000 - 2854830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2854850 ns R psr 81000200 - 2854850 ns MR4_I 00000238 48054770 - 2854870 ns MR4_I 00000230 07d2684a - 2854890 ns IT 00000230 684a LDR r2,[r1,#4] - 2854910 ns MR4_I 00000234 6008d1fc - 2854970 ns MR4_D 40006004 00000001 - 2854970 ns R r2 00000001 - 2854970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2854990 ns R r2 80000000 - 2854990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2855010 ns R psr 81000200 - 2855010 ns MR4_I 00000238 48054770 - 2855030 ns MR4_I 00000230 07d2684a - 2855050 ns IT 00000230 684a LDR r2,[r1,#4] - 2855070 ns MR4_I 00000234 6008d1fc - 2855130 ns MR4_D 40006004 00000001 - 2855130 ns R r2 00000001 - 2855130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2855150 ns R r2 80000000 - 2855150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2855170 ns R psr 81000200 - 2855170 ns MR4_I 00000238 48054770 - 2855190 ns MR4_I 00000230 07d2684a - 2855210 ns IT 00000230 684a LDR r2,[r1,#4] - 2855230 ns MR4_I 00000234 6008d1fc - 2855290 ns MR4_D 40006004 00000001 - 2855290 ns R r2 00000001 - 2855290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2855310 ns R r2 80000000 - 2855310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2855330 ns R psr 81000200 - 2855330 ns MR4_I 00000238 48054770 - 2855350 ns MR4_I 00000230 07d2684a - 2855370 ns IT 00000230 684a LDR r2,[r1,#4] - 2855390 ns MR4_I 00000234 6008d1fc - 2855450 ns MR4_D 40006004 00000001 - 2855450 ns R r2 00000001 - 2855450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2855470 ns R r2 80000000 - 2855470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2855490 ns R psr 81000200 - 2855490 ns MR4_I 00000238 48054770 - 2855510 ns MR4_I 00000230 07d2684a - 2855530 ns IT 00000230 684a LDR r2,[r1,#4] - 2855550 ns MR4_I 00000234 6008d1fc - 2855610 ns MR4_D 40006004 00000001 - 2855610 ns R r2 00000001 - 2855610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2855630 ns R r2 80000000 - 2855630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2855650 ns R psr 81000200 - 2855650 ns MR4_I 00000238 48054770 - 2855670 ns MR4_I 00000230 07d2684a - 2855690 ns IT 00000230 684a LDR r2,[r1,#4] - 2855710 ns MR4_I 00000234 6008d1fc - 2855770 ns MR4_D 40006004 00000001 - 2855770 ns R r2 00000001 - 2855770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2855790 ns R r2 80000000 - 2855790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2855810 ns R psr 81000200 - 2855810 ns MR4_I 00000238 48054770 - 2855830 ns MR4_I 00000230 07d2684a - 2855850 ns IT 00000230 684a LDR r2,[r1,#4] - 2855870 ns MR4_I 00000234 6008d1fc - 2855930 ns MR4_D 40006004 00000001 - 2855930 ns R r2 00000001 - 2855930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2855950 ns R r2 80000000 - 2855950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2855970 ns R psr 81000200 - 2855970 ns MR4_I 00000238 48054770 - 2855990 ns MR4_I 00000230 07d2684a - 2856010 ns IT 00000230 684a LDR r2,[r1,#4] - 2856030 ns MR4_I 00000234 6008d1fc - 2856090 ns MR4_D 40006004 00000001 - 2856090 ns R r2 00000001 - 2856090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2856110 ns R r2 80000000 - 2856110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2856130 ns R psr 81000200 - 2856130 ns MR4_I 00000238 48054770 - 2856150 ns MR4_I 00000230 07d2684a - 2856170 ns IT 00000230 684a LDR r2,[r1,#4] - 2856190 ns MR4_I 00000234 6008d1fc - 2856250 ns MR4_D 40006004 00000001 - 2856250 ns R r2 00000001 - 2856250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2856270 ns R r2 80000000 - 2856270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2856290 ns R psr 81000200 - 2856290 ns MR4_I 00000238 48054770 - 2856310 ns MR4_I 00000230 07d2684a - 2856330 ns IT 00000230 684a LDR r2,[r1,#4] - 2856350 ns MR4_I 00000234 6008d1fc - 2856410 ns MR4_D 40006004 00000001 - 2856410 ns R r2 00000001 - 2856410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2856430 ns R r2 80000000 - 2856430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2856450 ns R psr 81000200 - 2856450 ns MR4_I 00000238 48054770 - 2856470 ns MR4_I 00000230 07d2684a - 2856490 ns IT 00000230 684a LDR r2,[r1,#4] - 2856510 ns MR4_I 00000234 6008d1fc - 2856570 ns MR4_D 40006004 00000001 - 2856570 ns R r2 00000001 - 2856570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2856590 ns R r2 80000000 - 2856590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2856610 ns R psr 81000200 - 2856610 ns MR4_I 00000238 48054770 - 2856630 ns MR4_I 00000230 07d2684a - 2856650 ns IT 00000230 684a LDR r2,[r1,#4] - 2856670 ns MR4_I 00000234 6008d1fc - 2856730 ns MR4_D 40006004 00000001 - 2856730 ns R r2 00000001 - 2856730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2856750 ns R r2 80000000 - 2856750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2856770 ns R psr 81000200 - 2856770 ns MR4_I 00000238 48054770 - 2856790 ns MR4_I 00000230 07d2684a - 2856810 ns IT 00000230 684a LDR r2,[r1,#4] - 2856830 ns MR4_I 00000234 6008d1fc - 2856890 ns MR4_D 40006004 00000001 - 2856890 ns R r2 00000001 - 2856890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2856910 ns R r2 80000000 - 2856910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2856930 ns R psr 81000200 - 2856930 ns MR4_I 00000238 48054770 - 2856950 ns MR4_I 00000230 07d2684a - 2856970 ns IT 00000230 684a LDR r2,[r1,#4] - 2856990 ns MR4_I 00000234 6008d1fc - 2857050 ns MR4_D 40006004 00000001 - 2857050 ns R r2 00000001 - 2857050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2857070 ns R r2 80000000 - 2857070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2857090 ns R psr 81000200 - 2857090 ns MR4_I 00000238 48054770 - 2857110 ns MR4_I 00000230 07d2684a - 2857130 ns IT 00000230 684a LDR r2,[r1,#4] - 2857150 ns MR4_I 00000234 6008d1fc - 2857210 ns MR4_D 40006004 00000001 - 2857210 ns R r2 00000001 - 2857210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2857230 ns R r2 80000000 - 2857230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2857250 ns R psr 81000200 - 2857250 ns MR4_I 00000238 48054770 - 2857270 ns MR4_I 00000230 07d2684a - 2857290 ns IT 00000230 684a LDR r2,[r1,#4] - 2857310 ns MR4_I 00000234 6008d1fc - 2857370 ns MR4_D 40006004 00000001 - 2857370 ns R r2 00000001 - 2857370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2857390 ns R r2 80000000 - 2857390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2857410 ns R psr 81000200 - 2857410 ns MR4_I 00000238 48054770 - 2857430 ns MR4_I 00000230 07d2684a - 2857450 ns IT 00000230 684a LDR r2,[r1,#4] - 2857470 ns MR4_I 00000234 6008d1fc - 2857530 ns MR4_D 40006004 00000001 - 2857530 ns R r2 00000001 - 2857530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2857550 ns R r2 80000000 - 2857550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2857570 ns R psr 81000200 - 2857570 ns MR4_I 00000238 48054770 - 2857590 ns MR4_I 00000230 07d2684a - 2857610 ns IT 00000230 684a LDR r2,[r1,#4] - 2857630 ns MR4_I 00000234 6008d1fc - 2857690 ns MR4_D 40006004 00000001 - 2857690 ns R r2 00000001 - 2857690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2857710 ns R r2 80000000 - 2857710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2857730 ns R psr 81000200 - 2857730 ns MR4_I 00000238 48054770 - 2857750 ns MR4_I 00000230 07d2684a - 2857770 ns IT 00000230 684a LDR r2,[r1,#4] - 2857790 ns MR4_I 00000234 6008d1fc - 2857850 ns MR4_D 40006004 00000001 - 2857850 ns R r2 00000001 - 2857850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2857870 ns R r2 80000000 - 2857870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2857890 ns R psr 81000200 - 2857890 ns MR4_I 00000238 48054770 - 2857910 ns MR4_I 00000230 07d2684a - 2857930 ns IT 00000230 684a LDR r2,[r1,#4] - 2857950 ns MR4_I 00000234 6008d1fc - 2858010 ns MR4_D 40006004 00000001 - 2858010 ns R r2 00000001 - 2858010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2858030 ns R r2 80000000 - 2858030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2858050 ns R psr 81000200 - 2858050 ns MR4_I 00000238 48054770 - 2858070 ns MR4_I 00000230 07d2684a - 2858090 ns IT 00000230 684a LDR r2,[r1,#4] - 2858110 ns MR4_I 00000234 6008d1fc - 2858170 ns MR4_D 40006004 00000001 - 2858170 ns R r2 00000001 - 2858170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2858190 ns R r2 80000000 - 2858190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2858210 ns R psr 81000200 - 2858210 ns MR4_I 00000238 48054770 - 2858230 ns MR4_I 00000230 07d2684a - 2858250 ns IT 00000230 684a LDR r2,[r1,#4] - 2858270 ns MR4_I 00000234 6008d1fc - 2858330 ns MR4_D 40006004 00000001 - 2858330 ns R r2 00000001 - 2858330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2858350 ns R r2 80000000 - 2858350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2858370 ns R psr 81000200 - 2858370 ns MR4_I 00000238 48054770 - 2858390 ns MR4_I 00000230 07d2684a - 2858410 ns IT 00000230 684a LDR r2,[r1,#4] - 2858430 ns MR4_I 00000234 6008d1fc - 2858490 ns MR4_D 40006004 00000001 - 2858490 ns R r2 00000001 - 2858490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2858510 ns R r2 80000000 - 2858510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2858530 ns R psr 81000200 - 2858530 ns MR4_I 00000238 48054770 - 2858550 ns MR4_I 00000230 07d2684a - 2858570 ns IT 00000230 684a LDR r2,[r1,#4] - 2858590 ns MR4_I 00000234 6008d1fc - 2858650 ns MR4_D 40006004 00000001 - 2858650 ns R r2 00000001 - 2858650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2858670 ns R r2 80000000 - 2858670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2858690 ns R psr 81000200 - 2858690 ns MR4_I 00000238 48054770 - 2858710 ns MR4_I 00000230 07d2684a - 2858730 ns IT 00000230 684a LDR r2,[r1,#4] - 2858750 ns MR4_I 00000234 6008d1fc - 2858810 ns MR4_D 40006004 00000001 - 2858810 ns R r2 00000001 - 2858810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2858830 ns R r2 80000000 - 2858830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2858850 ns R psr 81000200 - 2858850 ns MR4_I 00000238 48054770 - 2858870 ns MR4_I 00000230 07d2684a - 2858890 ns IT 00000230 684a LDR r2,[r1,#4] - 2858910 ns MR4_I 00000234 6008d1fc - 2858970 ns MR4_D 40006004 00000001 - 2858970 ns R r2 00000001 - 2858970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2858990 ns R r2 80000000 - 2858990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2859010 ns R psr 81000200 - 2859010 ns MR4_I 00000238 48054770 - 2859030 ns MR4_I 00000230 07d2684a - 2859050 ns IT 00000230 684a LDR r2,[r1,#4] - 2859070 ns MR4_I 00000234 6008d1fc - 2859130 ns MR4_D 40006004 00000001 - 2859130 ns R r2 00000001 - 2859130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2859150 ns R r2 80000000 - 2859150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2859170 ns R psr 81000200 - 2859170 ns MR4_I 00000238 48054770 - 2859190 ns MR4_I 00000230 07d2684a - 2859210 ns IT 00000230 684a LDR r2,[r1,#4] - 2859230 ns MR4_I 00000234 6008d1fc - 2859290 ns MR4_D 40006004 00000001 - 2859290 ns R r2 00000001 - 2859290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2859310 ns R r2 80000000 - 2859310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2859330 ns R psr 81000200 - 2859330 ns MR4_I 00000238 48054770 - 2859350 ns MR4_I 00000230 07d2684a - 2859370 ns IT 00000230 684a LDR r2,[r1,#4] - 2859390 ns MR4_I 00000234 6008d1fc - 2859450 ns MR4_D 40006004 00000001 - 2859450 ns R r2 00000001 - 2859450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2859470 ns R r2 80000000 - 2859470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2859490 ns R psr 81000200 - 2859490 ns MR4_I 00000238 48054770 - 2859510 ns MR4_I 00000230 07d2684a - 2859530 ns IT 00000230 684a LDR r2,[r1,#4] - 2859550 ns MR4_I 00000234 6008d1fc - 2859610 ns MR4_D 40006004 00000001 - 2859610 ns R r2 00000001 - 2859610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2859630 ns R r2 80000000 - 2859630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2859650 ns R psr 81000200 - 2859650 ns MR4_I 00000238 48054770 - 2859670 ns MR4_I 00000230 07d2684a - 2859690 ns IT 00000230 684a LDR r2,[r1,#4] - 2859710 ns MR4_I 00000234 6008d1fc - 2859770 ns MR4_D 40006004 00000001 - 2859770 ns R r2 00000001 - 2859770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2859790 ns R r2 80000000 - 2859790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2859810 ns R psr 81000200 - 2859810 ns MR4_I 00000238 48054770 - 2859830 ns MR4_I 00000230 07d2684a - 2859850 ns IT 00000230 684a LDR r2,[r1,#4] - 2859870 ns MR4_I 00000234 6008d1fc - 2859930 ns MR4_D 40006004 00000001 - 2859930 ns R r2 00000001 - 2859930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2859950 ns R r2 80000000 - 2859950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2859970 ns R psr 81000200 - 2859970 ns MR4_I 00000238 48054770 - 2859990 ns MR4_I 00000230 07d2684a - 2860010 ns IT 00000230 684a LDR r2,[r1,#4] - 2860030 ns MR4_I 00000234 6008d1fc - 2860090 ns MR4_D 40006004 00000001 - 2860090 ns R r2 00000001 - 2860090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2860110 ns R r2 80000000 - 2860110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2860130 ns R psr 81000200 - 2860130 ns MR4_I 00000238 48054770 - 2860150 ns MR4_I 00000230 07d2684a - 2860170 ns IT 00000230 684a LDR r2,[r1,#4] - 2860190 ns MR4_I 00000234 6008d1fc - 2860250 ns MR4_D 40006004 00000001 - 2860250 ns R r2 00000001 - 2860250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2860270 ns R r2 80000000 - 2860270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2860290 ns R psr 81000200 - 2860290 ns MR4_I 00000238 48054770 - 2860310 ns MR4_I 00000230 07d2684a - 2860330 ns IT 00000230 684a LDR r2,[r1,#4] - 2860350 ns MR4_I 00000234 6008d1fc - 2860410 ns MR4_D 40006004 00000001 - 2860410 ns R r2 00000001 - 2860410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2860430 ns R r2 80000000 - 2860430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2860450 ns R psr 81000200 - 2860450 ns MR4_I 00000238 48054770 - 2860470 ns MR4_I 00000230 07d2684a - 2860490 ns IT 00000230 684a LDR r2,[r1,#4] - 2860510 ns MR4_I 00000234 6008d1fc - 2860570 ns MR4_D 40006004 00000001 - 2860570 ns R r2 00000001 - 2860570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2860590 ns R r2 80000000 - 2860590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2860610 ns R psr 81000200 - 2860610 ns MR4_I 00000238 48054770 - 2860630 ns MR4_I 00000230 07d2684a - 2860650 ns IT 00000230 684a LDR r2,[r1,#4] - 2860670 ns MR4_I 00000234 6008d1fc - 2860730 ns MR4_D 40006004 00000001 - 2860730 ns R r2 00000001 - 2860730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2860750 ns R r2 80000000 - 2860750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2860770 ns R psr 81000200 - 2860770 ns MR4_I 00000238 48054770 - 2860790 ns MR4_I 00000230 07d2684a - 2860810 ns IT 00000230 684a LDR r2,[r1,#4] - 2860830 ns MR4_I 00000234 6008d1fc - 2860890 ns MR4_D 40006004 00000001 - 2860890 ns R r2 00000001 - 2860890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2860910 ns R r2 80000000 - 2860910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2860930 ns R psr 81000200 - 2860930 ns MR4_I 00000238 48054770 - 2860950 ns MR4_I 00000230 07d2684a - 2860970 ns IT 00000230 684a LDR r2,[r1,#4] - 2860990 ns MR4_I 00000234 6008d1fc - 2861050 ns MR4_D 40006004 00000001 - 2861050 ns R r2 00000001 - 2861050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2861070 ns R r2 80000000 - 2861070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2861090 ns R psr 81000200 - 2861090 ns MR4_I 00000238 48054770 - 2861110 ns MR4_I 00000230 07d2684a - 2861130 ns IT 00000230 684a LDR r2,[r1,#4] - 2861150 ns MR4_I 00000234 6008d1fc - 2861210 ns MR4_D 40006004 00000001 - 2861210 ns R r2 00000001 - 2861210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2861230 ns R r2 80000000 - 2861230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2861250 ns R psr 81000200 - 2861250 ns MR4_I 00000238 48054770 - 2861270 ns MR4_I 00000230 07d2684a - 2861290 ns IT 00000230 684a LDR r2,[r1,#4] - 2861310 ns MR4_I 00000234 6008d1fc - 2861370 ns MR4_D 40006004 00000001 - 2861370 ns R r2 00000001 - 2861370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2861390 ns R r2 80000000 - 2861390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2861410 ns R psr 81000200 - 2861410 ns MR4_I 00000238 48054770 - 2861430 ns MR4_I 00000230 07d2684a - 2861450 ns IT 00000230 684a LDR r2,[r1,#4] - 2861470 ns MR4_I 00000234 6008d1fc - 2861530 ns MR4_D 40006004 00000001 - 2861530 ns R r2 00000001 - 2861530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2861550 ns R r2 80000000 - 2861550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2861570 ns R psr 81000200 - 2861570 ns MR4_I 00000238 48054770 - 2861590 ns MR4_I 00000230 07d2684a - 2861610 ns IT 00000230 684a LDR r2,[r1,#4] - 2861630 ns MR4_I 00000234 6008d1fc - 2861690 ns MR4_D 40006004 00000001 - 2861690 ns R r2 00000001 - 2861690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2861710 ns R r2 80000000 - 2861710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2861730 ns R psr 81000200 - 2861730 ns MR4_I 00000238 48054770 - 2861750 ns MR4_I 00000230 07d2684a - 2861770 ns IT 00000230 684a LDR r2,[r1,#4] - 2861790 ns MR4_I 00000234 6008d1fc - 2861850 ns MR4_D 40006004 00000001 - 2861850 ns R r2 00000001 - 2861850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2861870 ns R r2 80000000 - 2861870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2861890 ns R psr 81000200 - 2861890 ns MR4_I 00000238 48054770 - 2861910 ns MR4_I 00000230 07d2684a - 2861930 ns IT 00000230 684a LDR r2,[r1,#4] - 2861950 ns MR4_I 00000234 6008d1fc - 2862010 ns MR4_D 40006004 00000001 - 2862010 ns R r2 00000001 - 2862010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2862030 ns R r2 80000000 - 2862030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2862050 ns R psr 81000200 - 2862050 ns MR4_I 00000238 48054770 - 2862070 ns MR4_I 00000230 07d2684a - 2862090 ns IT 00000230 684a LDR r2,[r1,#4] - 2862110 ns MR4_I 00000234 6008d1fc - 2862170 ns MR4_D 40006004 00000001 - 2862170 ns R r2 00000001 - 2862170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2862190 ns R r2 80000000 - 2862190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2862210 ns R psr 81000200 - 2862210 ns MR4_I 00000238 48054770 - 2862230 ns MR4_I 00000230 07d2684a - 2862250 ns IT 00000230 684a LDR r2,[r1,#4] - 2862270 ns MR4_I 00000234 6008d1fc - 2862330 ns MR4_D 40006004 00000001 - 2862330 ns R r2 00000001 - 2862330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2862350 ns R r2 80000000 - 2862350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2862370 ns R psr 81000200 - 2862370 ns MR4_I 00000238 48054770 - 2862390 ns MR4_I 00000230 07d2684a - 2862410 ns IT 00000230 684a LDR r2,[r1,#4] - 2862430 ns MR4_I 00000234 6008d1fc - 2862490 ns MR4_D 40006004 00000001 - 2862490 ns R r2 00000001 - 2862490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2862510 ns R r2 80000000 - 2862510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2862530 ns R psr 81000200 - 2862530 ns MR4_I 00000238 48054770 - 2862550 ns MR4_I 00000230 07d2684a - 2862570 ns IT 00000230 684a LDR r2,[r1,#4] - 2862590 ns MR4_I 00000234 6008d1fc - 2862650 ns MR4_D 40006004 00000001 - 2862650 ns R r2 00000001 - 2862650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2862670 ns R r2 80000000 - 2862670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2862690 ns R psr 81000200 - 2862690 ns MR4_I 00000238 48054770 - 2862710 ns MR4_I 00000230 07d2684a - 2862730 ns IT 00000230 684a LDR r2,[r1,#4] - 2862750 ns MR4_I 00000234 6008d1fc - 2862810 ns MR4_D 40006004 00000001 - 2862810 ns R r2 00000001 - 2862810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2862830 ns R r2 80000000 - 2862830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2862850 ns R psr 81000200 - 2862850 ns MR4_I 00000238 48054770 - 2862870 ns MR4_I 00000230 07d2684a - 2862890 ns IT 00000230 684a LDR r2,[r1,#4] - 2862910 ns MR4_I 00000234 6008d1fc - 2862970 ns MR4_D 40006004 00000001 - 2862970 ns R r2 00000001 - 2862970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2862990 ns R r2 80000000 - 2862990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2863010 ns R psr 81000200 - 2863010 ns MR4_I 00000238 48054770 - 2863030 ns MR4_I 00000230 07d2684a - 2863050 ns IT 00000230 684a LDR r2,[r1,#4] - 2863070 ns MR4_I 00000234 6008d1fc - 2863130 ns MR4_D 40006004 00000001 - 2863130 ns R r2 00000001 - 2863130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2863150 ns R r2 80000000 - 2863150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2863170 ns R psr 81000200 - 2863170 ns MR4_I 00000238 48054770 - 2863190 ns MR4_I 00000230 07d2684a - 2863210 ns IT 00000230 684a LDR r2,[r1,#4] - 2863230 ns MR4_I 00000234 6008d1fc - 2863290 ns MR4_D 40006004 00000001 - 2863290 ns R r2 00000001 - 2863290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2863310 ns R r2 80000000 - 2863310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2863330 ns R psr 81000200 - 2863330 ns MR4_I 00000238 48054770 - 2863350 ns MR4_I 00000230 07d2684a - 2863370 ns IT 00000230 684a LDR r2,[r1,#4] - 2863390 ns MR4_I 00000234 6008d1fc - 2863450 ns MR4_D 40006004 00000001 - 2863450 ns R r2 00000001 - 2863450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2863470 ns R r2 80000000 - 2863470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2863490 ns R psr 81000200 - 2863490 ns MR4_I 00000238 48054770 - 2863510 ns MR4_I 00000230 07d2684a - 2863530 ns IT 00000230 684a LDR r2,[r1,#4] - 2863550 ns MR4_I 00000234 6008d1fc - 2863610 ns MR4_D 40006004 00000001 - 2863610 ns R r2 00000001 - 2863610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2863630 ns R r2 80000000 - 2863630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2863650 ns R psr 81000200 - 2863650 ns MR4_I 00000238 48054770 - 2863670 ns MR4_I 00000230 07d2684a - 2863690 ns IT 00000230 684a LDR r2,[r1,#4] - 2863710 ns MR4_I 00000234 6008d1fc - 2863770 ns MR4_D 40006004 00000001 - 2863770 ns R r2 00000001 - 2863770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2863790 ns R r2 80000000 - 2863790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2863810 ns R psr 81000200 - 2863810 ns MR4_I 00000238 48054770 - 2863830 ns MR4_I 00000230 07d2684a - 2863850 ns IT 00000230 684a LDR r2,[r1,#4] - 2863870 ns MR4_I 00000234 6008d1fc - 2863930 ns MR4_D 40006004 00000001 - 2863930 ns R r2 00000001 - 2863930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2863950 ns R r2 80000000 - 2863950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2863970 ns R psr 81000200 - 2863970 ns MR4_I 00000238 48054770 - 2863990 ns MR4_I 00000230 07d2684a - 2864010 ns IT 00000230 684a LDR r2,[r1,#4] - 2864030 ns MR4_I 00000234 6008d1fc - 2864090 ns MR4_D 40006004 00000001 - 2864090 ns R r2 00000001 - 2864090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2864110 ns R r2 80000000 - 2864110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2864130 ns R psr 81000200 - 2864130 ns MR4_I 00000238 48054770 - 2864150 ns MR4_I 00000230 07d2684a - 2864170 ns IT 00000230 684a LDR r2,[r1,#4] - 2864190 ns MR4_I 00000234 6008d1fc - 2864250 ns MR4_D 40006004 00000001 - 2864250 ns R r2 00000001 - 2864250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2864270 ns R r2 80000000 - 2864270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2864290 ns R psr 81000200 - 2864290 ns MR4_I 00000238 48054770 - 2864310 ns MR4_I 00000230 07d2684a - 2864330 ns IT 00000230 684a LDR r2,[r1,#4] - 2864350 ns MR4_I 00000234 6008d1fc - 2864410 ns MR4_D 40006004 00000001 - 2864410 ns R r2 00000001 - 2864410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2864430 ns R r2 80000000 - 2864430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2864450 ns R psr 81000200 - 2864450 ns MR4_I 00000238 48054770 - 2864470 ns MR4_I 00000230 07d2684a - 2864490 ns IT 00000230 684a LDR r2,[r1,#4] - 2864510 ns MR4_I 00000234 6008d1fc - 2864570 ns MR4_D 40006004 00000001 - 2864570 ns R r2 00000001 - 2864570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2864590 ns R r2 80000000 - 2864590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2864610 ns R psr 81000200 - 2864610 ns MR4_I 00000238 48054770 - 2864630 ns MR4_I 00000230 07d2684a - 2864650 ns IT 00000230 684a LDR r2,[r1,#4] - 2864670 ns MR4_I 00000234 6008d1fc - 2864730 ns MR4_D 40006004 00000001 - 2864730 ns R r2 00000001 - 2864730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2864750 ns R r2 80000000 - 2864750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2864770 ns R psr 81000200 - 2864770 ns MR4_I 00000238 48054770 - 2864790 ns MR4_I 00000230 07d2684a - 2864810 ns IT 00000230 684a LDR r2,[r1,#4] - 2864830 ns MR4_I 00000234 6008d1fc - 2864890 ns MR4_D 40006004 00000001 - 2864890 ns R r2 00000001 - 2864890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2864910 ns R r2 80000000 - 2864910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2864930 ns R psr 81000200 - 2864930 ns MR4_I 00000238 48054770 - 2864950 ns MR4_I 00000230 07d2684a - 2864970 ns IT 00000230 684a LDR r2,[r1,#4] - 2864990 ns MR4_I 00000234 6008d1fc - 2865050 ns MR4_D 40006004 00000001 - 2865050 ns R r2 00000001 - 2865050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2865070 ns R r2 80000000 - 2865070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2865090 ns R psr 81000200 - 2865090 ns MR4_I 00000238 48054770 - 2865110 ns MR4_I 00000230 07d2684a - 2865130 ns IT 00000230 684a LDR r2,[r1,#4] - 2865150 ns MR4_I 00000234 6008d1fc - 2865210 ns MR4_D 40006004 00000001 - 2865210 ns R r2 00000001 - 2865210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2865230 ns R r2 80000000 - 2865230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2865250 ns R psr 81000200 - 2865250 ns MR4_I 00000238 48054770 - 2865270 ns MR4_I 00000230 07d2684a - 2865290 ns IT 00000230 684a LDR r2,[r1,#4] - 2865310 ns MR4_I 00000234 6008d1fc - 2865370 ns MR4_D 40006004 00000001 - 2865370 ns R r2 00000001 - 2865370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2865390 ns R r2 80000000 - 2865390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2865410 ns R psr 81000200 - 2865410 ns MR4_I 00000238 48054770 - 2865430 ns MR4_I 00000230 07d2684a - 2865450 ns IT 00000230 684a LDR r2,[r1,#4] - 2865470 ns MR4_I 00000234 6008d1fc - 2865530 ns MR4_D 40006004 00000001 - 2865530 ns R r2 00000001 - 2865530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2865550 ns R r2 80000000 - 2865550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2865570 ns R psr 81000200 - 2865570 ns MR4_I 00000238 48054770 - 2865590 ns MR4_I 00000230 07d2684a - 2865610 ns IT 00000230 684a LDR r2,[r1,#4] - 2865630 ns MR4_I 00000234 6008d1fc - 2865690 ns MR4_D 40006004 00000001 - 2865690 ns R r2 00000001 - 2865690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2865710 ns R r2 80000000 - 2865710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2865730 ns R psr 81000200 - 2865730 ns MR4_I 00000238 48054770 - 2865750 ns MR4_I 00000230 07d2684a - 2865770 ns IT 00000230 684a LDR r2,[r1,#4] - 2865790 ns MR4_I 00000234 6008d1fc - 2865850 ns MR4_D 40006004 00000001 - 2865850 ns R r2 00000001 - 2865850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2865870 ns R r2 80000000 - 2865870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2865890 ns R psr 81000200 - 2865890 ns MR4_I 00000238 48054770 - 2865910 ns MR4_I 00000230 07d2684a - 2865930 ns IT 00000230 684a LDR r2,[r1,#4] - 2865950 ns MR4_I 00000234 6008d1fc - 2866010 ns MR4_D 40006004 00000001 - 2866010 ns R r2 00000001 - 2866010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2866030 ns R r2 80000000 - 2866030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2866050 ns R psr 81000200 - 2866050 ns MR4_I 00000238 48054770 - 2866070 ns MR4_I 00000230 07d2684a - 2866090 ns IT 00000230 684a LDR r2,[r1,#4] - 2866110 ns MR4_I 00000234 6008d1fc - 2866170 ns MR4_D 40006004 00000001 - 2866170 ns R r2 00000001 - 2866170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2866190 ns R r2 80000000 - 2866190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2866210 ns R psr 81000200 - 2866210 ns MR4_I 00000238 48054770 - 2866230 ns MR4_I 00000230 07d2684a - 2866250 ns IT 00000230 684a LDR r2,[r1,#4] - 2866270 ns MR4_I 00000234 6008d1fc - 2866330 ns MR4_D 40006004 00000001 - 2866330 ns R r2 00000001 - 2866330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2866350 ns R r2 80000000 - 2866350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2866370 ns R psr 81000200 - 2866370 ns MR4_I 00000238 48054770 - 2866390 ns MR4_I 00000230 07d2684a - 2866410 ns IT 00000230 684a LDR r2,[r1,#4] - 2866430 ns MR4_I 00000234 6008d1fc - 2866490 ns MR4_D 40006004 00000001 - 2866490 ns R r2 00000001 - 2866490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2866510 ns R r2 80000000 - 2866510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2866530 ns R psr 81000200 - 2866530 ns MR4_I 00000238 48054770 - 2866550 ns MR4_I 00000230 07d2684a - 2866570 ns IT 00000230 684a LDR r2,[r1,#4] - 2866590 ns MR4_I 00000234 6008d1fc - 2866650 ns MR4_D 40006004 00000001 - 2866650 ns R r2 00000001 - 2866650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2866670 ns R r2 80000000 - 2866670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2866690 ns R psr 81000200 - 2866690 ns MR4_I 00000238 48054770 - 2866710 ns MR4_I 00000230 07d2684a - 2866730 ns IT 00000230 684a LDR r2,[r1,#4] - 2866750 ns MR4_I 00000234 6008d1fc - 2866810 ns MR4_D 40006004 00000001 - 2866810 ns R r2 00000001 - 2866810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2866830 ns R r2 80000000 - 2866830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2866850 ns R psr 81000200 - 2866850 ns MR4_I 00000238 48054770 - 2866870 ns MR4_I 00000230 07d2684a - 2866890 ns IT 00000230 684a LDR r2,[r1,#4] - 2866910 ns MR4_I 00000234 6008d1fc - 2866970 ns MR4_D 40006004 00000001 - 2866970 ns R r2 00000001 - 2866970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2866990 ns R r2 80000000 - 2866990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2867010 ns R psr 81000200 - 2867010 ns MR4_I 00000238 48054770 - 2867030 ns MR4_I 00000230 07d2684a - 2867050 ns IT 00000230 684a LDR r2,[r1,#4] - 2867070 ns MR4_I 00000234 6008d1fc - 2867130 ns MR4_D 40006004 00000001 - 2867130 ns R r2 00000001 - 2867130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2867150 ns R r2 80000000 - 2867150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2867170 ns R psr 81000200 - 2867170 ns MR4_I 00000238 48054770 - 2867190 ns MR4_I 00000230 07d2684a - 2867210 ns IT 00000230 684a LDR r2,[r1,#4] - 2867230 ns MR4_I 00000234 6008d1fc - 2867290 ns MR4_D 40006004 00000001 - 2867290 ns R r2 00000001 - 2867290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2867310 ns R r2 80000000 - 2867310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2867330 ns R psr 81000200 - 2867330 ns MR4_I 00000238 48054770 - 2867350 ns MR4_I 00000230 07d2684a - 2867370 ns IT 00000230 684a LDR r2,[r1,#4] - 2867390 ns MR4_I 00000234 6008d1fc - 2867450 ns MR4_D 40006004 00000001 - 2867450 ns R r2 00000001 - 2867450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2867470 ns R r2 80000000 - 2867470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2867490 ns R psr 81000200 - 2867490 ns MR4_I 00000238 48054770 - 2867510 ns MR4_I 00000230 07d2684a - 2867530 ns IT 00000230 684a LDR r2,[r1,#4] - 2867550 ns MR4_I 00000234 6008d1fc - 2867610 ns MR4_D 40006004 00000001 - 2867610 ns R r2 00000001 - 2867610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2867630 ns R r2 80000000 - 2867630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2867650 ns R psr 81000200 - 2867650 ns MR4_I 00000238 48054770 - 2867670 ns MR4_I 00000230 07d2684a - 2867690 ns IT 00000230 684a LDR r2,[r1,#4] - 2867710 ns MR4_I 00000234 6008d1fc - 2867770 ns MR4_D 40006004 00000001 - 2867770 ns R r2 00000001 - 2867770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2867790 ns R r2 80000000 - 2867790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2867810 ns R psr 81000200 - 2867810 ns MR4_I 00000238 48054770 - 2867830 ns MR4_I 00000230 07d2684a - 2867850 ns IT 00000230 684a LDR r2,[r1,#4] - 2867870 ns MR4_I 00000234 6008d1fc - 2867930 ns MR4_D 40006004 00000001 - 2867930 ns R r2 00000001 - 2867930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2867950 ns R r2 80000000 - 2867950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2867970 ns R psr 81000200 - 2867970 ns MR4_I 00000238 48054770 - 2867990 ns MR4_I 00000230 07d2684a - 2868010 ns IT 00000230 684a LDR r2,[r1,#4] - 2868030 ns MR4_I 00000234 6008d1fc - 2868090 ns MR4_D 40006004 00000001 - 2868090 ns R r2 00000001 - 2868090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2868110 ns R r2 80000000 - 2868110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2868130 ns R psr 81000200 - 2868130 ns MR4_I 00000238 48054770 - 2868150 ns MR4_I 00000230 07d2684a - 2868170 ns IT 00000230 684a LDR r2,[r1,#4] - 2868190 ns MR4_I 00000234 6008d1fc - 2868250 ns MR4_D 40006004 00000001 - 2868250 ns R r2 00000001 - 2868250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2868270 ns R r2 80000000 - 2868270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2868290 ns R psr 81000200 - 2868290 ns MR4_I 00000238 48054770 - 2868310 ns MR4_I 00000230 07d2684a - 2868330 ns IT 00000230 684a LDR r2,[r1,#4] - 2868350 ns MR4_I 00000234 6008d1fc - 2868410 ns MR4_D 40006004 00000001 - 2868410 ns R r2 00000001 - 2868410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2868430 ns R r2 80000000 - 2868430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2868450 ns R psr 81000200 - 2868450 ns MR4_I 00000238 48054770 - 2868470 ns MR4_I 00000230 07d2684a - 2868490 ns IT 00000230 684a LDR r2,[r1,#4] - 2868510 ns MR4_I 00000234 6008d1fc - 2868570 ns MR4_D 40006004 00000001 - 2868570 ns R r2 00000001 - 2868570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2868590 ns R r2 80000000 - 2868590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2868610 ns R psr 81000200 - 2868610 ns MR4_I 00000238 48054770 - 2868630 ns MR4_I 00000230 07d2684a - 2868650 ns IT 00000230 684a LDR r2,[r1,#4] - 2868670 ns MR4_I 00000234 6008d1fc - 2868730 ns MR4_D 40006004 00000001 - 2868730 ns R r2 00000001 - 2868730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2868750 ns R r2 80000000 - 2868750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2868770 ns R psr 81000200 - 2868770 ns MR4_I 00000238 48054770 - 2868790 ns MR4_I 00000230 07d2684a - 2868810 ns IT 00000230 684a LDR r2,[r1,#4] - 2868830 ns MR4_I 00000234 6008d1fc - 2868890 ns MR4_D 40006004 00000001 - 2868890 ns R r2 00000001 - 2868890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2868910 ns R r2 80000000 - 2868910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2868930 ns R psr 81000200 - 2868930 ns MR4_I 00000238 48054770 - 2868950 ns MR4_I 00000230 07d2684a - 2868970 ns IT 00000230 684a LDR r2,[r1,#4] - 2868990 ns MR4_I 00000234 6008d1fc - 2869050 ns MR4_D 40006004 00000001 - 2869050 ns R r2 00000001 - 2869050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2869070 ns R r2 80000000 - 2869070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2869090 ns R psr 81000200 - 2869090 ns MR4_I 00000238 48054770 - 2869110 ns MR4_I 00000230 07d2684a - 2869130 ns IT 00000230 684a LDR r2,[r1,#4] - 2869150 ns MR4_I 00000234 6008d1fc - 2869210 ns MR4_D 40006004 00000001 - 2869210 ns R r2 00000001 - 2869210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2869230 ns R r2 80000000 - 2869230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2869250 ns R psr 81000200 - 2869250 ns MR4_I 00000238 48054770 - 2869270 ns MR4_I 00000230 07d2684a - 2869290 ns IT 00000230 684a LDR r2,[r1,#4] - 2869310 ns MR4_I 00000234 6008d1fc - 2869370 ns MR4_D 40006004 00000001 - 2869370 ns R r2 00000001 - 2869370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2869390 ns R r2 80000000 - 2869390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2869410 ns R psr 81000200 - 2869410 ns MR4_I 00000238 48054770 - 2869430 ns MR4_I 00000230 07d2684a - 2869450 ns IT 00000230 684a LDR r2,[r1,#4] - 2869470 ns MR4_I 00000234 6008d1fc - 2869530 ns MR4_D 40006004 00000001 - 2869530 ns R r2 00000001 - 2869530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2869550 ns R r2 80000000 - 2869550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2869570 ns R psr 81000200 - 2869570 ns MR4_I 00000238 48054770 - 2869590 ns MR4_I 00000230 07d2684a - 2869610 ns IT 00000230 684a LDR r2,[r1,#4] - 2869630 ns MR4_I 00000234 6008d1fc - 2869690 ns MR4_D 40006004 00000001 - 2869690 ns R r2 00000001 - 2869690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2869710 ns R r2 80000000 - 2869710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2869730 ns R psr 81000200 - 2869730 ns MR4_I 00000238 48054770 - 2869750 ns MR4_I 00000230 07d2684a - 2869770 ns IT 00000230 684a LDR r2,[r1,#4] - 2869790 ns MR4_I 00000234 6008d1fc - 2869850 ns MR4_D 40006004 00000001 - 2869850 ns R r2 00000001 - 2869850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2869870 ns R r2 80000000 - 2869870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2869890 ns R psr 81000200 - 2869890 ns MR4_I 00000238 48054770 - 2869910 ns MR4_I 00000230 07d2684a - 2869930 ns IT 00000230 684a LDR r2,[r1,#4] - 2869950 ns MR4_I 00000234 6008d1fc - 2870010 ns MR4_D 40006004 00000001 - 2870010 ns R r2 00000001 - 2870010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2870030 ns R r2 80000000 - 2870030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2870050 ns R psr 81000200 - 2870050 ns MR4_I 00000238 48054770 - 2870070 ns MR4_I 00000230 07d2684a - 2870090 ns IT 00000230 684a LDR r2,[r1,#4] - 2870110 ns MR4_I 00000234 6008d1fc - 2870170 ns MR4_D 40006004 00000001 - 2870170 ns R r2 00000001 - 2870170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2870190 ns R r2 80000000 - 2870190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2870210 ns R psr 81000200 - 2870210 ns MR4_I 00000238 48054770 - 2870230 ns MR4_I 00000230 07d2684a - 2870250 ns IT 00000230 684a LDR r2,[r1,#4] - 2870270 ns MR4_I 00000234 6008d1fc - 2870330 ns MR4_D 40006004 00000001 - 2870330 ns R r2 00000001 - 2870330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2870350 ns R r2 80000000 - 2870350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2870370 ns R psr 81000200 - 2870370 ns MR4_I 00000238 48054770 - 2870390 ns MR4_I 00000230 07d2684a - 2870410 ns IT 00000230 684a LDR r2,[r1,#4] - 2870430 ns MR4_I 00000234 6008d1fc - 2870490 ns MR4_D 40006004 00000001 - 2870490 ns R r2 00000001 - 2870490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2870510 ns R r2 80000000 - 2870510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2870530 ns R psr 81000200 - 2870530 ns MR4_I 00000238 48054770 - 2870550 ns MR4_I 00000230 07d2684a - 2870570 ns IT 00000230 684a LDR r2,[r1,#4] - 2870590 ns MR4_I 00000234 6008d1fc - 2870650 ns MR4_D 40006004 00000001 - 2870650 ns R r2 00000001 - 2870650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2870670 ns R r2 80000000 - 2870670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2870690 ns R psr 81000200 - 2870690 ns MR4_I 00000238 48054770 - 2870710 ns MR4_I 00000230 07d2684a - 2870730 ns IT 00000230 684a LDR r2,[r1,#4] - 2870750 ns MR4_I 00000234 6008d1fc - 2870810 ns MR4_D 40006004 00000001 - 2870810 ns R r2 00000001 - 2870810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2870830 ns R r2 80000000 - 2870830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2870850 ns R psr 81000200 - 2870850 ns MR4_I 00000238 48054770 - 2870870 ns MR4_I 00000230 07d2684a - 2870890 ns IT 00000230 684a LDR r2,[r1,#4] - 2870910 ns MR4_I 00000234 6008d1fc - 2870970 ns MR4_D 40006004 00000001 - 2870970 ns R r2 00000001 - 2870970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2870990 ns R r2 80000000 - 2870990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2871010 ns R psr 81000200 - 2871010 ns MR4_I 00000238 48054770 - 2871030 ns MR4_I 00000230 07d2684a - 2871050 ns IT 00000230 684a LDR r2,[r1,#4] - 2871070 ns MR4_I 00000234 6008d1fc - 2871130 ns MR4_D 40006004 00000001 - 2871130 ns R r2 00000001 - 2871130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2871150 ns R r2 80000000 - 2871150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2871170 ns R psr 81000200 - 2871170 ns MR4_I 00000238 48054770 - 2871190 ns MR4_I 00000230 07d2684a - 2871210 ns IT 00000230 684a LDR r2,[r1,#4] - 2871230 ns MR4_I 00000234 6008d1fc - 2871290 ns MR4_D 40006004 00000001 - 2871290 ns R r2 00000001 - 2871290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2871310 ns R r2 80000000 - 2871310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2871330 ns R psr 81000200 - 2871330 ns MR4_I 00000238 48054770 - 2871350 ns MR4_I 00000230 07d2684a - 2871370 ns IT 00000230 684a LDR r2,[r1,#4] - 2871390 ns MR4_I 00000234 6008d1fc - 2871450 ns MR4_D 40006004 00000001 - 2871450 ns R r2 00000001 - 2871450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2871470 ns R r2 80000000 - 2871470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2871490 ns R psr 81000200 - 2871490 ns MR4_I 00000238 48054770 - 2871510 ns MR4_I 00000230 07d2684a - 2871530 ns IT 00000230 684a LDR r2,[r1,#4] - 2871550 ns MR4_I 00000234 6008d1fc - 2871610 ns MR4_D 40006004 00000001 - 2871610 ns R r2 00000001 - 2871610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2871630 ns R r2 80000000 - 2871630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2871650 ns R psr 81000200 - 2871650 ns MR4_I 00000238 48054770 - 2871670 ns MR4_I 00000230 07d2684a - 2871690 ns IT 00000230 684a LDR r2,[r1,#4] - 2871710 ns MR4_I 00000234 6008d1fc - 2871770 ns MR4_D 40006004 00000001 - 2871770 ns R r2 00000001 - 2871770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2871790 ns R r2 80000000 - 2871790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2871810 ns R psr 81000200 - 2871810 ns MR4_I 00000238 48054770 - 2871830 ns MR4_I 00000230 07d2684a - 2871850 ns IT 00000230 684a LDR r2,[r1,#4] - 2871870 ns MR4_I 00000234 6008d1fc - 2871930 ns MR4_D 40006004 00000001 - 2871930 ns R r2 00000001 - 2871930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2871950 ns R r2 80000000 - 2871950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2871970 ns R psr 81000200 - 2871970 ns MR4_I 00000238 48054770 - 2871990 ns MR4_I 00000230 07d2684a - 2872010 ns IT 00000230 684a LDR r2,[r1,#4] - 2872030 ns MR4_I 00000234 6008d1fc - 2872090 ns MR4_D 40006004 00000001 - 2872090 ns R r2 00000001 - 2872090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2872110 ns R r2 80000000 - 2872110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2872130 ns R psr 81000200 - 2872130 ns MR4_I 00000238 48054770 - 2872150 ns MR4_I 00000230 07d2684a - 2872170 ns IT 00000230 684a LDR r2,[r1,#4] - 2872190 ns MR4_I 00000234 6008d1fc - 2872250 ns MR4_D 40006004 00000001 - 2872250 ns R r2 00000001 - 2872250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2872270 ns R r2 80000000 - 2872270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2872290 ns R psr 81000200 - 2872290 ns MR4_I 00000238 48054770 - 2872310 ns MR4_I 00000230 07d2684a - 2872330 ns IT 00000230 684a LDR r2,[r1,#4] - 2872350 ns MR4_I 00000234 6008d1fc - 2872410 ns MR4_D 40006004 00000001 - 2872410 ns R r2 00000001 - 2872410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2872430 ns R r2 80000000 - 2872430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2872450 ns R psr 81000200 - 2872450 ns MR4_I 00000238 48054770 - 2872470 ns MR4_I 00000230 07d2684a - 2872490 ns IT 00000230 684a LDR r2,[r1,#4] - 2872510 ns MR4_I 00000234 6008d1fc - 2872570 ns MR4_D 40006004 00000001 - 2872570 ns R r2 00000001 - 2872570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2872590 ns R r2 80000000 - 2872590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2872610 ns R psr 81000200 - 2872610 ns MR4_I 00000238 48054770 - 2872630 ns MR4_I 00000230 07d2684a - 2872650 ns IT 00000230 684a LDR r2,[r1,#4] - 2872670 ns MR4_I 00000234 6008d1fc - 2872730 ns MR4_D 40006004 00000001 - 2872730 ns R r2 00000001 - 2872730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2872750 ns R r2 80000000 - 2872750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2872770 ns R psr 81000200 - 2872770 ns MR4_I 00000238 48054770 - 2872790 ns MR4_I 00000230 07d2684a - 2872810 ns IT 00000230 684a LDR r2,[r1,#4] - 2872830 ns MR4_I 00000234 6008d1fc - 2872890 ns MR4_D 40006004 00000001 - 2872890 ns R r2 00000001 - 2872890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2872910 ns R r2 80000000 - 2872910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2872930 ns R psr 81000200 - 2872930 ns MR4_I 00000238 48054770 - 2872950 ns MR4_I 00000230 07d2684a - 2872970 ns IT 00000230 684a LDR r2,[r1,#4] - 2872990 ns MR4_I 00000234 6008d1fc - 2873050 ns MR4_D 40006004 00000001 - 2873050 ns R r2 00000001 - 2873050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2873070 ns R r2 80000000 - 2873070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2873090 ns R psr 81000200 - 2873090 ns MR4_I 00000238 48054770 - 2873110 ns MR4_I 00000230 07d2684a - 2873130 ns IT 00000230 684a LDR r2,[r1,#4] - 2873150 ns MR4_I 00000234 6008d1fc - 2873210 ns MR4_D 40006004 00000001 - 2873210 ns R r2 00000001 - 2873210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2873230 ns R r2 80000000 - 2873230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2873250 ns R psr 81000200 - 2873250 ns MR4_I 00000238 48054770 - 2873270 ns MR4_I 00000230 07d2684a - 2873290 ns IT 00000230 684a LDR r2,[r1,#4] - 2873310 ns MR4_I 00000234 6008d1fc - 2873370 ns MR4_D 40006004 00000001 - 2873370 ns R r2 00000001 - 2873370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2873390 ns R r2 80000000 - 2873390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2873410 ns R psr 81000200 - 2873410 ns MR4_I 00000238 48054770 - 2873430 ns MR4_I 00000230 07d2684a - 2873450 ns IT 00000230 684a LDR r2,[r1,#4] - 2873470 ns MR4_I 00000234 6008d1fc - 2873530 ns MR4_D 40006004 00000001 - 2873530 ns R r2 00000001 - 2873530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2873550 ns R r2 80000000 - 2873550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2873570 ns R psr 81000200 - 2873570 ns MR4_I 00000238 48054770 - 2873590 ns MR4_I 00000230 07d2684a - 2873610 ns IT 00000230 684a LDR r2,[r1,#4] - 2873630 ns MR4_I 00000234 6008d1fc - 2873690 ns MR4_D 40006004 00000001 - 2873690 ns R r2 00000001 - 2873690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2873710 ns R r2 80000000 - 2873710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2873730 ns R psr 81000200 - 2873730 ns MR4_I 00000238 48054770 - 2873750 ns MR4_I 00000230 07d2684a - 2873770 ns IT 00000230 684a LDR r2,[r1,#4] - 2873790 ns MR4_I 00000234 6008d1fc - 2873850 ns MR4_D 40006004 00000001 - 2873850 ns R r2 00000001 - 2873850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2873870 ns R r2 80000000 - 2873870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2873890 ns R psr 81000200 - 2873890 ns MR4_I 00000238 48054770 - 2873910 ns MR4_I 00000230 07d2684a - 2873930 ns IT 00000230 684a LDR r2,[r1,#4] - 2873950 ns MR4_I 00000234 6008d1fc - 2874010 ns MR4_D 40006004 00000001 - 2874010 ns R r2 00000001 - 2874010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2874030 ns R r2 80000000 - 2874030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2874050 ns R psr 81000200 - 2874050 ns MR4_I 00000238 48054770 - 2874070 ns MR4_I 00000230 07d2684a - 2874090 ns IT 00000230 684a LDR r2,[r1,#4] - 2874110 ns MR4_I 00000234 6008d1fc - 2874170 ns MR4_D 40006004 00000001 - 2874170 ns R r2 00000001 - 2874170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2874190 ns R r2 80000000 - 2874190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2874210 ns R psr 81000200 - 2874210 ns MR4_I 00000238 48054770 - 2874230 ns MR4_I 00000230 07d2684a - 2874250 ns IT 00000230 684a LDR r2,[r1,#4] - 2874270 ns MR4_I 00000234 6008d1fc - 2874330 ns MR4_D 40006004 00000001 - 2874330 ns R r2 00000001 - 2874330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2874350 ns R r2 80000000 - 2874350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2874370 ns R psr 81000200 - 2874370 ns MR4_I 00000238 48054770 - 2874390 ns MR4_I 00000230 07d2684a - 2874410 ns IT 00000230 684a LDR r2,[r1,#4] - 2874430 ns MR4_I 00000234 6008d1fc - 2874490 ns MR4_D 40006004 00000001 - 2874490 ns R r2 00000001 - 2874490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2874510 ns R r2 80000000 - 2874510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2874530 ns R psr 81000200 - 2874530 ns MR4_I 00000238 48054770 - 2874550 ns MR4_I 00000230 07d2684a - 2874570 ns IT 00000230 684a LDR r2,[r1,#4] - 2874590 ns MR4_I 00000234 6008d1fc - 2874650 ns MR4_D 40006004 00000001 - 2874650 ns R r2 00000001 - 2874650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2874670 ns R r2 80000000 - 2874670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2874690 ns R psr 81000200 - 2874690 ns MR4_I 00000238 48054770 - 2874710 ns MR4_I 00000230 07d2684a - 2874730 ns IT 00000230 684a LDR r2,[r1,#4] - 2874750 ns MR4_I 00000234 6008d1fc - 2874810 ns MR4_D 40006004 00000001 - 2874810 ns R r2 00000001 - 2874810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2874830 ns R r2 80000000 - 2874830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2874850 ns R psr 81000200 - 2874850 ns MR4_I 00000238 48054770 - 2874870 ns MR4_I 00000230 07d2684a - 2874890 ns IT 00000230 684a LDR r2,[r1,#4] - 2874910 ns MR4_I 00000234 6008d1fc - 2874970 ns MR4_D 40006004 00000001 - 2874970 ns R r2 00000001 - 2874970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2874990 ns R r2 80000000 - 2874990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2875010 ns R psr 81000200 - 2875010 ns MR4_I 00000238 48054770 - 2875030 ns MR4_I 00000230 07d2684a - 2875050 ns IT 00000230 684a LDR r2,[r1,#4] - 2875070 ns MR4_I 00000234 6008d1fc - 2875130 ns MR4_D 40006004 00000001 - 2875130 ns R r2 00000001 - 2875130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2875150 ns R r2 80000000 - 2875150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2875170 ns R psr 81000200 - 2875170 ns MR4_I 00000238 48054770 - 2875190 ns MR4_I 00000230 07d2684a - 2875210 ns IT 00000230 684a LDR r2,[r1,#4] - 2875230 ns MR4_I 00000234 6008d1fc - 2875290 ns MR4_D 40006004 00000001 - 2875290 ns R r2 00000001 - 2875290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2875310 ns R r2 80000000 - 2875310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2875330 ns R psr 81000200 - 2875330 ns MR4_I 00000238 48054770 - 2875350 ns MR4_I 00000230 07d2684a - 2875370 ns IT 00000230 684a LDR r2,[r1,#4] - 2875390 ns MR4_I 00000234 6008d1fc - 2875450 ns MR4_D 40006004 00000001 - 2875450 ns R r2 00000001 - 2875450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2875470 ns R r2 80000000 - 2875470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2875490 ns R psr 81000200 - 2875490 ns MR4_I 00000238 48054770 - 2875510 ns MR4_I 00000230 07d2684a - 2875530 ns IT 00000230 684a LDR r2,[r1,#4] - 2875550 ns MR4_I 00000234 6008d1fc - 2875610 ns MR4_D 40006004 00000001 - 2875610 ns R r2 00000001 - 2875610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2875630 ns R r2 80000000 - 2875630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2875650 ns R psr 81000200 - 2875650 ns MR4_I 00000238 48054770 - 2875670 ns MR4_I 00000230 07d2684a - 2875690 ns IT 00000230 684a LDR r2,[r1,#4] - 2875710 ns MR4_I 00000234 6008d1fc - 2875770 ns MR4_D 40006004 00000001 - 2875770 ns R r2 00000001 - 2875770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2875790 ns R r2 80000000 - 2875790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2875810 ns R psr 81000200 - 2875810 ns MR4_I 00000238 48054770 - 2875830 ns MR4_I 00000230 07d2684a - 2875850 ns IT 00000230 684a LDR r2,[r1,#4] - 2875870 ns MR4_I 00000234 6008d1fc - 2875930 ns MR4_D 40006004 00000001 - 2875930 ns R r2 00000001 - 2875930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2875950 ns R r2 80000000 - 2875950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2875970 ns R psr 81000200 - 2875970 ns MR4_I 00000238 48054770 - 2875990 ns MR4_I 00000230 07d2684a - 2876010 ns IT 00000230 684a LDR r2,[r1,#4] - 2876030 ns MR4_I 00000234 6008d1fc - 2876090 ns MR4_D 40006004 00000001 - 2876090 ns R r2 00000001 - 2876090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2876110 ns R r2 80000000 - 2876110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2876130 ns R psr 81000200 - 2876130 ns MR4_I 00000238 48054770 - 2876150 ns MR4_I 00000230 07d2684a - 2876170 ns IT 00000230 684a LDR r2,[r1,#4] - 2876190 ns MR4_I 00000234 6008d1fc - 2876250 ns MR4_D 40006004 00000001 - 2876250 ns R r2 00000001 - 2876250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2876270 ns R r2 80000000 - 2876270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2876290 ns R psr 81000200 - 2876290 ns MR4_I 00000238 48054770 - 2876310 ns MR4_I 00000230 07d2684a - 2876330 ns IT 00000230 684a LDR r2,[r1,#4] - 2876350 ns MR4_I 00000234 6008d1fc - 2876410 ns MR4_D 40006004 00000001 - 2876410 ns R r2 00000001 - 2876410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2876430 ns R r2 80000000 - 2876430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2876450 ns R psr 81000200 - 2876450 ns MR4_I 00000238 48054770 - 2876470 ns MR4_I 00000230 07d2684a - 2876490 ns IT 00000230 684a LDR r2,[r1,#4] - 2876510 ns MR4_I 00000234 6008d1fc - 2876570 ns MR4_D 40006004 00000001 - 2876570 ns R r2 00000001 - 2876570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2876590 ns R r2 80000000 - 2876590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2876610 ns R psr 81000200 - 2876610 ns MR4_I 00000238 48054770 - 2876630 ns MR4_I 00000230 07d2684a - 2876650 ns IT 00000230 684a LDR r2,[r1,#4] - 2876670 ns MR4_I 00000234 6008d1fc - 2876730 ns MR4_D 40006004 00000001 - 2876730 ns R r2 00000001 - 2876730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2876750 ns R r2 80000000 - 2876750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2876770 ns R psr 81000200 - 2876770 ns MR4_I 00000238 48054770 - 2876790 ns MR4_I 00000230 07d2684a - 2876810 ns IT 00000230 684a LDR r2,[r1,#4] - 2876830 ns MR4_I 00000234 6008d1fc - 2876890 ns MR4_D 40006004 00000001 - 2876890 ns R r2 00000001 - 2876890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2876910 ns R r2 80000000 - 2876910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2876930 ns R psr 81000200 - 2876930 ns MR4_I 00000238 48054770 - 2876950 ns MR4_I 00000230 07d2684a - 2876970 ns IT 00000230 684a LDR r2,[r1,#4] - 2876990 ns MR4_I 00000234 6008d1fc - 2877050 ns MR4_D 40006004 00000001 - 2877050 ns R r2 00000001 - 2877050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2877070 ns R r2 80000000 - 2877070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2877090 ns R psr 81000200 - 2877090 ns MR4_I 00000238 48054770 - 2877110 ns MR4_I 00000230 07d2684a - 2877130 ns IT 00000230 684a LDR r2,[r1,#4] - 2877150 ns MR4_I 00000234 6008d1fc - 2877210 ns MR4_D 40006004 00000001 - 2877210 ns R r2 00000001 - 2877210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2877230 ns R r2 80000000 - 2877230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2877250 ns R psr 81000200 - 2877250 ns MR4_I 00000238 48054770 - 2877270 ns MR4_I 00000230 07d2684a - 2877290 ns IT 00000230 684a LDR r2,[r1,#4] - 2877310 ns MR4_I 00000234 6008d1fc - 2877370 ns MR4_D 40006004 00000001 - 2877370 ns R r2 00000001 - 2877370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2877390 ns R r2 80000000 - 2877390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2877410 ns R psr 81000200 - 2877410 ns MR4_I 00000238 48054770 - 2877430 ns MR4_I 00000230 07d2684a - 2877450 ns IT 00000230 684a LDR r2,[r1,#4] - 2877470 ns MR4_I 00000234 6008d1fc - 2877530 ns MR4_D 40006004 00000001 - 2877530 ns R r2 00000001 - 2877530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2877550 ns R r2 80000000 - 2877550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2877570 ns R psr 81000200 - 2877570 ns MR4_I 00000238 48054770 - 2877590 ns MR4_I 00000230 07d2684a - 2877610 ns IT 00000230 684a LDR r2,[r1,#4] - 2877630 ns MR4_I 00000234 6008d1fc - 2877690 ns MR4_D 40006004 00000001 - 2877690 ns R r2 00000001 - 2877690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2877710 ns R r2 80000000 - 2877710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2877730 ns R psr 81000200 - 2877730 ns MR4_I 00000238 48054770 - 2877750 ns MR4_I 00000230 07d2684a - 2877770 ns IT 00000230 684a LDR r2,[r1,#4] - 2877790 ns MR4_I 00000234 6008d1fc - 2877850 ns MR4_D 40006004 00000001 - 2877850 ns R r2 00000001 - 2877850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2877870 ns R r2 80000000 - 2877870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2877890 ns R psr 81000200 - 2877890 ns MR4_I 00000238 48054770 - 2877910 ns MR4_I 00000230 07d2684a - 2877930 ns IT 00000230 684a LDR r2,[r1,#4] - 2877950 ns MR4_I 00000234 6008d1fc - 2878010 ns MR4_D 40006004 00000001 - 2878010 ns R r2 00000001 - 2878010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2878030 ns R r2 80000000 - 2878030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2878050 ns R psr 81000200 - 2878050 ns MR4_I 00000238 48054770 - 2878070 ns MR4_I 00000230 07d2684a - 2878090 ns IT 00000230 684a LDR r2,[r1,#4] - 2878110 ns MR4_I 00000234 6008d1fc - 2878170 ns MR4_D 40006004 00000001 - 2878170 ns R r2 00000001 - 2878170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2878190 ns R r2 80000000 - 2878190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2878210 ns R psr 81000200 - 2878210 ns MR4_I 00000238 48054770 - 2878230 ns MR4_I 00000230 07d2684a - 2878250 ns IT 00000230 684a LDR r2,[r1,#4] - 2878270 ns MR4_I 00000234 6008d1fc - 2878330 ns MR4_D 40006004 00000001 - 2878330 ns R r2 00000001 - 2878330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2878350 ns R r2 80000000 - 2878350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2878370 ns R psr 81000200 - 2878370 ns MR4_I 00000238 48054770 - 2878390 ns MR4_I 00000230 07d2684a - 2878410 ns IT 00000230 684a LDR r2,[r1,#4] - 2878430 ns MR4_I 00000234 6008d1fc - 2878490 ns MR4_D 40006004 00000001 - 2878490 ns R r2 00000001 - 2878490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2878510 ns R r2 80000000 - 2878510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2878530 ns R psr 81000200 - 2878530 ns MR4_I 00000238 48054770 - 2878550 ns MR4_I 00000230 07d2684a - 2878570 ns IT 00000230 684a LDR r2,[r1,#4] - 2878590 ns MR4_I 00000234 6008d1fc - 2878650 ns MR4_D 40006004 00000001 - 2878650 ns R r2 00000001 - 2878650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2878670 ns R r2 80000000 - 2878670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2878690 ns R psr 81000200 - 2878690 ns MR4_I 00000238 48054770 - 2878710 ns MR4_I 00000230 07d2684a - 2878730 ns IT 00000230 684a LDR r2,[r1,#4] - 2878750 ns MR4_I 00000234 6008d1fc - 2878810 ns MR4_D 40006004 00000001 - 2878810 ns R r2 00000001 - 2878810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2878830 ns R r2 80000000 - 2878830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2878850 ns R psr 81000200 - 2878850 ns MR4_I 00000238 48054770 - 2878870 ns MR4_I 00000230 07d2684a - 2878890 ns IT 00000230 684a LDR r2,[r1,#4] - 2878910 ns MR4_I 00000234 6008d1fc - 2878970 ns MR4_D 40006004 00000001 - 2878970 ns R r2 00000001 - 2878970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2878990 ns R r2 80000000 - 2878990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2879010 ns R psr 81000200 - 2879010 ns MR4_I 00000238 48054770 - 2879030 ns MR4_I 00000230 07d2684a - 2879050 ns IT 00000230 684a LDR r2,[r1,#4] - 2879070 ns MR4_I 00000234 6008d1fc - 2879130 ns MR4_D 40006004 00000001 - 2879130 ns R r2 00000001 - 2879130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2879150 ns R r2 80000000 - 2879150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2879170 ns R psr 81000200 - 2879170 ns MR4_I 00000238 48054770 - 2879190 ns MR4_I 00000230 07d2684a - 2879210 ns IT 00000230 684a LDR r2,[r1,#4] - 2879230 ns MR4_I 00000234 6008d1fc - 2879290 ns MR4_D 40006004 00000001 - 2879290 ns R r2 00000001 - 2879290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2879310 ns R r2 80000000 - 2879310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2879330 ns R psr 81000200 - 2879330 ns MR4_I 00000238 48054770 - 2879350 ns MR4_I 00000230 07d2684a - 2879370 ns IT 00000230 684a LDR r2,[r1,#4] - 2879390 ns MR4_I 00000234 6008d1fc - 2879450 ns MR4_D 40006004 00000001 - 2879450 ns R r2 00000001 - 2879450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2879470 ns R r2 80000000 - 2879470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2879490 ns R psr 81000200 - 2879490 ns MR4_I 00000238 48054770 - 2879510 ns MR4_I 00000230 07d2684a - 2879530 ns IT 00000230 684a LDR r2,[r1,#4] - 2879550 ns MR4_I 00000234 6008d1fc - 2879610 ns MR4_D 40006004 00000001 - 2879610 ns R r2 00000001 - 2879610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2879630 ns R r2 80000000 - 2879630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2879650 ns R psr 81000200 - 2879650 ns MR4_I 00000238 48054770 - 2879670 ns MR4_I 00000230 07d2684a - 2879690 ns IT 00000230 684a LDR r2,[r1,#4] - 2879710 ns MR4_I 00000234 6008d1fc - 2879770 ns MR4_D 40006004 00000001 - 2879770 ns R r2 00000001 - 2879770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2879790 ns R r2 80000000 - 2879790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2879810 ns R psr 81000200 - 2879810 ns MR4_I 00000238 48054770 - 2879830 ns MR4_I 00000230 07d2684a - 2879850 ns IT 00000230 684a LDR r2,[r1,#4] - 2879870 ns MR4_I 00000234 6008d1fc - 2879930 ns MR4_D 40006004 00000001 - 2879930 ns R r2 00000001 - 2879930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2879950 ns R r2 80000000 - 2879950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2879970 ns R psr 81000200 - 2879970 ns MR4_I 00000238 48054770 - 2879990 ns MR4_I 00000230 07d2684a - 2880010 ns IT 00000230 684a LDR r2,[r1,#4] - 2880030 ns MR4_I 00000234 6008d1fc - 2880090 ns MR4_D 40006004 00000001 - 2880090 ns R r2 00000001 - 2880090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2880110 ns R r2 80000000 - 2880110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2880130 ns R psr 81000200 - 2880130 ns MR4_I 00000238 48054770 - 2880150 ns MR4_I 00000230 07d2684a - 2880170 ns IT 00000230 684a LDR r2,[r1,#4] - 2880190 ns MR4_I 00000234 6008d1fc - 2880250 ns MR4_D 40006004 00000001 - 2880250 ns R r2 00000001 - 2880250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2880270 ns R r2 80000000 - 2880270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2880290 ns R psr 81000200 - 2880290 ns MR4_I 00000238 48054770 - 2880310 ns MR4_I 00000230 07d2684a - 2880330 ns IT 00000230 684a LDR r2,[r1,#4] - 2880350 ns MR4_I 00000234 6008d1fc - 2880410 ns MR4_D 40006004 00000001 - 2880410 ns R r2 00000001 - 2880410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2880430 ns R r2 80000000 - 2880430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2880450 ns R psr 81000200 - 2880450 ns MR4_I 00000238 48054770 - 2880470 ns MR4_I 00000230 07d2684a - 2880490 ns IT 00000230 684a LDR r2,[r1,#4] - 2880510 ns MR4_I 00000234 6008d1fc - 2880570 ns MR4_D 40006004 00000001 - 2880570 ns R r2 00000001 - 2880570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2880590 ns R r2 80000000 - 2880590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2880610 ns R psr 81000200 - 2880610 ns MR4_I 00000238 48054770 - 2880630 ns MR4_I 00000230 07d2684a - 2880650 ns IT 00000230 684a LDR r2,[r1,#4] - 2880670 ns MR4_I 00000234 6008d1fc - 2880730 ns MR4_D 40006004 00000001 - 2880730 ns R r2 00000001 - 2880730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2880750 ns R r2 80000000 - 2880750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2880770 ns R psr 81000200 - 2880770 ns MR4_I 00000238 48054770 - 2880790 ns MR4_I 00000230 07d2684a - 2880810 ns IT 00000230 684a LDR r2,[r1,#4] - 2880830 ns MR4_I 00000234 6008d1fc - 2880890 ns MR4_D 40006004 00000001 - 2880890 ns R r2 00000001 - 2880890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2880910 ns R r2 80000000 - 2880910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2880930 ns R psr 81000200 - 2880930 ns MR4_I 00000238 48054770 - 2880950 ns MR4_I 00000230 07d2684a - 2880970 ns IT 00000230 684a LDR r2,[r1,#4] - 2880990 ns MR4_I 00000234 6008d1fc - 2881050 ns MR4_D 40006004 00000001 - 2881050 ns R r2 00000001 - 2881050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2881070 ns R r2 80000000 - 2881070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2881090 ns R psr 81000200 - 2881090 ns MR4_I 00000238 48054770 - 2881110 ns MR4_I 00000230 07d2684a - 2881130 ns IT 00000230 684a LDR r2,[r1,#4] - 2881150 ns MR4_I 00000234 6008d1fc - 2881210 ns MR4_D 40006004 00000001 - 2881210 ns R r2 00000001 - 2881210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2881230 ns R r2 80000000 - 2881230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2881250 ns R psr 81000200 - 2881250 ns MR4_I 00000238 48054770 - 2881270 ns MR4_I 00000230 07d2684a - 2881290 ns IT 00000230 684a LDR r2,[r1,#4] - 2881310 ns MR4_I 00000234 6008d1fc - 2881370 ns MR4_D 40006004 00000001 - 2881370 ns R r2 00000001 - 2881370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2881390 ns R r2 80000000 - 2881390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2881410 ns R psr 81000200 - 2881410 ns MR4_I 00000238 48054770 - 2881430 ns MR4_I 00000230 07d2684a - 2881450 ns IT 00000230 684a LDR r2,[r1,#4] - 2881470 ns MR4_I 00000234 6008d1fc - 2881530 ns MR4_D 40006004 00000001 - 2881530 ns R r2 00000001 - 2881530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2881550 ns R r2 80000000 - 2881550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2881570 ns R psr 81000200 - 2881570 ns MR4_I 00000238 48054770 - 2881590 ns MR4_I 00000230 07d2684a - 2881610 ns IT 00000230 684a LDR r2,[r1,#4] - 2881630 ns MR4_I 00000234 6008d1fc - 2881690 ns MR4_D 40006004 00000001 - 2881690 ns R r2 00000001 - 2881690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2881710 ns R r2 80000000 - 2881710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2881730 ns R psr 81000200 - 2881730 ns MR4_I 00000238 48054770 - 2881750 ns MR4_I 00000230 07d2684a - 2881770 ns IT 00000230 684a LDR r2,[r1,#4] - 2881790 ns MR4_I 00000234 6008d1fc - 2881850 ns MR4_D 40006004 00000001 - 2881850 ns R r2 00000001 - 2881850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2881870 ns R r2 80000000 - 2881870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2881890 ns R psr 81000200 - 2881890 ns MR4_I 00000238 48054770 - 2881910 ns MR4_I 00000230 07d2684a - 2881930 ns IT 00000230 684a LDR r2,[r1,#4] - 2881950 ns MR4_I 00000234 6008d1fc - 2882010 ns MR4_D 40006004 00000001 - 2882010 ns R r2 00000001 - 2882010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2882030 ns R r2 80000000 - 2882030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2882050 ns R psr 81000200 - 2882050 ns MR4_I 00000238 48054770 - 2882070 ns MR4_I 00000230 07d2684a - 2882090 ns IT 00000230 684a LDR r2,[r1,#4] - 2882110 ns MR4_I 00000234 6008d1fc - 2882170 ns MR4_D 40006004 00000001 - 2882170 ns R r2 00000001 - 2882170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2882190 ns R r2 80000000 - 2882190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2882210 ns R psr 81000200 - 2882210 ns MR4_I 00000238 48054770 - 2882230 ns MR4_I 00000230 07d2684a - 2882250 ns IT 00000230 684a LDR r2,[r1,#4] - 2882270 ns MR4_I 00000234 6008d1fc - 2882330 ns MR4_D 40006004 00000001 - 2882330 ns R r2 00000001 - 2882330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2882350 ns R r2 80000000 - 2882350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2882370 ns R psr 81000200 - 2882370 ns MR4_I 00000238 48054770 - 2882390 ns MR4_I 00000230 07d2684a - 2882410 ns IT 00000230 684a LDR r2,[r1,#4] - 2882430 ns MR4_I 00000234 6008d1fc - 2882490 ns MR4_D 40006004 00000001 - 2882490 ns R r2 00000001 - 2882490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2882510 ns R r2 80000000 - 2882510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2882530 ns R psr 81000200 - 2882530 ns MR4_I 00000238 48054770 - 2882550 ns MR4_I 00000230 07d2684a - 2882570 ns IT 00000230 684a LDR r2,[r1,#4] - 2882590 ns MR4_I 00000234 6008d1fc - 2882650 ns MR4_D 40006004 00000001 - 2882650 ns R r2 00000001 - 2882650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2882670 ns R r2 80000000 - 2882670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2882690 ns R psr 81000200 - 2882690 ns MR4_I 00000238 48054770 - 2882710 ns MR4_I 00000230 07d2684a - 2882730 ns IT 00000230 684a LDR r2,[r1,#4] - 2882750 ns MR4_I 00000234 6008d1fc - 2882810 ns MR4_D 40006004 00000001 - 2882810 ns R r2 00000001 - 2882810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2882830 ns R r2 80000000 - 2882830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2882850 ns R psr 81000200 - 2882850 ns MR4_I 00000238 48054770 - 2882870 ns MR4_I 00000230 07d2684a - 2882890 ns IT 00000230 684a LDR r2,[r1,#4] - 2882910 ns MR4_I 00000234 6008d1fc - 2882970 ns MR4_D 40006004 00000001 - 2882970 ns R r2 00000001 - 2882970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2882990 ns R r2 80000000 - 2882990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2883010 ns R psr 81000200 - 2883010 ns MR4_I 00000238 48054770 - 2883030 ns MR4_I 00000230 07d2684a - 2883050 ns IT 00000230 684a LDR r2,[r1,#4] - 2883070 ns MR4_I 00000234 6008d1fc - 2883130 ns MR4_D 40006004 00000001 - 2883130 ns R r2 00000001 - 2883130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2883150 ns R r2 80000000 - 2883150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2883170 ns R psr 81000200 - 2883170 ns MR4_I 00000238 48054770 - 2883190 ns MR4_I 00000230 07d2684a - 2883210 ns IT 00000230 684a LDR r2,[r1,#4] - 2883230 ns MR4_I 00000234 6008d1fc - 2883290 ns MR4_D 40006004 00000001 - 2883290 ns R r2 00000001 - 2883290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2883310 ns R r2 80000000 - 2883310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2883330 ns R psr 81000200 - 2883330 ns MR4_I 00000238 48054770 - 2883350 ns MR4_I 00000230 07d2684a - 2883370 ns IT 00000230 684a LDR r2,[r1,#4] - 2883390 ns MR4_I 00000234 6008d1fc - 2883450 ns MR4_D 40006004 00000001 - 2883450 ns R r2 00000001 - 2883450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2883470 ns R r2 80000000 - 2883470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2883490 ns R psr 81000200 - 2883490 ns MR4_I 00000238 48054770 - 2883510 ns MR4_I 00000230 07d2684a - 2883530 ns IT 00000230 684a LDR r2,[r1,#4] - 2883550 ns MR4_I 00000234 6008d1fc - 2883610 ns MR4_D 40006004 00000001 - 2883610 ns R r2 00000001 - 2883610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2883630 ns R r2 80000000 - 2883630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2883650 ns R psr 81000200 - 2883650 ns MR4_I 00000238 48054770 - 2883670 ns MR4_I 00000230 07d2684a - 2883690 ns IT 00000230 684a LDR r2,[r1,#4] - 2883710 ns MR4_I 00000234 6008d1fc - 2883770 ns MR4_D 40006004 00000001 - 2883770 ns R r2 00000001 - 2883770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2883790 ns R r2 80000000 - 2883790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2883810 ns R psr 81000200 - 2883810 ns MR4_I 00000238 48054770 - 2883830 ns MR4_I 00000230 07d2684a - 2883850 ns IT 00000230 684a LDR r2,[r1,#4] - 2883870 ns MR4_I 00000234 6008d1fc - 2883930 ns MR4_D 40006004 00000001 - 2883930 ns R r2 00000001 - 2883930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2883950 ns R r2 80000000 - 2883950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2883970 ns R psr 81000200 - 2883970 ns MR4_I 00000238 48054770 - 2883990 ns MR4_I 00000230 07d2684a - 2884010 ns IT 00000230 684a LDR r2,[r1,#4] - 2884030 ns MR4_I 00000234 6008d1fc - 2884090 ns MR4_D 40006004 00000001 - 2884090 ns R r2 00000001 - 2884090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2884110 ns R r2 80000000 - 2884110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2884130 ns R psr 81000200 - 2884130 ns MR4_I 00000238 48054770 - 2884150 ns MR4_I 00000230 07d2684a - 2884170 ns IT 00000230 684a LDR r2,[r1,#4] - 2884190 ns MR4_I 00000234 6008d1fc - 2884250 ns MR4_D 40006004 00000001 - 2884250 ns R r2 00000001 - 2884250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2884270 ns R r2 80000000 - 2884270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2884290 ns R psr 81000200 - 2884290 ns MR4_I 00000238 48054770 - 2884310 ns MR4_I 00000230 07d2684a - 2884330 ns IT 00000230 684a LDR r2,[r1,#4] - 2884350 ns MR4_I 00000234 6008d1fc - 2884410 ns MR4_D 40006004 00000001 - 2884410 ns R r2 00000001 - 2884410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2884430 ns R r2 80000000 - 2884430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2884450 ns R psr 81000200 - 2884450 ns MR4_I 00000238 48054770 - 2884470 ns MR4_I 00000230 07d2684a - 2884490 ns IT 00000230 684a LDR r2,[r1,#4] - 2884510 ns MR4_I 00000234 6008d1fc - 2884570 ns MR4_D 40006004 00000001 - 2884570 ns R r2 00000001 - 2884570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2884590 ns R r2 80000000 - 2884590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2884610 ns R psr 81000200 - 2884610 ns MR4_I 00000238 48054770 - 2884630 ns MR4_I 00000230 07d2684a - 2884650 ns IT 00000230 684a LDR r2,[r1,#4] - 2884670 ns MR4_I 00000234 6008d1fc - 2884730 ns MR4_D 40006004 00000001 - 2884730 ns R r2 00000001 - 2884730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2884750 ns R r2 80000000 - 2884750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2884770 ns R psr 81000200 - 2884770 ns MR4_I 00000238 48054770 - 2884790 ns MR4_I 00000230 07d2684a - 2884810 ns IT 00000230 684a LDR r2,[r1,#4] - 2884830 ns MR4_I 00000234 6008d1fc - 2884890 ns MR4_D 40006004 00000001 - 2884890 ns R r2 00000001 - 2884890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2884910 ns R r2 80000000 - 2884910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2884930 ns R psr 81000200 - 2884930 ns MR4_I 00000238 48054770 - 2884950 ns MR4_I 00000230 07d2684a - 2884970 ns IT 00000230 684a LDR r2,[r1,#4] - 2884990 ns MR4_I 00000234 6008d1fc - 2885050 ns MR4_D 40006004 00000001 - 2885050 ns R r2 00000001 - 2885050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2885070 ns R r2 80000000 - 2885070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2885090 ns R psr 81000200 - 2885090 ns MR4_I 00000238 48054770 - 2885110 ns MR4_I 00000230 07d2684a - 2885130 ns IT 00000230 684a LDR r2,[r1,#4] - 2885150 ns MR4_I 00000234 6008d1fc - 2885210 ns MR4_D 40006004 00000001 - 2885210 ns R r2 00000001 - 2885210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2885230 ns R r2 80000000 - 2885230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2885250 ns R psr 81000200 - 2885250 ns MR4_I 00000238 48054770 - 2885270 ns MR4_I 00000230 07d2684a - 2885290 ns IT 00000230 684a LDR r2,[r1,#4] - 2885310 ns MR4_I 00000234 6008d1fc - 2885370 ns MR4_D 40006004 00000001 - 2885370 ns R r2 00000001 - 2885370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2885390 ns R r2 80000000 - 2885390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2885410 ns R psr 81000200 - 2885410 ns MR4_I 00000238 48054770 - 2885430 ns MR4_I 00000230 07d2684a - 2885450 ns IT 00000230 684a LDR r2,[r1,#4] - 2885470 ns MR4_I 00000234 6008d1fc - 2885530 ns MR4_D 40006004 00000001 - 2885530 ns R r2 00000001 - 2885530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2885550 ns R r2 80000000 - 2885550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2885570 ns R psr 81000200 - 2885570 ns MR4_I 00000238 48054770 - 2885590 ns MR4_I 00000230 07d2684a - 2885610 ns IT 00000230 684a LDR r2,[r1,#4] - 2885630 ns MR4_I 00000234 6008d1fc - 2885690 ns MR4_D 40006004 00000001 - 2885690 ns R r2 00000001 - 2885690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2885710 ns R r2 80000000 - 2885710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2885730 ns R psr 81000200 - 2885730 ns MR4_I 00000238 48054770 - 2885750 ns MR4_I 00000230 07d2684a - 2885770 ns IT 00000230 684a LDR r2,[r1,#4] - 2885790 ns MR4_I 00000234 6008d1fc - 2885850 ns MR4_D 40006004 00000001 - 2885850 ns R r2 00000001 - 2885850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2885870 ns R r2 80000000 - 2885870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2885890 ns R psr 81000200 - 2885890 ns MR4_I 00000238 48054770 - 2885910 ns MR4_I 00000230 07d2684a - 2885930 ns IT 00000230 684a LDR r2,[r1,#4] - 2885950 ns MR4_I 00000234 6008d1fc - 2886010 ns MR4_D 40006004 00000001 - 2886010 ns R r2 00000001 - 2886010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2886030 ns R r2 80000000 - 2886030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2886050 ns R psr 81000200 - 2886050 ns MR4_I 00000238 48054770 - 2886070 ns MR4_I 00000230 07d2684a - 2886090 ns IT 00000230 684a LDR r2,[r1,#4] - 2886110 ns MR4_I 00000234 6008d1fc - 2886170 ns MR4_D 40006004 00000001 - 2886170 ns R r2 00000001 - 2886170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2886190 ns R r2 80000000 - 2886190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2886210 ns R psr 81000200 - 2886210 ns MR4_I 00000238 48054770 - 2886230 ns MR4_I 00000230 07d2684a - 2886250 ns IT 00000230 684a LDR r2,[r1,#4] - 2886270 ns MR4_I 00000234 6008d1fc - 2886330 ns MR4_D 40006004 00000001 - 2886330 ns R r2 00000001 - 2886330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2886350 ns R r2 80000000 - 2886350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2886370 ns R psr 81000200 - 2886370 ns MR4_I 00000238 48054770 - 2886390 ns MR4_I 00000230 07d2684a - 2886410 ns IT 00000230 684a LDR r2,[r1,#4] - 2886430 ns MR4_I 00000234 6008d1fc - 2886490 ns MR4_D 40006004 00000001 - 2886490 ns R r2 00000001 - 2886490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2886510 ns R r2 80000000 - 2886510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2886530 ns R psr 81000200 - 2886530 ns MR4_I 00000238 48054770 - 2886550 ns MR4_I 00000230 07d2684a - 2886570 ns IT 00000230 684a LDR r2,[r1,#4] - 2886590 ns MR4_I 00000234 6008d1fc - 2886650 ns MR4_D 40006004 00000001 - 2886650 ns R r2 00000001 - 2886650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2886670 ns R r2 80000000 - 2886670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2886690 ns R psr 81000200 - 2886690 ns MR4_I 00000238 48054770 - 2886710 ns MR4_I 00000230 07d2684a - 2886730 ns IT 00000230 684a LDR r2,[r1,#4] - 2886750 ns MR4_I 00000234 6008d1fc - 2886810 ns MR4_D 40006004 00000001 - 2886810 ns R r2 00000001 - 2886810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2886830 ns R r2 80000000 - 2886830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2886850 ns R psr 81000200 - 2886850 ns MR4_I 00000238 48054770 - 2886870 ns MR4_I 00000230 07d2684a - 2886890 ns IT 00000230 684a LDR r2,[r1,#4] - 2886910 ns MR4_I 00000234 6008d1fc - 2886970 ns MR4_D 40006004 00000001 - 2886970 ns R r2 00000001 - 2886970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2886990 ns R r2 80000000 - 2886990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2887010 ns R psr 81000200 - 2887010 ns MR4_I 00000238 48054770 - 2887030 ns MR4_I 00000230 07d2684a - 2887050 ns IT 00000230 684a LDR r2,[r1,#4] - 2887070 ns MR4_I 00000234 6008d1fc - 2887130 ns MR4_D 40006004 00000000 - 2887130 ns R r2 00000000 - 2887130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2887150 ns R r2 00000000 - 2887150 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2887170 ns R psr 41000200 - 2887170 ns MR4_I 00000238 48054770 - 2887170 ns IT 00000236 6008 STR r0,[r1,#0] - 2887250 ns MW4_D 40006000 00000053 - 2887250 ns IT 00000238 4770 BX lr - 2887270 ns MR4_I 0000023c 07896841 - 2887290 ns R psr 41000200 - 2887290 ns MR4_I 000001fc b510bd10 - 2887310 ns IT 000001fc bd10 POP {r4,pc} - 2887330 ns MR4_I 00000200 f81bf000 - 2887330 ns R r13 200002e0 (MSP) - 2887350 ns MR4_D 200002d8 0000000a - 2887350 ns R r4 0000000a - 2887370 ns MR4_D 200002dc 0000032d - 2887390 ns R psr 41000200 - 2887410 ns MR4_I 0000032c 46301c64 - 2887430 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 2887450 ns MR4_I 00000330 280047a8 - 2887450 ns R r4 0000000b - 2887450 ns IT 0000032e 4630 MOV r0,r6 - 2887470 ns R psr 01000200 - 2887470 ns R r0 200002f8 - 2887470 ns IT 00000330 47a8 BLX r5 - 2887490 ns MR4_I 00000334 4620d1f8 - 2887510 ns R psr 01000200 - 2887510 ns MR4_I 000002a8 1c4a6901 - 2887510 ns R r14 00000333 - 2887530 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2887550 ns MR4_I 000002ac 78086102 - 2887570 ns MR4_D 20000308 0000019b - 2887570 ns R r1 0000019b - 2887570 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2887590 ns R r2 0000019c - 2887590 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2887610 ns R psr 01000200 - 2887610 ns MR4_I 000002b0 b5004770 - 2887630 ns MW4_D 20000308 0000019c - 2887630 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2887670 ns MR1_D 0000019b 53534150 - 2887670 ns R r0 00000053 - 2887670 ns IT 000002b0 4770 BX lr - 2887690 ns MR4_I 000002b4 9102b08f - 2887710 ns R psr 01000200 - 2887710 ns MR4_I 00000330 280047a8 - 2887730 ns MR4_I 00000334 4620d1f8 - 2887730 ns IT 00000332 2800 CMP r0,#0 - 2887750 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2887770 ns R psr 21000200 - 2887770 ns MR4_I 00000338 b510bdf8 - 2887790 ns MR4_I 00000328 47b89900 - 2887810 ns IT 00000328 9900 LDR r1,[sp,#0] - 2887830 ns MR4_I 0000032c 46301c64 - 2887850 ns MR4_D 200002e0 20000004 - 2887850 ns R r1 20000004 - 2887850 ns IT 0000032a 47b8 BLX r7 - 2887890 ns R psr 21000200 - 2887890 ns MR4_I 000001f4 b2c0b510 - 2887890 ns R r14 0000032d - 2887910 ns IT 000001f4 b510 PUSH {r4,lr} - 2887930 ns MR4_I 000001f8 f819f000 - 2887950 ns MW4_D 200002d8 0000000b - 2887970 ns MW4_D 200002dc 0000032d - 2887970 ns R r13 200002d8 (MSP) - 2887970 ns IT 000001f6 b2c0 UXTB r0,r0 - 2887990 ns R r0 00000053 - 2887990 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 2888010 ns MR4_I 000001fc b510bd10 - 2888030 ns R r14 000001fd - 2888050 ns MR4_I 0000022c 49084770 - 2888070 ns MR4_I 00000230 07d2684a - 2888070 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 2888110 ns MR4_D 00000250 40006000 - 2888110 ns R r1 40006000 - 2888110 ns IT 00000230 684a LDR r2,[r1,#4] - 2888130 ns MR4_I 00000234 6008d1fc - 2888190 ns MR4_D 40006004 00000001 - 2888190 ns R r2 00000001 - 2888190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2888210 ns R r2 80000000 - 2888210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2888230 ns R psr 81000200 - 2888230 ns MR4_I 00000238 48054770 - 2888250 ns MR4_I 00000230 07d2684a - 2888270 ns IT 00000230 684a LDR r2,[r1,#4] - 2888290 ns MR4_I 00000234 6008d1fc - 2888350 ns MR4_D 40006004 00000001 - 2888350 ns R r2 00000001 - 2888350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2888370 ns R r2 80000000 - 2888370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2888390 ns R psr 81000200 - 2888390 ns MR4_I 00000238 48054770 - 2888410 ns MR4_I 00000230 07d2684a - 2888430 ns IT 00000230 684a LDR r2,[r1,#4] - 2888450 ns MR4_I 00000234 6008d1fc - 2888510 ns MR4_D 40006004 00000001 - 2888510 ns R r2 00000001 - 2888510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2888530 ns R r2 80000000 - 2888530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2888550 ns R psr 81000200 - 2888550 ns MR4_I 00000238 48054770 - 2888570 ns MR4_I 00000230 07d2684a - 2888590 ns IT 00000230 684a LDR r2,[r1,#4] - 2888610 ns MR4_I 00000234 6008d1fc - 2888670 ns MR4_D 40006004 00000001 - 2888670 ns R r2 00000001 - 2888670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2888690 ns R r2 80000000 - 2888690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2888710 ns R psr 81000200 - 2888710 ns MR4_I 00000238 48054770 - 2888730 ns MR4_I 00000230 07d2684a - 2888750 ns IT 00000230 684a LDR r2,[r1,#4] - 2888770 ns MR4_I 00000234 6008d1fc - 2888830 ns MR4_D 40006004 00000001 - 2888830 ns R r2 00000001 - 2888830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2888850 ns R r2 80000000 - 2888850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2888870 ns R psr 81000200 - 2888870 ns MR4_I 00000238 48054770 - 2888890 ns MR4_I 00000230 07d2684a - 2888910 ns IT 00000230 684a LDR r2,[r1,#4] - 2888930 ns MR4_I 00000234 6008d1fc - 2888990 ns MR4_D 40006004 00000001 - 2888990 ns R r2 00000001 - 2888990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2889010 ns R r2 80000000 - 2889010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2889030 ns R psr 81000200 - 2889030 ns MR4_I 00000238 48054770 - 2889050 ns MR4_I 00000230 07d2684a - 2889070 ns IT 00000230 684a LDR r2,[r1,#4] - 2889090 ns MR4_I 00000234 6008d1fc - 2889150 ns MR4_D 40006004 00000001 - 2889150 ns R r2 00000001 - 2889150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2889170 ns R r2 80000000 - 2889170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2889190 ns R psr 81000200 - 2889190 ns MR4_I 00000238 48054770 - 2889210 ns MR4_I 00000230 07d2684a - 2889230 ns IT 00000230 684a LDR r2,[r1,#4] - 2889250 ns MR4_I 00000234 6008d1fc - 2889310 ns MR4_D 40006004 00000001 - 2889310 ns R r2 00000001 - 2889310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2889330 ns R r2 80000000 - 2889330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2889350 ns R psr 81000200 - 2889350 ns MR4_I 00000238 48054770 - 2889370 ns MR4_I 00000230 07d2684a - 2889390 ns IT 00000230 684a LDR r2,[r1,#4] - 2889410 ns MR4_I 00000234 6008d1fc - 2889470 ns MR4_D 40006004 00000001 - 2889470 ns R r2 00000001 - 2889470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2889490 ns R r2 80000000 - 2889490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2889510 ns R psr 81000200 - 2889510 ns MR4_I 00000238 48054770 - 2889530 ns MR4_I 00000230 07d2684a - 2889550 ns IT 00000230 684a LDR r2,[r1,#4] - 2889570 ns MR4_I 00000234 6008d1fc - 2889630 ns MR4_D 40006004 00000001 - 2889630 ns R r2 00000001 - 2889630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2889650 ns R r2 80000000 - 2889650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2889670 ns R psr 81000200 - 2889670 ns MR4_I 00000238 48054770 - 2889690 ns MR4_I 00000230 07d2684a - 2889710 ns IT 00000230 684a LDR r2,[r1,#4] - 2889730 ns MR4_I 00000234 6008d1fc - 2889790 ns MR4_D 40006004 00000001 - 2889790 ns R r2 00000001 - 2889790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2889810 ns R r2 80000000 - 2889810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2889830 ns R psr 81000200 - 2889830 ns MR4_I 00000238 48054770 - 2889850 ns MR4_I 00000230 07d2684a - 2889870 ns IT 00000230 684a LDR r2,[r1,#4] - 2889890 ns MR4_I 00000234 6008d1fc - 2889950 ns MR4_D 40006004 00000001 - 2889950 ns R r2 00000001 - 2889950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2889970 ns R r2 80000000 - 2889970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2889990 ns R psr 81000200 - 2889990 ns MR4_I 00000238 48054770 - 2890010 ns MR4_I 00000230 07d2684a - 2890030 ns IT 00000230 684a LDR r2,[r1,#4] - 2890050 ns MR4_I 00000234 6008d1fc - 2890110 ns MR4_D 40006004 00000001 - 2890110 ns R r2 00000001 - 2890110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2890130 ns R r2 80000000 - 2890130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2890150 ns R psr 81000200 - 2890150 ns MR4_I 00000238 48054770 - 2890170 ns MR4_I 00000230 07d2684a - 2890190 ns IT 00000230 684a LDR r2,[r1,#4] - 2890210 ns MR4_I 00000234 6008d1fc - 2890270 ns MR4_D 40006004 00000001 - 2890270 ns R r2 00000001 - 2890270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2890290 ns R r2 80000000 - 2890290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2890310 ns R psr 81000200 - 2890310 ns MR4_I 00000238 48054770 - 2890330 ns MR4_I 00000230 07d2684a - 2890350 ns IT 00000230 684a LDR r2,[r1,#4] - 2890370 ns MR4_I 00000234 6008d1fc - 2890430 ns MR4_D 40006004 00000001 - 2890430 ns R r2 00000001 - 2890430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2890450 ns R r2 80000000 - 2890450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2890470 ns R psr 81000200 - 2890470 ns MR4_I 00000238 48054770 - 2890490 ns MR4_I 00000230 07d2684a - 2890510 ns IT 00000230 684a LDR r2,[r1,#4] - 2890530 ns MR4_I 00000234 6008d1fc - 2890590 ns MR4_D 40006004 00000001 - 2890590 ns R r2 00000001 - 2890590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2890610 ns R r2 80000000 - 2890610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2890630 ns R psr 81000200 - 2890630 ns MR4_I 00000238 48054770 - 2890650 ns MR4_I 00000230 07d2684a - 2890670 ns IT 00000230 684a LDR r2,[r1,#4] - 2890690 ns MR4_I 00000234 6008d1fc - 2890750 ns MR4_D 40006004 00000001 - 2890750 ns R r2 00000001 - 2890750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2890770 ns R r2 80000000 - 2890770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2890790 ns R psr 81000200 - 2890790 ns MR4_I 00000238 48054770 - 2890810 ns MR4_I 00000230 07d2684a - 2890830 ns IT 00000230 684a LDR r2,[r1,#4] - 2890850 ns MR4_I 00000234 6008d1fc - 2890910 ns MR4_D 40006004 00000001 - 2890910 ns R r2 00000001 - 2890910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2890930 ns R r2 80000000 - 2890930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2890950 ns R psr 81000200 - 2890950 ns MR4_I 00000238 48054770 - 2890970 ns MR4_I 00000230 07d2684a - 2890990 ns IT 00000230 684a LDR r2,[r1,#4] - 2891010 ns MR4_I 00000234 6008d1fc - 2891070 ns MR4_D 40006004 00000001 - 2891070 ns R r2 00000001 - 2891070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2891090 ns R r2 80000000 - 2891090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2891110 ns R psr 81000200 - 2891110 ns MR4_I 00000238 48054770 - 2891130 ns MR4_I 00000230 07d2684a - 2891150 ns IT 00000230 684a LDR r2,[r1,#4] - 2891170 ns MR4_I 00000234 6008d1fc - 2891230 ns MR4_D 40006004 00000001 - 2891230 ns R r2 00000001 - 2891230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2891250 ns R r2 80000000 - 2891250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2891270 ns R psr 81000200 - 2891270 ns MR4_I 00000238 48054770 - 2891290 ns MR4_I 00000230 07d2684a - 2891310 ns IT 00000230 684a LDR r2,[r1,#4] - 2891330 ns MR4_I 00000234 6008d1fc - 2891390 ns MR4_D 40006004 00000001 - 2891390 ns R r2 00000001 - 2891390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2891410 ns R r2 80000000 - 2891410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2891430 ns R psr 81000200 - 2891430 ns MR4_I 00000238 48054770 - 2891450 ns MR4_I 00000230 07d2684a - 2891470 ns IT 00000230 684a LDR r2,[r1,#4] - 2891490 ns MR4_I 00000234 6008d1fc - 2891550 ns MR4_D 40006004 00000001 - 2891550 ns R r2 00000001 - 2891550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2891570 ns R r2 80000000 - 2891570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2891590 ns R psr 81000200 - 2891590 ns MR4_I 00000238 48054770 - 2891610 ns MR4_I 00000230 07d2684a - 2891630 ns IT 00000230 684a LDR r2,[r1,#4] - 2891650 ns MR4_I 00000234 6008d1fc - 2891710 ns MR4_D 40006004 00000001 - 2891710 ns R r2 00000001 - 2891710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2891730 ns R r2 80000000 - 2891730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2891750 ns R psr 81000200 - 2891750 ns MR4_I 00000238 48054770 - 2891770 ns MR4_I 00000230 07d2684a - 2891790 ns IT 00000230 684a LDR r2,[r1,#4] - 2891810 ns MR4_I 00000234 6008d1fc - 2891870 ns MR4_D 40006004 00000001 - 2891870 ns R r2 00000001 - 2891870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2891890 ns R r2 80000000 - 2891890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2891910 ns R psr 81000200 - 2891910 ns MR4_I 00000238 48054770 - 2891930 ns MR4_I 00000230 07d2684a - 2891950 ns IT 00000230 684a LDR r2,[r1,#4] - 2891970 ns MR4_I 00000234 6008d1fc - 2892030 ns MR4_D 40006004 00000001 - 2892030 ns R r2 00000001 - 2892030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2892050 ns R r2 80000000 - 2892050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2892070 ns R psr 81000200 - 2892070 ns MR4_I 00000238 48054770 - 2892090 ns MR4_I 00000230 07d2684a - 2892110 ns IT 00000230 684a LDR r2,[r1,#4] - 2892130 ns MR4_I 00000234 6008d1fc - 2892190 ns MR4_D 40006004 00000001 - 2892190 ns R r2 00000001 - 2892190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2892210 ns R r2 80000000 - 2892210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2892230 ns R psr 81000200 - 2892230 ns MR4_I 00000238 48054770 - 2892250 ns MR4_I 00000230 07d2684a - 2892270 ns IT 00000230 684a LDR r2,[r1,#4] - 2892290 ns MR4_I 00000234 6008d1fc - 2892350 ns MR4_D 40006004 00000001 - 2892350 ns R r2 00000001 - 2892350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2892370 ns R r2 80000000 - 2892370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2892390 ns R psr 81000200 - 2892390 ns MR4_I 00000238 48054770 - 2892410 ns MR4_I 00000230 07d2684a - 2892430 ns IT 00000230 684a LDR r2,[r1,#4] - 2892450 ns MR4_I 00000234 6008d1fc - 2892510 ns MR4_D 40006004 00000001 - 2892510 ns R r2 00000001 - 2892510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2892530 ns R r2 80000000 - 2892530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2892550 ns R psr 81000200 - 2892550 ns MR4_I 00000238 48054770 - 2892570 ns MR4_I 00000230 07d2684a - 2892590 ns IT 00000230 684a LDR r2,[r1,#4] - 2892610 ns MR4_I 00000234 6008d1fc - 2892670 ns MR4_D 40006004 00000001 - 2892670 ns R r2 00000001 - 2892670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2892690 ns R r2 80000000 - 2892690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2892710 ns R psr 81000200 - 2892710 ns MR4_I 00000238 48054770 - 2892730 ns MR4_I 00000230 07d2684a - 2892750 ns IT 00000230 684a LDR r2,[r1,#4] - 2892770 ns MR4_I 00000234 6008d1fc - 2892830 ns MR4_D 40006004 00000001 - 2892830 ns R r2 00000001 - 2892830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2892850 ns R r2 80000000 - 2892850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2892870 ns R psr 81000200 - 2892870 ns MR4_I 00000238 48054770 - 2892890 ns MR4_I 00000230 07d2684a - 2892910 ns IT 00000230 684a LDR r2,[r1,#4] - 2892930 ns MR4_I 00000234 6008d1fc - 2892990 ns MR4_D 40006004 00000001 - 2892990 ns R r2 00000001 - 2892990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2893010 ns R r2 80000000 - 2893010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2893030 ns R psr 81000200 - 2893030 ns MR4_I 00000238 48054770 - 2893050 ns MR4_I 00000230 07d2684a - 2893070 ns IT 00000230 684a LDR r2,[r1,#4] - 2893090 ns MR4_I 00000234 6008d1fc - 2893150 ns MR4_D 40006004 00000001 - 2893150 ns R r2 00000001 - 2893150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2893170 ns R r2 80000000 - 2893170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2893190 ns R psr 81000200 - 2893190 ns MR4_I 00000238 48054770 - 2893210 ns MR4_I 00000230 07d2684a - 2893230 ns IT 00000230 684a LDR r2,[r1,#4] - 2893250 ns MR4_I 00000234 6008d1fc - 2893310 ns MR4_D 40006004 00000001 - 2893310 ns R r2 00000001 - 2893310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2893330 ns R r2 80000000 - 2893330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2893350 ns R psr 81000200 - 2893350 ns MR4_I 00000238 48054770 - 2893370 ns MR4_I 00000230 07d2684a - 2893390 ns IT 00000230 684a LDR r2,[r1,#4] - 2893410 ns MR4_I 00000234 6008d1fc - 2893470 ns MR4_D 40006004 00000001 - 2893470 ns R r2 00000001 - 2893470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2893490 ns R r2 80000000 - 2893490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2893510 ns R psr 81000200 - 2893510 ns MR4_I 00000238 48054770 - 2893530 ns MR4_I 00000230 07d2684a - 2893550 ns IT 00000230 684a LDR r2,[r1,#4] - 2893570 ns MR4_I 00000234 6008d1fc - 2893630 ns MR4_D 40006004 00000001 - 2893630 ns R r2 00000001 - 2893630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2893650 ns R r2 80000000 - 2893650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2893670 ns R psr 81000200 - 2893670 ns MR4_I 00000238 48054770 - 2893690 ns MR4_I 00000230 07d2684a - 2893710 ns IT 00000230 684a LDR r2,[r1,#4] - 2893730 ns MR4_I 00000234 6008d1fc - 2893790 ns MR4_D 40006004 00000001 - 2893790 ns R r2 00000001 - 2893790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2893810 ns R r2 80000000 - 2893810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2893830 ns R psr 81000200 - 2893830 ns MR4_I 00000238 48054770 - 2893850 ns MR4_I 00000230 07d2684a - 2893870 ns IT 00000230 684a LDR r2,[r1,#4] - 2893890 ns MR4_I 00000234 6008d1fc - 2893950 ns MR4_D 40006004 00000001 - 2893950 ns R r2 00000001 - 2893950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2893970 ns R r2 80000000 - 2893970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2893990 ns R psr 81000200 - 2893990 ns MR4_I 00000238 48054770 - 2894010 ns MR4_I 00000230 07d2684a - 2894030 ns IT 00000230 684a LDR r2,[r1,#4] - 2894050 ns MR4_I 00000234 6008d1fc - 2894110 ns MR4_D 40006004 00000001 - 2894110 ns R r2 00000001 - 2894110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2894130 ns R r2 80000000 - 2894130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2894150 ns R psr 81000200 - 2894150 ns MR4_I 00000238 48054770 - 2894170 ns MR4_I 00000230 07d2684a - 2894190 ns IT 00000230 684a LDR r2,[r1,#4] - 2894210 ns MR4_I 00000234 6008d1fc - 2894270 ns MR4_D 40006004 00000001 - 2894270 ns R r2 00000001 - 2894270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2894290 ns R r2 80000000 - 2894290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2894310 ns R psr 81000200 - 2894310 ns MR4_I 00000238 48054770 - 2894330 ns MR4_I 00000230 07d2684a - 2894350 ns IT 00000230 684a LDR r2,[r1,#4] - 2894370 ns MR4_I 00000234 6008d1fc - 2894430 ns MR4_D 40006004 00000001 - 2894430 ns R r2 00000001 - 2894430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2894450 ns R r2 80000000 - 2894450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2894470 ns R psr 81000200 - 2894470 ns MR4_I 00000238 48054770 - 2894490 ns MR4_I 00000230 07d2684a - 2894510 ns IT 00000230 684a LDR r2,[r1,#4] - 2894530 ns MR4_I 00000234 6008d1fc - 2894590 ns MR4_D 40006004 00000001 - 2894590 ns R r2 00000001 - 2894590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2894610 ns R r2 80000000 - 2894610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2894630 ns R psr 81000200 - 2894630 ns MR4_I 00000238 48054770 - 2894650 ns MR4_I 00000230 07d2684a - 2894670 ns IT 00000230 684a LDR r2,[r1,#4] - 2894690 ns MR4_I 00000234 6008d1fc - 2894750 ns MR4_D 40006004 00000001 - 2894750 ns R r2 00000001 - 2894750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2894770 ns R r2 80000000 - 2894770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2894790 ns R psr 81000200 - 2894790 ns MR4_I 00000238 48054770 - 2894810 ns MR4_I 00000230 07d2684a - 2894830 ns IT 00000230 684a LDR r2,[r1,#4] - 2894850 ns MR4_I 00000234 6008d1fc - 2894910 ns MR4_D 40006004 00000001 - 2894910 ns R r2 00000001 - 2894910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2894930 ns R r2 80000000 - 2894930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2894950 ns R psr 81000200 - 2894950 ns MR4_I 00000238 48054770 - 2894970 ns MR4_I 00000230 07d2684a - 2894990 ns IT 00000230 684a LDR r2,[r1,#4] - 2895010 ns MR4_I 00000234 6008d1fc - 2895070 ns MR4_D 40006004 00000001 - 2895070 ns R r2 00000001 - 2895070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2895090 ns R r2 80000000 - 2895090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2895110 ns R psr 81000200 - 2895110 ns MR4_I 00000238 48054770 - 2895130 ns MR4_I 00000230 07d2684a - 2895150 ns IT 00000230 684a LDR r2,[r1,#4] - 2895170 ns MR4_I 00000234 6008d1fc - 2895230 ns MR4_D 40006004 00000001 - 2895230 ns R r2 00000001 - 2895230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2895250 ns R r2 80000000 - 2895250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2895270 ns R psr 81000200 - 2895270 ns MR4_I 00000238 48054770 - 2895290 ns MR4_I 00000230 07d2684a - 2895310 ns IT 00000230 684a LDR r2,[r1,#4] - 2895330 ns MR4_I 00000234 6008d1fc - 2895390 ns MR4_D 40006004 00000001 - 2895390 ns R r2 00000001 - 2895390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2895410 ns R r2 80000000 - 2895410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2895430 ns R psr 81000200 - 2895430 ns MR4_I 00000238 48054770 - 2895450 ns MR4_I 00000230 07d2684a - 2895470 ns IT 00000230 684a LDR r2,[r1,#4] - 2895490 ns MR4_I 00000234 6008d1fc - 2895550 ns MR4_D 40006004 00000001 - 2895550 ns R r2 00000001 - 2895550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2895570 ns R r2 80000000 - 2895570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2895590 ns R psr 81000200 - 2895590 ns MR4_I 00000238 48054770 - 2895610 ns MR4_I 00000230 07d2684a - 2895630 ns IT 00000230 684a LDR r2,[r1,#4] - 2895650 ns MR4_I 00000234 6008d1fc - 2895710 ns MR4_D 40006004 00000001 - 2895710 ns R r2 00000001 - 2895710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2895730 ns R r2 80000000 - 2895730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2895750 ns R psr 81000200 - 2895750 ns MR4_I 00000238 48054770 - 2895770 ns MR4_I 00000230 07d2684a - 2895790 ns IT 00000230 684a LDR r2,[r1,#4] - 2895810 ns MR4_I 00000234 6008d1fc - 2895870 ns MR4_D 40006004 00000001 - 2895870 ns R r2 00000001 - 2895870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2895890 ns R r2 80000000 - 2895890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2895910 ns R psr 81000200 - 2895910 ns MR4_I 00000238 48054770 - 2895930 ns MR4_I 00000230 07d2684a - 2895950 ns IT 00000230 684a LDR r2,[r1,#4] - 2895970 ns MR4_I 00000234 6008d1fc - 2896030 ns MR4_D 40006004 00000001 - 2896030 ns R r2 00000001 - 2896030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2896050 ns R r2 80000000 - 2896050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2896070 ns R psr 81000200 - 2896070 ns MR4_I 00000238 48054770 - 2896090 ns MR4_I 00000230 07d2684a - 2896110 ns IT 00000230 684a LDR r2,[r1,#4] - 2896130 ns MR4_I 00000234 6008d1fc - 2896190 ns MR4_D 40006004 00000001 - 2896190 ns R r2 00000001 - 2896190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2896210 ns R r2 80000000 - 2896210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2896230 ns R psr 81000200 - 2896230 ns MR4_I 00000238 48054770 - 2896250 ns MR4_I 00000230 07d2684a - 2896270 ns IT 00000230 684a LDR r2,[r1,#4] - 2896290 ns MR4_I 00000234 6008d1fc - 2896350 ns MR4_D 40006004 00000001 - 2896350 ns R r2 00000001 - 2896350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2896370 ns R r2 80000000 - 2896370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2896390 ns R psr 81000200 - 2896390 ns MR4_I 00000238 48054770 - 2896410 ns MR4_I 00000230 07d2684a - 2896430 ns IT 00000230 684a LDR r2,[r1,#4] - 2896450 ns MR4_I 00000234 6008d1fc - 2896510 ns MR4_D 40006004 00000001 - 2896510 ns R r2 00000001 - 2896510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2896530 ns R r2 80000000 - 2896530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2896550 ns R psr 81000200 - 2896550 ns MR4_I 00000238 48054770 - 2896570 ns MR4_I 00000230 07d2684a - 2896590 ns IT 00000230 684a LDR r2,[r1,#4] - 2896610 ns MR4_I 00000234 6008d1fc - 2896670 ns MR4_D 40006004 00000001 - 2896670 ns R r2 00000001 - 2896670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2896690 ns R r2 80000000 - 2896690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2896710 ns R psr 81000200 - 2896710 ns MR4_I 00000238 48054770 - 2896730 ns MR4_I 00000230 07d2684a - 2896750 ns IT 00000230 684a LDR r2,[r1,#4] - 2896770 ns MR4_I 00000234 6008d1fc - 2896830 ns MR4_D 40006004 00000001 - 2896830 ns R r2 00000001 - 2896830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2896850 ns R r2 80000000 - 2896850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2896870 ns R psr 81000200 - 2896870 ns MR4_I 00000238 48054770 - 2896890 ns MR4_I 00000230 07d2684a - 2896910 ns IT 00000230 684a LDR r2,[r1,#4] - 2896930 ns MR4_I 00000234 6008d1fc - 2896990 ns MR4_D 40006004 00000001 - 2896990 ns R r2 00000001 - 2896990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2897010 ns R r2 80000000 - 2897010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2897030 ns R psr 81000200 - 2897030 ns MR4_I 00000238 48054770 - 2897050 ns MR4_I 00000230 07d2684a - 2897070 ns IT 00000230 684a LDR r2,[r1,#4] - 2897090 ns MR4_I 00000234 6008d1fc - 2897150 ns MR4_D 40006004 00000001 - 2897150 ns R r2 00000001 - 2897150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2897170 ns R r2 80000000 - 2897170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2897190 ns R psr 81000200 - 2897190 ns MR4_I 00000238 48054770 - 2897210 ns MR4_I 00000230 07d2684a - 2897230 ns IT 00000230 684a LDR r2,[r1,#4] - 2897250 ns MR4_I 00000234 6008d1fc - 2897310 ns MR4_D 40006004 00000001 - 2897310 ns R r2 00000001 - 2897310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2897330 ns R r2 80000000 - 2897330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2897350 ns R psr 81000200 - 2897350 ns MR4_I 00000238 48054770 - 2897370 ns MR4_I 00000230 07d2684a - 2897390 ns IT 00000230 684a LDR r2,[r1,#4] - 2897410 ns MR4_I 00000234 6008d1fc - 2897470 ns MR4_D 40006004 00000001 - 2897470 ns R r2 00000001 - 2897470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2897490 ns R r2 80000000 - 2897490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2897510 ns R psr 81000200 - 2897510 ns MR4_I 00000238 48054770 - 2897530 ns MR4_I 00000230 07d2684a - 2897550 ns IT 00000230 684a LDR r2,[r1,#4] - 2897570 ns MR4_I 00000234 6008d1fc - 2897630 ns MR4_D 40006004 00000001 - 2897630 ns R r2 00000001 - 2897630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2897650 ns R r2 80000000 - 2897650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2897670 ns R psr 81000200 - 2897670 ns MR4_I 00000238 48054770 - 2897690 ns MR4_I 00000230 07d2684a - 2897710 ns IT 00000230 684a LDR r2,[r1,#4] - 2897730 ns MR4_I 00000234 6008d1fc - 2897790 ns MR4_D 40006004 00000001 - 2897790 ns R r2 00000001 - 2897790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2897810 ns R r2 80000000 - 2897810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2897830 ns R psr 81000200 - 2897830 ns MR4_I 00000238 48054770 - 2897850 ns MR4_I 00000230 07d2684a - 2897870 ns IT 00000230 684a LDR r2,[r1,#4] - 2897890 ns MR4_I 00000234 6008d1fc - 2897950 ns MR4_D 40006004 00000001 - 2897950 ns R r2 00000001 - 2897950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2897970 ns R r2 80000000 - 2897970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2897990 ns R psr 81000200 - 2897990 ns MR4_I 00000238 48054770 - 2898010 ns MR4_I 00000230 07d2684a - 2898030 ns IT 00000230 684a LDR r2,[r1,#4] - 2898050 ns MR4_I 00000234 6008d1fc - 2898110 ns MR4_D 40006004 00000001 - 2898110 ns R r2 00000001 - 2898110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2898130 ns R r2 80000000 - 2898130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2898150 ns R psr 81000200 - 2898150 ns MR4_I 00000238 48054770 - 2898170 ns MR4_I 00000230 07d2684a - 2898190 ns IT 00000230 684a LDR r2,[r1,#4] - 2898210 ns MR4_I 00000234 6008d1fc - 2898270 ns MR4_D 40006004 00000001 - 2898270 ns R r2 00000001 - 2898270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2898290 ns R r2 80000000 - 2898290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2898310 ns R psr 81000200 - 2898310 ns MR4_I 00000238 48054770 - 2898330 ns MR4_I 00000230 07d2684a - 2898350 ns IT 00000230 684a LDR r2,[r1,#4] - 2898370 ns MR4_I 00000234 6008d1fc - 2898430 ns MR4_D 40006004 00000001 - 2898430 ns R r2 00000001 - 2898430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2898450 ns R r2 80000000 - 2898450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2898470 ns R psr 81000200 - 2898470 ns MR4_I 00000238 48054770 - 2898490 ns MR4_I 00000230 07d2684a - 2898510 ns IT 00000230 684a LDR r2,[r1,#4] - 2898530 ns MR4_I 00000234 6008d1fc - 2898590 ns MR4_D 40006004 00000001 - 2898590 ns R r2 00000001 - 2898590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2898610 ns R r2 80000000 - 2898610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2898630 ns R psr 81000200 - 2898630 ns MR4_I 00000238 48054770 - 2898650 ns MR4_I 00000230 07d2684a - 2898670 ns IT 00000230 684a LDR r2,[r1,#4] - 2898690 ns MR4_I 00000234 6008d1fc - 2898750 ns MR4_D 40006004 00000001 - 2898750 ns R r2 00000001 - 2898750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2898770 ns R r2 80000000 - 2898770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2898790 ns R psr 81000200 - 2898790 ns MR4_I 00000238 48054770 - 2898810 ns MR4_I 00000230 07d2684a - 2898830 ns IT 00000230 684a LDR r2,[r1,#4] - 2898850 ns MR4_I 00000234 6008d1fc - 2898910 ns MR4_D 40006004 00000001 - 2898910 ns R r2 00000001 - 2898910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2898930 ns R r2 80000000 - 2898930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2898950 ns R psr 81000200 - 2898950 ns MR4_I 00000238 48054770 - 2898970 ns MR4_I 00000230 07d2684a - 2898990 ns IT 00000230 684a LDR r2,[r1,#4] - 2899010 ns MR4_I 00000234 6008d1fc - 2899070 ns MR4_D 40006004 00000001 - 2899070 ns R r2 00000001 - 2899070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2899090 ns R r2 80000000 - 2899090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2899110 ns R psr 81000200 - 2899110 ns MR4_I 00000238 48054770 - 2899130 ns MR4_I 00000230 07d2684a - 2899150 ns IT 00000230 684a LDR r2,[r1,#4] - 2899170 ns MR4_I 00000234 6008d1fc - 2899230 ns MR4_D 40006004 00000001 - 2899230 ns R r2 00000001 - 2899230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2899250 ns R r2 80000000 - 2899250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2899270 ns R psr 81000200 - 2899270 ns MR4_I 00000238 48054770 - 2899290 ns MR4_I 00000230 07d2684a - 2899310 ns IT 00000230 684a LDR r2,[r1,#4] - 2899330 ns MR4_I 00000234 6008d1fc - 2899390 ns MR4_D 40006004 00000001 - 2899390 ns R r2 00000001 - 2899390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2899410 ns R r2 80000000 - 2899410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2899430 ns R psr 81000200 - 2899430 ns MR4_I 00000238 48054770 - 2899450 ns MR4_I 00000230 07d2684a - 2899470 ns IT 00000230 684a LDR r2,[r1,#4] - 2899490 ns MR4_I 00000234 6008d1fc - 2899550 ns MR4_D 40006004 00000001 - 2899550 ns R r2 00000001 - 2899550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2899570 ns R r2 80000000 - 2899570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2899590 ns R psr 81000200 - 2899590 ns MR4_I 00000238 48054770 - 2899610 ns MR4_I 00000230 07d2684a - 2899630 ns IT 00000230 684a LDR r2,[r1,#4] - 2899650 ns MR4_I 00000234 6008d1fc - 2899710 ns MR4_D 40006004 00000001 - 2899710 ns R r2 00000001 - 2899710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2899730 ns R r2 80000000 - 2899730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2899750 ns R psr 81000200 - 2899750 ns MR4_I 00000238 48054770 - 2899770 ns MR4_I 00000230 07d2684a - 2899790 ns IT 00000230 684a LDR r2,[r1,#4] - 2899810 ns MR4_I 00000234 6008d1fc - 2899870 ns MR4_D 40006004 00000001 - 2899870 ns R r2 00000001 - 2899870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2899890 ns R r2 80000000 - 2899890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2899910 ns R psr 81000200 - 2899910 ns MR4_I 00000238 48054770 - 2899930 ns MR4_I 00000230 07d2684a - 2899950 ns IT 00000230 684a LDR r2,[r1,#4] - 2899970 ns MR4_I 00000234 6008d1fc - 2900030 ns MR4_D 40006004 00000001 - 2900030 ns R r2 00000001 - 2900030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2900050 ns R r2 80000000 - 2900050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2900070 ns R psr 81000200 - 2900070 ns MR4_I 00000238 48054770 - 2900090 ns MR4_I 00000230 07d2684a - 2900110 ns IT 00000230 684a LDR r2,[r1,#4] - 2900130 ns MR4_I 00000234 6008d1fc - 2900190 ns MR4_D 40006004 00000001 - 2900190 ns R r2 00000001 - 2900190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2900210 ns R r2 80000000 - 2900210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2900230 ns R psr 81000200 - 2900230 ns MR4_I 00000238 48054770 - 2900250 ns MR4_I 00000230 07d2684a - 2900270 ns IT 00000230 684a LDR r2,[r1,#4] - 2900290 ns MR4_I 00000234 6008d1fc - 2900350 ns MR4_D 40006004 00000001 - 2900350 ns R r2 00000001 - 2900350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2900370 ns R r2 80000000 - 2900370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2900390 ns R psr 81000200 - 2900390 ns MR4_I 00000238 48054770 - 2900410 ns MR4_I 00000230 07d2684a - 2900430 ns IT 00000230 684a LDR r2,[r1,#4] - 2900450 ns MR4_I 00000234 6008d1fc - 2900510 ns MR4_D 40006004 00000001 - 2900510 ns R r2 00000001 - 2900510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2900530 ns R r2 80000000 - 2900530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2900550 ns R psr 81000200 - 2900550 ns MR4_I 00000238 48054770 - 2900570 ns MR4_I 00000230 07d2684a - 2900590 ns IT 00000230 684a LDR r2,[r1,#4] - 2900610 ns MR4_I 00000234 6008d1fc - 2900670 ns MR4_D 40006004 00000001 - 2900670 ns R r2 00000001 - 2900670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2900690 ns R r2 80000000 - 2900690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2900710 ns R psr 81000200 - 2900710 ns MR4_I 00000238 48054770 - 2900730 ns MR4_I 00000230 07d2684a - 2900750 ns IT 00000230 684a LDR r2,[r1,#4] - 2900770 ns MR4_I 00000234 6008d1fc - 2900830 ns MR4_D 40006004 00000001 - 2900830 ns R r2 00000001 - 2900830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2900850 ns R r2 80000000 - 2900850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2900870 ns R psr 81000200 - 2900870 ns MR4_I 00000238 48054770 - 2900890 ns MR4_I 00000230 07d2684a - 2900910 ns IT 00000230 684a LDR r2,[r1,#4] - 2900930 ns MR4_I 00000234 6008d1fc - 2900990 ns MR4_D 40006004 00000001 - 2900990 ns R r2 00000001 - 2900990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2901010 ns R r2 80000000 - 2901010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2901030 ns R psr 81000200 - 2901030 ns MR4_I 00000238 48054770 - 2901050 ns MR4_I 00000230 07d2684a - 2901070 ns IT 00000230 684a LDR r2,[r1,#4] - 2901090 ns MR4_I 00000234 6008d1fc - 2901150 ns MR4_D 40006004 00000001 - 2901150 ns R r2 00000001 - 2901150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2901170 ns R r2 80000000 - 2901170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2901190 ns R psr 81000200 - 2901190 ns MR4_I 00000238 48054770 - 2901210 ns MR4_I 00000230 07d2684a - 2901230 ns IT 00000230 684a LDR r2,[r1,#4] - 2901250 ns MR4_I 00000234 6008d1fc - 2901310 ns MR4_D 40006004 00000001 - 2901310 ns R r2 00000001 - 2901310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2901330 ns R r2 80000000 - 2901330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2901350 ns R psr 81000200 - 2901350 ns MR4_I 00000238 48054770 - 2901370 ns MR4_I 00000230 07d2684a - 2901390 ns IT 00000230 684a LDR r2,[r1,#4] - 2901410 ns MR4_I 00000234 6008d1fc - 2901470 ns MR4_D 40006004 00000001 - 2901470 ns R r2 00000001 - 2901470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2901490 ns R r2 80000000 - 2901490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2901510 ns R psr 81000200 - 2901510 ns MR4_I 00000238 48054770 - 2901530 ns MR4_I 00000230 07d2684a - 2901550 ns IT 00000230 684a LDR r2,[r1,#4] - 2901570 ns MR4_I 00000234 6008d1fc - 2901630 ns MR4_D 40006004 00000001 - 2901630 ns R r2 00000001 - 2901630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2901650 ns R r2 80000000 - 2901650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2901670 ns R psr 81000200 - 2901670 ns MR4_I 00000238 48054770 - 2901690 ns MR4_I 00000230 07d2684a - 2901710 ns IT 00000230 684a LDR r2,[r1,#4] - 2901730 ns MR4_I 00000234 6008d1fc - 2901790 ns MR4_D 40006004 00000001 - 2901790 ns R r2 00000001 - 2901790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2901810 ns R r2 80000000 - 2901810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2901830 ns R psr 81000200 - 2901830 ns MR4_I 00000238 48054770 - 2901850 ns MR4_I 00000230 07d2684a - 2901870 ns IT 00000230 684a LDR r2,[r1,#4] - 2901890 ns MR4_I 00000234 6008d1fc - 2901950 ns MR4_D 40006004 00000001 - 2901950 ns R r2 00000001 - 2901950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2901970 ns R r2 80000000 - 2901970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2901990 ns R psr 81000200 - 2901990 ns MR4_I 00000238 48054770 - 2902010 ns MR4_I 00000230 07d2684a - 2902030 ns IT 00000230 684a LDR r2,[r1,#4] - 2902050 ns MR4_I 00000234 6008d1fc - 2902110 ns MR4_D 40006004 00000001 - 2902110 ns R r2 00000001 - 2902110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2902130 ns R r2 80000000 - 2902130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2902150 ns R psr 81000200 - 2902150 ns MR4_I 00000238 48054770 - 2902170 ns MR4_I 00000230 07d2684a - 2902190 ns IT 00000230 684a LDR r2,[r1,#4] - 2902210 ns MR4_I 00000234 6008d1fc - 2902270 ns MR4_D 40006004 00000001 - 2902270 ns R r2 00000001 - 2902270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2902290 ns R r2 80000000 - 2902290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2902310 ns R psr 81000200 - 2902310 ns MR4_I 00000238 48054770 - 2902330 ns MR4_I 00000230 07d2684a - 2902350 ns IT 00000230 684a LDR r2,[r1,#4] - 2902370 ns MR4_I 00000234 6008d1fc - 2902430 ns MR4_D 40006004 00000001 - 2902430 ns R r2 00000001 - 2902430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2902450 ns R r2 80000000 - 2902450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2902470 ns R psr 81000200 - 2902470 ns MR4_I 00000238 48054770 - 2902490 ns MR4_I 00000230 07d2684a - 2902510 ns IT 00000230 684a LDR r2,[r1,#4] - 2902530 ns MR4_I 00000234 6008d1fc - 2902590 ns MR4_D 40006004 00000001 - 2902590 ns R r2 00000001 - 2902590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2902610 ns R r2 80000000 - 2902610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2902630 ns R psr 81000200 - 2902630 ns MR4_I 00000238 48054770 - 2902650 ns MR4_I 00000230 07d2684a - 2902670 ns IT 00000230 684a LDR r2,[r1,#4] - 2902690 ns MR4_I 00000234 6008d1fc - 2902750 ns MR4_D 40006004 00000001 - 2902750 ns R r2 00000001 - 2902750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2902770 ns R r2 80000000 - 2902770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2902790 ns R psr 81000200 - 2902790 ns MR4_I 00000238 48054770 - 2902810 ns MR4_I 00000230 07d2684a - 2902830 ns IT 00000230 684a LDR r2,[r1,#4] - 2902850 ns MR4_I 00000234 6008d1fc - 2902910 ns MR4_D 40006004 00000001 - 2902910 ns R r2 00000001 - 2902910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2902930 ns R r2 80000000 - 2902930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2902950 ns R psr 81000200 - 2902950 ns MR4_I 00000238 48054770 - 2902970 ns MR4_I 00000230 07d2684a - 2902990 ns IT 00000230 684a LDR r2,[r1,#4] - 2903010 ns MR4_I 00000234 6008d1fc - 2903070 ns MR4_D 40006004 00000001 - 2903070 ns R r2 00000001 - 2903070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2903090 ns R r2 80000000 - 2903090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2903110 ns R psr 81000200 - 2903110 ns MR4_I 00000238 48054770 - 2903130 ns MR4_I 00000230 07d2684a - 2903150 ns IT 00000230 684a LDR r2,[r1,#4] - 2903170 ns MR4_I 00000234 6008d1fc - 2903230 ns MR4_D 40006004 00000001 - 2903230 ns R r2 00000001 - 2903230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2903250 ns R r2 80000000 - 2903250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2903270 ns R psr 81000200 - 2903270 ns MR4_I 00000238 48054770 - 2903290 ns MR4_I 00000230 07d2684a - 2903310 ns IT 00000230 684a LDR r2,[r1,#4] - 2903330 ns MR4_I 00000234 6008d1fc - 2903390 ns MR4_D 40006004 00000001 - 2903390 ns R r2 00000001 - 2903390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2903410 ns R r2 80000000 - 2903410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2903430 ns R psr 81000200 - 2903430 ns MR4_I 00000238 48054770 - 2903450 ns MR4_I 00000230 07d2684a - 2903470 ns IT 00000230 684a LDR r2,[r1,#4] - 2903490 ns MR4_I 00000234 6008d1fc - 2903550 ns MR4_D 40006004 00000001 - 2903550 ns R r2 00000001 - 2903550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2903570 ns R r2 80000000 - 2903570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2903590 ns R psr 81000200 - 2903590 ns MR4_I 00000238 48054770 - 2903610 ns MR4_I 00000230 07d2684a - 2903630 ns IT 00000230 684a LDR r2,[r1,#4] - 2903650 ns MR4_I 00000234 6008d1fc - 2903710 ns MR4_D 40006004 00000001 - 2903710 ns R r2 00000001 - 2903710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2903730 ns R r2 80000000 - 2903730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2903750 ns R psr 81000200 - 2903750 ns MR4_I 00000238 48054770 - 2903770 ns MR4_I 00000230 07d2684a - 2903790 ns IT 00000230 684a LDR r2,[r1,#4] - 2903810 ns MR4_I 00000234 6008d1fc - 2903870 ns MR4_D 40006004 00000001 - 2903870 ns R r2 00000001 - 2903870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2903890 ns R r2 80000000 - 2903890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2903910 ns R psr 81000200 - 2903910 ns MR4_I 00000238 48054770 - 2903930 ns MR4_I 00000230 07d2684a - 2903950 ns IT 00000230 684a LDR r2,[r1,#4] - 2903970 ns MR4_I 00000234 6008d1fc - 2904030 ns MR4_D 40006004 00000001 - 2904030 ns R r2 00000001 - 2904030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2904050 ns R r2 80000000 - 2904050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2904070 ns R psr 81000200 - 2904070 ns MR4_I 00000238 48054770 - 2904090 ns MR4_I 00000230 07d2684a - 2904110 ns IT 00000230 684a LDR r2,[r1,#4] - 2904130 ns MR4_I 00000234 6008d1fc - 2904190 ns MR4_D 40006004 00000001 - 2904190 ns R r2 00000001 - 2904190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2904210 ns R r2 80000000 - 2904210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2904230 ns R psr 81000200 - 2904230 ns MR4_I 00000238 48054770 - 2904250 ns MR4_I 00000230 07d2684a - 2904270 ns IT 00000230 684a LDR r2,[r1,#4] - 2904290 ns MR4_I 00000234 6008d1fc - 2904350 ns MR4_D 40006004 00000001 - 2904350 ns R r2 00000001 - 2904350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2904370 ns R r2 80000000 - 2904370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2904390 ns R psr 81000200 - 2904390 ns MR4_I 00000238 48054770 - 2904410 ns MR4_I 00000230 07d2684a - 2904430 ns IT 00000230 684a LDR r2,[r1,#4] - 2904450 ns MR4_I 00000234 6008d1fc - 2904510 ns MR4_D 40006004 00000001 - 2904510 ns R r2 00000001 - 2904510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2904530 ns R r2 80000000 - 2904530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2904550 ns R psr 81000200 - 2904550 ns MR4_I 00000238 48054770 - 2904570 ns MR4_I 00000230 07d2684a - 2904590 ns IT 00000230 684a LDR r2,[r1,#4] - 2904610 ns MR4_I 00000234 6008d1fc - 2904670 ns MR4_D 40006004 00000001 - 2904670 ns R r2 00000001 - 2904670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2904690 ns R r2 80000000 - 2904690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2904710 ns R psr 81000200 - 2904710 ns MR4_I 00000238 48054770 - 2904730 ns MR4_I 00000230 07d2684a - 2904750 ns IT 00000230 684a LDR r2,[r1,#4] - 2904770 ns MR4_I 00000234 6008d1fc - 2904830 ns MR4_D 40006004 00000001 - 2904830 ns R r2 00000001 - 2904830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2904850 ns R r2 80000000 - 2904850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2904870 ns R psr 81000200 - 2904870 ns MR4_I 00000238 48054770 - 2904890 ns MR4_I 00000230 07d2684a - 2904910 ns IT 00000230 684a LDR r2,[r1,#4] - 2904930 ns MR4_I 00000234 6008d1fc - 2904990 ns MR4_D 40006004 00000001 - 2904990 ns R r2 00000001 - 2904990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2905010 ns R r2 80000000 - 2905010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2905030 ns R psr 81000200 - 2905030 ns MR4_I 00000238 48054770 - 2905050 ns MR4_I 00000230 07d2684a - 2905070 ns IT 00000230 684a LDR r2,[r1,#4] - 2905090 ns MR4_I 00000234 6008d1fc - 2905150 ns MR4_D 40006004 00000001 - 2905150 ns R r2 00000001 - 2905150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2905170 ns R r2 80000000 - 2905170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2905190 ns R psr 81000200 - 2905190 ns MR4_I 00000238 48054770 - 2905210 ns MR4_I 00000230 07d2684a - 2905230 ns IT 00000230 684a LDR r2,[r1,#4] - 2905250 ns MR4_I 00000234 6008d1fc - 2905310 ns MR4_D 40006004 00000001 - 2905310 ns R r2 00000001 - 2905310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2905330 ns R r2 80000000 - 2905330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2905350 ns R psr 81000200 - 2905350 ns MR4_I 00000238 48054770 - 2905370 ns MR4_I 00000230 07d2684a - 2905390 ns IT 00000230 684a LDR r2,[r1,#4] - 2905410 ns MR4_I 00000234 6008d1fc - 2905470 ns MR4_D 40006004 00000001 - 2905470 ns R r2 00000001 - 2905470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2905490 ns R r2 80000000 - 2905490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2905510 ns R psr 81000200 - 2905510 ns MR4_I 00000238 48054770 - 2905530 ns MR4_I 00000230 07d2684a - 2905550 ns IT 00000230 684a LDR r2,[r1,#4] - 2905570 ns MR4_I 00000234 6008d1fc - 2905630 ns MR4_D 40006004 00000001 - 2905630 ns R r2 00000001 - 2905630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2905650 ns R r2 80000000 - 2905650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2905670 ns R psr 81000200 - 2905670 ns MR4_I 00000238 48054770 - 2905690 ns MR4_I 00000230 07d2684a - 2905710 ns IT 00000230 684a LDR r2,[r1,#4] - 2905730 ns MR4_I 00000234 6008d1fc - 2905790 ns MR4_D 40006004 00000001 - 2905790 ns R r2 00000001 - 2905790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2905810 ns R r2 80000000 - 2905810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2905830 ns R psr 81000200 - 2905830 ns MR4_I 00000238 48054770 - 2905850 ns MR4_I 00000230 07d2684a - 2905870 ns IT 00000230 684a LDR r2,[r1,#4] - 2905890 ns MR4_I 00000234 6008d1fc - 2905950 ns MR4_D 40006004 00000001 - 2905950 ns R r2 00000001 - 2905950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2905970 ns R r2 80000000 - 2905970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2905990 ns R psr 81000200 - 2905990 ns MR4_I 00000238 48054770 - 2906010 ns MR4_I 00000230 07d2684a - 2906030 ns IT 00000230 684a LDR r2,[r1,#4] - 2906050 ns MR4_I 00000234 6008d1fc - 2906110 ns MR4_D 40006004 00000001 - 2906110 ns R r2 00000001 - 2906110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2906130 ns R r2 80000000 - 2906130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2906150 ns R psr 81000200 - 2906150 ns MR4_I 00000238 48054770 - 2906170 ns MR4_I 00000230 07d2684a - 2906190 ns IT 00000230 684a LDR r2,[r1,#4] - 2906210 ns MR4_I 00000234 6008d1fc - 2906270 ns MR4_D 40006004 00000001 - 2906270 ns R r2 00000001 - 2906270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2906290 ns R r2 80000000 - 2906290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2906310 ns R psr 81000200 - 2906310 ns MR4_I 00000238 48054770 - 2906330 ns MR4_I 00000230 07d2684a - 2906350 ns IT 00000230 684a LDR r2,[r1,#4] - 2906370 ns MR4_I 00000234 6008d1fc - 2906430 ns MR4_D 40006004 00000001 - 2906430 ns R r2 00000001 - 2906430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2906450 ns R r2 80000000 - 2906450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2906470 ns R psr 81000200 - 2906470 ns MR4_I 00000238 48054770 - 2906490 ns MR4_I 00000230 07d2684a - 2906510 ns IT 00000230 684a LDR r2,[r1,#4] - 2906530 ns MR4_I 00000234 6008d1fc - 2906590 ns MR4_D 40006004 00000001 - 2906590 ns R r2 00000001 - 2906590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2906610 ns R r2 80000000 - 2906610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2906630 ns R psr 81000200 - 2906630 ns MR4_I 00000238 48054770 - 2906650 ns MR4_I 00000230 07d2684a - 2906670 ns IT 00000230 684a LDR r2,[r1,#4] - 2906690 ns MR4_I 00000234 6008d1fc - 2906750 ns MR4_D 40006004 00000001 - 2906750 ns R r2 00000001 - 2906750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2906770 ns R r2 80000000 - 2906770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2906790 ns R psr 81000200 - 2906790 ns MR4_I 00000238 48054770 - 2906810 ns MR4_I 00000230 07d2684a - 2906830 ns IT 00000230 684a LDR r2,[r1,#4] - 2906850 ns MR4_I 00000234 6008d1fc - 2906910 ns MR4_D 40006004 00000001 - 2906910 ns R r2 00000001 - 2906910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2906930 ns R r2 80000000 - 2906930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2906950 ns R psr 81000200 - 2906950 ns MR4_I 00000238 48054770 - 2906970 ns MR4_I 00000230 07d2684a - 2906990 ns IT 00000230 684a LDR r2,[r1,#4] - 2907010 ns MR4_I 00000234 6008d1fc - 2907070 ns MR4_D 40006004 00000001 - 2907070 ns R r2 00000001 - 2907070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2907090 ns R r2 80000000 - 2907090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2907110 ns R psr 81000200 - 2907110 ns MR4_I 00000238 48054770 - 2907130 ns MR4_I 00000230 07d2684a - 2907150 ns IT 00000230 684a LDR r2,[r1,#4] - 2907170 ns MR4_I 00000234 6008d1fc - 2907230 ns MR4_D 40006004 00000001 - 2907230 ns R r2 00000001 - 2907230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2907250 ns R r2 80000000 - 2907250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2907270 ns R psr 81000200 - 2907270 ns MR4_I 00000238 48054770 - 2907290 ns MR4_I 00000230 07d2684a - 2907310 ns IT 00000230 684a LDR r2,[r1,#4] - 2907330 ns MR4_I 00000234 6008d1fc - 2907390 ns MR4_D 40006004 00000001 - 2907390 ns R r2 00000001 - 2907390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2907410 ns R r2 80000000 - 2907410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2907430 ns R psr 81000200 - 2907430 ns MR4_I 00000238 48054770 - 2907450 ns MR4_I 00000230 07d2684a - 2907470 ns IT 00000230 684a LDR r2,[r1,#4] - 2907490 ns MR4_I 00000234 6008d1fc - 2907550 ns MR4_D 40006004 00000001 - 2907550 ns R r2 00000001 - 2907550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2907570 ns R r2 80000000 - 2907570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2907590 ns R psr 81000200 - 2907590 ns MR4_I 00000238 48054770 - 2907610 ns MR4_I 00000230 07d2684a - 2907630 ns IT 00000230 684a LDR r2,[r1,#4] - 2907650 ns MR4_I 00000234 6008d1fc - 2907710 ns MR4_D 40006004 00000001 - 2907710 ns R r2 00000001 - 2907710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2907730 ns R r2 80000000 - 2907730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2907750 ns R psr 81000200 - 2907750 ns MR4_I 00000238 48054770 - 2907770 ns MR4_I 00000230 07d2684a - 2907790 ns IT 00000230 684a LDR r2,[r1,#4] - 2907810 ns MR4_I 00000234 6008d1fc - 2907870 ns MR4_D 40006004 00000001 - 2907870 ns R r2 00000001 - 2907870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2907890 ns R r2 80000000 - 2907890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2907910 ns R psr 81000200 - 2907910 ns MR4_I 00000238 48054770 - 2907930 ns MR4_I 00000230 07d2684a - 2907950 ns IT 00000230 684a LDR r2,[r1,#4] - 2907970 ns MR4_I 00000234 6008d1fc - 2908030 ns MR4_D 40006004 00000001 - 2908030 ns R r2 00000001 - 2908030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2908050 ns R r2 80000000 - 2908050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2908070 ns R psr 81000200 - 2908070 ns MR4_I 00000238 48054770 - 2908090 ns MR4_I 00000230 07d2684a - 2908110 ns IT 00000230 684a LDR r2,[r1,#4] - 2908130 ns MR4_I 00000234 6008d1fc - 2908190 ns MR4_D 40006004 00000001 - 2908190 ns R r2 00000001 - 2908190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2908210 ns R r2 80000000 - 2908210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2908230 ns R psr 81000200 - 2908230 ns MR4_I 00000238 48054770 - 2908250 ns MR4_I 00000230 07d2684a - 2908270 ns IT 00000230 684a LDR r2,[r1,#4] - 2908290 ns MR4_I 00000234 6008d1fc - 2908350 ns MR4_D 40006004 00000001 - 2908350 ns R r2 00000001 - 2908350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2908370 ns R r2 80000000 - 2908370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2908390 ns R psr 81000200 - 2908390 ns MR4_I 00000238 48054770 - 2908410 ns MR4_I 00000230 07d2684a - 2908430 ns IT 00000230 684a LDR r2,[r1,#4] - 2908450 ns MR4_I 00000234 6008d1fc - 2908510 ns MR4_D 40006004 00000001 - 2908510 ns R r2 00000001 - 2908510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2908530 ns R r2 80000000 - 2908530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2908550 ns R psr 81000200 - 2908550 ns MR4_I 00000238 48054770 - 2908570 ns MR4_I 00000230 07d2684a - 2908590 ns IT 00000230 684a LDR r2,[r1,#4] - 2908610 ns MR4_I 00000234 6008d1fc - 2908670 ns MR4_D 40006004 00000001 - 2908670 ns R r2 00000001 - 2908670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2908690 ns R r2 80000000 - 2908690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2908710 ns R psr 81000200 - 2908710 ns MR4_I 00000238 48054770 - 2908730 ns MR4_I 00000230 07d2684a - 2908750 ns IT 00000230 684a LDR r2,[r1,#4] - 2908770 ns MR4_I 00000234 6008d1fc - 2908830 ns MR4_D 40006004 00000001 - 2908830 ns R r2 00000001 - 2908830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2908850 ns R r2 80000000 - 2908850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2908870 ns R psr 81000200 - 2908870 ns MR4_I 00000238 48054770 - 2908890 ns MR4_I 00000230 07d2684a - 2908910 ns IT 00000230 684a LDR r2,[r1,#4] - 2908930 ns MR4_I 00000234 6008d1fc - 2908990 ns MR4_D 40006004 00000001 - 2908990 ns R r2 00000001 - 2908990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2909010 ns R r2 80000000 - 2909010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2909030 ns R psr 81000200 - 2909030 ns MR4_I 00000238 48054770 - 2909050 ns MR4_I 00000230 07d2684a - 2909070 ns IT 00000230 684a LDR r2,[r1,#4] - 2909090 ns MR4_I 00000234 6008d1fc - 2909150 ns MR4_D 40006004 00000001 - 2909150 ns R r2 00000001 - 2909150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2909170 ns R r2 80000000 - 2909170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2909190 ns R psr 81000200 - 2909190 ns MR4_I 00000238 48054770 - 2909210 ns MR4_I 00000230 07d2684a - 2909230 ns IT 00000230 684a LDR r2,[r1,#4] - 2909250 ns MR4_I 00000234 6008d1fc - 2909310 ns MR4_D 40006004 00000001 - 2909310 ns R r2 00000001 - 2909310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2909330 ns R r2 80000000 - 2909330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2909350 ns R psr 81000200 - 2909350 ns MR4_I 00000238 48054770 - 2909370 ns MR4_I 00000230 07d2684a - 2909390 ns IT 00000230 684a LDR r2,[r1,#4] - 2909410 ns MR4_I 00000234 6008d1fc - 2909470 ns MR4_D 40006004 00000001 - 2909470 ns R r2 00000001 - 2909470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2909490 ns R r2 80000000 - 2909490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2909510 ns R psr 81000200 - 2909510 ns MR4_I 00000238 48054770 - 2909530 ns MR4_I 00000230 07d2684a - 2909550 ns IT 00000230 684a LDR r2,[r1,#4] - 2909570 ns MR4_I 00000234 6008d1fc - 2909630 ns MR4_D 40006004 00000001 - 2909630 ns R r2 00000001 - 2909630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2909650 ns R r2 80000000 - 2909650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2909670 ns R psr 81000200 - 2909670 ns MR4_I 00000238 48054770 - 2909690 ns MR4_I 00000230 07d2684a - 2909710 ns IT 00000230 684a LDR r2,[r1,#4] - 2909730 ns MR4_I 00000234 6008d1fc - 2909790 ns MR4_D 40006004 00000001 - 2909790 ns R r2 00000001 - 2909790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2909810 ns R r2 80000000 - 2909810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2909830 ns R psr 81000200 - 2909830 ns MR4_I 00000238 48054770 - 2909850 ns MR4_I 00000230 07d2684a - 2909870 ns IT 00000230 684a LDR r2,[r1,#4] - 2909890 ns MR4_I 00000234 6008d1fc - 2909950 ns MR4_D 40006004 00000001 - 2909950 ns R r2 00000001 - 2909950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2909970 ns R r2 80000000 - 2909970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2909990 ns R psr 81000200 - 2909990 ns MR4_I 00000238 48054770 - 2910010 ns MR4_I 00000230 07d2684a - 2910030 ns IT 00000230 684a LDR r2,[r1,#4] - 2910050 ns MR4_I 00000234 6008d1fc - 2910110 ns MR4_D 40006004 00000001 - 2910110 ns R r2 00000001 - 2910110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2910130 ns R r2 80000000 - 2910130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2910150 ns R psr 81000200 - 2910150 ns MR4_I 00000238 48054770 - 2910170 ns MR4_I 00000230 07d2684a - 2910190 ns IT 00000230 684a LDR r2,[r1,#4] - 2910210 ns MR4_I 00000234 6008d1fc - 2910270 ns MR4_D 40006004 00000001 - 2910270 ns R r2 00000001 - 2910270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2910290 ns R r2 80000000 - 2910290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2910310 ns R psr 81000200 - 2910310 ns MR4_I 00000238 48054770 - 2910330 ns MR4_I 00000230 07d2684a - 2910350 ns IT 00000230 684a LDR r2,[r1,#4] - 2910370 ns MR4_I 00000234 6008d1fc - 2910430 ns MR4_D 40006004 00000001 - 2910430 ns R r2 00000001 - 2910430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2910450 ns R r2 80000000 - 2910450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2910470 ns R psr 81000200 - 2910470 ns MR4_I 00000238 48054770 - 2910490 ns MR4_I 00000230 07d2684a - 2910510 ns IT 00000230 684a LDR r2,[r1,#4] - 2910530 ns MR4_I 00000234 6008d1fc - 2910590 ns MR4_D 40006004 00000001 - 2910590 ns R r2 00000001 - 2910590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2910610 ns R r2 80000000 - 2910610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2910630 ns R psr 81000200 - 2910630 ns MR4_I 00000238 48054770 - 2910650 ns MR4_I 00000230 07d2684a - 2910670 ns IT 00000230 684a LDR r2,[r1,#4] - 2910690 ns MR4_I 00000234 6008d1fc - 2910750 ns MR4_D 40006004 00000001 - 2910750 ns R r2 00000001 - 2910750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2910770 ns R r2 80000000 - 2910770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2910790 ns R psr 81000200 - 2910790 ns MR4_I 00000238 48054770 - 2910810 ns MR4_I 00000230 07d2684a - 2910830 ns IT 00000230 684a LDR r2,[r1,#4] - 2910850 ns MR4_I 00000234 6008d1fc - 2910910 ns MR4_D 40006004 00000001 - 2910910 ns R r2 00000001 - 2910910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2910930 ns R r2 80000000 - 2910930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2910950 ns R psr 81000200 - 2910950 ns MR4_I 00000238 48054770 - 2910970 ns MR4_I 00000230 07d2684a - 2910990 ns IT 00000230 684a LDR r2,[r1,#4] - 2911010 ns MR4_I 00000234 6008d1fc - 2911070 ns MR4_D 40006004 00000001 - 2911070 ns R r2 00000001 - 2911070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2911090 ns R r2 80000000 - 2911090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2911110 ns R psr 81000200 - 2911110 ns MR4_I 00000238 48054770 - 2911130 ns MR4_I 00000230 07d2684a - 2911150 ns IT 00000230 684a LDR r2,[r1,#4] - 2911170 ns MR4_I 00000234 6008d1fc - 2911230 ns MR4_D 40006004 00000001 - 2911230 ns R r2 00000001 - 2911230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2911250 ns R r2 80000000 - 2911250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2911270 ns R psr 81000200 - 2911270 ns MR4_I 00000238 48054770 - 2911290 ns MR4_I 00000230 07d2684a - 2911310 ns IT 00000230 684a LDR r2,[r1,#4] - 2911330 ns MR4_I 00000234 6008d1fc - 2911390 ns MR4_D 40006004 00000001 - 2911390 ns R r2 00000001 - 2911390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2911410 ns R r2 80000000 - 2911410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2911430 ns R psr 81000200 - 2911430 ns MR4_I 00000238 48054770 - 2911450 ns MR4_I 00000230 07d2684a - 2911470 ns IT 00000230 684a LDR r2,[r1,#4] - 2911490 ns MR4_I 00000234 6008d1fc - 2911550 ns MR4_D 40006004 00000001 - 2911550 ns R r2 00000001 - 2911550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2911570 ns R r2 80000000 - 2911570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2911590 ns R psr 81000200 - 2911590 ns MR4_I 00000238 48054770 - 2911610 ns MR4_I 00000230 07d2684a - 2911630 ns IT 00000230 684a LDR r2,[r1,#4] - 2911650 ns MR4_I 00000234 6008d1fc - 2911710 ns MR4_D 40006004 00000001 - 2911710 ns R r2 00000001 - 2911710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2911730 ns R r2 80000000 - 2911730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2911750 ns R psr 81000200 - 2911750 ns MR4_I 00000238 48054770 - 2911770 ns MR4_I 00000230 07d2684a - 2911790 ns IT 00000230 684a LDR r2,[r1,#4] - 2911810 ns MR4_I 00000234 6008d1fc - 2911870 ns MR4_D 40006004 00000001 - 2911870 ns R r2 00000001 - 2911870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2911890 ns R r2 80000000 - 2911890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2911910 ns R psr 81000200 - 2911910 ns MR4_I 00000238 48054770 - 2911930 ns MR4_I 00000230 07d2684a - 2911950 ns IT 00000230 684a LDR r2,[r1,#4] - 2911970 ns MR4_I 00000234 6008d1fc - 2912030 ns MR4_D 40006004 00000001 - 2912030 ns R r2 00000001 - 2912030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2912050 ns R r2 80000000 - 2912050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2912070 ns R psr 81000200 - 2912070 ns MR4_I 00000238 48054770 - 2912090 ns MR4_I 00000230 07d2684a - 2912110 ns IT 00000230 684a LDR r2,[r1,#4] - 2912130 ns MR4_I 00000234 6008d1fc - 2912190 ns MR4_D 40006004 00000001 - 2912190 ns R r2 00000001 - 2912190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2912210 ns R r2 80000000 - 2912210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2912230 ns R psr 81000200 - 2912230 ns MR4_I 00000238 48054770 - 2912250 ns MR4_I 00000230 07d2684a - 2912270 ns IT 00000230 684a LDR r2,[r1,#4] - 2912290 ns MR4_I 00000234 6008d1fc - 2912350 ns MR4_D 40006004 00000001 - 2912350 ns R r2 00000001 - 2912350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2912370 ns R r2 80000000 - 2912370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2912390 ns R psr 81000200 - 2912390 ns MR4_I 00000238 48054770 - 2912410 ns MR4_I 00000230 07d2684a - 2912430 ns IT 00000230 684a LDR r2,[r1,#4] - 2912450 ns MR4_I 00000234 6008d1fc - 2912510 ns MR4_D 40006004 00000001 - 2912510 ns R r2 00000001 - 2912510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2912530 ns R r2 80000000 - 2912530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2912550 ns R psr 81000200 - 2912550 ns MR4_I 00000238 48054770 - 2912570 ns MR4_I 00000230 07d2684a - 2912590 ns IT 00000230 684a LDR r2,[r1,#4] - 2912610 ns MR4_I 00000234 6008d1fc - 2912670 ns MR4_D 40006004 00000001 - 2912670 ns R r2 00000001 - 2912670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2912690 ns R r2 80000000 - 2912690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2912710 ns R psr 81000200 - 2912710 ns MR4_I 00000238 48054770 - 2912730 ns MR4_I 00000230 07d2684a - 2912750 ns IT 00000230 684a LDR r2,[r1,#4] - 2912770 ns MR4_I 00000234 6008d1fc - 2912830 ns MR4_D 40006004 00000001 - 2912830 ns R r2 00000001 - 2912830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2912850 ns R r2 80000000 - 2912850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2912870 ns R psr 81000200 - 2912870 ns MR4_I 00000238 48054770 - 2912890 ns MR4_I 00000230 07d2684a - 2912910 ns IT 00000230 684a LDR r2,[r1,#4] - 2912930 ns MR4_I 00000234 6008d1fc - 2912990 ns MR4_D 40006004 00000001 - 2912990 ns R r2 00000001 - 2912990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2913010 ns R r2 80000000 - 2913010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2913030 ns R psr 81000200 - 2913030 ns MR4_I 00000238 48054770 - 2913050 ns MR4_I 00000230 07d2684a - 2913070 ns IT 00000230 684a LDR r2,[r1,#4] - 2913090 ns MR4_I 00000234 6008d1fc - 2913150 ns MR4_D 40006004 00000001 - 2913150 ns R r2 00000001 - 2913150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2913170 ns R r2 80000000 - 2913170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2913190 ns R psr 81000200 - 2913190 ns MR4_I 00000238 48054770 - 2913210 ns MR4_I 00000230 07d2684a - 2913230 ns IT 00000230 684a LDR r2,[r1,#4] - 2913250 ns MR4_I 00000234 6008d1fc - 2913310 ns MR4_D 40006004 00000001 - 2913310 ns R r2 00000001 - 2913310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2913330 ns R r2 80000000 - 2913330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2913350 ns R psr 81000200 - 2913350 ns MR4_I 00000238 48054770 - 2913370 ns MR4_I 00000230 07d2684a - 2913390 ns IT 00000230 684a LDR r2,[r1,#4] - 2913410 ns MR4_I 00000234 6008d1fc - 2913470 ns MR4_D 40006004 00000001 - 2913470 ns R r2 00000001 - 2913470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2913490 ns R r2 80000000 - 2913490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2913510 ns R psr 81000200 - 2913510 ns MR4_I 00000238 48054770 - 2913530 ns MR4_I 00000230 07d2684a - 2913550 ns IT 00000230 684a LDR r2,[r1,#4] - 2913570 ns MR4_I 00000234 6008d1fc - 2913630 ns MR4_D 40006004 00000001 - 2913630 ns R r2 00000001 - 2913630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2913650 ns R r2 80000000 - 2913650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2913670 ns R psr 81000200 - 2913670 ns MR4_I 00000238 48054770 - 2913690 ns MR4_I 00000230 07d2684a - 2913710 ns IT 00000230 684a LDR r2,[r1,#4] - 2913730 ns MR4_I 00000234 6008d1fc - 2913790 ns MR4_D 40006004 00000001 - 2913790 ns R r2 00000001 - 2913790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2913810 ns R r2 80000000 - 2913810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2913830 ns R psr 81000200 - 2913830 ns MR4_I 00000238 48054770 - 2913850 ns MR4_I 00000230 07d2684a - 2913870 ns IT 00000230 684a LDR r2,[r1,#4] - 2913890 ns MR4_I 00000234 6008d1fc - 2913950 ns MR4_D 40006004 00000001 - 2913950 ns R r2 00000001 - 2913950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2913970 ns R r2 80000000 - 2913970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2913990 ns R psr 81000200 - 2913990 ns MR4_I 00000238 48054770 - 2914010 ns MR4_I 00000230 07d2684a - 2914030 ns IT 00000230 684a LDR r2,[r1,#4] - 2914050 ns MR4_I 00000234 6008d1fc - 2914110 ns MR4_D 40006004 00000001 - 2914110 ns R r2 00000001 - 2914110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2914130 ns R r2 80000000 - 2914130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2914150 ns R psr 81000200 - 2914150 ns MR4_I 00000238 48054770 - 2914170 ns MR4_I 00000230 07d2684a - 2914190 ns IT 00000230 684a LDR r2,[r1,#4] - 2914210 ns MR4_I 00000234 6008d1fc - 2914270 ns MR4_D 40006004 00000001 - 2914270 ns R r2 00000001 - 2914270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2914290 ns R r2 80000000 - 2914290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2914310 ns R psr 81000200 - 2914310 ns MR4_I 00000238 48054770 - 2914330 ns MR4_I 00000230 07d2684a - 2914350 ns IT 00000230 684a LDR r2,[r1,#4] - 2914370 ns MR4_I 00000234 6008d1fc - 2914430 ns MR4_D 40006004 00000001 - 2914430 ns R r2 00000001 - 2914430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2914450 ns R r2 80000000 - 2914450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2914470 ns R psr 81000200 - 2914470 ns MR4_I 00000238 48054770 - 2914490 ns MR4_I 00000230 07d2684a - 2914510 ns IT 00000230 684a LDR r2,[r1,#4] - 2914530 ns MR4_I 00000234 6008d1fc - 2914590 ns MR4_D 40006004 00000001 - 2914590 ns R r2 00000001 - 2914590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2914610 ns R r2 80000000 - 2914610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2914630 ns R psr 81000200 - 2914630 ns MR4_I 00000238 48054770 - 2914650 ns MR4_I 00000230 07d2684a - 2914670 ns IT 00000230 684a LDR r2,[r1,#4] - 2914690 ns MR4_I 00000234 6008d1fc - 2914750 ns MR4_D 40006004 00000001 - 2914750 ns R r2 00000001 - 2914750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2914770 ns R r2 80000000 - 2914770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2914790 ns R psr 81000200 - 2914790 ns MR4_I 00000238 48054770 - 2914810 ns MR4_I 00000230 07d2684a - 2914830 ns IT 00000230 684a LDR r2,[r1,#4] - 2914850 ns MR4_I 00000234 6008d1fc - 2914910 ns MR4_D 40006004 00000001 - 2914910 ns R r2 00000001 - 2914910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2914930 ns R r2 80000000 - 2914930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2914950 ns R psr 81000200 - 2914950 ns MR4_I 00000238 48054770 - 2914970 ns MR4_I 00000230 07d2684a - 2914990 ns IT 00000230 684a LDR r2,[r1,#4] - 2915010 ns MR4_I 00000234 6008d1fc - 2915070 ns MR4_D 40006004 00000001 - 2915070 ns R r2 00000001 - 2915070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2915090 ns R r2 80000000 - 2915090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2915110 ns R psr 81000200 - 2915110 ns MR4_I 00000238 48054770 - 2915130 ns MR4_I 00000230 07d2684a - 2915150 ns IT 00000230 684a LDR r2,[r1,#4] - 2915170 ns MR4_I 00000234 6008d1fc - 2915230 ns MR4_D 40006004 00000001 - 2915230 ns R r2 00000001 - 2915230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2915250 ns R r2 80000000 - 2915250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2915270 ns R psr 81000200 - 2915270 ns MR4_I 00000238 48054770 - 2915290 ns MR4_I 00000230 07d2684a - 2915310 ns IT 00000230 684a LDR r2,[r1,#4] - 2915330 ns MR4_I 00000234 6008d1fc - 2915390 ns MR4_D 40006004 00000001 - 2915390 ns R r2 00000001 - 2915390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2915410 ns R r2 80000000 - 2915410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2915430 ns R psr 81000200 - 2915430 ns MR4_I 00000238 48054770 - 2915450 ns MR4_I 00000230 07d2684a - 2915470 ns IT 00000230 684a LDR r2,[r1,#4] - 2915490 ns MR4_I 00000234 6008d1fc - 2915550 ns MR4_D 40006004 00000001 - 2915550 ns R r2 00000001 - 2915550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2915570 ns R r2 80000000 - 2915570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2915590 ns R psr 81000200 - 2915590 ns MR4_I 00000238 48054770 - 2915610 ns MR4_I 00000230 07d2684a - 2915630 ns IT 00000230 684a LDR r2,[r1,#4] - 2915650 ns MR4_I 00000234 6008d1fc - 2915710 ns MR4_D 40006004 00000001 - 2915710 ns R r2 00000001 - 2915710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2915730 ns R r2 80000000 - 2915730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2915750 ns R psr 81000200 - 2915750 ns MR4_I 00000238 48054770 - 2915770 ns MR4_I 00000230 07d2684a - 2915790 ns IT 00000230 684a LDR r2,[r1,#4] - 2915810 ns MR4_I 00000234 6008d1fc - 2915870 ns MR4_D 40006004 00000001 - 2915870 ns R r2 00000001 - 2915870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2915890 ns R r2 80000000 - 2915890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2915910 ns R psr 81000200 - 2915910 ns MR4_I 00000238 48054770 - 2915930 ns MR4_I 00000230 07d2684a - 2915950 ns IT 00000230 684a LDR r2,[r1,#4] - 2915970 ns MR4_I 00000234 6008d1fc - 2916030 ns MR4_D 40006004 00000001 - 2916030 ns R r2 00000001 - 2916030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2916050 ns R r2 80000000 - 2916050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2916070 ns R psr 81000200 - 2916070 ns MR4_I 00000238 48054770 - 2916090 ns MR4_I 00000230 07d2684a - 2916110 ns IT 00000230 684a LDR r2,[r1,#4] - 2916130 ns MR4_I 00000234 6008d1fc - 2916190 ns MR4_D 40006004 00000001 - 2916190 ns R r2 00000001 - 2916190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2916210 ns R r2 80000000 - 2916210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2916230 ns R psr 81000200 - 2916230 ns MR4_I 00000238 48054770 - 2916250 ns MR4_I 00000230 07d2684a - 2916270 ns IT 00000230 684a LDR r2,[r1,#4] - 2916290 ns MR4_I 00000234 6008d1fc - 2916350 ns MR4_D 40006004 00000001 - 2916350 ns R r2 00000001 - 2916350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2916370 ns R r2 80000000 - 2916370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2916390 ns R psr 81000200 - 2916390 ns MR4_I 00000238 48054770 - 2916410 ns MR4_I 00000230 07d2684a - 2916430 ns IT 00000230 684a LDR r2,[r1,#4] - 2916450 ns MR4_I 00000234 6008d1fc - 2916510 ns MR4_D 40006004 00000001 - 2916510 ns R r2 00000001 - 2916510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2916530 ns R r2 80000000 - 2916530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2916550 ns R psr 81000200 - 2916550 ns MR4_I 00000238 48054770 - 2916570 ns MR4_I 00000230 07d2684a - 2916590 ns IT 00000230 684a LDR r2,[r1,#4] - 2916610 ns MR4_I 00000234 6008d1fc - 2916670 ns MR4_D 40006004 00000001 - 2916670 ns R r2 00000001 - 2916670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2916690 ns R r2 80000000 - 2916690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2916710 ns R psr 81000200 - 2916710 ns MR4_I 00000238 48054770 - 2916730 ns MR4_I 00000230 07d2684a - 2916750 ns IT 00000230 684a LDR r2,[r1,#4] - 2916770 ns MR4_I 00000234 6008d1fc - 2916830 ns MR4_D 40006004 00000001 - 2916830 ns R r2 00000001 - 2916830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2916850 ns R r2 80000000 - 2916850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2916870 ns R psr 81000200 - 2916870 ns MR4_I 00000238 48054770 - 2916890 ns MR4_I 00000230 07d2684a - 2916910 ns IT 00000230 684a LDR r2,[r1,#4] - 2916930 ns MR4_I 00000234 6008d1fc - 2916990 ns MR4_D 40006004 00000001 - 2916990 ns R r2 00000001 - 2916990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2917010 ns R r2 80000000 - 2917010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2917030 ns R psr 81000200 - 2917030 ns MR4_I 00000238 48054770 - 2917050 ns MR4_I 00000230 07d2684a - 2917070 ns IT 00000230 684a LDR r2,[r1,#4] - 2917090 ns MR4_I 00000234 6008d1fc - 2917150 ns MR4_D 40006004 00000001 - 2917150 ns R r2 00000001 - 2917150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2917170 ns R r2 80000000 - 2917170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2917190 ns R psr 81000200 - 2917190 ns MR4_I 00000238 48054770 - 2917210 ns MR4_I 00000230 07d2684a - 2917230 ns IT 00000230 684a LDR r2,[r1,#4] - 2917250 ns MR4_I 00000234 6008d1fc - 2917310 ns MR4_D 40006004 00000001 - 2917310 ns R r2 00000001 - 2917310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2917330 ns R r2 80000000 - 2917330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2917350 ns R psr 81000200 - 2917350 ns MR4_I 00000238 48054770 - 2917370 ns MR4_I 00000230 07d2684a - 2917390 ns IT 00000230 684a LDR r2,[r1,#4] - 2917410 ns MR4_I 00000234 6008d1fc - 2917470 ns MR4_D 40006004 00000001 - 2917470 ns R r2 00000001 - 2917470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2917490 ns R r2 80000000 - 2917490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2917510 ns R psr 81000200 - 2917510 ns MR4_I 00000238 48054770 - 2917530 ns MR4_I 00000230 07d2684a - 2917550 ns IT 00000230 684a LDR r2,[r1,#4] - 2917570 ns MR4_I 00000234 6008d1fc - 2917630 ns MR4_D 40006004 00000001 - 2917630 ns R r2 00000001 - 2917630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2917650 ns R r2 80000000 - 2917650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2917670 ns R psr 81000200 - 2917670 ns MR4_I 00000238 48054770 - 2917690 ns MR4_I 00000230 07d2684a - 2917710 ns IT 00000230 684a LDR r2,[r1,#4] - 2917730 ns MR4_I 00000234 6008d1fc - 2917790 ns MR4_D 40006004 00000001 - 2917790 ns R r2 00000001 - 2917790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2917810 ns R r2 80000000 - 2917810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2917830 ns R psr 81000200 - 2917830 ns MR4_I 00000238 48054770 - 2917850 ns MR4_I 00000230 07d2684a - 2917870 ns IT 00000230 684a LDR r2,[r1,#4] - 2917890 ns MR4_I 00000234 6008d1fc - 2917950 ns MR4_D 40006004 00000001 - 2917950 ns R r2 00000001 - 2917950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2917970 ns R r2 80000000 - 2917970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2917990 ns R psr 81000200 - 2917990 ns MR4_I 00000238 48054770 - 2918010 ns MR4_I 00000230 07d2684a - 2918030 ns IT 00000230 684a LDR r2,[r1,#4] - 2918050 ns MR4_I 00000234 6008d1fc - 2918110 ns MR4_D 40006004 00000001 - 2918110 ns R r2 00000001 - 2918110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2918130 ns R r2 80000000 - 2918130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2918150 ns R psr 81000200 - 2918150 ns MR4_I 00000238 48054770 - 2918170 ns MR4_I 00000230 07d2684a - 2918190 ns IT 00000230 684a LDR r2,[r1,#4] - 2918210 ns MR4_I 00000234 6008d1fc - 2918270 ns MR4_D 40006004 00000001 - 2918270 ns R r2 00000001 - 2918270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2918290 ns R r2 80000000 - 2918290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2918310 ns R psr 81000200 - 2918310 ns MR4_I 00000238 48054770 - 2918330 ns MR4_I 00000230 07d2684a - 2918350 ns IT 00000230 684a LDR r2,[r1,#4] - 2918370 ns MR4_I 00000234 6008d1fc - 2918430 ns MR4_D 40006004 00000001 - 2918430 ns R r2 00000001 - 2918430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2918450 ns R r2 80000000 - 2918450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2918470 ns R psr 81000200 - 2918470 ns MR4_I 00000238 48054770 - 2918490 ns MR4_I 00000230 07d2684a - 2918510 ns IT 00000230 684a LDR r2,[r1,#4] - 2918530 ns MR4_I 00000234 6008d1fc - 2918590 ns MR4_D 40006004 00000001 - 2918590 ns R r2 00000001 - 2918590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2918610 ns R r2 80000000 - 2918610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2918630 ns R psr 81000200 - 2918630 ns MR4_I 00000238 48054770 - 2918650 ns MR4_I 00000230 07d2684a - 2918670 ns IT 00000230 684a LDR r2,[r1,#4] - 2918690 ns MR4_I 00000234 6008d1fc - 2918750 ns MR4_D 40006004 00000001 - 2918750 ns R r2 00000001 - 2918750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2918770 ns R r2 80000000 - 2918770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2918790 ns R psr 81000200 - 2918790 ns MR4_I 00000238 48054770 - 2918810 ns MR4_I 00000230 07d2684a - 2918830 ns IT 00000230 684a LDR r2,[r1,#4] - 2918850 ns MR4_I 00000234 6008d1fc - 2918910 ns MR4_D 40006004 00000001 - 2918910 ns R r2 00000001 - 2918910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2918930 ns R r2 80000000 - 2918930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2918950 ns R psr 81000200 - 2918950 ns MR4_I 00000238 48054770 - 2918970 ns MR4_I 00000230 07d2684a - 2918990 ns IT 00000230 684a LDR r2,[r1,#4] - 2919010 ns MR4_I 00000234 6008d1fc - 2919070 ns MR4_D 40006004 00000001 - 2919070 ns R r2 00000001 - 2919070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2919090 ns R r2 80000000 - 2919090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2919110 ns R psr 81000200 - 2919110 ns MR4_I 00000238 48054770 - 2919130 ns MR4_I 00000230 07d2684a - 2919150 ns IT 00000230 684a LDR r2,[r1,#4] - 2919170 ns MR4_I 00000234 6008d1fc - 2919230 ns MR4_D 40006004 00000001 - 2919230 ns R r2 00000001 - 2919230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2919250 ns R r2 80000000 - 2919250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2919270 ns R psr 81000200 - 2919270 ns MR4_I 00000238 48054770 - 2919290 ns MR4_I 00000230 07d2684a - 2919310 ns IT 00000230 684a LDR r2,[r1,#4] - 2919330 ns MR4_I 00000234 6008d1fc - 2919390 ns MR4_D 40006004 00000001 - 2919390 ns R r2 00000001 - 2919390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2919410 ns R r2 80000000 - 2919410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2919430 ns R psr 81000200 - 2919430 ns MR4_I 00000238 48054770 - 2919450 ns MR4_I 00000230 07d2684a - 2919470 ns IT 00000230 684a LDR r2,[r1,#4] - 2919490 ns MR4_I 00000234 6008d1fc - 2919550 ns MR4_D 40006004 00000001 - 2919550 ns R r2 00000001 - 2919550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2919570 ns R r2 80000000 - 2919570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2919590 ns R psr 81000200 - 2919590 ns MR4_I 00000238 48054770 - 2919610 ns MR4_I 00000230 07d2684a - 2919630 ns IT 00000230 684a LDR r2,[r1,#4] - 2919650 ns MR4_I 00000234 6008d1fc - 2919710 ns MR4_D 40006004 00000001 - 2919710 ns R r2 00000001 - 2919710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2919730 ns R r2 80000000 - 2919730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2919750 ns R psr 81000200 - 2919750 ns MR4_I 00000238 48054770 - 2919770 ns MR4_I 00000230 07d2684a - 2919790 ns IT 00000230 684a LDR r2,[r1,#4] - 2919810 ns MR4_I 00000234 6008d1fc - 2919870 ns MR4_D 40006004 00000001 - 2919870 ns R r2 00000001 - 2919870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2919890 ns R r2 80000000 - 2919890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2919910 ns R psr 81000200 - 2919910 ns MR4_I 00000238 48054770 - 2919930 ns MR4_I 00000230 07d2684a - 2919950 ns IT 00000230 684a LDR r2,[r1,#4] - 2919970 ns MR4_I 00000234 6008d1fc - 2920030 ns MR4_D 40006004 00000001 - 2920030 ns R r2 00000001 - 2920030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2920050 ns R r2 80000000 - 2920050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2920070 ns R psr 81000200 - 2920070 ns MR4_I 00000238 48054770 - 2920090 ns MR4_I 00000230 07d2684a - 2920110 ns IT 00000230 684a LDR r2,[r1,#4] - 2920130 ns MR4_I 00000234 6008d1fc - 2920190 ns MR4_D 40006004 00000001 - 2920190 ns R r2 00000001 - 2920190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2920210 ns R r2 80000000 - 2920210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2920230 ns R psr 81000200 - 2920230 ns MR4_I 00000238 48054770 - 2920250 ns MR4_I 00000230 07d2684a - 2920270 ns IT 00000230 684a LDR r2,[r1,#4] - 2920290 ns MR4_I 00000234 6008d1fc - 2920350 ns MR4_D 40006004 00000001 - 2920350 ns R r2 00000001 - 2920350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2920370 ns R r2 80000000 - 2920370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2920390 ns R psr 81000200 - 2920390 ns MR4_I 00000238 48054770 - 2920410 ns MR4_I 00000230 07d2684a - 2920430 ns IT 00000230 684a LDR r2,[r1,#4] - 2920450 ns MR4_I 00000234 6008d1fc - 2920510 ns MR4_D 40006004 00000001 - 2920510 ns R r2 00000001 - 2920510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2920530 ns R r2 80000000 - 2920530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2920550 ns R psr 81000200 - 2920550 ns MR4_I 00000238 48054770 - 2920570 ns MR4_I 00000230 07d2684a - 2920590 ns IT 00000230 684a LDR r2,[r1,#4] - 2920610 ns MR4_I 00000234 6008d1fc - 2920670 ns MR4_D 40006004 00000001 - 2920670 ns R r2 00000001 - 2920670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2920690 ns R r2 80000000 - 2920690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2920710 ns R psr 81000200 - 2920710 ns MR4_I 00000238 48054770 - 2920730 ns MR4_I 00000230 07d2684a - 2920750 ns IT 00000230 684a LDR r2,[r1,#4] - 2920770 ns MR4_I 00000234 6008d1fc - 2920830 ns MR4_D 40006004 00000001 - 2920830 ns R r2 00000001 - 2920830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2920850 ns R r2 80000000 - 2920850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2920870 ns R psr 81000200 - 2920870 ns MR4_I 00000238 48054770 - 2920890 ns MR4_I 00000230 07d2684a - 2920910 ns IT 00000230 684a LDR r2,[r1,#4] - 2920930 ns MR4_I 00000234 6008d1fc - 2920990 ns MR4_D 40006004 00000001 - 2920990 ns R r2 00000001 - 2920990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2921010 ns R r2 80000000 - 2921010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2921030 ns R psr 81000200 - 2921030 ns MR4_I 00000238 48054770 - 2921050 ns MR4_I 00000230 07d2684a - 2921070 ns IT 00000230 684a LDR r2,[r1,#4] - 2921090 ns MR4_I 00000234 6008d1fc - 2921150 ns MR4_D 40006004 00000001 - 2921150 ns R r2 00000001 - 2921150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2921170 ns R r2 80000000 - 2921170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2921190 ns R psr 81000200 - 2921190 ns MR4_I 00000238 48054770 - 2921210 ns MR4_I 00000230 07d2684a - 2921230 ns IT 00000230 684a LDR r2,[r1,#4] - 2921250 ns MR4_I 00000234 6008d1fc - 2921310 ns MR4_D 40006004 00000001 - 2921310 ns R r2 00000001 - 2921310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2921330 ns R r2 80000000 - 2921330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2921350 ns R psr 81000200 - 2921350 ns MR4_I 00000238 48054770 - 2921370 ns MR4_I 00000230 07d2684a - 2921390 ns IT 00000230 684a LDR r2,[r1,#4] - 2921410 ns MR4_I 00000234 6008d1fc - 2921470 ns MR4_D 40006004 00000001 - 2921470 ns R r2 00000001 - 2921470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2921490 ns R r2 80000000 - 2921490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2921510 ns R psr 81000200 - 2921510 ns MR4_I 00000238 48054770 - 2921530 ns MR4_I 00000230 07d2684a - 2921550 ns IT 00000230 684a LDR r2,[r1,#4] - 2921570 ns MR4_I 00000234 6008d1fc - 2921630 ns MR4_D 40006004 00000001 - 2921630 ns R r2 00000001 - 2921630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2921650 ns R r2 80000000 - 2921650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2921670 ns R psr 81000200 - 2921670 ns MR4_I 00000238 48054770 - 2921690 ns MR4_I 00000230 07d2684a - 2921710 ns IT 00000230 684a LDR r2,[r1,#4] - 2921730 ns MR4_I 00000234 6008d1fc - 2921790 ns MR4_D 40006004 00000001 - 2921790 ns R r2 00000001 - 2921790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2921810 ns R r2 80000000 - 2921810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2921830 ns R psr 81000200 - 2921830 ns MR4_I 00000238 48054770 - 2921850 ns MR4_I 00000230 07d2684a - 2921870 ns IT 00000230 684a LDR r2,[r1,#4] - 2921890 ns MR4_I 00000234 6008d1fc - 2921950 ns MR4_D 40006004 00000001 - 2921950 ns R r2 00000001 - 2921950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2921970 ns R r2 80000000 - 2921970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2921990 ns R psr 81000200 - 2921990 ns MR4_I 00000238 48054770 - 2922010 ns MR4_I 00000230 07d2684a - 2922030 ns IT 00000230 684a LDR r2,[r1,#4] - 2922050 ns MR4_I 00000234 6008d1fc - 2922110 ns MR4_D 40006004 00000001 - 2922110 ns R r2 00000001 - 2922110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2922130 ns R r2 80000000 - 2922130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2922150 ns R psr 81000200 - 2922150 ns MR4_I 00000238 48054770 - 2922170 ns MR4_I 00000230 07d2684a - 2922190 ns IT 00000230 684a LDR r2,[r1,#4] - 2922210 ns MR4_I 00000234 6008d1fc - 2922270 ns MR4_D 40006004 00000001 - 2922270 ns R r2 00000001 - 2922270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2922290 ns R r2 80000000 - 2922290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2922310 ns R psr 81000200 - 2922310 ns MR4_I 00000238 48054770 - 2922330 ns MR4_I 00000230 07d2684a - 2922350 ns IT 00000230 684a LDR r2,[r1,#4] - 2922370 ns MR4_I 00000234 6008d1fc - 2922430 ns MR4_D 40006004 00000001 - 2922430 ns R r2 00000001 - 2922430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2922450 ns R r2 80000000 - 2922450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2922470 ns R psr 81000200 - 2922470 ns MR4_I 00000238 48054770 - 2922490 ns MR4_I 00000230 07d2684a - 2922510 ns IT 00000230 684a LDR r2,[r1,#4] - 2922530 ns MR4_I 00000234 6008d1fc - 2922590 ns MR4_D 40006004 00000001 - 2922590 ns R r2 00000001 - 2922590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2922610 ns R r2 80000000 - 2922610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2922630 ns R psr 81000200 - 2922630 ns MR4_I 00000238 48054770 - 2922650 ns MR4_I 00000230 07d2684a - 2922670 ns IT 00000230 684a LDR r2,[r1,#4] - 2922690 ns MR4_I 00000234 6008d1fc - 2922750 ns MR4_D 40006004 00000001 - 2922750 ns R r2 00000001 - 2922750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2922770 ns R r2 80000000 - 2922770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2922790 ns R psr 81000200 - 2922790 ns MR4_I 00000238 48054770 - 2922810 ns MR4_I 00000230 07d2684a - 2922830 ns IT 00000230 684a LDR r2,[r1,#4] - 2922850 ns MR4_I 00000234 6008d1fc - 2922910 ns MR4_D 40006004 00000001 - 2922910 ns R r2 00000001 - 2922910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2922930 ns R r2 80000000 - 2922930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2922950 ns R psr 81000200 - 2922950 ns MR4_I 00000238 48054770 - 2922970 ns MR4_I 00000230 07d2684a - 2922990 ns IT 00000230 684a LDR r2,[r1,#4] - 2923010 ns MR4_I 00000234 6008d1fc - 2923070 ns MR4_D 40006004 00000001 - 2923070 ns R r2 00000001 - 2923070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2923090 ns R r2 80000000 - 2923090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2923110 ns R psr 81000200 - 2923110 ns MR4_I 00000238 48054770 - 2923130 ns MR4_I 00000230 07d2684a - 2923150 ns IT 00000230 684a LDR r2,[r1,#4] - 2923170 ns MR4_I 00000234 6008d1fc - 2923230 ns MR4_D 40006004 00000001 - 2923230 ns R r2 00000001 - 2923230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2923250 ns R r2 80000000 - 2923250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2923270 ns R psr 81000200 - 2923270 ns MR4_I 00000238 48054770 - 2923290 ns MR4_I 00000230 07d2684a - 2923310 ns IT 00000230 684a LDR r2,[r1,#4] - 2923330 ns MR4_I 00000234 6008d1fc - 2923390 ns MR4_D 40006004 00000001 - 2923390 ns R r2 00000001 - 2923390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2923410 ns R r2 80000000 - 2923410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2923430 ns R psr 81000200 - 2923430 ns MR4_I 00000238 48054770 - 2923450 ns MR4_I 00000230 07d2684a - 2923470 ns IT 00000230 684a LDR r2,[r1,#4] - 2923490 ns MR4_I 00000234 6008d1fc - 2923550 ns MR4_D 40006004 00000001 - 2923550 ns R r2 00000001 - 2923550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2923570 ns R r2 80000000 - 2923570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2923590 ns R psr 81000200 - 2923590 ns MR4_I 00000238 48054770 - 2923610 ns MR4_I 00000230 07d2684a - 2923630 ns IT 00000230 684a LDR r2,[r1,#4] - 2923650 ns MR4_I 00000234 6008d1fc - 2923710 ns MR4_D 40006004 00000001 - 2923710 ns R r2 00000001 - 2923710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2923730 ns R r2 80000000 - 2923730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2923750 ns R psr 81000200 - 2923750 ns MR4_I 00000238 48054770 - 2923770 ns MR4_I 00000230 07d2684a - 2923790 ns IT 00000230 684a LDR r2,[r1,#4] - 2923810 ns MR4_I 00000234 6008d1fc - 2923870 ns MR4_D 40006004 00000001 - 2923870 ns R r2 00000001 - 2923870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2923890 ns R r2 80000000 - 2923890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2923910 ns R psr 81000200 - 2923910 ns MR4_I 00000238 48054770 - 2923930 ns MR4_I 00000230 07d2684a - 2923950 ns IT 00000230 684a LDR r2,[r1,#4] - 2923970 ns MR4_I 00000234 6008d1fc - 2924030 ns MR4_D 40006004 00000001 - 2924030 ns R r2 00000001 - 2924030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2924050 ns R r2 80000000 - 2924050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2924070 ns R psr 81000200 - 2924070 ns MR4_I 00000238 48054770 - 2924090 ns MR4_I 00000230 07d2684a - 2924110 ns IT 00000230 684a LDR r2,[r1,#4] - 2924130 ns MR4_I 00000234 6008d1fc - 2924190 ns MR4_D 40006004 00000001 - 2924190 ns R r2 00000001 - 2924190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2924210 ns R r2 80000000 - 2924210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2924230 ns R psr 81000200 - 2924230 ns MR4_I 00000238 48054770 - 2924250 ns MR4_I 00000230 07d2684a - 2924270 ns IT 00000230 684a LDR r2,[r1,#4] - 2924290 ns MR4_I 00000234 6008d1fc - 2924350 ns MR4_D 40006004 00000001 - 2924350 ns R r2 00000001 - 2924350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2924370 ns R r2 80000000 - 2924370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2924390 ns R psr 81000200 - 2924390 ns MR4_I 00000238 48054770 - 2924410 ns MR4_I 00000230 07d2684a - 2924430 ns IT 00000230 684a LDR r2,[r1,#4] - 2924450 ns MR4_I 00000234 6008d1fc - 2924510 ns MR4_D 40006004 00000001 - 2924510 ns R r2 00000001 - 2924510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2924530 ns R r2 80000000 - 2924530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2924550 ns R psr 81000200 - 2924550 ns MR4_I 00000238 48054770 - 2924570 ns MR4_I 00000230 07d2684a - 2924590 ns IT 00000230 684a LDR r2,[r1,#4] - 2924610 ns MR4_I 00000234 6008d1fc - 2924670 ns MR4_D 40006004 00000001 - 2924670 ns R r2 00000001 - 2924670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2924690 ns R r2 80000000 - 2924690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2924710 ns R psr 81000200 - 2924710 ns MR4_I 00000238 48054770 - 2924730 ns MR4_I 00000230 07d2684a - 2924750 ns IT 00000230 684a LDR r2,[r1,#4] - 2924770 ns MR4_I 00000234 6008d1fc - 2924830 ns MR4_D 40006004 00000001 - 2924830 ns R r2 00000001 - 2924830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2924850 ns R r2 80000000 - 2924850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2924870 ns R psr 81000200 - 2924870 ns MR4_I 00000238 48054770 - 2924890 ns MR4_I 00000230 07d2684a - 2924910 ns IT 00000230 684a LDR r2,[r1,#4] - 2924930 ns MR4_I 00000234 6008d1fc - 2924990 ns MR4_D 40006004 00000001 - 2924990 ns R r2 00000001 - 2924990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2925010 ns R r2 80000000 - 2925010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2925030 ns R psr 81000200 - 2925030 ns MR4_I 00000238 48054770 - 2925050 ns MR4_I 00000230 07d2684a - 2925070 ns IT 00000230 684a LDR r2,[r1,#4] - 2925090 ns MR4_I 00000234 6008d1fc - 2925150 ns MR4_D 40006004 00000001 - 2925150 ns R r2 00000001 - 2925150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2925170 ns R r2 80000000 - 2925170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2925190 ns R psr 81000200 - 2925190 ns MR4_I 00000238 48054770 - 2925210 ns MR4_I 00000230 07d2684a - 2925230 ns IT 00000230 684a LDR r2,[r1,#4] - 2925250 ns MR4_I 00000234 6008d1fc - 2925310 ns MR4_D 40006004 00000001 - 2925310 ns R r2 00000001 - 2925310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2925330 ns R r2 80000000 - 2925330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2925350 ns R psr 81000200 - 2925350 ns MR4_I 00000238 48054770 - 2925370 ns MR4_I 00000230 07d2684a - 2925390 ns IT 00000230 684a LDR r2,[r1,#4] - 2925410 ns MR4_I 00000234 6008d1fc - 2925470 ns MR4_D 40006004 00000001 - 2925470 ns R r2 00000001 - 2925470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2925490 ns R r2 80000000 - 2925490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2925510 ns R psr 81000200 - 2925510 ns MR4_I 00000238 48054770 - 2925530 ns MR4_I 00000230 07d2684a - 2925550 ns IT 00000230 684a LDR r2,[r1,#4] - 2925570 ns MR4_I 00000234 6008d1fc - 2925630 ns MR4_D 40006004 00000001 - 2925630 ns R r2 00000001 - 2925630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2925650 ns R r2 80000000 - 2925650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2925670 ns R psr 81000200 - 2925670 ns MR4_I 00000238 48054770 - 2925690 ns MR4_I 00000230 07d2684a - 2925710 ns IT 00000230 684a LDR r2,[r1,#4] - 2925730 ns MR4_I 00000234 6008d1fc - 2925790 ns MR4_D 40006004 00000001 - 2925790 ns R r2 00000001 - 2925790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2925810 ns R r2 80000000 - 2925810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2925830 ns R psr 81000200 - 2925830 ns MR4_I 00000238 48054770 - 2925850 ns MR4_I 00000230 07d2684a - 2925870 ns IT 00000230 684a LDR r2,[r1,#4] - 2925890 ns MR4_I 00000234 6008d1fc - 2925950 ns MR4_D 40006004 00000001 - 2925950 ns R r2 00000001 - 2925950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2925970 ns R r2 80000000 - 2925970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2925990 ns R psr 81000200 - 2925990 ns MR4_I 00000238 48054770 - 2926010 ns MR4_I 00000230 07d2684a - 2926030 ns IT 00000230 684a LDR r2,[r1,#4] - 2926050 ns MR4_I 00000234 6008d1fc - 2926110 ns MR4_D 40006004 00000001 - 2926110 ns R r2 00000001 - 2926110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2926130 ns R r2 80000000 - 2926130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2926150 ns R psr 81000200 - 2926150 ns MR4_I 00000238 48054770 - 2926170 ns MR4_I 00000230 07d2684a - 2926190 ns IT 00000230 684a LDR r2,[r1,#4] - 2926210 ns MR4_I 00000234 6008d1fc - 2926270 ns MR4_D 40006004 00000001 - 2926270 ns R r2 00000001 - 2926270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2926290 ns R r2 80000000 - 2926290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2926310 ns R psr 81000200 - 2926310 ns MR4_I 00000238 48054770 - 2926330 ns MR4_I 00000230 07d2684a - 2926350 ns IT 00000230 684a LDR r2,[r1,#4] - 2926370 ns MR4_I 00000234 6008d1fc - 2926430 ns MR4_D 40006004 00000001 - 2926430 ns R r2 00000001 - 2926430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2926450 ns R r2 80000000 - 2926450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2926470 ns R psr 81000200 - 2926470 ns MR4_I 00000238 48054770 - 2926490 ns MR4_I 00000230 07d2684a - 2926510 ns IT 00000230 684a LDR r2,[r1,#4] - 2926530 ns MR4_I 00000234 6008d1fc - 2926590 ns MR4_D 40006004 00000001 - 2926590 ns R r2 00000001 - 2926590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2926610 ns R r2 80000000 - 2926610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2926630 ns R psr 81000200 - 2926630 ns MR4_I 00000238 48054770 - 2926650 ns MR4_I 00000230 07d2684a - 2926670 ns IT 00000230 684a LDR r2,[r1,#4] - 2926690 ns MR4_I 00000234 6008d1fc - 2926750 ns MR4_D 40006004 00000001 - 2926750 ns R r2 00000001 - 2926750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2926770 ns R r2 80000000 - 2926770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2926790 ns R psr 81000200 - 2926790 ns MR4_I 00000238 48054770 - 2926810 ns MR4_I 00000230 07d2684a - 2926830 ns IT 00000230 684a LDR r2,[r1,#4] - 2926850 ns MR4_I 00000234 6008d1fc - 2926910 ns MR4_D 40006004 00000001 - 2926910 ns R r2 00000001 - 2926910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2926930 ns R r2 80000000 - 2926930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2926950 ns R psr 81000200 - 2926950 ns MR4_I 00000238 48054770 - 2926970 ns MR4_I 00000230 07d2684a - 2926990 ns IT 00000230 684a LDR r2,[r1,#4] - 2927010 ns MR4_I 00000234 6008d1fc - 2927070 ns MR4_D 40006004 00000001 - 2927070 ns R r2 00000001 - 2927070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2927090 ns R r2 80000000 - 2927090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2927110 ns R psr 81000200 - 2927110 ns MR4_I 00000238 48054770 - 2927130 ns MR4_I 00000230 07d2684a - 2927150 ns IT 00000230 684a LDR r2,[r1,#4] - 2927170 ns MR4_I 00000234 6008d1fc - 2927230 ns MR4_D 40006004 00000001 - 2927230 ns R r2 00000001 - 2927230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2927250 ns R r2 80000000 - 2927250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2927270 ns R psr 81000200 - 2927270 ns MR4_I 00000238 48054770 - 2927290 ns MR4_I 00000230 07d2684a - 2927310 ns IT 00000230 684a LDR r2,[r1,#4] - 2927330 ns MR4_I 00000234 6008d1fc - 2927390 ns MR4_D 40006004 00000001 - 2927390 ns R r2 00000001 - 2927390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2927410 ns R r2 80000000 - 2927410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2927430 ns R psr 81000200 - 2927430 ns MR4_I 00000238 48054770 - 2927450 ns MR4_I 00000230 07d2684a - 2927470 ns IT 00000230 684a LDR r2,[r1,#4] - 2927490 ns MR4_I 00000234 6008d1fc - 2927550 ns MR4_D 40006004 00000001 - 2927550 ns R r2 00000001 - 2927550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2927570 ns R r2 80000000 - 2927570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2927590 ns R psr 81000200 - 2927590 ns MR4_I 00000238 48054770 - 2927610 ns MR4_I 00000230 07d2684a - 2927630 ns IT 00000230 684a LDR r2,[r1,#4] - 2927650 ns MR4_I 00000234 6008d1fc - 2927710 ns MR4_D 40006004 00000001 - 2927710 ns R r2 00000001 - 2927710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2927730 ns R r2 80000000 - 2927730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2927750 ns R psr 81000200 - 2927750 ns MR4_I 00000238 48054770 - 2927770 ns MR4_I 00000230 07d2684a - 2927790 ns IT 00000230 684a LDR r2,[r1,#4] - 2927810 ns MR4_I 00000234 6008d1fc - 2927870 ns MR4_D 40006004 00000001 - 2927870 ns R r2 00000001 - 2927870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2927890 ns R r2 80000000 - 2927890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2927910 ns R psr 81000200 - 2927910 ns MR4_I 00000238 48054770 - 2927930 ns MR4_I 00000230 07d2684a - 2927950 ns IT 00000230 684a LDR r2,[r1,#4] - 2927970 ns MR4_I 00000234 6008d1fc - 2928030 ns MR4_D 40006004 00000001 - 2928030 ns R r2 00000001 - 2928030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2928050 ns R r2 80000000 - 2928050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2928070 ns R psr 81000200 - 2928070 ns MR4_I 00000238 48054770 - 2928090 ns MR4_I 00000230 07d2684a - 2928110 ns IT 00000230 684a LDR r2,[r1,#4] - 2928130 ns MR4_I 00000234 6008d1fc - 2928190 ns MR4_D 40006004 00000001 - 2928190 ns R r2 00000001 - 2928190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2928210 ns R r2 80000000 - 2928210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2928230 ns R psr 81000200 - 2928230 ns MR4_I 00000238 48054770 - 2928250 ns MR4_I 00000230 07d2684a - 2928270 ns IT 00000230 684a LDR r2,[r1,#4] - 2928290 ns MR4_I 00000234 6008d1fc - 2928350 ns MR4_D 40006004 00000001 - 2928350 ns R r2 00000001 - 2928350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2928370 ns R r2 80000000 - 2928370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2928390 ns R psr 81000200 - 2928390 ns MR4_I 00000238 48054770 - 2928410 ns MR4_I 00000230 07d2684a - 2928430 ns IT 00000230 684a LDR r2,[r1,#4] - 2928450 ns MR4_I 00000234 6008d1fc - 2928510 ns MR4_D 40006004 00000001 - 2928510 ns R r2 00000001 - 2928510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2928530 ns R r2 80000000 - 2928530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2928550 ns R psr 81000200 - 2928550 ns MR4_I 00000238 48054770 - 2928570 ns MR4_I 00000230 07d2684a - 2928590 ns IT 00000230 684a LDR r2,[r1,#4] - 2928610 ns MR4_I 00000234 6008d1fc - 2928670 ns MR4_D 40006004 00000001 - 2928670 ns R r2 00000001 - 2928670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2928690 ns R r2 80000000 - 2928690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2928710 ns R psr 81000200 - 2928710 ns MR4_I 00000238 48054770 - 2928730 ns MR4_I 00000230 07d2684a - 2928750 ns IT 00000230 684a LDR r2,[r1,#4] - 2928770 ns MR4_I 00000234 6008d1fc - 2928830 ns MR4_D 40006004 00000000 - 2928830 ns R r2 00000000 - 2928830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2928850 ns R r2 00000000 - 2928850 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2928870 ns R psr 41000200 - 2928870 ns MR4_I 00000238 48054770 - 2928870 ns IT 00000236 6008 STR r0,[r1,#0] - 2928950 ns MW4_D 40006000 00000053 - 2928950 ns IT 00000238 4770 BX lr - 2928970 ns MR4_I 0000023c 07896841 - 2928990 ns R psr 41000200 - 2928990 ns MR4_I 000001fc b510bd10 - 2929010 ns IT 000001fc bd10 POP {r4,pc} - 2929030 ns MR4_I 00000200 f81bf000 - 2929030 ns R r13 200002e0 (MSP) - 2929050 ns MR4_D 200002d8 0000000b - 2929050 ns R r4 0000000b - 2929070 ns MR4_D 200002dc 0000032d - 2929090 ns R psr 41000200 - 2929110 ns MR4_I 0000032c 46301c64 - 2929130 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 2929150 ns MR4_I 00000330 280047a8 - 2929150 ns R r4 0000000c - 2929150 ns IT 0000032e 4630 MOV r0,r6 - 2929170 ns R psr 01000200 - 2929170 ns R r0 200002f8 - 2929170 ns IT 00000330 47a8 BLX r5 - 2929190 ns MR4_I 00000334 4620d1f8 - 2929210 ns R psr 01000200 - 2929210 ns MR4_I 000002a8 1c4a6901 - 2929210 ns R r14 00000333 - 2929230 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2929250 ns MR4_I 000002ac 78086102 - 2929270 ns MR4_D 20000308 0000019c - 2929270 ns R r1 0000019c - 2929270 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2929290 ns R r2 0000019d - 2929290 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2929310 ns R psr 01000200 - 2929310 ns MR4_I 000002b0 b5004770 - 2929330 ns MW4_D 20000308 0000019d - 2929330 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2929370 ns MR1_D 0000019c 2a204445 - 2929370 ns R r0 00000045 - 2929370 ns IT 000002b0 4770 BX lr - 2929390 ns MR4_I 000002b4 9102b08f - 2929410 ns R psr 01000200 - 2929410 ns MR4_I 00000330 280047a8 - 2929430 ns MR4_I 00000334 4620d1f8 - 2929430 ns IT 00000332 2800 CMP r0,#0 - 2929450 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2929470 ns R psr 21000200 - 2929470 ns MR4_I 00000338 b510bdf8 - 2929490 ns MR4_I 00000328 47b89900 - 2929510 ns IT 00000328 9900 LDR r1,[sp,#0] - 2929530 ns MR4_I 0000032c 46301c64 - 2929550 ns MR4_D 200002e0 20000004 - 2929550 ns R r1 20000004 - 2929550 ns IT 0000032a 47b8 BLX r7 - 2929590 ns R psr 21000200 - 2929590 ns MR4_I 000001f4 b2c0b510 - 2929590 ns R r14 0000032d - 2929610 ns IT 000001f4 b510 PUSH {r4,lr} - 2929630 ns MR4_I 000001f8 f819f000 - 2929650 ns MW4_D 200002d8 0000000c - 2929670 ns MW4_D 200002dc 0000032d - 2929670 ns R r13 200002d8 (MSP) - 2929670 ns IT 000001f6 b2c0 UXTB r0,r0 - 2929690 ns R r0 00000045 - 2929690 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 2929710 ns MR4_I 000001fc b510bd10 - 2929730 ns R r14 000001fd - 2929750 ns MR4_I 0000022c 49084770 - 2929770 ns MR4_I 00000230 07d2684a - 2929770 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 2929810 ns MR4_D 00000250 40006000 - 2929810 ns R r1 40006000 - 2929810 ns IT 00000230 684a LDR r2,[r1,#4] - 2929830 ns MR4_I 00000234 6008d1fc - 2929890 ns MR4_D 40006004 00000001 - 2929890 ns R r2 00000001 - 2929890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2929910 ns R r2 80000000 - 2929910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2929930 ns R psr 81000200 - 2929930 ns MR4_I 00000238 48054770 - 2929950 ns MR4_I 00000230 07d2684a - 2929970 ns IT 00000230 684a LDR r2,[r1,#4] - 2929990 ns MR4_I 00000234 6008d1fc - 2930050 ns MR4_D 40006004 00000001 - 2930050 ns R r2 00000001 - 2930050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2930070 ns R r2 80000000 - 2930070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2930090 ns R psr 81000200 - 2930090 ns MR4_I 00000238 48054770 - 2930110 ns MR4_I 00000230 07d2684a - 2930130 ns IT 00000230 684a LDR r2,[r1,#4] - 2930150 ns MR4_I 00000234 6008d1fc - 2930210 ns MR4_D 40006004 00000001 - 2930210 ns R r2 00000001 - 2930210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2930230 ns R r2 80000000 - 2930230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2930250 ns R psr 81000200 - 2930250 ns MR4_I 00000238 48054770 - 2930270 ns MR4_I 00000230 07d2684a - 2930290 ns IT 00000230 684a LDR r2,[r1,#4] - 2930310 ns MR4_I 00000234 6008d1fc - 2930370 ns MR4_D 40006004 00000001 - 2930370 ns R r2 00000001 - 2930370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2930390 ns R r2 80000000 - 2930390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2930410 ns R psr 81000200 - 2930410 ns MR4_I 00000238 48054770 - 2930430 ns MR4_I 00000230 07d2684a - 2930450 ns IT 00000230 684a LDR r2,[r1,#4] - 2930470 ns MR4_I 00000234 6008d1fc - 2930530 ns MR4_D 40006004 00000001 - 2930530 ns R r2 00000001 - 2930530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2930550 ns R r2 80000000 - 2930550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2930570 ns R psr 81000200 - 2930570 ns MR4_I 00000238 48054770 - 2930590 ns MR4_I 00000230 07d2684a - 2930610 ns IT 00000230 684a LDR r2,[r1,#4] - 2930630 ns MR4_I 00000234 6008d1fc - 2930690 ns MR4_D 40006004 00000001 - 2930690 ns R r2 00000001 - 2930690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2930710 ns R r2 80000000 - 2930710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2930730 ns R psr 81000200 - 2930730 ns MR4_I 00000238 48054770 - 2930750 ns MR4_I 00000230 07d2684a - 2930770 ns IT 00000230 684a LDR r2,[r1,#4] - 2930790 ns MR4_I 00000234 6008d1fc - 2930850 ns MR4_D 40006004 00000001 - 2930850 ns R r2 00000001 - 2930850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2930870 ns R r2 80000000 - 2930870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2930890 ns R psr 81000200 - 2930890 ns MR4_I 00000238 48054770 - 2930910 ns MR4_I 00000230 07d2684a - 2930930 ns IT 00000230 684a LDR r2,[r1,#4] - 2930950 ns MR4_I 00000234 6008d1fc - 2931010 ns MR4_D 40006004 00000001 - 2931010 ns R r2 00000001 - 2931010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2931030 ns R r2 80000000 - 2931030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2931050 ns R psr 81000200 - 2931050 ns MR4_I 00000238 48054770 - 2931070 ns MR4_I 00000230 07d2684a - 2931090 ns IT 00000230 684a LDR r2,[r1,#4] - 2931110 ns MR4_I 00000234 6008d1fc - 2931170 ns MR4_D 40006004 00000001 - 2931170 ns R r2 00000001 - 2931170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2931190 ns R r2 80000000 - 2931190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2931210 ns R psr 81000200 - 2931210 ns MR4_I 00000238 48054770 - 2931230 ns MR4_I 00000230 07d2684a - 2931250 ns IT 00000230 684a LDR r2,[r1,#4] - 2931270 ns MR4_I 00000234 6008d1fc - 2931330 ns MR4_D 40006004 00000001 - 2931330 ns R r2 00000001 - 2931330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2931350 ns R r2 80000000 - 2931350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2931370 ns R psr 81000200 - 2931370 ns MR4_I 00000238 48054770 - 2931390 ns MR4_I 00000230 07d2684a - 2931410 ns IT 00000230 684a LDR r2,[r1,#4] - 2931430 ns MR4_I 00000234 6008d1fc - 2931490 ns MR4_D 40006004 00000001 - 2931490 ns R r2 00000001 - 2931490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2931510 ns R r2 80000000 - 2931510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2931530 ns R psr 81000200 - 2931530 ns MR4_I 00000238 48054770 - 2931550 ns MR4_I 00000230 07d2684a - 2931570 ns IT 00000230 684a LDR r2,[r1,#4] - 2931590 ns MR4_I 00000234 6008d1fc - 2931650 ns MR4_D 40006004 00000001 - 2931650 ns R r2 00000001 - 2931650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2931670 ns R r2 80000000 - 2931670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2931690 ns R psr 81000200 - 2931690 ns MR4_I 00000238 48054770 - 2931710 ns MR4_I 00000230 07d2684a - 2931730 ns IT 00000230 684a LDR r2,[r1,#4] - 2931750 ns MR4_I 00000234 6008d1fc - 2931810 ns MR4_D 40006004 00000001 - 2931810 ns R r2 00000001 - 2931810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2931830 ns R r2 80000000 - 2931830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2931850 ns R psr 81000200 - 2931850 ns MR4_I 00000238 48054770 - 2931870 ns MR4_I 00000230 07d2684a - 2931890 ns IT 00000230 684a LDR r2,[r1,#4] - 2931910 ns MR4_I 00000234 6008d1fc - 2931970 ns MR4_D 40006004 00000001 - 2931970 ns R r2 00000001 - 2931970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2931990 ns R r2 80000000 - 2931990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2932010 ns R psr 81000200 - 2932010 ns MR4_I 00000238 48054770 - 2932030 ns MR4_I 00000230 07d2684a - 2932050 ns IT 00000230 684a LDR r2,[r1,#4] - 2932070 ns MR4_I 00000234 6008d1fc - 2932130 ns MR4_D 40006004 00000001 - 2932130 ns R r2 00000001 - 2932130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2932150 ns R r2 80000000 - 2932150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2932170 ns R psr 81000200 - 2932170 ns MR4_I 00000238 48054770 - 2932190 ns MR4_I 00000230 07d2684a - 2932210 ns IT 00000230 684a LDR r2,[r1,#4] - 2932230 ns MR4_I 00000234 6008d1fc - 2932290 ns MR4_D 40006004 00000001 - 2932290 ns R r2 00000001 - 2932290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2932310 ns R r2 80000000 - 2932310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2932330 ns R psr 81000200 - 2932330 ns MR4_I 00000238 48054770 - 2932350 ns MR4_I 00000230 07d2684a - 2932370 ns IT 00000230 684a LDR r2,[r1,#4] - 2932390 ns MR4_I 00000234 6008d1fc - 2932450 ns MR4_D 40006004 00000001 - 2932450 ns R r2 00000001 - 2932450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2932470 ns R r2 80000000 - 2932470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2932490 ns R psr 81000200 - 2932490 ns MR4_I 00000238 48054770 - 2932510 ns MR4_I 00000230 07d2684a - 2932530 ns IT 00000230 684a LDR r2,[r1,#4] - 2932550 ns MR4_I 00000234 6008d1fc - 2932610 ns MR4_D 40006004 00000001 - 2932610 ns R r2 00000001 - 2932610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2932630 ns R r2 80000000 - 2932630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2932650 ns R psr 81000200 - 2932650 ns MR4_I 00000238 48054770 - 2932670 ns MR4_I 00000230 07d2684a - 2932690 ns IT 00000230 684a LDR r2,[r1,#4] - 2932710 ns MR4_I 00000234 6008d1fc - 2932770 ns MR4_D 40006004 00000001 - 2932770 ns R r2 00000001 - 2932770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2932790 ns R r2 80000000 - 2932790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2932810 ns R psr 81000200 - 2932810 ns MR4_I 00000238 48054770 - 2932830 ns MR4_I 00000230 07d2684a - 2932850 ns IT 00000230 684a LDR r2,[r1,#4] - 2932870 ns MR4_I 00000234 6008d1fc - 2932930 ns MR4_D 40006004 00000001 - 2932930 ns R r2 00000001 - 2932930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2932950 ns R r2 80000000 - 2932950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2932970 ns R psr 81000200 - 2932970 ns MR4_I 00000238 48054770 - 2932990 ns MR4_I 00000230 07d2684a - 2933010 ns IT 00000230 684a LDR r2,[r1,#4] - 2933030 ns MR4_I 00000234 6008d1fc - 2933090 ns MR4_D 40006004 00000001 - 2933090 ns R r2 00000001 - 2933090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2933110 ns R r2 80000000 - 2933110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2933130 ns R psr 81000200 - 2933130 ns MR4_I 00000238 48054770 - 2933150 ns MR4_I 00000230 07d2684a - 2933170 ns IT 00000230 684a LDR r2,[r1,#4] - 2933190 ns MR4_I 00000234 6008d1fc - 2933250 ns MR4_D 40006004 00000001 - 2933250 ns R r2 00000001 - 2933250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2933270 ns R r2 80000000 - 2933270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2933290 ns R psr 81000200 - 2933290 ns MR4_I 00000238 48054770 - 2933310 ns MR4_I 00000230 07d2684a - 2933330 ns IT 00000230 684a LDR r2,[r1,#4] - 2933350 ns MR4_I 00000234 6008d1fc - 2933410 ns MR4_D 40006004 00000001 - 2933410 ns R r2 00000001 - 2933410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2933430 ns R r2 80000000 - 2933430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2933450 ns R psr 81000200 - 2933450 ns MR4_I 00000238 48054770 - 2933470 ns MR4_I 00000230 07d2684a - 2933490 ns IT 00000230 684a LDR r2,[r1,#4] - 2933510 ns MR4_I 00000234 6008d1fc - 2933570 ns MR4_D 40006004 00000001 - 2933570 ns R r2 00000001 - 2933570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2933590 ns R r2 80000000 - 2933590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2933610 ns R psr 81000200 - 2933610 ns MR4_I 00000238 48054770 - 2933630 ns MR4_I 00000230 07d2684a - 2933650 ns IT 00000230 684a LDR r2,[r1,#4] - 2933670 ns MR4_I 00000234 6008d1fc - 2933730 ns MR4_D 40006004 00000001 - 2933730 ns R r2 00000001 - 2933730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2933750 ns R r2 80000000 - 2933750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2933770 ns R psr 81000200 - 2933770 ns MR4_I 00000238 48054770 - 2933790 ns MR4_I 00000230 07d2684a - 2933810 ns IT 00000230 684a LDR r2,[r1,#4] - 2933830 ns MR4_I 00000234 6008d1fc - 2933890 ns MR4_D 40006004 00000001 - 2933890 ns R r2 00000001 - 2933890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2933910 ns R r2 80000000 - 2933910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2933930 ns R psr 81000200 - 2933930 ns MR4_I 00000238 48054770 - 2933950 ns MR4_I 00000230 07d2684a - 2933970 ns IT 00000230 684a LDR r2,[r1,#4] - 2933990 ns MR4_I 00000234 6008d1fc - 2934050 ns MR4_D 40006004 00000001 - 2934050 ns R r2 00000001 - 2934050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2934070 ns R r2 80000000 - 2934070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2934090 ns R psr 81000200 - 2934090 ns MR4_I 00000238 48054770 - 2934110 ns MR4_I 00000230 07d2684a - 2934130 ns IT 00000230 684a LDR r2,[r1,#4] - 2934150 ns MR4_I 00000234 6008d1fc - 2934210 ns MR4_D 40006004 00000001 - 2934210 ns R r2 00000001 - 2934210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2934230 ns R r2 80000000 - 2934230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2934250 ns R psr 81000200 - 2934250 ns MR4_I 00000238 48054770 - 2934270 ns MR4_I 00000230 07d2684a - 2934290 ns IT 00000230 684a LDR r2,[r1,#4] - 2934310 ns MR4_I 00000234 6008d1fc - 2934370 ns MR4_D 40006004 00000001 - 2934370 ns R r2 00000001 - 2934370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2934390 ns R r2 80000000 - 2934390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2934410 ns R psr 81000200 - 2934410 ns MR4_I 00000238 48054770 - 2934430 ns MR4_I 00000230 07d2684a - 2934450 ns IT 00000230 684a LDR r2,[r1,#4] - 2934470 ns MR4_I 00000234 6008d1fc - 2934530 ns MR4_D 40006004 00000001 - 2934530 ns R r2 00000001 - 2934530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2934550 ns R r2 80000000 - 2934550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2934570 ns R psr 81000200 - 2934570 ns MR4_I 00000238 48054770 - 2934590 ns MR4_I 00000230 07d2684a - 2934610 ns IT 00000230 684a LDR r2,[r1,#4] - 2934630 ns MR4_I 00000234 6008d1fc - 2934690 ns MR4_D 40006004 00000001 - 2934690 ns R r2 00000001 - 2934690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2934710 ns R r2 80000000 - 2934710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2934730 ns R psr 81000200 - 2934730 ns MR4_I 00000238 48054770 - 2934750 ns MR4_I 00000230 07d2684a - 2934770 ns IT 00000230 684a LDR r2,[r1,#4] - 2934790 ns MR4_I 00000234 6008d1fc - 2934850 ns MR4_D 40006004 00000001 - 2934850 ns R r2 00000001 - 2934850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2934870 ns R r2 80000000 - 2934870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2934890 ns R psr 81000200 - 2934890 ns MR4_I 00000238 48054770 - 2934910 ns MR4_I 00000230 07d2684a - 2934930 ns IT 00000230 684a LDR r2,[r1,#4] - 2934950 ns MR4_I 00000234 6008d1fc - 2935010 ns MR4_D 40006004 00000001 - 2935010 ns R r2 00000001 - 2935010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2935030 ns R r2 80000000 - 2935030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2935050 ns R psr 81000200 - 2935050 ns MR4_I 00000238 48054770 - 2935070 ns MR4_I 00000230 07d2684a - 2935090 ns IT 00000230 684a LDR r2,[r1,#4] - 2935110 ns MR4_I 00000234 6008d1fc - 2935170 ns MR4_D 40006004 00000001 - 2935170 ns R r2 00000001 - 2935170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2935190 ns R r2 80000000 - 2935190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2935210 ns R psr 81000200 - 2935210 ns MR4_I 00000238 48054770 - 2935230 ns MR4_I 00000230 07d2684a - 2935250 ns IT 00000230 684a LDR r2,[r1,#4] - 2935270 ns MR4_I 00000234 6008d1fc - 2935330 ns MR4_D 40006004 00000001 - 2935330 ns R r2 00000001 - 2935330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2935350 ns R r2 80000000 - 2935350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2935370 ns R psr 81000200 - 2935370 ns MR4_I 00000238 48054770 - 2935390 ns MR4_I 00000230 07d2684a - 2935410 ns IT 00000230 684a LDR r2,[r1,#4] - 2935430 ns MR4_I 00000234 6008d1fc - 2935490 ns MR4_D 40006004 00000001 - 2935490 ns R r2 00000001 - 2935490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2935510 ns R r2 80000000 - 2935510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2935530 ns R psr 81000200 - 2935530 ns MR4_I 00000238 48054770 - 2935550 ns MR4_I 00000230 07d2684a - 2935570 ns IT 00000230 684a LDR r2,[r1,#4] - 2935590 ns MR4_I 00000234 6008d1fc - 2935650 ns MR4_D 40006004 00000001 - 2935650 ns R r2 00000001 - 2935650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2935670 ns R r2 80000000 - 2935670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2935690 ns R psr 81000200 - 2935690 ns MR4_I 00000238 48054770 - 2935710 ns MR4_I 00000230 07d2684a - 2935730 ns IT 00000230 684a LDR r2,[r1,#4] - 2935750 ns MR4_I 00000234 6008d1fc - 2935810 ns MR4_D 40006004 00000001 - 2935810 ns R r2 00000001 - 2935810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2935830 ns R r2 80000000 - 2935830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2935850 ns R psr 81000200 - 2935850 ns MR4_I 00000238 48054770 - 2935870 ns MR4_I 00000230 07d2684a - 2935890 ns IT 00000230 684a LDR r2,[r1,#4] - 2935910 ns MR4_I 00000234 6008d1fc - 2935970 ns MR4_D 40006004 00000001 - 2935970 ns R r2 00000001 - 2935970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2935990 ns R r2 80000000 - 2935990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2936010 ns R psr 81000200 - 2936010 ns MR4_I 00000238 48054770 - 2936030 ns MR4_I 00000230 07d2684a - 2936050 ns IT 00000230 684a LDR r2,[r1,#4] - 2936070 ns MR4_I 00000234 6008d1fc - 2936130 ns MR4_D 40006004 00000001 - 2936130 ns R r2 00000001 - 2936130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2936150 ns R r2 80000000 - 2936150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2936170 ns R psr 81000200 - 2936170 ns MR4_I 00000238 48054770 - 2936190 ns MR4_I 00000230 07d2684a - 2936210 ns IT 00000230 684a LDR r2,[r1,#4] - 2936230 ns MR4_I 00000234 6008d1fc - 2936290 ns MR4_D 40006004 00000001 - 2936290 ns R r2 00000001 - 2936290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2936310 ns R r2 80000000 - 2936310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2936330 ns R psr 81000200 - 2936330 ns MR4_I 00000238 48054770 - 2936350 ns MR4_I 00000230 07d2684a - 2936370 ns IT 00000230 684a LDR r2,[r1,#4] - 2936390 ns MR4_I 00000234 6008d1fc - 2936450 ns MR4_D 40006004 00000001 - 2936450 ns R r2 00000001 - 2936450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2936470 ns R r2 80000000 - 2936470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2936490 ns R psr 81000200 - 2936490 ns MR4_I 00000238 48054770 - 2936510 ns MR4_I 00000230 07d2684a - 2936530 ns IT 00000230 684a LDR r2,[r1,#4] - 2936550 ns MR4_I 00000234 6008d1fc - 2936610 ns MR4_D 40006004 00000001 - 2936610 ns R r2 00000001 - 2936610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2936630 ns R r2 80000000 - 2936630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2936650 ns R psr 81000200 - 2936650 ns MR4_I 00000238 48054770 - 2936670 ns MR4_I 00000230 07d2684a - 2936690 ns IT 00000230 684a LDR r2,[r1,#4] - 2936710 ns MR4_I 00000234 6008d1fc - 2936770 ns MR4_D 40006004 00000001 - 2936770 ns R r2 00000001 - 2936770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2936790 ns R r2 80000000 - 2936790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2936810 ns R psr 81000200 - 2936810 ns MR4_I 00000238 48054770 - 2936830 ns MR4_I 00000230 07d2684a - 2936850 ns IT 00000230 684a LDR r2,[r1,#4] - 2936870 ns MR4_I 00000234 6008d1fc - 2936930 ns MR4_D 40006004 00000001 - 2936930 ns R r2 00000001 - 2936930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2936950 ns R r2 80000000 - 2936950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2936970 ns R psr 81000200 - 2936970 ns MR4_I 00000238 48054770 - 2936990 ns MR4_I 00000230 07d2684a - 2937010 ns IT 00000230 684a LDR r2,[r1,#4] - 2937030 ns MR4_I 00000234 6008d1fc - 2937090 ns MR4_D 40006004 00000001 - 2937090 ns R r2 00000001 - 2937090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2937110 ns R r2 80000000 - 2937110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2937130 ns R psr 81000200 - 2937130 ns MR4_I 00000238 48054770 - 2937150 ns MR4_I 00000230 07d2684a - 2937170 ns IT 00000230 684a LDR r2,[r1,#4] - 2937190 ns MR4_I 00000234 6008d1fc - 2937250 ns MR4_D 40006004 00000001 - 2937250 ns R r2 00000001 - 2937250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2937270 ns R r2 80000000 - 2937270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2937290 ns R psr 81000200 - 2937290 ns MR4_I 00000238 48054770 - 2937310 ns MR4_I 00000230 07d2684a - 2937330 ns IT 00000230 684a LDR r2,[r1,#4] - 2937350 ns MR4_I 00000234 6008d1fc - 2937410 ns MR4_D 40006004 00000001 - 2937410 ns R r2 00000001 - 2937410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2937430 ns R r2 80000000 - 2937430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2937450 ns R psr 81000200 - 2937450 ns MR4_I 00000238 48054770 - 2937470 ns MR4_I 00000230 07d2684a - 2937490 ns IT 00000230 684a LDR r2,[r1,#4] - 2937510 ns MR4_I 00000234 6008d1fc - 2937570 ns MR4_D 40006004 00000001 - 2937570 ns R r2 00000001 - 2937570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2937590 ns R r2 80000000 - 2937590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2937610 ns R psr 81000200 - 2937610 ns MR4_I 00000238 48054770 - 2937630 ns MR4_I 00000230 07d2684a - 2937650 ns IT 00000230 684a LDR r2,[r1,#4] - 2937670 ns MR4_I 00000234 6008d1fc - 2937730 ns MR4_D 40006004 00000001 - 2937730 ns R r2 00000001 - 2937730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2937750 ns R r2 80000000 - 2937750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2937770 ns R psr 81000200 - 2937770 ns MR4_I 00000238 48054770 - 2937790 ns MR4_I 00000230 07d2684a - 2937810 ns IT 00000230 684a LDR r2,[r1,#4] - 2937830 ns MR4_I 00000234 6008d1fc - 2937890 ns MR4_D 40006004 00000001 - 2937890 ns R r2 00000001 - 2937890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2937910 ns R r2 80000000 - 2937910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2937930 ns R psr 81000200 - 2937930 ns MR4_I 00000238 48054770 - 2937950 ns MR4_I 00000230 07d2684a - 2937970 ns IT 00000230 684a LDR r2,[r1,#4] - 2937990 ns MR4_I 00000234 6008d1fc - 2938050 ns MR4_D 40006004 00000001 - 2938050 ns R r2 00000001 - 2938050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2938070 ns R r2 80000000 - 2938070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2938090 ns R psr 81000200 - 2938090 ns MR4_I 00000238 48054770 - 2938110 ns MR4_I 00000230 07d2684a - 2938130 ns IT 00000230 684a LDR r2,[r1,#4] - 2938150 ns MR4_I 00000234 6008d1fc - 2938210 ns MR4_D 40006004 00000001 - 2938210 ns R r2 00000001 - 2938210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2938230 ns R r2 80000000 - 2938230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2938250 ns R psr 81000200 - 2938250 ns MR4_I 00000238 48054770 - 2938270 ns MR4_I 00000230 07d2684a - 2938290 ns IT 00000230 684a LDR r2,[r1,#4] - 2938310 ns MR4_I 00000234 6008d1fc - 2938370 ns MR4_D 40006004 00000001 - 2938370 ns R r2 00000001 - 2938370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2938390 ns R r2 80000000 - 2938390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2938410 ns R psr 81000200 - 2938410 ns MR4_I 00000238 48054770 - 2938430 ns MR4_I 00000230 07d2684a - 2938450 ns IT 00000230 684a LDR r2,[r1,#4] - 2938470 ns MR4_I 00000234 6008d1fc - 2938530 ns MR4_D 40006004 00000001 - 2938530 ns R r2 00000001 - 2938530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2938550 ns R r2 80000000 - 2938550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2938570 ns R psr 81000200 - 2938570 ns MR4_I 00000238 48054770 - 2938590 ns MR4_I 00000230 07d2684a - 2938610 ns IT 00000230 684a LDR r2,[r1,#4] - 2938630 ns MR4_I 00000234 6008d1fc - 2938690 ns MR4_D 40006004 00000001 - 2938690 ns R r2 00000001 - 2938690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2938710 ns R r2 80000000 - 2938710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2938730 ns R psr 81000200 - 2938730 ns MR4_I 00000238 48054770 - 2938750 ns MR4_I 00000230 07d2684a - 2938770 ns IT 00000230 684a LDR r2,[r1,#4] - 2938790 ns MR4_I 00000234 6008d1fc - 2938850 ns MR4_D 40006004 00000001 - 2938850 ns R r2 00000001 - 2938850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2938870 ns R r2 80000000 - 2938870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2938890 ns R psr 81000200 - 2938890 ns MR4_I 00000238 48054770 - 2938910 ns MR4_I 00000230 07d2684a - 2938930 ns IT 00000230 684a LDR r2,[r1,#4] - 2938950 ns MR4_I 00000234 6008d1fc - 2939010 ns MR4_D 40006004 00000001 - 2939010 ns R r2 00000001 - 2939010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2939030 ns R r2 80000000 - 2939030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2939050 ns R psr 81000200 - 2939050 ns MR4_I 00000238 48054770 - 2939070 ns MR4_I 00000230 07d2684a - 2939090 ns IT 00000230 684a LDR r2,[r1,#4] - 2939110 ns MR4_I 00000234 6008d1fc - 2939170 ns MR4_D 40006004 00000001 - 2939170 ns R r2 00000001 - 2939170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2939190 ns R r2 80000000 - 2939190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2939210 ns R psr 81000200 - 2939210 ns MR4_I 00000238 48054770 - 2939230 ns MR4_I 00000230 07d2684a - 2939250 ns IT 00000230 684a LDR r2,[r1,#4] - 2939270 ns MR4_I 00000234 6008d1fc - 2939330 ns MR4_D 40006004 00000001 - 2939330 ns R r2 00000001 - 2939330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2939350 ns R r2 80000000 - 2939350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2939370 ns R psr 81000200 - 2939370 ns MR4_I 00000238 48054770 - 2939390 ns MR4_I 00000230 07d2684a - 2939410 ns IT 00000230 684a LDR r2,[r1,#4] - 2939430 ns MR4_I 00000234 6008d1fc - 2939490 ns MR4_D 40006004 00000001 - 2939490 ns R r2 00000001 - 2939490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2939510 ns R r2 80000000 - 2939510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2939530 ns R psr 81000200 - 2939530 ns MR4_I 00000238 48054770 - 2939550 ns MR4_I 00000230 07d2684a - 2939570 ns IT 00000230 684a LDR r2,[r1,#4] - 2939590 ns MR4_I 00000234 6008d1fc - 2939650 ns MR4_D 40006004 00000001 - 2939650 ns R r2 00000001 - 2939650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2939670 ns R r2 80000000 - 2939670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2939690 ns R psr 81000200 - 2939690 ns MR4_I 00000238 48054770 - 2939710 ns MR4_I 00000230 07d2684a - 2939730 ns IT 00000230 684a LDR r2,[r1,#4] - 2939750 ns MR4_I 00000234 6008d1fc - 2939810 ns MR4_D 40006004 00000001 - 2939810 ns R r2 00000001 - 2939810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2939830 ns R r2 80000000 - 2939830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2939850 ns R psr 81000200 - 2939850 ns MR4_I 00000238 48054770 - 2939870 ns MR4_I 00000230 07d2684a - 2939890 ns IT 00000230 684a LDR r2,[r1,#4] - 2939910 ns MR4_I 00000234 6008d1fc - 2939970 ns MR4_D 40006004 00000001 - 2939970 ns R r2 00000001 - 2939970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2939990 ns R r2 80000000 - 2939990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2940010 ns R psr 81000200 - 2940010 ns MR4_I 00000238 48054770 - 2940030 ns MR4_I 00000230 07d2684a - 2940050 ns IT 00000230 684a LDR r2,[r1,#4] - 2940070 ns MR4_I 00000234 6008d1fc - 2940130 ns MR4_D 40006004 00000001 - 2940130 ns R r2 00000001 - 2940130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2940150 ns R r2 80000000 - 2940150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2940170 ns R psr 81000200 - 2940170 ns MR4_I 00000238 48054770 - 2940190 ns MR4_I 00000230 07d2684a - 2940210 ns IT 00000230 684a LDR r2,[r1,#4] - 2940230 ns MR4_I 00000234 6008d1fc - 2940290 ns MR4_D 40006004 00000001 - 2940290 ns R r2 00000001 - 2940290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2940310 ns R r2 80000000 - 2940310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2940330 ns R psr 81000200 - 2940330 ns MR4_I 00000238 48054770 - 2940350 ns MR4_I 00000230 07d2684a - 2940370 ns IT 00000230 684a LDR r2,[r1,#4] - 2940390 ns MR4_I 00000234 6008d1fc - 2940450 ns MR4_D 40006004 00000001 - 2940450 ns R r2 00000001 - 2940450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2940470 ns R r2 80000000 - 2940470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2940490 ns R psr 81000200 - 2940490 ns MR4_I 00000238 48054770 - 2940510 ns MR4_I 00000230 07d2684a - 2940530 ns IT 00000230 684a LDR r2,[r1,#4] - 2940550 ns MR4_I 00000234 6008d1fc - 2940610 ns MR4_D 40006004 00000001 - 2940610 ns R r2 00000001 - 2940610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2940630 ns R r2 80000000 - 2940630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2940650 ns R psr 81000200 - 2940650 ns MR4_I 00000238 48054770 - 2940670 ns MR4_I 00000230 07d2684a - 2940690 ns IT 00000230 684a LDR r2,[r1,#4] - 2940710 ns MR4_I 00000234 6008d1fc - 2940770 ns MR4_D 40006004 00000001 - 2940770 ns R r2 00000001 - 2940770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2940790 ns R r2 80000000 - 2940790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2940810 ns R psr 81000200 - 2940810 ns MR4_I 00000238 48054770 - 2940830 ns MR4_I 00000230 07d2684a - 2940850 ns IT 00000230 684a LDR r2,[r1,#4] - 2940870 ns MR4_I 00000234 6008d1fc - 2940930 ns MR4_D 40006004 00000001 - 2940930 ns R r2 00000001 - 2940930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2940950 ns R r2 80000000 - 2940950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2940970 ns R psr 81000200 - 2940970 ns MR4_I 00000238 48054770 - 2940990 ns MR4_I 00000230 07d2684a - 2941010 ns IT 00000230 684a LDR r2,[r1,#4] - 2941030 ns MR4_I 00000234 6008d1fc - 2941090 ns MR4_D 40006004 00000001 - 2941090 ns R r2 00000001 - 2941090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2941110 ns R r2 80000000 - 2941110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2941130 ns R psr 81000200 - 2941130 ns MR4_I 00000238 48054770 - 2941150 ns MR4_I 00000230 07d2684a - 2941170 ns IT 00000230 684a LDR r2,[r1,#4] - 2941190 ns MR4_I 00000234 6008d1fc - 2941250 ns MR4_D 40006004 00000001 - 2941250 ns R r2 00000001 - 2941250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2941270 ns R r2 80000000 - 2941270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2941290 ns R psr 81000200 - 2941290 ns MR4_I 00000238 48054770 - 2941310 ns MR4_I 00000230 07d2684a - 2941330 ns IT 00000230 684a LDR r2,[r1,#4] - 2941350 ns MR4_I 00000234 6008d1fc - 2941410 ns MR4_D 40006004 00000001 - 2941410 ns R r2 00000001 - 2941410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2941430 ns R r2 80000000 - 2941430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2941450 ns R psr 81000200 - 2941450 ns MR4_I 00000238 48054770 - 2941470 ns MR4_I 00000230 07d2684a - 2941490 ns IT 00000230 684a LDR r2,[r1,#4] - 2941510 ns MR4_I 00000234 6008d1fc - 2941570 ns MR4_D 40006004 00000001 - 2941570 ns R r2 00000001 - 2941570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2941590 ns R r2 80000000 - 2941590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2941610 ns R psr 81000200 - 2941610 ns MR4_I 00000238 48054770 - 2941630 ns MR4_I 00000230 07d2684a - 2941650 ns IT 00000230 684a LDR r2,[r1,#4] - 2941670 ns MR4_I 00000234 6008d1fc - 2941730 ns MR4_D 40006004 00000001 - 2941730 ns R r2 00000001 - 2941730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2941750 ns R r2 80000000 - 2941750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2941770 ns R psr 81000200 - 2941770 ns MR4_I 00000238 48054770 - 2941790 ns MR4_I 00000230 07d2684a - 2941810 ns IT 00000230 684a LDR r2,[r1,#4] - 2941830 ns MR4_I 00000234 6008d1fc - 2941890 ns MR4_D 40006004 00000001 - 2941890 ns R r2 00000001 - 2941890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2941910 ns R r2 80000000 - 2941910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2941930 ns R psr 81000200 - 2941930 ns MR4_I 00000238 48054770 - 2941950 ns MR4_I 00000230 07d2684a - 2941970 ns IT 00000230 684a LDR r2,[r1,#4] - 2941990 ns MR4_I 00000234 6008d1fc - 2942050 ns MR4_D 40006004 00000001 - 2942050 ns R r2 00000001 - 2942050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2942070 ns R r2 80000000 - 2942070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2942090 ns R psr 81000200 - 2942090 ns MR4_I 00000238 48054770 - 2942110 ns MR4_I 00000230 07d2684a - 2942130 ns IT 00000230 684a LDR r2,[r1,#4] - 2942150 ns MR4_I 00000234 6008d1fc - 2942210 ns MR4_D 40006004 00000001 - 2942210 ns R r2 00000001 - 2942210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2942230 ns R r2 80000000 - 2942230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2942250 ns R psr 81000200 - 2942250 ns MR4_I 00000238 48054770 - 2942270 ns MR4_I 00000230 07d2684a - 2942290 ns IT 00000230 684a LDR r2,[r1,#4] - 2942310 ns MR4_I 00000234 6008d1fc - 2942370 ns MR4_D 40006004 00000001 - 2942370 ns R r2 00000001 - 2942370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2942390 ns R r2 80000000 - 2942390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2942410 ns R psr 81000200 - 2942410 ns MR4_I 00000238 48054770 - 2942430 ns MR4_I 00000230 07d2684a - 2942450 ns IT 00000230 684a LDR r2,[r1,#4] - 2942470 ns MR4_I 00000234 6008d1fc - 2942530 ns MR4_D 40006004 00000001 - 2942530 ns R r2 00000001 - 2942530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2942550 ns R r2 80000000 - 2942550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2942570 ns R psr 81000200 - 2942570 ns MR4_I 00000238 48054770 - 2942590 ns MR4_I 00000230 07d2684a - 2942610 ns IT 00000230 684a LDR r2,[r1,#4] - 2942630 ns MR4_I 00000234 6008d1fc - 2942690 ns MR4_D 40006004 00000001 - 2942690 ns R r2 00000001 - 2942690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2942710 ns R r2 80000000 - 2942710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2942730 ns R psr 81000200 - 2942730 ns MR4_I 00000238 48054770 - 2942750 ns MR4_I 00000230 07d2684a - 2942770 ns IT 00000230 684a LDR r2,[r1,#4] - 2942790 ns MR4_I 00000234 6008d1fc - 2942850 ns MR4_D 40006004 00000001 - 2942850 ns R r2 00000001 - 2942850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2942870 ns R r2 80000000 - 2942870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2942890 ns R psr 81000200 - 2942890 ns MR4_I 00000238 48054770 - 2942910 ns MR4_I 00000230 07d2684a - 2942930 ns IT 00000230 684a LDR r2,[r1,#4] - 2942950 ns MR4_I 00000234 6008d1fc - 2943010 ns MR4_D 40006004 00000001 - 2943010 ns R r2 00000001 - 2943010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2943030 ns R r2 80000000 - 2943030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2943050 ns R psr 81000200 - 2943050 ns MR4_I 00000238 48054770 - 2943070 ns MR4_I 00000230 07d2684a - 2943090 ns IT 00000230 684a LDR r2,[r1,#4] - 2943110 ns MR4_I 00000234 6008d1fc - 2943170 ns MR4_D 40006004 00000001 - 2943170 ns R r2 00000001 - 2943170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2943190 ns R r2 80000000 - 2943190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2943210 ns R psr 81000200 - 2943210 ns MR4_I 00000238 48054770 - 2943230 ns MR4_I 00000230 07d2684a - 2943250 ns IT 00000230 684a LDR r2,[r1,#4] - 2943270 ns MR4_I 00000234 6008d1fc - 2943330 ns MR4_D 40006004 00000001 - 2943330 ns R r2 00000001 - 2943330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2943350 ns R r2 80000000 - 2943350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2943370 ns R psr 81000200 - 2943370 ns MR4_I 00000238 48054770 - 2943390 ns MR4_I 00000230 07d2684a - 2943410 ns IT 00000230 684a LDR r2,[r1,#4] - 2943430 ns MR4_I 00000234 6008d1fc - 2943490 ns MR4_D 40006004 00000001 - 2943490 ns R r2 00000001 - 2943490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2943510 ns R r2 80000000 - 2943510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2943530 ns R psr 81000200 - 2943530 ns MR4_I 00000238 48054770 - 2943550 ns MR4_I 00000230 07d2684a - 2943570 ns IT 00000230 684a LDR r2,[r1,#4] - 2943590 ns MR4_I 00000234 6008d1fc - 2943650 ns MR4_D 40006004 00000001 - 2943650 ns R r2 00000001 - 2943650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2943670 ns R r2 80000000 - 2943670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2943690 ns R psr 81000200 - 2943690 ns MR4_I 00000238 48054770 - 2943710 ns MR4_I 00000230 07d2684a - 2943730 ns IT 00000230 684a LDR r2,[r1,#4] - 2943750 ns MR4_I 00000234 6008d1fc - 2943810 ns MR4_D 40006004 00000001 - 2943810 ns R r2 00000001 - 2943810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2943830 ns R r2 80000000 - 2943830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2943850 ns R psr 81000200 - 2943850 ns MR4_I 00000238 48054770 - 2943870 ns MR4_I 00000230 07d2684a - 2943890 ns IT 00000230 684a LDR r2,[r1,#4] - 2943910 ns MR4_I 00000234 6008d1fc - 2943970 ns MR4_D 40006004 00000001 - 2943970 ns R r2 00000001 - 2943970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2943990 ns R r2 80000000 - 2943990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2944010 ns R psr 81000200 - 2944010 ns MR4_I 00000238 48054770 - 2944030 ns MR4_I 00000230 07d2684a - 2944050 ns IT 00000230 684a LDR r2,[r1,#4] - 2944070 ns MR4_I 00000234 6008d1fc - 2944130 ns MR4_D 40006004 00000001 - 2944130 ns R r2 00000001 - 2944130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2944150 ns R r2 80000000 - 2944150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2944170 ns R psr 81000200 - 2944170 ns MR4_I 00000238 48054770 - 2944190 ns MR4_I 00000230 07d2684a - 2944210 ns IT 00000230 684a LDR r2,[r1,#4] - 2944230 ns MR4_I 00000234 6008d1fc - 2944290 ns MR4_D 40006004 00000001 - 2944290 ns R r2 00000001 - 2944290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2944310 ns R r2 80000000 - 2944310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2944330 ns R psr 81000200 - 2944330 ns MR4_I 00000238 48054770 - 2944350 ns MR4_I 00000230 07d2684a - 2944370 ns IT 00000230 684a LDR r2,[r1,#4] - 2944390 ns MR4_I 00000234 6008d1fc - 2944450 ns MR4_D 40006004 00000001 - 2944450 ns R r2 00000001 - 2944450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2944470 ns R r2 80000000 - 2944470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2944490 ns R psr 81000200 - 2944490 ns MR4_I 00000238 48054770 - 2944510 ns MR4_I 00000230 07d2684a - 2944530 ns IT 00000230 684a LDR r2,[r1,#4] - 2944550 ns MR4_I 00000234 6008d1fc - 2944610 ns MR4_D 40006004 00000001 - 2944610 ns R r2 00000001 - 2944610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2944630 ns R r2 80000000 - 2944630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2944650 ns R psr 81000200 - 2944650 ns MR4_I 00000238 48054770 - 2944670 ns MR4_I 00000230 07d2684a - 2944690 ns IT 00000230 684a LDR r2,[r1,#4] - 2944710 ns MR4_I 00000234 6008d1fc - 2944770 ns MR4_D 40006004 00000001 - 2944770 ns R r2 00000001 - 2944770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2944790 ns R r2 80000000 - 2944790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2944810 ns R psr 81000200 - 2944810 ns MR4_I 00000238 48054770 - 2944830 ns MR4_I 00000230 07d2684a - 2944850 ns IT 00000230 684a LDR r2,[r1,#4] - 2944870 ns MR4_I 00000234 6008d1fc - 2944930 ns MR4_D 40006004 00000001 - 2944930 ns R r2 00000001 - 2944930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2944950 ns R r2 80000000 - 2944950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2944970 ns R psr 81000200 - 2944970 ns MR4_I 00000238 48054770 - 2944990 ns MR4_I 00000230 07d2684a - 2945010 ns IT 00000230 684a LDR r2,[r1,#4] - 2945030 ns MR4_I 00000234 6008d1fc - 2945090 ns MR4_D 40006004 00000001 - 2945090 ns R r2 00000001 - 2945090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2945110 ns R r2 80000000 - 2945110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2945130 ns R psr 81000200 - 2945130 ns MR4_I 00000238 48054770 - 2945150 ns MR4_I 00000230 07d2684a - 2945170 ns IT 00000230 684a LDR r2,[r1,#4] - 2945190 ns MR4_I 00000234 6008d1fc - 2945250 ns MR4_D 40006004 00000001 - 2945250 ns R r2 00000001 - 2945250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2945270 ns R r2 80000000 - 2945270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2945290 ns R psr 81000200 - 2945290 ns MR4_I 00000238 48054770 - 2945310 ns MR4_I 00000230 07d2684a - 2945330 ns IT 00000230 684a LDR r2,[r1,#4] - 2945350 ns MR4_I 00000234 6008d1fc - 2945410 ns MR4_D 40006004 00000001 - 2945410 ns R r2 00000001 - 2945410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2945430 ns R r2 80000000 - 2945430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2945450 ns R psr 81000200 - 2945450 ns MR4_I 00000238 48054770 - 2945470 ns MR4_I 00000230 07d2684a - 2945490 ns IT 00000230 684a LDR r2,[r1,#4] - 2945510 ns MR4_I 00000234 6008d1fc - 2945570 ns MR4_D 40006004 00000001 - 2945570 ns R r2 00000001 - 2945570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2945590 ns R r2 80000000 - 2945590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2945610 ns R psr 81000200 - 2945610 ns MR4_I 00000238 48054770 - 2945630 ns MR4_I 00000230 07d2684a - 2945650 ns IT 00000230 684a LDR r2,[r1,#4] - 2945670 ns MR4_I 00000234 6008d1fc - 2945730 ns MR4_D 40006004 00000001 - 2945730 ns R r2 00000001 - 2945730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2945750 ns R r2 80000000 - 2945750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2945770 ns R psr 81000200 - 2945770 ns MR4_I 00000238 48054770 - 2945790 ns MR4_I 00000230 07d2684a - 2945810 ns IT 00000230 684a LDR r2,[r1,#4] - 2945830 ns MR4_I 00000234 6008d1fc - 2945890 ns MR4_D 40006004 00000001 - 2945890 ns R r2 00000001 - 2945890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2945910 ns R r2 80000000 - 2945910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2945930 ns R psr 81000200 - 2945930 ns MR4_I 00000238 48054770 - 2945950 ns MR4_I 00000230 07d2684a - 2945970 ns IT 00000230 684a LDR r2,[r1,#4] - 2945990 ns MR4_I 00000234 6008d1fc - 2946050 ns MR4_D 40006004 00000001 - 2946050 ns R r2 00000001 - 2946050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2946070 ns R r2 80000000 - 2946070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2946090 ns R psr 81000200 - 2946090 ns MR4_I 00000238 48054770 - 2946110 ns MR4_I 00000230 07d2684a - 2946130 ns IT 00000230 684a LDR r2,[r1,#4] - 2946150 ns MR4_I 00000234 6008d1fc - 2946210 ns MR4_D 40006004 00000001 - 2946210 ns R r2 00000001 - 2946210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2946230 ns R r2 80000000 - 2946230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2946250 ns R psr 81000200 - 2946250 ns MR4_I 00000238 48054770 - 2946270 ns MR4_I 00000230 07d2684a - 2946290 ns IT 00000230 684a LDR r2,[r1,#4] - 2946310 ns MR4_I 00000234 6008d1fc - 2946370 ns MR4_D 40006004 00000001 - 2946370 ns R r2 00000001 - 2946370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2946390 ns R r2 80000000 - 2946390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2946410 ns R psr 81000200 - 2946410 ns MR4_I 00000238 48054770 - 2946430 ns MR4_I 00000230 07d2684a - 2946450 ns IT 00000230 684a LDR r2,[r1,#4] - 2946470 ns MR4_I 00000234 6008d1fc - 2946530 ns MR4_D 40006004 00000001 - 2946530 ns R r2 00000001 - 2946530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2946550 ns R r2 80000000 - 2946550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2946570 ns R psr 81000200 - 2946570 ns MR4_I 00000238 48054770 - 2946590 ns MR4_I 00000230 07d2684a - 2946610 ns IT 00000230 684a LDR r2,[r1,#4] - 2946630 ns MR4_I 00000234 6008d1fc - 2946690 ns MR4_D 40006004 00000001 - 2946690 ns R r2 00000001 - 2946690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2946710 ns R r2 80000000 - 2946710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2946730 ns R psr 81000200 - 2946730 ns MR4_I 00000238 48054770 - 2946750 ns MR4_I 00000230 07d2684a - 2946770 ns IT 00000230 684a LDR r2,[r1,#4] - 2946790 ns MR4_I 00000234 6008d1fc - 2946850 ns MR4_D 40006004 00000001 - 2946850 ns R r2 00000001 - 2946850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2946870 ns R r2 80000000 - 2946870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2946890 ns R psr 81000200 - 2946890 ns MR4_I 00000238 48054770 - 2946910 ns MR4_I 00000230 07d2684a - 2946930 ns IT 00000230 684a LDR r2,[r1,#4] - 2946950 ns MR4_I 00000234 6008d1fc - 2947010 ns MR4_D 40006004 00000001 - 2947010 ns R r2 00000001 - 2947010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2947030 ns R r2 80000000 - 2947030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2947050 ns R psr 81000200 - 2947050 ns MR4_I 00000238 48054770 - 2947070 ns MR4_I 00000230 07d2684a - 2947090 ns IT 00000230 684a LDR r2,[r1,#4] - 2947110 ns MR4_I 00000234 6008d1fc - 2947170 ns MR4_D 40006004 00000001 - 2947170 ns R r2 00000001 - 2947170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2947190 ns R r2 80000000 - 2947190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2947210 ns R psr 81000200 - 2947210 ns MR4_I 00000238 48054770 - 2947230 ns MR4_I 00000230 07d2684a - 2947250 ns IT 00000230 684a LDR r2,[r1,#4] - 2947270 ns MR4_I 00000234 6008d1fc - 2947330 ns MR4_D 40006004 00000001 - 2947330 ns R r2 00000001 - 2947330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2947350 ns R r2 80000000 - 2947350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2947370 ns R psr 81000200 - 2947370 ns MR4_I 00000238 48054770 - 2947390 ns MR4_I 00000230 07d2684a - 2947410 ns IT 00000230 684a LDR r2,[r1,#4] - 2947430 ns MR4_I 00000234 6008d1fc - 2947490 ns MR4_D 40006004 00000001 - 2947490 ns R r2 00000001 - 2947490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2947510 ns R r2 80000000 - 2947510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2947530 ns R psr 81000200 - 2947530 ns MR4_I 00000238 48054770 - 2947550 ns MR4_I 00000230 07d2684a - 2947570 ns IT 00000230 684a LDR r2,[r1,#4] - 2947590 ns MR4_I 00000234 6008d1fc - 2947650 ns MR4_D 40006004 00000001 - 2947650 ns R r2 00000001 - 2947650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2947670 ns R r2 80000000 - 2947670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2947690 ns R psr 81000200 - 2947690 ns MR4_I 00000238 48054770 - 2947710 ns MR4_I 00000230 07d2684a - 2947730 ns IT 00000230 684a LDR r2,[r1,#4] - 2947750 ns MR4_I 00000234 6008d1fc - 2947810 ns MR4_D 40006004 00000001 - 2947810 ns R r2 00000001 - 2947810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2947830 ns R r2 80000000 - 2947830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2947850 ns R psr 81000200 - 2947850 ns MR4_I 00000238 48054770 - 2947870 ns MR4_I 00000230 07d2684a - 2947890 ns IT 00000230 684a LDR r2,[r1,#4] - 2947910 ns MR4_I 00000234 6008d1fc - 2947970 ns MR4_D 40006004 00000001 - 2947970 ns R r2 00000001 - 2947970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2947990 ns R r2 80000000 - 2947990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2948010 ns R psr 81000200 - 2948010 ns MR4_I 00000238 48054770 - 2948030 ns MR4_I 00000230 07d2684a - 2948050 ns IT 00000230 684a LDR r2,[r1,#4] - 2948070 ns MR4_I 00000234 6008d1fc - 2948130 ns MR4_D 40006004 00000001 - 2948130 ns R r2 00000001 - 2948130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2948150 ns R r2 80000000 - 2948150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2948170 ns R psr 81000200 - 2948170 ns MR4_I 00000238 48054770 - 2948190 ns MR4_I 00000230 07d2684a - 2948210 ns IT 00000230 684a LDR r2,[r1,#4] - 2948230 ns MR4_I 00000234 6008d1fc - 2948290 ns MR4_D 40006004 00000001 - 2948290 ns R r2 00000001 - 2948290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2948310 ns R r2 80000000 - 2948310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2948330 ns R psr 81000200 - 2948330 ns MR4_I 00000238 48054770 - 2948350 ns MR4_I 00000230 07d2684a - 2948370 ns IT 00000230 684a LDR r2,[r1,#4] - 2948390 ns MR4_I 00000234 6008d1fc - 2948450 ns MR4_D 40006004 00000001 - 2948450 ns R r2 00000001 - 2948450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2948470 ns R r2 80000000 - 2948470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2948490 ns R psr 81000200 - 2948490 ns MR4_I 00000238 48054770 - 2948510 ns MR4_I 00000230 07d2684a - 2948530 ns IT 00000230 684a LDR r2,[r1,#4] - 2948550 ns MR4_I 00000234 6008d1fc - 2948610 ns MR4_D 40006004 00000001 - 2948610 ns R r2 00000001 - 2948610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2948630 ns R r2 80000000 - 2948630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2948650 ns R psr 81000200 - 2948650 ns MR4_I 00000238 48054770 - 2948670 ns MR4_I 00000230 07d2684a - 2948690 ns IT 00000230 684a LDR r2,[r1,#4] - 2948710 ns MR4_I 00000234 6008d1fc - 2948770 ns MR4_D 40006004 00000001 - 2948770 ns R r2 00000001 - 2948770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2948790 ns R r2 80000000 - 2948790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2948810 ns R psr 81000200 - 2948810 ns MR4_I 00000238 48054770 - 2948830 ns MR4_I 00000230 07d2684a - 2948850 ns IT 00000230 684a LDR r2,[r1,#4] - 2948870 ns MR4_I 00000234 6008d1fc - 2948930 ns MR4_D 40006004 00000001 - 2948930 ns R r2 00000001 - 2948930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2948950 ns R r2 80000000 - 2948950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2948970 ns R psr 81000200 - 2948970 ns MR4_I 00000238 48054770 - 2948990 ns MR4_I 00000230 07d2684a - 2949010 ns IT 00000230 684a LDR r2,[r1,#4] - 2949030 ns MR4_I 00000234 6008d1fc - 2949090 ns MR4_D 40006004 00000001 - 2949090 ns R r2 00000001 - 2949090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2949110 ns R r2 80000000 - 2949110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2949130 ns R psr 81000200 - 2949130 ns MR4_I 00000238 48054770 - 2949150 ns MR4_I 00000230 07d2684a - 2949170 ns IT 00000230 684a LDR r2,[r1,#4] - 2949190 ns MR4_I 00000234 6008d1fc - 2949250 ns MR4_D 40006004 00000001 - 2949250 ns R r2 00000001 - 2949250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2949270 ns R r2 80000000 - 2949270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2949290 ns R psr 81000200 - 2949290 ns MR4_I 00000238 48054770 - 2949310 ns MR4_I 00000230 07d2684a - 2949330 ns IT 00000230 684a LDR r2,[r1,#4] - 2949350 ns MR4_I 00000234 6008d1fc - 2949410 ns MR4_D 40006004 00000001 - 2949410 ns R r2 00000001 - 2949410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2949430 ns R r2 80000000 - 2949430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2949450 ns R psr 81000200 - 2949450 ns MR4_I 00000238 48054770 - 2949470 ns MR4_I 00000230 07d2684a - 2949490 ns IT 00000230 684a LDR r2,[r1,#4] - 2949510 ns MR4_I 00000234 6008d1fc - 2949570 ns MR4_D 40006004 00000001 - 2949570 ns R r2 00000001 - 2949570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2949590 ns R r2 80000000 - 2949590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2949610 ns R psr 81000200 - 2949610 ns MR4_I 00000238 48054770 - 2949630 ns MR4_I 00000230 07d2684a - 2949650 ns IT 00000230 684a LDR r2,[r1,#4] - 2949670 ns MR4_I 00000234 6008d1fc - 2949730 ns MR4_D 40006004 00000001 - 2949730 ns R r2 00000001 - 2949730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2949750 ns R r2 80000000 - 2949750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2949770 ns R psr 81000200 - 2949770 ns MR4_I 00000238 48054770 - 2949790 ns MR4_I 00000230 07d2684a - 2949810 ns IT 00000230 684a LDR r2,[r1,#4] - 2949830 ns MR4_I 00000234 6008d1fc - 2949890 ns MR4_D 40006004 00000001 - 2949890 ns R r2 00000001 - 2949890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2949910 ns R r2 80000000 - 2949910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2949930 ns R psr 81000200 - 2949930 ns MR4_I 00000238 48054770 - 2949950 ns MR4_I 00000230 07d2684a - 2949970 ns IT 00000230 684a LDR r2,[r1,#4] - 2949990 ns MR4_I 00000234 6008d1fc - 2950050 ns MR4_D 40006004 00000001 - 2950050 ns R r2 00000001 - 2950050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2950070 ns R r2 80000000 - 2950070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2950090 ns R psr 81000200 - 2950090 ns MR4_I 00000238 48054770 - 2950110 ns MR4_I 00000230 07d2684a - 2950130 ns IT 00000230 684a LDR r2,[r1,#4] - 2950150 ns MR4_I 00000234 6008d1fc - 2950210 ns MR4_D 40006004 00000001 - 2950210 ns R r2 00000001 - 2950210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2950230 ns R r2 80000000 - 2950230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2950250 ns R psr 81000200 - 2950250 ns MR4_I 00000238 48054770 - 2950270 ns MR4_I 00000230 07d2684a - 2950290 ns IT 00000230 684a LDR r2,[r1,#4] - 2950310 ns MR4_I 00000234 6008d1fc - 2950370 ns MR4_D 40006004 00000001 - 2950370 ns R r2 00000001 - 2950370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2950390 ns R r2 80000000 - 2950390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2950410 ns R psr 81000200 - 2950410 ns MR4_I 00000238 48054770 - 2950430 ns MR4_I 00000230 07d2684a - 2950450 ns IT 00000230 684a LDR r2,[r1,#4] - 2950470 ns MR4_I 00000234 6008d1fc - 2950530 ns MR4_D 40006004 00000001 - 2950530 ns R r2 00000001 - 2950530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2950550 ns R r2 80000000 - 2950550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2950570 ns R psr 81000200 - 2950570 ns MR4_I 00000238 48054770 - 2950590 ns MR4_I 00000230 07d2684a - 2950610 ns IT 00000230 684a LDR r2,[r1,#4] - 2950630 ns MR4_I 00000234 6008d1fc - 2950690 ns MR4_D 40006004 00000001 - 2950690 ns R r2 00000001 - 2950690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2950710 ns R r2 80000000 - 2950710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2950730 ns R psr 81000200 - 2950730 ns MR4_I 00000238 48054770 - 2950750 ns MR4_I 00000230 07d2684a - 2950770 ns IT 00000230 684a LDR r2,[r1,#4] - 2950790 ns MR4_I 00000234 6008d1fc - 2950850 ns MR4_D 40006004 00000001 - 2950850 ns R r2 00000001 - 2950850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2950870 ns R r2 80000000 - 2950870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2950890 ns R psr 81000200 - 2950890 ns MR4_I 00000238 48054770 - 2950910 ns MR4_I 00000230 07d2684a - 2950930 ns IT 00000230 684a LDR r2,[r1,#4] - 2950950 ns MR4_I 00000234 6008d1fc - 2951010 ns MR4_D 40006004 00000001 - 2951010 ns R r2 00000001 - 2951010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2951030 ns R r2 80000000 - 2951030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2951050 ns R psr 81000200 - 2951050 ns MR4_I 00000238 48054770 - 2951070 ns MR4_I 00000230 07d2684a - 2951090 ns IT 00000230 684a LDR r2,[r1,#4] - 2951110 ns MR4_I 00000234 6008d1fc - 2951170 ns MR4_D 40006004 00000001 - 2951170 ns R r2 00000001 - 2951170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2951190 ns R r2 80000000 - 2951190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2951210 ns R psr 81000200 - 2951210 ns MR4_I 00000238 48054770 - 2951230 ns MR4_I 00000230 07d2684a - 2951250 ns IT 00000230 684a LDR r2,[r1,#4] - 2951270 ns MR4_I 00000234 6008d1fc - 2951330 ns MR4_D 40006004 00000001 - 2951330 ns R r2 00000001 - 2951330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2951350 ns R r2 80000000 - 2951350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2951370 ns R psr 81000200 - 2951370 ns MR4_I 00000238 48054770 - 2951390 ns MR4_I 00000230 07d2684a - 2951410 ns IT 00000230 684a LDR r2,[r1,#4] - 2951430 ns MR4_I 00000234 6008d1fc - 2951490 ns MR4_D 40006004 00000001 - 2951490 ns R r2 00000001 - 2951490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2951510 ns R r2 80000000 - 2951510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2951530 ns R psr 81000200 - 2951530 ns MR4_I 00000238 48054770 - 2951550 ns MR4_I 00000230 07d2684a - 2951570 ns IT 00000230 684a LDR r2,[r1,#4] - 2951590 ns MR4_I 00000234 6008d1fc - 2951650 ns MR4_D 40006004 00000001 - 2951650 ns R r2 00000001 - 2951650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2951670 ns R r2 80000000 - 2951670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2951690 ns R psr 81000200 - 2951690 ns MR4_I 00000238 48054770 - 2951710 ns MR4_I 00000230 07d2684a - 2951730 ns IT 00000230 684a LDR r2,[r1,#4] - 2951750 ns MR4_I 00000234 6008d1fc - 2951810 ns MR4_D 40006004 00000001 - 2951810 ns R r2 00000001 - 2951810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2951830 ns R r2 80000000 - 2951830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2951850 ns R psr 81000200 - 2951850 ns MR4_I 00000238 48054770 - 2951870 ns MR4_I 00000230 07d2684a - 2951890 ns IT 00000230 684a LDR r2,[r1,#4] - 2951910 ns MR4_I 00000234 6008d1fc - 2951970 ns MR4_D 40006004 00000001 - 2951970 ns R r2 00000001 - 2951970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2951990 ns R r2 80000000 - 2951990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2952010 ns R psr 81000200 - 2952010 ns MR4_I 00000238 48054770 - 2952030 ns MR4_I 00000230 07d2684a - 2952050 ns IT 00000230 684a LDR r2,[r1,#4] - 2952070 ns MR4_I 00000234 6008d1fc - 2952130 ns MR4_D 40006004 00000001 - 2952130 ns R r2 00000001 - 2952130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2952150 ns R r2 80000000 - 2952150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2952170 ns R psr 81000200 - 2952170 ns MR4_I 00000238 48054770 - 2952190 ns MR4_I 00000230 07d2684a - 2952210 ns IT 00000230 684a LDR r2,[r1,#4] - 2952230 ns MR4_I 00000234 6008d1fc - 2952290 ns MR4_D 40006004 00000001 - 2952290 ns R r2 00000001 - 2952290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2952310 ns R r2 80000000 - 2952310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2952330 ns R psr 81000200 - 2952330 ns MR4_I 00000238 48054770 - 2952350 ns MR4_I 00000230 07d2684a - 2952370 ns IT 00000230 684a LDR r2,[r1,#4] - 2952390 ns MR4_I 00000234 6008d1fc - 2952450 ns MR4_D 40006004 00000001 - 2952450 ns R r2 00000001 - 2952450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2952470 ns R r2 80000000 - 2952470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2952490 ns R psr 81000200 - 2952490 ns MR4_I 00000238 48054770 - 2952510 ns MR4_I 00000230 07d2684a - 2952530 ns IT 00000230 684a LDR r2,[r1,#4] - 2952550 ns MR4_I 00000234 6008d1fc - 2952610 ns MR4_D 40006004 00000001 - 2952610 ns R r2 00000001 - 2952610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2952630 ns R r2 80000000 - 2952630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2952650 ns R psr 81000200 - 2952650 ns MR4_I 00000238 48054770 - 2952670 ns MR4_I 00000230 07d2684a - 2952690 ns IT 00000230 684a LDR r2,[r1,#4] - 2952710 ns MR4_I 00000234 6008d1fc - 2952770 ns MR4_D 40006004 00000001 - 2952770 ns R r2 00000001 - 2952770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2952790 ns R r2 80000000 - 2952790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2952810 ns R psr 81000200 - 2952810 ns MR4_I 00000238 48054770 - 2952830 ns MR4_I 00000230 07d2684a - 2952850 ns IT 00000230 684a LDR r2,[r1,#4] - 2952870 ns MR4_I 00000234 6008d1fc - 2952930 ns MR4_D 40006004 00000001 - 2952930 ns R r2 00000001 - 2952930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2952950 ns R r2 80000000 - 2952950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2952970 ns R psr 81000200 - 2952970 ns MR4_I 00000238 48054770 - 2952990 ns MR4_I 00000230 07d2684a - 2953010 ns IT 00000230 684a LDR r2,[r1,#4] - 2953030 ns MR4_I 00000234 6008d1fc - 2953090 ns MR4_D 40006004 00000001 - 2953090 ns R r2 00000001 - 2953090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2953110 ns R r2 80000000 - 2953110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2953130 ns R psr 81000200 - 2953130 ns MR4_I 00000238 48054770 - 2953150 ns MR4_I 00000230 07d2684a - 2953170 ns IT 00000230 684a LDR r2,[r1,#4] - 2953190 ns MR4_I 00000234 6008d1fc - 2953250 ns MR4_D 40006004 00000001 - 2953250 ns R r2 00000001 - 2953250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2953270 ns R r2 80000000 - 2953270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2953290 ns R psr 81000200 - 2953290 ns MR4_I 00000238 48054770 - 2953310 ns MR4_I 00000230 07d2684a - 2953330 ns IT 00000230 684a LDR r2,[r1,#4] - 2953350 ns MR4_I 00000234 6008d1fc - 2953410 ns MR4_D 40006004 00000001 - 2953410 ns R r2 00000001 - 2953410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2953430 ns R r2 80000000 - 2953430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2953450 ns R psr 81000200 - 2953450 ns MR4_I 00000238 48054770 - 2953470 ns MR4_I 00000230 07d2684a - 2953490 ns IT 00000230 684a LDR r2,[r1,#4] - 2953510 ns MR4_I 00000234 6008d1fc - 2953570 ns MR4_D 40006004 00000001 - 2953570 ns R r2 00000001 - 2953570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2953590 ns R r2 80000000 - 2953590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2953610 ns R psr 81000200 - 2953610 ns MR4_I 00000238 48054770 - 2953630 ns MR4_I 00000230 07d2684a - 2953650 ns IT 00000230 684a LDR r2,[r1,#4] - 2953670 ns MR4_I 00000234 6008d1fc - 2953730 ns MR4_D 40006004 00000001 - 2953730 ns R r2 00000001 - 2953730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2953750 ns R r2 80000000 - 2953750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2953770 ns R psr 81000200 - 2953770 ns MR4_I 00000238 48054770 - 2953790 ns MR4_I 00000230 07d2684a - 2953810 ns IT 00000230 684a LDR r2,[r1,#4] - 2953830 ns MR4_I 00000234 6008d1fc - 2953890 ns MR4_D 40006004 00000001 - 2953890 ns R r2 00000001 - 2953890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2953910 ns R r2 80000000 - 2953910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2953930 ns R psr 81000200 - 2953930 ns MR4_I 00000238 48054770 - 2953950 ns MR4_I 00000230 07d2684a - 2953970 ns IT 00000230 684a LDR r2,[r1,#4] - 2953990 ns MR4_I 00000234 6008d1fc - 2954050 ns MR4_D 40006004 00000001 - 2954050 ns R r2 00000001 - 2954050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2954070 ns R r2 80000000 - 2954070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2954090 ns R psr 81000200 - 2954090 ns MR4_I 00000238 48054770 - 2954110 ns MR4_I 00000230 07d2684a - 2954130 ns IT 00000230 684a LDR r2,[r1,#4] - 2954150 ns MR4_I 00000234 6008d1fc - 2954210 ns MR4_D 40006004 00000001 - 2954210 ns R r2 00000001 - 2954210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2954230 ns R r2 80000000 - 2954230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2954250 ns R psr 81000200 - 2954250 ns MR4_I 00000238 48054770 - 2954270 ns MR4_I 00000230 07d2684a - 2954290 ns IT 00000230 684a LDR r2,[r1,#4] - 2954310 ns MR4_I 00000234 6008d1fc - 2954370 ns MR4_D 40006004 00000001 - 2954370 ns R r2 00000001 - 2954370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2954390 ns R r2 80000000 - 2954390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2954410 ns R psr 81000200 - 2954410 ns MR4_I 00000238 48054770 - 2954430 ns MR4_I 00000230 07d2684a - 2954450 ns IT 00000230 684a LDR r2,[r1,#4] - 2954470 ns MR4_I 00000234 6008d1fc - 2954530 ns MR4_D 40006004 00000001 - 2954530 ns R r2 00000001 - 2954530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2954550 ns R r2 80000000 - 2954550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2954570 ns R psr 81000200 - 2954570 ns MR4_I 00000238 48054770 - 2954590 ns MR4_I 00000230 07d2684a - 2954610 ns IT 00000230 684a LDR r2,[r1,#4] - 2954630 ns MR4_I 00000234 6008d1fc - 2954690 ns MR4_D 40006004 00000001 - 2954690 ns R r2 00000001 - 2954690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2954710 ns R r2 80000000 - 2954710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2954730 ns R psr 81000200 - 2954730 ns MR4_I 00000238 48054770 - 2954750 ns MR4_I 00000230 07d2684a - 2954770 ns IT 00000230 684a LDR r2,[r1,#4] - 2954790 ns MR4_I 00000234 6008d1fc - 2954850 ns MR4_D 40006004 00000001 - 2954850 ns R r2 00000001 - 2954850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2954870 ns R r2 80000000 - 2954870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2954890 ns R psr 81000200 - 2954890 ns MR4_I 00000238 48054770 - 2954910 ns MR4_I 00000230 07d2684a - 2954930 ns IT 00000230 684a LDR r2,[r1,#4] - 2954950 ns MR4_I 00000234 6008d1fc - 2955010 ns MR4_D 40006004 00000001 - 2955010 ns R r2 00000001 - 2955010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2955030 ns R r2 80000000 - 2955030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2955050 ns R psr 81000200 - 2955050 ns MR4_I 00000238 48054770 - 2955070 ns MR4_I 00000230 07d2684a - 2955090 ns IT 00000230 684a LDR r2,[r1,#4] - 2955110 ns MR4_I 00000234 6008d1fc - 2955170 ns MR4_D 40006004 00000001 - 2955170 ns R r2 00000001 - 2955170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2955190 ns R r2 80000000 - 2955190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2955210 ns R psr 81000200 - 2955210 ns MR4_I 00000238 48054770 - 2955230 ns MR4_I 00000230 07d2684a - 2955250 ns IT 00000230 684a LDR r2,[r1,#4] - 2955270 ns MR4_I 00000234 6008d1fc - 2955330 ns MR4_D 40006004 00000001 - 2955330 ns R r2 00000001 - 2955330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2955350 ns R r2 80000000 - 2955350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2955370 ns R psr 81000200 - 2955370 ns MR4_I 00000238 48054770 - 2955390 ns MR4_I 00000230 07d2684a - 2955410 ns IT 00000230 684a LDR r2,[r1,#4] - 2955430 ns MR4_I 00000234 6008d1fc - 2955490 ns MR4_D 40006004 00000001 - 2955490 ns R r2 00000001 - 2955490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2955510 ns R r2 80000000 - 2955510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2955530 ns R psr 81000200 - 2955530 ns MR4_I 00000238 48054770 - 2955550 ns MR4_I 00000230 07d2684a - 2955570 ns IT 00000230 684a LDR r2,[r1,#4] - 2955590 ns MR4_I 00000234 6008d1fc - 2955650 ns MR4_D 40006004 00000001 - 2955650 ns R r2 00000001 - 2955650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2955670 ns R r2 80000000 - 2955670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2955690 ns R psr 81000200 - 2955690 ns MR4_I 00000238 48054770 - 2955710 ns MR4_I 00000230 07d2684a - 2955730 ns IT 00000230 684a LDR r2,[r1,#4] - 2955750 ns MR4_I 00000234 6008d1fc - 2955810 ns MR4_D 40006004 00000001 - 2955810 ns R r2 00000001 - 2955810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2955830 ns R r2 80000000 - 2955830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2955850 ns R psr 81000200 - 2955850 ns MR4_I 00000238 48054770 - 2955870 ns MR4_I 00000230 07d2684a - 2955890 ns IT 00000230 684a LDR r2,[r1,#4] - 2955910 ns MR4_I 00000234 6008d1fc - 2955970 ns MR4_D 40006004 00000001 - 2955970 ns R r2 00000001 - 2955970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2955990 ns R r2 80000000 - 2955990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2956010 ns R psr 81000200 - 2956010 ns MR4_I 00000238 48054770 - 2956030 ns MR4_I 00000230 07d2684a - 2956050 ns IT 00000230 684a LDR r2,[r1,#4] - 2956070 ns MR4_I 00000234 6008d1fc - 2956130 ns MR4_D 40006004 00000001 - 2956130 ns R r2 00000001 - 2956130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2956150 ns R r2 80000000 - 2956150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2956170 ns R psr 81000200 - 2956170 ns MR4_I 00000238 48054770 - 2956190 ns MR4_I 00000230 07d2684a - 2956210 ns IT 00000230 684a LDR r2,[r1,#4] - 2956230 ns MR4_I 00000234 6008d1fc - 2956290 ns MR4_D 40006004 00000001 - 2956290 ns R r2 00000001 - 2956290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2956310 ns R r2 80000000 - 2956310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2956330 ns R psr 81000200 - 2956330 ns MR4_I 00000238 48054770 - 2956350 ns MR4_I 00000230 07d2684a - 2956370 ns IT 00000230 684a LDR r2,[r1,#4] - 2956390 ns MR4_I 00000234 6008d1fc - 2956450 ns MR4_D 40006004 00000001 - 2956450 ns R r2 00000001 - 2956450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2956470 ns R r2 80000000 - 2956470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2956490 ns R psr 81000200 - 2956490 ns MR4_I 00000238 48054770 - 2956510 ns MR4_I 00000230 07d2684a - 2956530 ns IT 00000230 684a LDR r2,[r1,#4] - 2956550 ns MR4_I 00000234 6008d1fc - 2956610 ns MR4_D 40006004 00000001 - 2956610 ns R r2 00000001 - 2956610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2956630 ns R r2 80000000 - 2956630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2956650 ns R psr 81000200 - 2956650 ns MR4_I 00000238 48054770 - 2956670 ns MR4_I 00000230 07d2684a - 2956690 ns IT 00000230 684a LDR r2,[r1,#4] - 2956710 ns MR4_I 00000234 6008d1fc - 2956770 ns MR4_D 40006004 00000001 - 2956770 ns R r2 00000001 - 2956770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2956790 ns R r2 80000000 - 2956790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2956810 ns R psr 81000200 - 2956810 ns MR4_I 00000238 48054770 - 2956830 ns MR4_I 00000230 07d2684a - 2956850 ns IT 00000230 684a LDR r2,[r1,#4] - 2956870 ns MR4_I 00000234 6008d1fc - 2956930 ns MR4_D 40006004 00000001 - 2956930 ns R r2 00000001 - 2956930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2956950 ns R r2 80000000 - 2956950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2956970 ns R psr 81000200 - 2956970 ns MR4_I 00000238 48054770 - 2956990 ns MR4_I 00000230 07d2684a - 2957010 ns IT 00000230 684a LDR r2,[r1,#4] - 2957030 ns MR4_I 00000234 6008d1fc - 2957090 ns MR4_D 40006004 00000001 - 2957090 ns R r2 00000001 - 2957090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2957110 ns R r2 80000000 - 2957110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2957130 ns R psr 81000200 - 2957130 ns MR4_I 00000238 48054770 - 2957150 ns MR4_I 00000230 07d2684a - 2957170 ns IT 00000230 684a LDR r2,[r1,#4] - 2957190 ns MR4_I 00000234 6008d1fc - 2957250 ns MR4_D 40006004 00000001 - 2957250 ns R r2 00000001 - 2957250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2957270 ns R r2 80000000 - 2957270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2957290 ns R psr 81000200 - 2957290 ns MR4_I 00000238 48054770 - 2957310 ns MR4_I 00000230 07d2684a - 2957330 ns IT 00000230 684a LDR r2,[r1,#4] - 2957350 ns MR4_I 00000234 6008d1fc - 2957410 ns MR4_D 40006004 00000001 - 2957410 ns R r2 00000001 - 2957410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2957430 ns R r2 80000000 - 2957430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2957450 ns R psr 81000200 - 2957450 ns MR4_I 00000238 48054770 - 2957470 ns MR4_I 00000230 07d2684a - 2957490 ns IT 00000230 684a LDR r2,[r1,#4] - 2957510 ns MR4_I 00000234 6008d1fc - 2957570 ns MR4_D 40006004 00000001 - 2957570 ns R r2 00000001 - 2957570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2957590 ns R r2 80000000 - 2957590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2957610 ns R psr 81000200 - 2957610 ns MR4_I 00000238 48054770 - 2957630 ns MR4_I 00000230 07d2684a - 2957650 ns IT 00000230 684a LDR r2,[r1,#4] - 2957670 ns MR4_I 00000234 6008d1fc - 2957730 ns MR4_D 40006004 00000001 - 2957730 ns R r2 00000001 - 2957730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2957750 ns R r2 80000000 - 2957750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2957770 ns R psr 81000200 - 2957770 ns MR4_I 00000238 48054770 - 2957790 ns MR4_I 00000230 07d2684a - 2957810 ns IT 00000230 684a LDR r2,[r1,#4] - 2957830 ns MR4_I 00000234 6008d1fc - 2957890 ns MR4_D 40006004 00000001 - 2957890 ns R r2 00000001 - 2957890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2957910 ns R r2 80000000 - 2957910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2957930 ns R psr 81000200 - 2957930 ns MR4_I 00000238 48054770 - 2957950 ns MR4_I 00000230 07d2684a - 2957970 ns IT 00000230 684a LDR r2,[r1,#4] - 2957990 ns MR4_I 00000234 6008d1fc - 2958050 ns MR4_D 40006004 00000001 - 2958050 ns R r2 00000001 - 2958050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2958070 ns R r2 80000000 - 2958070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2958090 ns R psr 81000200 - 2958090 ns MR4_I 00000238 48054770 - 2958110 ns MR4_I 00000230 07d2684a - 2958130 ns IT 00000230 684a LDR r2,[r1,#4] - 2958150 ns MR4_I 00000234 6008d1fc - 2958210 ns MR4_D 40006004 00000001 - 2958210 ns R r2 00000001 - 2958210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2958230 ns R r2 80000000 - 2958230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2958250 ns R psr 81000200 - 2958250 ns MR4_I 00000238 48054770 - 2958270 ns MR4_I 00000230 07d2684a - 2958290 ns IT 00000230 684a LDR r2,[r1,#4] - 2958310 ns MR4_I 00000234 6008d1fc - 2958370 ns MR4_D 40006004 00000001 - 2958370 ns R r2 00000001 - 2958370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2958390 ns R r2 80000000 - 2958390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2958410 ns R psr 81000200 - 2958410 ns MR4_I 00000238 48054770 - 2958430 ns MR4_I 00000230 07d2684a - 2958450 ns IT 00000230 684a LDR r2,[r1,#4] - 2958470 ns MR4_I 00000234 6008d1fc - 2958530 ns MR4_D 40006004 00000001 - 2958530 ns R r2 00000001 - 2958530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2958550 ns R r2 80000000 - 2958550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2958570 ns R psr 81000200 - 2958570 ns MR4_I 00000238 48054770 - 2958590 ns MR4_I 00000230 07d2684a - 2958610 ns IT 00000230 684a LDR r2,[r1,#4] - 2958630 ns MR4_I 00000234 6008d1fc - 2958690 ns MR4_D 40006004 00000001 - 2958690 ns R r2 00000001 - 2958690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2958710 ns R r2 80000000 - 2958710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2958730 ns R psr 81000200 - 2958730 ns MR4_I 00000238 48054770 - 2958750 ns MR4_I 00000230 07d2684a - 2958770 ns IT 00000230 684a LDR r2,[r1,#4] - 2958790 ns MR4_I 00000234 6008d1fc - 2958850 ns MR4_D 40006004 00000001 - 2958850 ns R r2 00000001 - 2958850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2958870 ns R r2 80000000 - 2958870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2958890 ns R psr 81000200 - 2958890 ns MR4_I 00000238 48054770 - 2958910 ns MR4_I 00000230 07d2684a - 2958930 ns IT 00000230 684a LDR r2,[r1,#4] - 2958950 ns MR4_I 00000234 6008d1fc - 2959010 ns MR4_D 40006004 00000001 - 2959010 ns R r2 00000001 - 2959010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2959030 ns R r2 80000000 - 2959030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2959050 ns R psr 81000200 - 2959050 ns MR4_I 00000238 48054770 - 2959070 ns MR4_I 00000230 07d2684a - 2959090 ns IT 00000230 684a LDR r2,[r1,#4] - 2959110 ns MR4_I 00000234 6008d1fc - 2959170 ns MR4_D 40006004 00000001 - 2959170 ns R r2 00000001 - 2959170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2959190 ns R r2 80000000 - 2959190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2959210 ns R psr 81000200 - 2959210 ns MR4_I 00000238 48054770 - 2959230 ns MR4_I 00000230 07d2684a - 2959250 ns IT 00000230 684a LDR r2,[r1,#4] - 2959270 ns MR4_I 00000234 6008d1fc - 2959330 ns MR4_D 40006004 00000001 - 2959330 ns R r2 00000001 - 2959330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2959350 ns R r2 80000000 - 2959350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2959370 ns R psr 81000200 - 2959370 ns MR4_I 00000238 48054770 - 2959390 ns MR4_I 00000230 07d2684a - 2959410 ns IT 00000230 684a LDR r2,[r1,#4] - 2959430 ns MR4_I 00000234 6008d1fc - 2959490 ns MR4_D 40006004 00000001 - 2959490 ns R r2 00000001 - 2959490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2959510 ns R r2 80000000 - 2959510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2959530 ns R psr 81000200 - 2959530 ns MR4_I 00000238 48054770 - 2959550 ns MR4_I 00000230 07d2684a - 2959570 ns IT 00000230 684a LDR r2,[r1,#4] - 2959590 ns MR4_I 00000234 6008d1fc - 2959650 ns MR4_D 40006004 00000001 - 2959650 ns R r2 00000001 - 2959650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2959670 ns R r2 80000000 - 2959670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2959690 ns R psr 81000200 - 2959690 ns MR4_I 00000238 48054770 - 2959710 ns MR4_I 00000230 07d2684a - 2959730 ns IT 00000230 684a LDR r2,[r1,#4] - 2959750 ns MR4_I 00000234 6008d1fc - 2959810 ns MR4_D 40006004 00000001 - 2959810 ns R r2 00000001 - 2959810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2959830 ns R r2 80000000 - 2959830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2959850 ns R psr 81000200 - 2959850 ns MR4_I 00000238 48054770 - 2959870 ns MR4_I 00000230 07d2684a - 2959890 ns IT 00000230 684a LDR r2,[r1,#4] - 2959910 ns MR4_I 00000234 6008d1fc - 2959970 ns MR4_D 40006004 00000001 - 2959970 ns R r2 00000001 - 2959970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2959990 ns R r2 80000000 - 2959990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2960010 ns R psr 81000200 - 2960010 ns MR4_I 00000238 48054770 - 2960030 ns MR4_I 00000230 07d2684a - 2960050 ns IT 00000230 684a LDR r2,[r1,#4] - 2960070 ns MR4_I 00000234 6008d1fc - 2960130 ns MR4_D 40006004 00000001 - 2960130 ns R r2 00000001 - 2960130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2960150 ns R r2 80000000 - 2960150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2960170 ns R psr 81000200 - 2960170 ns MR4_I 00000238 48054770 - 2960190 ns MR4_I 00000230 07d2684a - 2960210 ns IT 00000230 684a LDR r2,[r1,#4] - 2960230 ns MR4_I 00000234 6008d1fc - 2960290 ns MR4_D 40006004 00000001 - 2960290 ns R r2 00000001 - 2960290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2960310 ns R r2 80000000 - 2960310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2960330 ns R psr 81000200 - 2960330 ns MR4_I 00000238 48054770 - 2960350 ns MR4_I 00000230 07d2684a - 2960370 ns IT 00000230 684a LDR r2,[r1,#4] - 2960390 ns MR4_I 00000234 6008d1fc - 2960450 ns MR4_D 40006004 00000001 - 2960450 ns R r2 00000001 - 2960450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2960470 ns R r2 80000000 - 2960470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2960490 ns R psr 81000200 - 2960490 ns MR4_I 00000238 48054770 - 2960510 ns MR4_I 00000230 07d2684a - 2960530 ns IT 00000230 684a LDR r2,[r1,#4] - 2960550 ns MR4_I 00000234 6008d1fc - 2960610 ns MR4_D 40006004 00000001 - 2960610 ns R r2 00000001 - 2960610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2960630 ns R r2 80000000 - 2960630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2960650 ns R psr 81000200 - 2960650 ns MR4_I 00000238 48054770 - 2960670 ns MR4_I 00000230 07d2684a - 2960690 ns IT 00000230 684a LDR r2,[r1,#4] - 2960710 ns MR4_I 00000234 6008d1fc - 2960770 ns MR4_D 40006004 00000001 - 2960770 ns R r2 00000001 - 2960770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2960790 ns R r2 80000000 - 2960790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2960810 ns R psr 81000200 - 2960810 ns MR4_I 00000238 48054770 - 2960830 ns MR4_I 00000230 07d2684a - 2960850 ns IT 00000230 684a LDR r2,[r1,#4] - 2960870 ns MR4_I 00000234 6008d1fc - 2960930 ns MR4_D 40006004 00000001 - 2960930 ns R r2 00000001 - 2960930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2960950 ns R r2 80000000 - 2960950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2960970 ns R psr 81000200 - 2960970 ns MR4_I 00000238 48054770 - 2960990 ns MR4_I 00000230 07d2684a - 2961010 ns IT 00000230 684a LDR r2,[r1,#4] - 2961030 ns MR4_I 00000234 6008d1fc - 2961090 ns MR4_D 40006004 00000001 - 2961090 ns R r2 00000001 - 2961090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2961110 ns R r2 80000000 - 2961110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2961130 ns R psr 81000200 - 2961130 ns MR4_I 00000238 48054770 - 2961150 ns MR4_I 00000230 07d2684a - 2961170 ns IT 00000230 684a LDR r2,[r1,#4] - 2961190 ns MR4_I 00000234 6008d1fc - 2961250 ns MR4_D 40006004 00000001 - 2961250 ns R r2 00000001 - 2961250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2961270 ns R r2 80000000 - 2961270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2961290 ns R psr 81000200 - 2961290 ns MR4_I 00000238 48054770 - 2961310 ns MR4_I 00000230 07d2684a - 2961330 ns IT 00000230 684a LDR r2,[r1,#4] - 2961350 ns MR4_I 00000234 6008d1fc - 2961410 ns MR4_D 40006004 00000001 - 2961410 ns R r2 00000001 - 2961410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2961430 ns R r2 80000000 - 2961430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2961450 ns R psr 81000200 - 2961450 ns MR4_I 00000238 48054770 - 2961470 ns MR4_I 00000230 07d2684a - 2961490 ns IT 00000230 684a LDR r2,[r1,#4] - 2961510 ns MR4_I 00000234 6008d1fc - 2961570 ns MR4_D 40006004 00000001 - 2961570 ns R r2 00000001 - 2961570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2961590 ns R r2 80000000 - 2961590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2961610 ns R psr 81000200 - 2961610 ns MR4_I 00000238 48054770 - 2961630 ns MR4_I 00000230 07d2684a - 2961650 ns IT 00000230 684a LDR r2,[r1,#4] - 2961670 ns MR4_I 00000234 6008d1fc - 2961730 ns MR4_D 40006004 00000001 - 2961730 ns R r2 00000001 - 2961730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2961750 ns R r2 80000000 - 2961750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2961770 ns R psr 81000200 - 2961770 ns MR4_I 00000238 48054770 - 2961790 ns MR4_I 00000230 07d2684a - 2961810 ns IT 00000230 684a LDR r2,[r1,#4] - 2961830 ns MR4_I 00000234 6008d1fc - 2961890 ns MR4_D 40006004 00000001 - 2961890 ns R r2 00000001 - 2961890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2961910 ns R r2 80000000 - 2961910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2961930 ns R psr 81000200 - 2961930 ns MR4_I 00000238 48054770 - 2961950 ns MR4_I 00000230 07d2684a - 2961970 ns IT 00000230 684a LDR r2,[r1,#4] - 2961990 ns MR4_I 00000234 6008d1fc - 2962050 ns MR4_D 40006004 00000001 - 2962050 ns R r2 00000001 - 2962050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2962070 ns R r2 80000000 - 2962070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2962090 ns R psr 81000200 - 2962090 ns MR4_I 00000238 48054770 - 2962110 ns MR4_I 00000230 07d2684a - 2962130 ns IT 00000230 684a LDR r2,[r1,#4] - 2962150 ns MR4_I 00000234 6008d1fc - 2962210 ns MR4_D 40006004 00000001 - 2962210 ns R r2 00000001 - 2962210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2962230 ns R r2 80000000 - 2962230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2962250 ns R psr 81000200 - 2962250 ns MR4_I 00000238 48054770 - 2962270 ns MR4_I 00000230 07d2684a - 2962290 ns IT 00000230 684a LDR r2,[r1,#4] - 2962310 ns MR4_I 00000234 6008d1fc - 2962370 ns MR4_D 40006004 00000001 - 2962370 ns R r2 00000001 - 2962370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2962390 ns R r2 80000000 - 2962390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2962410 ns R psr 81000200 - 2962410 ns MR4_I 00000238 48054770 - 2962430 ns MR4_I 00000230 07d2684a - 2962450 ns IT 00000230 684a LDR r2,[r1,#4] - 2962470 ns MR4_I 00000234 6008d1fc - 2962530 ns MR4_D 40006004 00000001 - 2962530 ns R r2 00000001 - 2962530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2962550 ns R r2 80000000 - 2962550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2962570 ns R psr 81000200 - 2962570 ns MR4_I 00000238 48054770 - 2962590 ns MR4_I 00000230 07d2684a - 2962610 ns IT 00000230 684a LDR r2,[r1,#4] - 2962630 ns MR4_I 00000234 6008d1fc - 2962690 ns MR4_D 40006004 00000001 - 2962690 ns R r2 00000001 - 2962690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2962710 ns R r2 80000000 - 2962710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2962730 ns R psr 81000200 - 2962730 ns MR4_I 00000238 48054770 - 2962750 ns MR4_I 00000230 07d2684a - 2962770 ns IT 00000230 684a LDR r2,[r1,#4] - 2962790 ns MR4_I 00000234 6008d1fc - 2962850 ns MR4_D 40006004 00000001 - 2962850 ns R r2 00000001 - 2962850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2962870 ns R r2 80000000 - 2962870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2962890 ns R psr 81000200 - 2962890 ns MR4_I 00000238 48054770 - 2962910 ns MR4_I 00000230 07d2684a - 2962930 ns IT 00000230 684a LDR r2,[r1,#4] - 2962950 ns MR4_I 00000234 6008d1fc - 2963010 ns MR4_D 40006004 00000001 - 2963010 ns R r2 00000001 - 2963010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2963030 ns R r2 80000000 - 2963030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2963050 ns R psr 81000200 - 2963050 ns MR4_I 00000238 48054770 - 2963070 ns MR4_I 00000230 07d2684a - 2963090 ns IT 00000230 684a LDR r2,[r1,#4] - 2963110 ns MR4_I 00000234 6008d1fc - 2963170 ns MR4_D 40006004 00000001 - 2963170 ns R r2 00000001 - 2963170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2963190 ns R r2 80000000 - 2963190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2963210 ns R psr 81000200 - 2963210 ns MR4_I 00000238 48054770 - 2963230 ns MR4_I 00000230 07d2684a - 2963250 ns IT 00000230 684a LDR r2,[r1,#4] - 2963270 ns MR4_I 00000234 6008d1fc - 2963330 ns MR4_D 40006004 00000001 - 2963330 ns R r2 00000001 - 2963330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2963350 ns R r2 80000000 - 2963350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2963370 ns R psr 81000200 - 2963370 ns MR4_I 00000238 48054770 - 2963390 ns MR4_I 00000230 07d2684a - 2963410 ns IT 00000230 684a LDR r2,[r1,#4] - 2963430 ns MR4_I 00000234 6008d1fc - 2963490 ns MR4_D 40006004 00000001 - 2963490 ns R r2 00000001 - 2963490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2963510 ns R r2 80000000 - 2963510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2963530 ns R psr 81000200 - 2963530 ns MR4_I 00000238 48054770 - 2963550 ns MR4_I 00000230 07d2684a - 2963570 ns IT 00000230 684a LDR r2,[r1,#4] - 2963590 ns MR4_I 00000234 6008d1fc - 2963650 ns MR4_D 40006004 00000001 - 2963650 ns R r2 00000001 - 2963650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2963670 ns R r2 80000000 - 2963670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2963690 ns R psr 81000200 - 2963690 ns MR4_I 00000238 48054770 - 2963710 ns MR4_I 00000230 07d2684a - 2963730 ns IT 00000230 684a LDR r2,[r1,#4] - 2963750 ns MR4_I 00000234 6008d1fc - 2963810 ns MR4_D 40006004 00000001 - 2963810 ns R r2 00000001 - 2963810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2963830 ns R r2 80000000 - 2963830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2963850 ns R psr 81000200 - 2963850 ns MR4_I 00000238 48054770 - 2963870 ns MR4_I 00000230 07d2684a - 2963890 ns IT 00000230 684a LDR r2,[r1,#4] - 2963910 ns MR4_I 00000234 6008d1fc - 2963970 ns MR4_D 40006004 00000001 - 2963970 ns R r2 00000001 - 2963970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2963990 ns R r2 80000000 - 2963990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2964010 ns R psr 81000200 - 2964010 ns MR4_I 00000238 48054770 - 2964030 ns MR4_I 00000230 07d2684a - 2964050 ns IT 00000230 684a LDR r2,[r1,#4] - 2964070 ns MR4_I 00000234 6008d1fc - 2964130 ns MR4_D 40006004 00000001 - 2964130 ns R r2 00000001 - 2964130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2964150 ns R r2 80000000 - 2964150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2964170 ns R psr 81000200 - 2964170 ns MR4_I 00000238 48054770 - 2964190 ns MR4_I 00000230 07d2684a - 2964210 ns IT 00000230 684a LDR r2,[r1,#4] - 2964230 ns MR4_I 00000234 6008d1fc - 2964290 ns MR4_D 40006004 00000001 - 2964290 ns R r2 00000001 - 2964290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2964310 ns R r2 80000000 - 2964310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2964330 ns R psr 81000200 - 2964330 ns MR4_I 00000238 48054770 - 2964350 ns MR4_I 00000230 07d2684a - 2964370 ns IT 00000230 684a LDR r2,[r1,#4] - 2964390 ns MR4_I 00000234 6008d1fc - 2964450 ns MR4_D 40006004 00000001 - 2964450 ns R r2 00000001 - 2964450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2964470 ns R r2 80000000 - 2964470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2964490 ns R psr 81000200 - 2964490 ns MR4_I 00000238 48054770 - 2964510 ns MR4_I 00000230 07d2684a - 2964530 ns IT 00000230 684a LDR r2,[r1,#4] - 2964550 ns MR4_I 00000234 6008d1fc - 2964610 ns MR4_D 40006004 00000001 - 2964610 ns R r2 00000001 - 2964610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2964630 ns R r2 80000000 - 2964630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2964650 ns R psr 81000200 - 2964650 ns MR4_I 00000238 48054770 - 2964670 ns MR4_I 00000230 07d2684a - 2964690 ns IT 00000230 684a LDR r2,[r1,#4] - 2964710 ns MR4_I 00000234 6008d1fc - 2964770 ns MR4_D 40006004 00000001 - 2964770 ns R r2 00000001 - 2964770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2964790 ns R r2 80000000 - 2964790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2964810 ns R psr 81000200 - 2964810 ns MR4_I 00000238 48054770 - 2964830 ns MR4_I 00000230 07d2684a - 2964850 ns IT 00000230 684a LDR r2,[r1,#4] - 2964870 ns MR4_I 00000234 6008d1fc - 2964930 ns MR4_D 40006004 00000001 - 2964930 ns R r2 00000001 - 2964930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2964950 ns R r2 80000000 - 2964950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2964970 ns R psr 81000200 - 2964970 ns MR4_I 00000238 48054770 - 2964990 ns MR4_I 00000230 07d2684a - 2965010 ns IT 00000230 684a LDR r2,[r1,#4] - 2965030 ns MR4_I 00000234 6008d1fc - 2965090 ns MR4_D 40006004 00000001 - 2965090 ns R r2 00000001 - 2965090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2965110 ns R r2 80000000 - 2965110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2965130 ns R psr 81000200 - 2965130 ns MR4_I 00000238 48054770 - 2965150 ns MR4_I 00000230 07d2684a - 2965170 ns IT 00000230 684a LDR r2,[r1,#4] - 2965190 ns MR4_I 00000234 6008d1fc - 2965250 ns MR4_D 40006004 00000001 - 2965250 ns R r2 00000001 - 2965250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2965270 ns R r2 80000000 - 2965270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2965290 ns R psr 81000200 - 2965290 ns MR4_I 00000238 48054770 - 2965310 ns MR4_I 00000230 07d2684a - 2965330 ns IT 00000230 684a LDR r2,[r1,#4] - 2965350 ns MR4_I 00000234 6008d1fc - 2965410 ns MR4_D 40006004 00000001 - 2965410 ns R r2 00000001 - 2965410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2965430 ns R r2 80000000 - 2965430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2965450 ns R psr 81000200 - 2965450 ns MR4_I 00000238 48054770 - 2965470 ns MR4_I 00000230 07d2684a - 2965490 ns IT 00000230 684a LDR r2,[r1,#4] - 2965510 ns MR4_I 00000234 6008d1fc - 2965570 ns MR4_D 40006004 00000001 - 2965570 ns R r2 00000001 - 2965570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2965590 ns R r2 80000000 - 2965590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2965610 ns R psr 81000200 - 2965610 ns MR4_I 00000238 48054770 - 2965630 ns MR4_I 00000230 07d2684a - 2965650 ns IT 00000230 684a LDR r2,[r1,#4] - 2965670 ns MR4_I 00000234 6008d1fc - 2965730 ns MR4_D 40006004 00000001 - 2965730 ns R r2 00000001 - 2965730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2965750 ns R r2 80000000 - 2965750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2965770 ns R psr 81000200 - 2965770 ns MR4_I 00000238 48054770 - 2965790 ns MR4_I 00000230 07d2684a - 2965810 ns IT 00000230 684a LDR r2,[r1,#4] - 2965830 ns MR4_I 00000234 6008d1fc - 2965890 ns MR4_D 40006004 00000001 - 2965890 ns R r2 00000001 - 2965890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2965910 ns R r2 80000000 - 2965910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2965930 ns R psr 81000200 - 2965930 ns MR4_I 00000238 48054770 - 2965950 ns MR4_I 00000230 07d2684a - 2965970 ns IT 00000230 684a LDR r2,[r1,#4] - 2965990 ns MR4_I 00000234 6008d1fc - 2966050 ns MR4_D 40006004 00000001 - 2966050 ns R r2 00000001 - 2966050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2966070 ns R r2 80000000 - 2966070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2966090 ns R psr 81000200 - 2966090 ns MR4_I 00000238 48054770 - 2966110 ns MR4_I 00000230 07d2684a - 2966130 ns IT 00000230 684a LDR r2,[r1,#4] - 2966150 ns MR4_I 00000234 6008d1fc - 2966210 ns MR4_D 40006004 00000001 - 2966210 ns R r2 00000001 - 2966210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2966230 ns R r2 80000000 - 2966230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2966250 ns R psr 81000200 - 2966250 ns MR4_I 00000238 48054770 - 2966270 ns MR4_I 00000230 07d2684a - 2966290 ns IT 00000230 684a LDR r2,[r1,#4] - 2966310 ns MR4_I 00000234 6008d1fc - 2966370 ns MR4_D 40006004 00000001 - 2966370 ns R r2 00000001 - 2966370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2966390 ns R r2 80000000 - 2966390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2966410 ns R psr 81000200 - 2966410 ns MR4_I 00000238 48054770 - 2966430 ns MR4_I 00000230 07d2684a - 2966450 ns IT 00000230 684a LDR r2,[r1,#4] - 2966470 ns MR4_I 00000234 6008d1fc - 2966530 ns MR4_D 40006004 00000001 - 2966530 ns R r2 00000001 - 2966530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2966550 ns R r2 80000000 - 2966550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2966570 ns R psr 81000200 - 2966570 ns MR4_I 00000238 48054770 - 2966590 ns MR4_I 00000230 07d2684a - 2966610 ns IT 00000230 684a LDR r2,[r1,#4] - 2966630 ns MR4_I 00000234 6008d1fc - 2966690 ns MR4_D 40006004 00000001 - 2966690 ns R r2 00000001 - 2966690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2966710 ns R r2 80000000 - 2966710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2966730 ns R psr 81000200 - 2966730 ns MR4_I 00000238 48054770 - 2966750 ns MR4_I 00000230 07d2684a - 2966770 ns IT 00000230 684a LDR r2,[r1,#4] - 2966790 ns MR4_I 00000234 6008d1fc - 2966850 ns MR4_D 40006004 00000001 - 2966850 ns R r2 00000001 - 2966850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2966870 ns R r2 80000000 - 2966870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2966890 ns R psr 81000200 - 2966890 ns MR4_I 00000238 48054770 - 2966910 ns MR4_I 00000230 07d2684a - 2966930 ns IT 00000230 684a LDR r2,[r1,#4] - 2966950 ns MR4_I 00000234 6008d1fc - 2967010 ns MR4_D 40006004 00000001 - 2967010 ns R r2 00000001 - 2967010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2967030 ns R r2 80000000 - 2967030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2967050 ns R psr 81000200 - 2967050 ns MR4_I 00000238 48054770 - 2967070 ns MR4_I 00000230 07d2684a - 2967090 ns IT 00000230 684a LDR r2,[r1,#4] - 2967110 ns MR4_I 00000234 6008d1fc - 2967170 ns MR4_D 40006004 00000001 - 2967170 ns R r2 00000001 - 2967170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2967190 ns R r2 80000000 - 2967190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2967210 ns R psr 81000200 - 2967210 ns MR4_I 00000238 48054770 - 2967230 ns MR4_I 00000230 07d2684a - 2967250 ns IT 00000230 684a LDR r2,[r1,#4] - 2967270 ns MR4_I 00000234 6008d1fc - 2967330 ns MR4_D 40006004 00000001 - 2967330 ns R r2 00000001 - 2967330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2967350 ns R r2 80000000 - 2967350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2967370 ns R psr 81000200 - 2967370 ns MR4_I 00000238 48054770 - 2967390 ns MR4_I 00000230 07d2684a - 2967410 ns IT 00000230 684a LDR r2,[r1,#4] - 2967430 ns MR4_I 00000234 6008d1fc - 2967490 ns MR4_D 40006004 00000001 - 2967490 ns R r2 00000001 - 2967490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2967510 ns R r2 80000000 - 2967510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2967530 ns R psr 81000200 - 2967530 ns MR4_I 00000238 48054770 - 2967550 ns MR4_I 00000230 07d2684a - 2967570 ns IT 00000230 684a LDR r2,[r1,#4] - 2967590 ns MR4_I 00000234 6008d1fc - 2967650 ns MR4_D 40006004 00000001 - 2967650 ns R r2 00000001 - 2967650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2967670 ns R r2 80000000 - 2967670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2967690 ns R psr 81000200 - 2967690 ns MR4_I 00000238 48054770 - 2967710 ns MR4_I 00000230 07d2684a - 2967730 ns IT 00000230 684a LDR r2,[r1,#4] - 2967750 ns MR4_I 00000234 6008d1fc - 2967810 ns MR4_D 40006004 00000001 - 2967810 ns R r2 00000001 - 2967810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2967830 ns R r2 80000000 - 2967830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2967850 ns R psr 81000200 - 2967850 ns MR4_I 00000238 48054770 - 2967870 ns MR4_I 00000230 07d2684a - 2967890 ns IT 00000230 684a LDR r2,[r1,#4] - 2967910 ns MR4_I 00000234 6008d1fc - 2967970 ns MR4_D 40006004 00000001 - 2967970 ns R r2 00000001 - 2967970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2967990 ns R r2 80000000 - 2967990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2968010 ns R psr 81000200 - 2968010 ns MR4_I 00000238 48054770 - 2968030 ns MR4_I 00000230 07d2684a - 2968050 ns IT 00000230 684a LDR r2,[r1,#4] - 2968070 ns MR4_I 00000234 6008d1fc - 2968130 ns MR4_D 40006004 00000001 - 2968130 ns R r2 00000001 - 2968130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2968150 ns R r2 80000000 - 2968150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2968170 ns R psr 81000200 - 2968170 ns MR4_I 00000238 48054770 - 2968190 ns MR4_I 00000230 07d2684a - 2968210 ns IT 00000230 684a LDR r2,[r1,#4] - 2968230 ns MR4_I 00000234 6008d1fc - 2968290 ns MR4_D 40006004 00000001 - 2968290 ns R r2 00000001 - 2968290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2968310 ns R r2 80000000 - 2968310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2968330 ns R psr 81000200 - 2968330 ns MR4_I 00000238 48054770 - 2968350 ns MR4_I 00000230 07d2684a - 2968370 ns IT 00000230 684a LDR r2,[r1,#4] - 2968390 ns MR4_I 00000234 6008d1fc - 2968450 ns MR4_D 40006004 00000001 - 2968450 ns R r2 00000001 - 2968450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2968470 ns R r2 80000000 - 2968470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2968490 ns R psr 81000200 - 2968490 ns MR4_I 00000238 48054770 - 2968510 ns MR4_I 00000230 07d2684a - 2968530 ns IT 00000230 684a LDR r2,[r1,#4] - 2968550 ns MR4_I 00000234 6008d1fc - 2968610 ns MR4_D 40006004 00000001 - 2968610 ns R r2 00000001 - 2968610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2968630 ns R r2 80000000 - 2968630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2968650 ns R psr 81000200 - 2968650 ns MR4_I 00000238 48054770 - 2968670 ns MR4_I 00000230 07d2684a - 2968690 ns IT 00000230 684a LDR r2,[r1,#4] - 2968710 ns MR4_I 00000234 6008d1fc - 2968770 ns MR4_D 40006004 00000001 - 2968770 ns R r2 00000001 - 2968770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2968790 ns R r2 80000000 - 2968790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2968810 ns R psr 81000200 - 2968810 ns MR4_I 00000238 48054770 - 2968830 ns MR4_I 00000230 07d2684a - 2968850 ns IT 00000230 684a LDR r2,[r1,#4] - 2968870 ns MR4_I 00000234 6008d1fc - 2968930 ns MR4_D 40006004 00000001 - 2968930 ns R r2 00000001 - 2968930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2968950 ns R r2 80000000 - 2968950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2968970 ns R psr 81000200 - 2968970 ns MR4_I 00000238 48054770 - 2968990 ns MR4_I 00000230 07d2684a - 2969010 ns IT 00000230 684a LDR r2,[r1,#4] - 2969030 ns MR4_I 00000234 6008d1fc - 2969090 ns MR4_D 40006004 00000001 - 2969090 ns R r2 00000001 - 2969090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2969110 ns R r2 80000000 - 2969110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2969130 ns R psr 81000200 - 2969130 ns MR4_I 00000238 48054770 - 2969150 ns MR4_I 00000230 07d2684a - 2969170 ns IT 00000230 684a LDR r2,[r1,#4] - 2969190 ns MR4_I 00000234 6008d1fc - 2969250 ns MR4_D 40006004 00000001 - 2969250 ns R r2 00000001 - 2969250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2969270 ns R r2 80000000 - 2969270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2969290 ns R psr 81000200 - 2969290 ns MR4_I 00000238 48054770 - 2969310 ns MR4_I 00000230 07d2684a - 2969330 ns IT 00000230 684a LDR r2,[r1,#4] - 2969350 ns MR4_I 00000234 6008d1fc - 2969410 ns MR4_D 40006004 00000001 - 2969410 ns R r2 00000001 - 2969410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2969430 ns R r2 80000000 - 2969430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2969450 ns R psr 81000200 - 2969450 ns MR4_I 00000238 48054770 - 2969470 ns MR4_I 00000230 07d2684a - 2969490 ns IT 00000230 684a LDR r2,[r1,#4] - 2969510 ns MR4_I 00000234 6008d1fc - 2969570 ns MR4_D 40006004 00000001 - 2969570 ns R r2 00000001 - 2969570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2969590 ns R r2 80000000 - 2969590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2969610 ns R psr 81000200 - 2969610 ns MR4_I 00000238 48054770 - 2969630 ns MR4_I 00000230 07d2684a - 2969650 ns IT 00000230 684a LDR r2,[r1,#4] - 2969670 ns MR4_I 00000234 6008d1fc - 2969730 ns MR4_D 40006004 00000001 - 2969730 ns R r2 00000001 - 2969730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2969750 ns R r2 80000000 - 2969750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2969770 ns R psr 81000200 - 2969770 ns MR4_I 00000238 48054770 - 2969790 ns MR4_I 00000230 07d2684a - 2969810 ns IT 00000230 684a LDR r2,[r1,#4] - 2969830 ns MR4_I 00000234 6008d1fc - 2969890 ns MR4_D 40006004 00000001 - 2969890 ns R r2 00000001 - 2969890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2969910 ns R r2 80000000 - 2969910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2969930 ns R psr 81000200 - 2969930 ns MR4_I 00000238 48054770 - 2969950 ns MR4_I 00000230 07d2684a - 2969970 ns IT 00000230 684a LDR r2,[r1,#4] - 2969990 ns MR4_I 00000234 6008d1fc - 2970050 ns MR4_D 40006004 00000001 - 2970050 ns R r2 00000001 - 2970050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2970070 ns R r2 80000000 - 2970070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2970090 ns R psr 81000200 - 2970090 ns MR4_I 00000238 48054770 - 2970110 ns MR4_I 00000230 07d2684a - 2970130 ns IT 00000230 684a LDR r2,[r1,#4] - 2970150 ns MR4_I 00000234 6008d1fc - 2970210 ns MR4_D 40006004 00000001 - 2970210 ns R r2 00000001 - 2970210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2970230 ns R r2 80000000 - 2970230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2970250 ns R psr 81000200 - 2970250 ns MR4_I 00000238 48054770 - 2970270 ns MR4_I 00000230 07d2684a - 2970290 ns IT 00000230 684a LDR r2,[r1,#4] - 2970310 ns MR4_I 00000234 6008d1fc - 2970370 ns MR4_D 40006004 00000000 - 2970370 ns R r2 00000000 - 2970370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2970390 ns R r2 00000000 - 2970390 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2970410 ns R psr 41000200 - 2970410 ns MR4_I 00000238 48054770 - 2970410 ns IT 00000236 6008 STR r0,[r1,#0] - 2970490 ns MW4_D 40006000 00000045 - 2970490 ns IT 00000238 4770 BX lr - 2970510 ns MR4_I 0000023c 07896841 - 2970530 ns R psr 41000200 - 2970530 ns MR4_I 000001fc b510bd10 - 2970550 ns IT 000001fc bd10 POP {r4,pc} - 2970570 ns MR4_I 00000200 f81bf000 - 2970570 ns R r13 200002e0 (MSP) - 2970590 ns MR4_D 200002d8 0000000c - 2970590 ns R r4 0000000c - 2970610 ns MR4_D 200002dc 0000032d - 2970630 ns R psr 41000200 - 2970650 ns MR4_I 0000032c 46301c64 - 2970670 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 2970690 ns MR4_I 00000330 280047a8 - 2970690 ns R r4 0000000d - 2970690 ns IT 0000032e 4630 MOV r0,r6 - 2970710 ns R psr 01000200 - 2970710 ns R r0 200002f8 - 2970710 ns IT 00000330 47a8 BLX r5 - 2970730 ns MR4_I 00000334 4620d1f8 - 2970750 ns R psr 01000200 - 2970750 ns MR4_I 000002a8 1c4a6901 - 2970750 ns R r14 00000333 - 2970770 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 2970790 ns MR4_I 000002ac 78086102 - 2970810 ns MR4_D 20000308 0000019d - 2970810 ns R r1 0000019d - 2970810 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 2970830 ns R r2 0000019e - 2970830 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 2970850 ns R psr 01000200 - 2970850 ns MR4_I 000002b0 b5004770 - 2970870 ns MW4_D 20000308 0000019e - 2970870 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 2970910 ns MR1_D 0000019d 2a204445 - 2970910 ns R r0 00000044 - 2970910 ns IT 000002b0 4770 BX lr - 2970930 ns MR4_I 000002b4 9102b08f - 2970950 ns R psr 01000200 - 2970950 ns MR4_I 00000330 280047a8 - 2970970 ns MR4_I 00000334 4620d1f8 - 2970970 ns IT 00000332 2800 CMP r0,#0 - 2970990 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 2971010 ns R psr 21000200 - 2971010 ns MR4_I 00000338 b510bdf8 - 2971030 ns MR4_I 00000328 47b89900 - 2971050 ns IT 00000328 9900 LDR r1,[sp,#0] - 2971070 ns MR4_I 0000032c 46301c64 - 2971090 ns MR4_D 200002e0 20000004 - 2971090 ns R r1 20000004 - 2971090 ns IT 0000032a 47b8 BLX r7 - 2971130 ns R psr 21000200 - 2971130 ns MR4_I 000001f4 b2c0b510 - 2971130 ns R r14 0000032d - 2971150 ns IT 000001f4 b510 PUSH {r4,lr} - 2971170 ns MR4_I 000001f8 f819f000 - 2971190 ns MW4_D 200002d8 0000000d - 2971210 ns MW4_D 200002dc 0000032d - 2971210 ns R r13 200002d8 (MSP) - 2971210 ns IT 000001f6 b2c0 UXTB r0,r0 - 2971230 ns R r0 00000044 - 2971230 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 2971250 ns MR4_I 000001fc b510bd10 - 2971270 ns R r14 000001fd - 2971290 ns MR4_I 0000022c 49084770 - 2971310 ns MR4_I 00000230 07d2684a - 2971310 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 2971350 ns MR4_D 00000250 40006000 - 2971350 ns R r1 40006000 - 2971350 ns IT 00000230 684a LDR r2,[r1,#4] - 2971370 ns MR4_I 00000234 6008d1fc - 2971430 ns MR4_D 40006004 00000001 - 2971430 ns R r2 00000001 - 2971430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2971450 ns R r2 80000000 - 2971450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2971470 ns R psr 81000200 - 2971470 ns MR4_I 00000238 48054770 - 2971490 ns MR4_I 00000230 07d2684a - 2971510 ns IT 00000230 684a LDR r2,[r1,#4] - 2971530 ns MR4_I 00000234 6008d1fc - 2971590 ns MR4_D 40006004 00000001 - 2971590 ns R r2 00000001 - 2971590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2971610 ns R r2 80000000 - 2971610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2971630 ns R psr 81000200 - 2971630 ns MR4_I 00000238 48054770 - 2971650 ns MR4_I 00000230 07d2684a - 2971670 ns IT 00000230 684a LDR r2,[r1,#4] - 2971690 ns MR4_I 00000234 6008d1fc - 2971750 ns MR4_D 40006004 00000001 - 2971750 ns R r2 00000001 - 2971750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2971770 ns R r2 80000000 - 2971770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2971790 ns R psr 81000200 - 2971790 ns MR4_I 00000238 48054770 - 2971810 ns MR4_I 00000230 07d2684a - 2971830 ns IT 00000230 684a LDR r2,[r1,#4] - 2971850 ns MR4_I 00000234 6008d1fc - 2971910 ns MR4_D 40006004 00000001 - 2971910 ns R r2 00000001 - 2971910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2971930 ns R r2 80000000 - 2971930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2971950 ns R psr 81000200 - 2971950 ns MR4_I 00000238 48054770 - 2971970 ns MR4_I 00000230 07d2684a - 2971990 ns IT 00000230 684a LDR r2,[r1,#4] - 2972010 ns MR4_I 00000234 6008d1fc - 2972070 ns MR4_D 40006004 00000001 - 2972070 ns R r2 00000001 - 2972070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2972090 ns R r2 80000000 - 2972090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2972110 ns R psr 81000200 - 2972110 ns MR4_I 00000238 48054770 - 2972130 ns MR4_I 00000230 07d2684a - 2972150 ns IT 00000230 684a LDR r2,[r1,#4] - 2972170 ns MR4_I 00000234 6008d1fc - 2972230 ns MR4_D 40006004 00000001 - 2972230 ns R r2 00000001 - 2972230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2972250 ns R r2 80000000 - 2972250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2972270 ns R psr 81000200 - 2972270 ns MR4_I 00000238 48054770 - 2972290 ns MR4_I 00000230 07d2684a - 2972310 ns IT 00000230 684a LDR r2,[r1,#4] - 2972330 ns MR4_I 00000234 6008d1fc - 2972390 ns MR4_D 40006004 00000001 - 2972390 ns R r2 00000001 - 2972390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2972410 ns R r2 80000000 - 2972410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2972430 ns R psr 81000200 - 2972430 ns MR4_I 00000238 48054770 - 2972450 ns MR4_I 00000230 07d2684a - 2972470 ns IT 00000230 684a LDR r2,[r1,#4] - 2972490 ns MR4_I 00000234 6008d1fc - 2972550 ns MR4_D 40006004 00000001 - 2972550 ns R r2 00000001 - 2972550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2972570 ns R r2 80000000 - 2972570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2972590 ns R psr 81000200 - 2972590 ns MR4_I 00000238 48054770 - 2972610 ns MR4_I 00000230 07d2684a - 2972630 ns IT 00000230 684a LDR r2,[r1,#4] - 2972650 ns MR4_I 00000234 6008d1fc - 2972710 ns MR4_D 40006004 00000001 - 2972710 ns R r2 00000001 - 2972710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2972730 ns R r2 80000000 - 2972730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2972750 ns R psr 81000200 - 2972750 ns MR4_I 00000238 48054770 - 2972770 ns MR4_I 00000230 07d2684a - 2972790 ns IT 00000230 684a LDR r2,[r1,#4] - 2972810 ns MR4_I 00000234 6008d1fc - 2972870 ns MR4_D 40006004 00000001 - 2972870 ns R r2 00000001 - 2972870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2972890 ns R r2 80000000 - 2972890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2972910 ns R psr 81000200 - 2972910 ns MR4_I 00000238 48054770 - 2972930 ns MR4_I 00000230 07d2684a - 2972950 ns IT 00000230 684a LDR r2,[r1,#4] - 2972970 ns MR4_I 00000234 6008d1fc - 2973030 ns MR4_D 40006004 00000001 - 2973030 ns R r2 00000001 - 2973030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2973050 ns R r2 80000000 - 2973050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2973070 ns R psr 81000200 - 2973070 ns MR4_I 00000238 48054770 - 2973090 ns MR4_I 00000230 07d2684a - 2973110 ns IT 00000230 684a LDR r2,[r1,#4] - 2973130 ns MR4_I 00000234 6008d1fc - 2973190 ns MR4_D 40006004 00000001 - 2973190 ns R r2 00000001 - 2973190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2973210 ns R r2 80000000 - 2973210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2973230 ns R psr 81000200 - 2973230 ns MR4_I 00000238 48054770 - 2973250 ns MR4_I 00000230 07d2684a - 2973270 ns IT 00000230 684a LDR r2,[r1,#4] - 2973290 ns MR4_I 00000234 6008d1fc - 2973350 ns MR4_D 40006004 00000001 - 2973350 ns R r2 00000001 - 2973350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2973370 ns R r2 80000000 - 2973370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2973390 ns R psr 81000200 - 2973390 ns MR4_I 00000238 48054770 - 2973410 ns MR4_I 00000230 07d2684a - 2973430 ns IT 00000230 684a LDR r2,[r1,#4] - 2973450 ns MR4_I 00000234 6008d1fc - 2973510 ns MR4_D 40006004 00000001 - 2973510 ns R r2 00000001 - 2973510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2973530 ns R r2 80000000 - 2973530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2973550 ns R psr 81000200 - 2973550 ns MR4_I 00000238 48054770 - 2973570 ns MR4_I 00000230 07d2684a - 2973590 ns IT 00000230 684a LDR r2,[r1,#4] - 2973610 ns MR4_I 00000234 6008d1fc - 2973670 ns MR4_D 40006004 00000001 - 2973670 ns R r2 00000001 - 2973670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2973690 ns R r2 80000000 - 2973690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2973710 ns R psr 81000200 - 2973710 ns MR4_I 00000238 48054770 - 2973730 ns MR4_I 00000230 07d2684a - 2973750 ns IT 00000230 684a LDR r2,[r1,#4] - 2973770 ns MR4_I 00000234 6008d1fc - 2973830 ns MR4_D 40006004 00000001 - 2973830 ns R r2 00000001 - 2973830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2973850 ns R r2 80000000 - 2973850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2973870 ns R psr 81000200 - 2973870 ns MR4_I 00000238 48054770 - 2973890 ns MR4_I 00000230 07d2684a - 2973910 ns IT 00000230 684a LDR r2,[r1,#4] - 2973930 ns MR4_I 00000234 6008d1fc - 2973990 ns MR4_D 40006004 00000001 - 2973990 ns R r2 00000001 - 2973990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2974010 ns R r2 80000000 - 2974010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2974030 ns R psr 81000200 - 2974030 ns MR4_I 00000238 48054770 - 2974050 ns MR4_I 00000230 07d2684a - 2974070 ns IT 00000230 684a LDR r2,[r1,#4] - 2974090 ns MR4_I 00000234 6008d1fc - 2974150 ns MR4_D 40006004 00000001 - 2974150 ns R r2 00000001 - 2974150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2974170 ns R r2 80000000 - 2974170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2974190 ns R psr 81000200 - 2974190 ns MR4_I 00000238 48054770 - 2974210 ns MR4_I 00000230 07d2684a - 2974230 ns IT 00000230 684a LDR r2,[r1,#4] - 2974250 ns MR4_I 00000234 6008d1fc - 2974310 ns MR4_D 40006004 00000001 - 2974310 ns R r2 00000001 - 2974310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2974330 ns R r2 80000000 - 2974330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2974350 ns R psr 81000200 - 2974350 ns MR4_I 00000238 48054770 - 2974370 ns MR4_I 00000230 07d2684a - 2974390 ns IT 00000230 684a LDR r2,[r1,#4] - 2974410 ns MR4_I 00000234 6008d1fc - 2974470 ns MR4_D 40006004 00000001 - 2974470 ns R r2 00000001 - 2974470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2974490 ns R r2 80000000 - 2974490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2974510 ns R psr 81000200 - 2974510 ns MR4_I 00000238 48054770 - 2974530 ns MR4_I 00000230 07d2684a - 2974550 ns IT 00000230 684a LDR r2,[r1,#4] - 2974570 ns MR4_I 00000234 6008d1fc - 2974630 ns MR4_D 40006004 00000001 - 2974630 ns R r2 00000001 - 2974630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2974650 ns R r2 80000000 - 2974650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2974670 ns R psr 81000200 - 2974670 ns MR4_I 00000238 48054770 - 2974690 ns MR4_I 00000230 07d2684a - 2974710 ns IT 00000230 684a LDR r2,[r1,#4] - 2974730 ns MR4_I 00000234 6008d1fc - 2974790 ns MR4_D 40006004 00000001 - 2974790 ns R r2 00000001 - 2974790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2974810 ns R r2 80000000 - 2974810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2974830 ns R psr 81000200 - 2974830 ns MR4_I 00000238 48054770 - 2974850 ns MR4_I 00000230 07d2684a - 2974870 ns IT 00000230 684a LDR r2,[r1,#4] - 2974890 ns MR4_I 00000234 6008d1fc - 2974950 ns MR4_D 40006004 00000001 - 2974950 ns R r2 00000001 - 2974950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2974970 ns R r2 80000000 - 2974970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2974990 ns R psr 81000200 - 2974990 ns MR4_I 00000238 48054770 - 2975010 ns MR4_I 00000230 07d2684a - 2975030 ns IT 00000230 684a LDR r2,[r1,#4] - 2975050 ns MR4_I 00000234 6008d1fc - 2975110 ns MR4_D 40006004 00000001 - 2975110 ns R r2 00000001 - 2975110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2975130 ns R r2 80000000 - 2975130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2975150 ns R psr 81000200 - 2975150 ns MR4_I 00000238 48054770 - 2975170 ns MR4_I 00000230 07d2684a - 2975190 ns IT 00000230 684a LDR r2,[r1,#4] - 2975210 ns MR4_I 00000234 6008d1fc - 2975270 ns MR4_D 40006004 00000001 - 2975270 ns R r2 00000001 - 2975270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2975290 ns R r2 80000000 - 2975290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2975310 ns R psr 81000200 - 2975310 ns MR4_I 00000238 48054770 - 2975330 ns MR4_I 00000230 07d2684a - 2975350 ns IT 00000230 684a LDR r2,[r1,#4] - 2975370 ns MR4_I 00000234 6008d1fc - 2975430 ns MR4_D 40006004 00000001 - 2975430 ns R r2 00000001 - 2975430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2975450 ns R r2 80000000 - 2975450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2975470 ns R psr 81000200 - 2975470 ns MR4_I 00000238 48054770 - 2975490 ns MR4_I 00000230 07d2684a - 2975510 ns IT 00000230 684a LDR r2,[r1,#4] - 2975530 ns MR4_I 00000234 6008d1fc - 2975590 ns MR4_D 40006004 00000001 - 2975590 ns R r2 00000001 - 2975590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2975610 ns R r2 80000000 - 2975610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2975630 ns R psr 81000200 - 2975630 ns MR4_I 00000238 48054770 - 2975650 ns MR4_I 00000230 07d2684a - 2975670 ns IT 00000230 684a LDR r2,[r1,#4] - 2975690 ns MR4_I 00000234 6008d1fc - 2975750 ns MR4_D 40006004 00000001 - 2975750 ns R r2 00000001 - 2975750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2975770 ns R r2 80000000 - 2975770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2975790 ns R psr 81000200 - 2975790 ns MR4_I 00000238 48054770 - 2975810 ns MR4_I 00000230 07d2684a - 2975830 ns IT 00000230 684a LDR r2,[r1,#4] - 2975850 ns MR4_I 00000234 6008d1fc - 2975910 ns MR4_D 40006004 00000001 - 2975910 ns R r2 00000001 - 2975910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2975930 ns R r2 80000000 - 2975930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2975950 ns R psr 81000200 - 2975950 ns MR4_I 00000238 48054770 - 2975970 ns MR4_I 00000230 07d2684a - 2975990 ns IT 00000230 684a LDR r2,[r1,#4] - 2976010 ns MR4_I 00000234 6008d1fc - 2976070 ns MR4_D 40006004 00000001 - 2976070 ns R r2 00000001 - 2976070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2976090 ns R r2 80000000 - 2976090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2976110 ns R psr 81000200 - 2976110 ns MR4_I 00000238 48054770 - 2976130 ns MR4_I 00000230 07d2684a - 2976150 ns IT 00000230 684a LDR r2,[r1,#4] - 2976170 ns MR4_I 00000234 6008d1fc - 2976230 ns MR4_D 40006004 00000001 - 2976230 ns R r2 00000001 - 2976230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2976250 ns R r2 80000000 - 2976250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2976270 ns R psr 81000200 - 2976270 ns MR4_I 00000238 48054770 - 2976290 ns MR4_I 00000230 07d2684a - 2976310 ns IT 00000230 684a LDR r2,[r1,#4] - 2976330 ns MR4_I 00000234 6008d1fc - 2976390 ns MR4_D 40006004 00000001 - 2976390 ns R r2 00000001 - 2976390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2976410 ns R r2 80000000 - 2976410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2976430 ns R psr 81000200 - 2976430 ns MR4_I 00000238 48054770 - 2976450 ns MR4_I 00000230 07d2684a - 2976470 ns IT 00000230 684a LDR r2,[r1,#4] - 2976490 ns MR4_I 00000234 6008d1fc - 2976550 ns MR4_D 40006004 00000001 - 2976550 ns R r2 00000001 - 2976550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2976570 ns R r2 80000000 - 2976570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2976590 ns R psr 81000200 - 2976590 ns MR4_I 00000238 48054770 - 2976610 ns MR4_I 00000230 07d2684a - 2976630 ns IT 00000230 684a LDR r2,[r1,#4] - 2976650 ns MR4_I 00000234 6008d1fc - 2976710 ns MR4_D 40006004 00000001 - 2976710 ns R r2 00000001 - 2976710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2976730 ns R r2 80000000 - 2976730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2976750 ns R psr 81000200 - 2976750 ns MR4_I 00000238 48054770 - 2976770 ns MR4_I 00000230 07d2684a - 2976790 ns IT 00000230 684a LDR r2,[r1,#4] - 2976810 ns MR4_I 00000234 6008d1fc - 2976870 ns MR4_D 40006004 00000001 - 2976870 ns R r2 00000001 - 2976870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2976890 ns R r2 80000000 - 2976890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2976910 ns R psr 81000200 - 2976910 ns MR4_I 00000238 48054770 - 2976930 ns MR4_I 00000230 07d2684a - 2976950 ns IT 00000230 684a LDR r2,[r1,#4] - 2976970 ns MR4_I 00000234 6008d1fc - 2977030 ns MR4_D 40006004 00000001 - 2977030 ns R r2 00000001 - 2977030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2977050 ns R r2 80000000 - 2977050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2977070 ns R psr 81000200 - 2977070 ns MR4_I 00000238 48054770 - 2977090 ns MR4_I 00000230 07d2684a - 2977110 ns IT 00000230 684a LDR r2,[r1,#4] - 2977130 ns MR4_I 00000234 6008d1fc - 2977190 ns MR4_D 40006004 00000001 - 2977190 ns R r2 00000001 - 2977190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2977210 ns R r2 80000000 - 2977210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2977230 ns R psr 81000200 - 2977230 ns MR4_I 00000238 48054770 - 2977250 ns MR4_I 00000230 07d2684a - 2977270 ns IT 00000230 684a LDR r2,[r1,#4] - 2977290 ns MR4_I 00000234 6008d1fc - 2977350 ns MR4_D 40006004 00000001 - 2977350 ns R r2 00000001 - 2977350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2977370 ns R r2 80000000 - 2977370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2977390 ns R psr 81000200 - 2977390 ns MR4_I 00000238 48054770 - 2977410 ns MR4_I 00000230 07d2684a - 2977430 ns IT 00000230 684a LDR r2,[r1,#4] - 2977450 ns MR4_I 00000234 6008d1fc - 2977510 ns MR4_D 40006004 00000001 - 2977510 ns R r2 00000001 - 2977510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2977530 ns R r2 80000000 - 2977530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2977550 ns R psr 81000200 - 2977550 ns MR4_I 00000238 48054770 - 2977570 ns MR4_I 00000230 07d2684a - 2977590 ns IT 00000230 684a LDR r2,[r1,#4] - 2977610 ns MR4_I 00000234 6008d1fc - 2977670 ns MR4_D 40006004 00000001 - 2977670 ns R r2 00000001 - 2977670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2977690 ns R r2 80000000 - 2977690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2977710 ns R psr 81000200 - 2977710 ns MR4_I 00000238 48054770 - 2977730 ns MR4_I 00000230 07d2684a - 2977750 ns IT 00000230 684a LDR r2,[r1,#4] - 2977770 ns MR4_I 00000234 6008d1fc - 2977830 ns MR4_D 40006004 00000001 - 2977830 ns R r2 00000001 - 2977830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2977850 ns R r2 80000000 - 2977850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2977870 ns R psr 81000200 - 2977870 ns MR4_I 00000238 48054770 - 2977890 ns MR4_I 00000230 07d2684a - 2977910 ns IT 00000230 684a LDR r2,[r1,#4] - 2977930 ns MR4_I 00000234 6008d1fc - 2977990 ns MR4_D 40006004 00000001 - 2977990 ns R r2 00000001 - 2977990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2978010 ns R r2 80000000 - 2978010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2978030 ns R psr 81000200 - 2978030 ns MR4_I 00000238 48054770 - 2978050 ns MR4_I 00000230 07d2684a - 2978070 ns IT 00000230 684a LDR r2,[r1,#4] - 2978090 ns MR4_I 00000234 6008d1fc - 2978150 ns MR4_D 40006004 00000001 - 2978150 ns R r2 00000001 - 2978150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2978170 ns R r2 80000000 - 2978170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2978190 ns R psr 81000200 - 2978190 ns MR4_I 00000238 48054770 - 2978210 ns MR4_I 00000230 07d2684a - 2978230 ns IT 00000230 684a LDR r2,[r1,#4] - 2978250 ns MR4_I 00000234 6008d1fc - 2978310 ns MR4_D 40006004 00000001 - 2978310 ns R r2 00000001 - 2978310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2978330 ns R r2 80000000 - 2978330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2978350 ns R psr 81000200 - 2978350 ns MR4_I 00000238 48054770 - 2978370 ns MR4_I 00000230 07d2684a - 2978390 ns IT 00000230 684a LDR r2,[r1,#4] - 2978410 ns MR4_I 00000234 6008d1fc - 2978470 ns MR4_D 40006004 00000001 - 2978470 ns R r2 00000001 - 2978470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2978490 ns R r2 80000000 - 2978490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2978510 ns R psr 81000200 - 2978510 ns MR4_I 00000238 48054770 - 2978530 ns MR4_I 00000230 07d2684a - 2978550 ns IT 00000230 684a LDR r2,[r1,#4] - 2978570 ns MR4_I 00000234 6008d1fc - 2978630 ns MR4_D 40006004 00000001 - 2978630 ns R r2 00000001 - 2978630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2978650 ns R r2 80000000 - 2978650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2978670 ns R psr 81000200 - 2978670 ns MR4_I 00000238 48054770 - 2978690 ns MR4_I 00000230 07d2684a - 2978710 ns IT 00000230 684a LDR r2,[r1,#4] - 2978730 ns MR4_I 00000234 6008d1fc - 2978790 ns MR4_D 40006004 00000001 - 2978790 ns R r2 00000001 - 2978790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2978810 ns R r2 80000000 - 2978810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2978830 ns R psr 81000200 - 2978830 ns MR4_I 00000238 48054770 - 2978850 ns MR4_I 00000230 07d2684a - 2978870 ns IT 00000230 684a LDR r2,[r1,#4] - 2978890 ns MR4_I 00000234 6008d1fc - 2978950 ns MR4_D 40006004 00000001 - 2978950 ns R r2 00000001 - 2978950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2978970 ns R r2 80000000 - 2978970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2978990 ns R psr 81000200 - 2978990 ns MR4_I 00000238 48054770 - 2979010 ns MR4_I 00000230 07d2684a - 2979030 ns IT 00000230 684a LDR r2,[r1,#4] - 2979050 ns MR4_I 00000234 6008d1fc - 2979110 ns MR4_D 40006004 00000001 - 2979110 ns R r2 00000001 - 2979110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2979130 ns R r2 80000000 - 2979130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2979150 ns R psr 81000200 - 2979150 ns MR4_I 00000238 48054770 - 2979170 ns MR4_I 00000230 07d2684a - 2979190 ns IT 00000230 684a LDR r2,[r1,#4] - 2979210 ns MR4_I 00000234 6008d1fc - 2979270 ns MR4_D 40006004 00000001 - 2979270 ns R r2 00000001 - 2979270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2979290 ns R r2 80000000 - 2979290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2979310 ns R psr 81000200 - 2979310 ns MR4_I 00000238 48054770 - 2979330 ns MR4_I 00000230 07d2684a - 2979350 ns IT 00000230 684a LDR r2,[r1,#4] - 2979370 ns MR4_I 00000234 6008d1fc - 2979430 ns MR4_D 40006004 00000001 - 2979430 ns R r2 00000001 - 2979430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2979450 ns R r2 80000000 - 2979450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2979470 ns R psr 81000200 - 2979470 ns MR4_I 00000238 48054770 - 2979490 ns MR4_I 00000230 07d2684a - 2979510 ns IT 00000230 684a LDR r2,[r1,#4] - 2979530 ns MR4_I 00000234 6008d1fc - 2979590 ns MR4_D 40006004 00000001 - 2979590 ns R r2 00000001 - 2979590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2979610 ns R r2 80000000 - 2979610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2979630 ns R psr 81000200 - 2979630 ns MR4_I 00000238 48054770 - 2979650 ns MR4_I 00000230 07d2684a - 2979670 ns IT 00000230 684a LDR r2,[r1,#4] - 2979690 ns MR4_I 00000234 6008d1fc - 2979750 ns MR4_D 40006004 00000001 - 2979750 ns R r2 00000001 - 2979750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2979770 ns R r2 80000000 - 2979770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2979790 ns R psr 81000200 - 2979790 ns MR4_I 00000238 48054770 - 2979810 ns MR4_I 00000230 07d2684a - 2979830 ns IT 00000230 684a LDR r2,[r1,#4] - 2979850 ns MR4_I 00000234 6008d1fc - 2979910 ns MR4_D 40006004 00000001 - 2979910 ns R r2 00000001 - 2979910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2979930 ns R r2 80000000 - 2979930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2979950 ns R psr 81000200 - 2979950 ns MR4_I 00000238 48054770 - 2979970 ns MR4_I 00000230 07d2684a - 2979990 ns IT 00000230 684a LDR r2,[r1,#4] - 2980010 ns MR4_I 00000234 6008d1fc - 2980070 ns MR4_D 40006004 00000001 - 2980070 ns R r2 00000001 - 2980070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2980090 ns R r2 80000000 - 2980090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2980110 ns R psr 81000200 - 2980110 ns MR4_I 00000238 48054770 - 2980130 ns MR4_I 00000230 07d2684a - 2980150 ns IT 00000230 684a LDR r2,[r1,#4] - 2980170 ns MR4_I 00000234 6008d1fc - 2980230 ns MR4_D 40006004 00000001 - 2980230 ns R r2 00000001 - 2980230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2980250 ns R r2 80000000 - 2980250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2980270 ns R psr 81000200 - 2980270 ns MR4_I 00000238 48054770 - 2980290 ns MR4_I 00000230 07d2684a - 2980310 ns IT 00000230 684a LDR r2,[r1,#4] - 2980330 ns MR4_I 00000234 6008d1fc - 2980390 ns MR4_D 40006004 00000001 - 2980390 ns R r2 00000001 - 2980390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2980410 ns R r2 80000000 - 2980410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2980430 ns R psr 81000200 - 2980430 ns MR4_I 00000238 48054770 - 2980450 ns MR4_I 00000230 07d2684a - 2980470 ns IT 00000230 684a LDR r2,[r1,#4] - 2980490 ns MR4_I 00000234 6008d1fc - 2980550 ns MR4_D 40006004 00000001 - 2980550 ns R r2 00000001 - 2980550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2980570 ns R r2 80000000 - 2980570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2980590 ns R psr 81000200 - 2980590 ns MR4_I 00000238 48054770 - 2980610 ns MR4_I 00000230 07d2684a - 2980630 ns IT 00000230 684a LDR r2,[r1,#4] - 2980650 ns MR4_I 00000234 6008d1fc - 2980710 ns MR4_D 40006004 00000001 - 2980710 ns R r2 00000001 - 2980710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2980730 ns R r2 80000000 - 2980730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2980750 ns R psr 81000200 - 2980750 ns MR4_I 00000238 48054770 - 2980770 ns MR4_I 00000230 07d2684a - 2980790 ns IT 00000230 684a LDR r2,[r1,#4] - 2980810 ns MR4_I 00000234 6008d1fc - 2980870 ns MR4_D 40006004 00000001 - 2980870 ns R r2 00000001 - 2980870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2980890 ns R r2 80000000 - 2980890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2980910 ns R psr 81000200 - 2980910 ns MR4_I 00000238 48054770 - 2980930 ns MR4_I 00000230 07d2684a - 2980950 ns IT 00000230 684a LDR r2,[r1,#4] - 2980970 ns MR4_I 00000234 6008d1fc - 2981030 ns MR4_D 40006004 00000001 - 2981030 ns R r2 00000001 - 2981030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2981050 ns R r2 80000000 - 2981050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2981070 ns R psr 81000200 - 2981070 ns MR4_I 00000238 48054770 - 2981090 ns MR4_I 00000230 07d2684a - 2981110 ns IT 00000230 684a LDR r2,[r1,#4] - 2981130 ns MR4_I 00000234 6008d1fc - 2981190 ns MR4_D 40006004 00000001 - 2981190 ns R r2 00000001 - 2981190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2981210 ns R r2 80000000 - 2981210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2981230 ns R psr 81000200 - 2981230 ns MR4_I 00000238 48054770 - 2981250 ns MR4_I 00000230 07d2684a - 2981270 ns IT 00000230 684a LDR r2,[r1,#4] - 2981290 ns MR4_I 00000234 6008d1fc - 2981350 ns MR4_D 40006004 00000001 - 2981350 ns R r2 00000001 - 2981350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2981370 ns R r2 80000000 - 2981370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2981390 ns R psr 81000200 - 2981390 ns MR4_I 00000238 48054770 - 2981410 ns MR4_I 00000230 07d2684a - 2981430 ns IT 00000230 684a LDR r2,[r1,#4] - 2981450 ns MR4_I 00000234 6008d1fc - 2981510 ns MR4_D 40006004 00000001 - 2981510 ns R r2 00000001 - 2981510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2981530 ns R r2 80000000 - 2981530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2981550 ns R psr 81000200 - 2981550 ns MR4_I 00000238 48054770 - 2981570 ns MR4_I 00000230 07d2684a - 2981590 ns IT 00000230 684a LDR r2,[r1,#4] - 2981610 ns MR4_I 00000234 6008d1fc - 2981670 ns MR4_D 40006004 00000001 - 2981670 ns R r2 00000001 - 2981670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2981690 ns R r2 80000000 - 2981690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2981710 ns R psr 81000200 - 2981710 ns MR4_I 00000238 48054770 - 2981730 ns MR4_I 00000230 07d2684a - 2981750 ns IT 00000230 684a LDR r2,[r1,#4] - 2981770 ns MR4_I 00000234 6008d1fc - 2981830 ns MR4_D 40006004 00000001 - 2981830 ns R r2 00000001 - 2981830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2981850 ns R r2 80000000 - 2981850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2981870 ns R psr 81000200 - 2981870 ns MR4_I 00000238 48054770 - 2981890 ns MR4_I 00000230 07d2684a - 2981910 ns IT 00000230 684a LDR r2,[r1,#4] - 2981930 ns MR4_I 00000234 6008d1fc - 2981990 ns MR4_D 40006004 00000001 - 2981990 ns R r2 00000001 - 2981990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2982010 ns R r2 80000000 - 2982010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2982030 ns R psr 81000200 - 2982030 ns MR4_I 00000238 48054770 - 2982050 ns MR4_I 00000230 07d2684a - 2982070 ns IT 00000230 684a LDR r2,[r1,#4] - 2982090 ns MR4_I 00000234 6008d1fc - 2982150 ns MR4_D 40006004 00000001 - 2982150 ns R r2 00000001 - 2982150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2982170 ns R r2 80000000 - 2982170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2982190 ns R psr 81000200 - 2982190 ns MR4_I 00000238 48054770 - 2982210 ns MR4_I 00000230 07d2684a - 2982230 ns IT 00000230 684a LDR r2,[r1,#4] - 2982250 ns MR4_I 00000234 6008d1fc - 2982310 ns MR4_D 40006004 00000001 - 2982310 ns R r2 00000001 - 2982310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2982330 ns R r2 80000000 - 2982330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2982350 ns R psr 81000200 - 2982350 ns MR4_I 00000238 48054770 - 2982370 ns MR4_I 00000230 07d2684a - 2982390 ns IT 00000230 684a LDR r2,[r1,#4] - 2982410 ns MR4_I 00000234 6008d1fc - 2982470 ns MR4_D 40006004 00000001 - 2982470 ns R r2 00000001 - 2982470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2982490 ns R r2 80000000 - 2982490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2982510 ns R psr 81000200 - 2982510 ns MR4_I 00000238 48054770 - 2982530 ns MR4_I 00000230 07d2684a - 2982550 ns IT 00000230 684a LDR r2,[r1,#4] - 2982570 ns MR4_I 00000234 6008d1fc - 2982630 ns MR4_D 40006004 00000001 - 2982630 ns R r2 00000001 - 2982630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2982650 ns R r2 80000000 - 2982650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2982670 ns R psr 81000200 - 2982670 ns MR4_I 00000238 48054770 - 2982690 ns MR4_I 00000230 07d2684a - 2982710 ns IT 00000230 684a LDR r2,[r1,#4] - 2982730 ns MR4_I 00000234 6008d1fc - 2982790 ns MR4_D 40006004 00000001 - 2982790 ns R r2 00000001 - 2982790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2982810 ns R r2 80000000 - 2982810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2982830 ns R psr 81000200 - 2982830 ns MR4_I 00000238 48054770 - 2982850 ns MR4_I 00000230 07d2684a - 2982870 ns IT 00000230 684a LDR r2,[r1,#4] - 2982890 ns MR4_I 00000234 6008d1fc - 2982950 ns MR4_D 40006004 00000001 - 2982950 ns R r2 00000001 - 2982950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2982970 ns R r2 80000000 - 2982970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2982990 ns R psr 81000200 - 2982990 ns MR4_I 00000238 48054770 - 2983010 ns MR4_I 00000230 07d2684a - 2983030 ns IT 00000230 684a LDR r2,[r1,#4] - 2983050 ns MR4_I 00000234 6008d1fc - 2983110 ns MR4_D 40006004 00000001 - 2983110 ns R r2 00000001 - 2983110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2983130 ns R r2 80000000 - 2983130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2983150 ns R psr 81000200 - 2983150 ns MR4_I 00000238 48054770 - 2983170 ns MR4_I 00000230 07d2684a - 2983190 ns IT 00000230 684a LDR r2,[r1,#4] - 2983210 ns MR4_I 00000234 6008d1fc - 2983270 ns MR4_D 40006004 00000001 - 2983270 ns R r2 00000001 - 2983270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2983290 ns R r2 80000000 - 2983290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2983310 ns R psr 81000200 - 2983310 ns MR4_I 00000238 48054770 - 2983330 ns MR4_I 00000230 07d2684a - 2983350 ns IT 00000230 684a LDR r2,[r1,#4] - 2983370 ns MR4_I 00000234 6008d1fc - 2983430 ns MR4_D 40006004 00000001 - 2983430 ns R r2 00000001 - 2983430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2983450 ns R r2 80000000 - 2983450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2983470 ns R psr 81000200 - 2983470 ns MR4_I 00000238 48054770 - 2983490 ns MR4_I 00000230 07d2684a - 2983510 ns IT 00000230 684a LDR r2,[r1,#4] - 2983530 ns MR4_I 00000234 6008d1fc - 2983590 ns MR4_D 40006004 00000001 - 2983590 ns R r2 00000001 - 2983590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2983610 ns R r2 80000000 - 2983610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2983630 ns R psr 81000200 - 2983630 ns MR4_I 00000238 48054770 - 2983650 ns MR4_I 00000230 07d2684a - 2983670 ns IT 00000230 684a LDR r2,[r1,#4] - 2983690 ns MR4_I 00000234 6008d1fc - 2983750 ns MR4_D 40006004 00000001 - 2983750 ns R r2 00000001 - 2983750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2983770 ns R r2 80000000 - 2983770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2983790 ns R psr 81000200 - 2983790 ns MR4_I 00000238 48054770 - 2983810 ns MR4_I 00000230 07d2684a - 2983830 ns IT 00000230 684a LDR r2,[r1,#4] - 2983850 ns MR4_I 00000234 6008d1fc - 2983910 ns MR4_D 40006004 00000001 - 2983910 ns R r2 00000001 - 2983910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2983930 ns R r2 80000000 - 2983930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2983950 ns R psr 81000200 - 2983950 ns MR4_I 00000238 48054770 - 2983970 ns MR4_I 00000230 07d2684a - 2983990 ns IT 00000230 684a LDR r2,[r1,#4] - 2984010 ns MR4_I 00000234 6008d1fc - 2984070 ns MR4_D 40006004 00000001 - 2984070 ns R r2 00000001 - 2984070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2984090 ns R r2 80000000 - 2984090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2984110 ns R psr 81000200 - 2984110 ns MR4_I 00000238 48054770 - 2984130 ns MR4_I 00000230 07d2684a - 2984150 ns IT 00000230 684a LDR r2,[r1,#4] - 2984170 ns MR4_I 00000234 6008d1fc - 2984230 ns MR4_D 40006004 00000001 - 2984230 ns R r2 00000001 - 2984230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2984250 ns R r2 80000000 - 2984250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2984270 ns R psr 81000200 - 2984270 ns MR4_I 00000238 48054770 - 2984290 ns MR4_I 00000230 07d2684a - 2984310 ns IT 00000230 684a LDR r2,[r1,#4] - 2984330 ns MR4_I 00000234 6008d1fc - 2984390 ns MR4_D 40006004 00000001 - 2984390 ns R r2 00000001 - 2984390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2984410 ns R r2 80000000 - 2984410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2984430 ns R psr 81000200 - 2984430 ns MR4_I 00000238 48054770 - 2984450 ns MR4_I 00000230 07d2684a - 2984470 ns IT 00000230 684a LDR r2,[r1,#4] - 2984490 ns MR4_I 00000234 6008d1fc - 2984550 ns MR4_D 40006004 00000001 - 2984550 ns R r2 00000001 - 2984550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2984570 ns R r2 80000000 - 2984570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2984590 ns R psr 81000200 - 2984590 ns MR4_I 00000238 48054770 - 2984610 ns MR4_I 00000230 07d2684a - 2984630 ns IT 00000230 684a LDR r2,[r1,#4] - 2984650 ns MR4_I 00000234 6008d1fc - 2984710 ns MR4_D 40006004 00000001 - 2984710 ns R r2 00000001 - 2984710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2984730 ns R r2 80000000 - 2984730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2984750 ns R psr 81000200 - 2984750 ns MR4_I 00000238 48054770 - 2984770 ns MR4_I 00000230 07d2684a - 2984790 ns IT 00000230 684a LDR r2,[r1,#4] - 2984810 ns MR4_I 00000234 6008d1fc - 2984870 ns MR4_D 40006004 00000001 - 2984870 ns R r2 00000001 - 2984870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2984890 ns R r2 80000000 - 2984890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2984910 ns R psr 81000200 - 2984910 ns MR4_I 00000238 48054770 - 2984930 ns MR4_I 00000230 07d2684a - 2984950 ns IT 00000230 684a LDR r2,[r1,#4] - 2984970 ns MR4_I 00000234 6008d1fc - 2985030 ns MR4_D 40006004 00000001 - 2985030 ns R r2 00000001 - 2985030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2985050 ns R r2 80000000 - 2985050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2985070 ns R psr 81000200 - 2985070 ns MR4_I 00000238 48054770 - 2985090 ns MR4_I 00000230 07d2684a - 2985110 ns IT 00000230 684a LDR r2,[r1,#4] - 2985130 ns MR4_I 00000234 6008d1fc - 2985190 ns MR4_D 40006004 00000001 - 2985190 ns R r2 00000001 - 2985190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2985210 ns R r2 80000000 - 2985210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2985230 ns R psr 81000200 - 2985230 ns MR4_I 00000238 48054770 - 2985250 ns MR4_I 00000230 07d2684a - 2985270 ns IT 00000230 684a LDR r2,[r1,#4] - 2985290 ns MR4_I 00000234 6008d1fc - 2985350 ns MR4_D 40006004 00000001 - 2985350 ns R r2 00000001 - 2985350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2985370 ns R r2 80000000 - 2985370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2985390 ns R psr 81000200 - 2985390 ns MR4_I 00000238 48054770 - 2985410 ns MR4_I 00000230 07d2684a - 2985430 ns IT 00000230 684a LDR r2,[r1,#4] - 2985450 ns MR4_I 00000234 6008d1fc - 2985510 ns MR4_D 40006004 00000001 - 2985510 ns R r2 00000001 - 2985510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2985530 ns R r2 80000000 - 2985530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2985550 ns R psr 81000200 - 2985550 ns MR4_I 00000238 48054770 - 2985570 ns MR4_I 00000230 07d2684a - 2985590 ns IT 00000230 684a LDR r2,[r1,#4] - 2985610 ns MR4_I 00000234 6008d1fc - 2985670 ns MR4_D 40006004 00000001 - 2985670 ns R r2 00000001 - 2985670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2985690 ns R r2 80000000 - 2985690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2985710 ns R psr 81000200 - 2985710 ns MR4_I 00000238 48054770 - 2985730 ns MR4_I 00000230 07d2684a - 2985750 ns IT 00000230 684a LDR r2,[r1,#4] - 2985770 ns MR4_I 00000234 6008d1fc - 2985830 ns MR4_D 40006004 00000001 - 2985830 ns R r2 00000001 - 2985830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2985850 ns R r2 80000000 - 2985850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2985870 ns R psr 81000200 - 2985870 ns MR4_I 00000238 48054770 - 2985890 ns MR4_I 00000230 07d2684a - 2985910 ns IT 00000230 684a LDR r2,[r1,#4] - 2985930 ns MR4_I 00000234 6008d1fc - 2985990 ns MR4_D 40006004 00000001 - 2985990 ns R r2 00000001 - 2985990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2986010 ns R r2 80000000 - 2986010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2986030 ns R psr 81000200 - 2986030 ns MR4_I 00000238 48054770 - 2986050 ns MR4_I 00000230 07d2684a - 2986070 ns IT 00000230 684a LDR r2,[r1,#4] - 2986090 ns MR4_I 00000234 6008d1fc - 2986150 ns MR4_D 40006004 00000001 - 2986150 ns R r2 00000001 - 2986150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2986170 ns R r2 80000000 - 2986170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2986190 ns R psr 81000200 - 2986190 ns MR4_I 00000238 48054770 - 2986210 ns MR4_I 00000230 07d2684a - 2986230 ns IT 00000230 684a LDR r2,[r1,#4] - 2986250 ns MR4_I 00000234 6008d1fc - 2986310 ns MR4_D 40006004 00000001 - 2986310 ns R r2 00000001 - 2986310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2986330 ns R r2 80000000 - 2986330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2986350 ns R psr 81000200 - 2986350 ns MR4_I 00000238 48054770 - 2986370 ns MR4_I 00000230 07d2684a - 2986390 ns IT 00000230 684a LDR r2,[r1,#4] - 2986410 ns MR4_I 00000234 6008d1fc - 2986470 ns MR4_D 40006004 00000001 - 2986470 ns R r2 00000001 - 2986470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2986490 ns R r2 80000000 - 2986490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2986510 ns R psr 81000200 - 2986510 ns MR4_I 00000238 48054770 - 2986530 ns MR4_I 00000230 07d2684a - 2986550 ns IT 00000230 684a LDR r2,[r1,#4] - 2986570 ns MR4_I 00000234 6008d1fc - 2986630 ns MR4_D 40006004 00000001 - 2986630 ns R r2 00000001 - 2986630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2986650 ns R r2 80000000 - 2986650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2986670 ns R psr 81000200 - 2986670 ns MR4_I 00000238 48054770 - 2986690 ns MR4_I 00000230 07d2684a - 2986710 ns IT 00000230 684a LDR r2,[r1,#4] - 2986730 ns MR4_I 00000234 6008d1fc - 2986790 ns MR4_D 40006004 00000001 - 2986790 ns R r2 00000001 - 2986790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2986810 ns R r2 80000000 - 2986810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2986830 ns R psr 81000200 - 2986830 ns MR4_I 00000238 48054770 - 2986850 ns MR4_I 00000230 07d2684a - 2986870 ns IT 00000230 684a LDR r2,[r1,#4] - 2986890 ns MR4_I 00000234 6008d1fc - 2986950 ns MR4_D 40006004 00000001 - 2986950 ns R r2 00000001 - 2986950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2986970 ns R r2 80000000 - 2986970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2986990 ns R psr 81000200 - 2986990 ns MR4_I 00000238 48054770 - 2987010 ns MR4_I 00000230 07d2684a - 2987030 ns IT 00000230 684a LDR r2,[r1,#4] - 2987050 ns MR4_I 00000234 6008d1fc - 2987110 ns MR4_D 40006004 00000001 - 2987110 ns R r2 00000001 - 2987110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2987130 ns R r2 80000000 - 2987130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2987150 ns R psr 81000200 - 2987150 ns MR4_I 00000238 48054770 - 2987170 ns MR4_I 00000230 07d2684a - 2987190 ns IT 00000230 684a LDR r2,[r1,#4] - 2987210 ns MR4_I 00000234 6008d1fc - 2987270 ns MR4_D 40006004 00000001 - 2987270 ns R r2 00000001 - 2987270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2987290 ns R r2 80000000 - 2987290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2987310 ns R psr 81000200 - 2987310 ns MR4_I 00000238 48054770 - 2987330 ns MR4_I 00000230 07d2684a - 2987350 ns IT 00000230 684a LDR r2,[r1,#4] - 2987370 ns MR4_I 00000234 6008d1fc - 2987430 ns MR4_D 40006004 00000001 - 2987430 ns R r2 00000001 - 2987430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2987450 ns R r2 80000000 - 2987450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2987470 ns R psr 81000200 - 2987470 ns MR4_I 00000238 48054770 - 2987490 ns MR4_I 00000230 07d2684a - 2987510 ns IT 00000230 684a LDR r2,[r1,#4] - 2987530 ns MR4_I 00000234 6008d1fc - 2987590 ns MR4_D 40006004 00000001 - 2987590 ns R r2 00000001 - 2987590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2987610 ns R r2 80000000 - 2987610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2987630 ns R psr 81000200 - 2987630 ns MR4_I 00000238 48054770 - 2987650 ns MR4_I 00000230 07d2684a - 2987670 ns IT 00000230 684a LDR r2,[r1,#4] - 2987690 ns MR4_I 00000234 6008d1fc - 2987750 ns MR4_D 40006004 00000001 - 2987750 ns R r2 00000001 - 2987750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2987770 ns R r2 80000000 - 2987770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2987790 ns R psr 81000200 - 2987790 ns MR4_I 00000238 48054770 - 2987810 ns MR4_I 00000230 07d2684a - 2987830 ns IT 00000230 684a LDR r2,[r1,#4] - 2987850 ns MR4_I 00000234 6008d1fc - 2987910 ns MR4_D 40006004 00000001 - 2987910 ns R r2 00000001 - 2987910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2987930 ns R r2 80000000 - 2987930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2987950 ns R psr 81000200 - 2987950 ns MR4_I 00000238 48054770 - 2987970 ns MR4_I 00000230 07d2684a - 2987990 ns IT 00000230 684a LDR r2,[r1,#4] - 2988010 ns MR4_I 00000234 6008d1fc - 2988070 ns MR4_D 40006004 00000001 - 2988070 ns R r2 00000001 - 2988070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2988090 ns R r2 80000000 - 2988090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2988110 ns R psr 81000200 - 2988110 ns MR4_I 00000238 48054770 - 2988130 ns MR4_I 00000230 07d2684a - 2988150 ns IT 00000230 684a LDR r2,[r1,#4] - 2988170 ns MR4_I 00000234 6008d1fc - 2988230 ns MR4_D 40006004 00000001 - 2988230 ns R r2 00000001 - 2988230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2988250 ns R r2 80000000 - 2988250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2988270 ns R psr 81000200 - 2988270 ns MR4_I 00000238 48054770 - 2988290 ns MR4_I 00000230 07d2684a - 2988310 ns IT 00000230 684a LDR r2,[r1,#4] - 2988330 ns MR4_I 00000234 6008d1fc - 2988390 ns MR4_D 40006004 00000001 - 2988390 ns R r2 00000001 - 2988390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2988410 ns R r2 80000000 - 2988410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2988430 ns R psr 81000200 - 2988430 ns MR4_I 00000238 48054770 - 2988450 ns MR4_I 00000230 07d2684a - 2988470 ns IT 00000230 684a LDR r2,[r1,#4] - 2988490 ns MR4_I 00000234 6008d1fc - 2988550 ns MR4_D 40006004 00000001 - 2988550 ns R r2 00000001 - 2988550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2988570 ns R r2 80000000 - 2988570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2988590 ns R psr 81000200 - 2988590 ns MR4_I 00000238 48054770 - 2988610 ns MR4_I 00000230 07d2684a - 2988630 ns IT 00000230 684a LDR r2,[r1,#4] - 2988650 ns MR4_I 00000234 6008d1fc - 2988710 ns MR4_D 40006004 00000001 - 2988710 ns R r2 00000001 - 2988710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2988730 ns R r2 80000000 - 2988730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2988750 ns R psr 81000200 - 2988750 ns MR4_I 00000238 48054770 - 2988770 ns MR4_I 00000230 07d2684a - 2988790 ns IT 00000230 684a LDR r2,[r1,#4] - 2988810 ns MR4_I 00000234 6008d1fc - 2988870 ns MR4_D 40006004 00000001 - 2988870 ns R r2 00000001 - 2988870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2988890 ns R r2 80000000 - 2988890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2988910 ns R psr 81000200 - 2988910 ns MR4_I 00000238 48054770 - 2988930 ns MR4_I 00000230 07d2684a - 2988950 ns IT 00000230 684a LDR r2,[r1,#4] - 2988970 ns MR4_I 00000234 6008d1fc - 2989030 ns MR4_D 40006004 00000001 - 2989030 ns R r2 00000001 - 2989030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2989050 ns R r2 80000000 - 2989050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2989070 ns R psr 81000200 - 2989070 ns MR4_I 00000238 48054770 - 2989090 ns MR4_I 00000230 07d2684a - 2989110 ns IT 00000230 684a LDR r2,[r1,#4] - 2989130 ns MR4_I 00000234 6008d1fc - 2989190 ns MR4_D 40006004 00000001 - 2989190 ns R r2 00000001 - 2989190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2989210 ns R r2 80000000 - 2989210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2989230 ns R psr 81000200 - 2989230 ns MR4_I 00000238 48054770 - 2989250 ns MR4_I 00000230 07d2684a - 2989270 ns IT 00000230 684a LDR r2,[r1,#4] - 2989290 ns MR4_I 00000234 6008d1fc - 2989350 ns MR4_D 40006004 00000001 - 2989350 ns R r2 00000001 - 2989350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2989370 ns R r2 80000000 - 2989370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2989390 ns R psr 81000200 - 2989390 ns MR4_I 00000238 48054770 - 2989410 ns MR4_I 00000230 07d2684a - 2989430 ns IT 00000230 684a LDR r2,[r1,#4] - 2989450 ns MR4_I 00000234 6008d1fc - 2989510 ns MR4_D 40006004 00000001 - 2989510 ns R r2 00000001 - 2989510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2989530 ns R r2 80000000 - 2989530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2989550 ns R psr 81000200 - 2989550 ns MR4_I 00000238 48054770 - 2989570 ns MR4_I 00000230 07d2684a - 2989590 ns IT 00000230 684a LDR r2,[r1,#4] - 2989610 ns MR4_I 00000234 6008d1fc - 2989670 ns MR4_D 40006004 00000001 - 2989670 ns R r2 00000001 - 2989670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2989690 ns R r2 80000000 - 2989690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2989710 ns R psr 81000200 - 2989710 ns MR4_I 00000238 48054770 - 2989730 ns MR4_I 00000230 07d2684a - 2989750 ns IT 00000230 684a LDR r2,[r1,#4] - 2989770 ns MR4_I 00000234 6008d1fc - 2989830 ns MR4_D 40006004 00000001 - 2989830 ns R r2 00000001 - 2989830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2989850 ns R r2 80000000 - 2989850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2989870 ns R psr 81000200 - 2989870 ns MR4_I 00000238 48054770 - 2989890 ns MR4_I 00000230 07d2684a - 2989910 ns IT 00000230 684a LDR r2,[r1,#4] - 2989930 ns MR4_I 00000234 6008d1fc - 2989990 ns MR4_D 40006004 00000001 - 2989990 ns R r2 00000001 - 2989990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2990010 ns R r2 80000000 - 2990010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2990030 ns R psr 81000200 - 2990030 ns MR4_I 00000238 48054770 - 2990050 ns MR4_I 00000230 07d2684a - 2990070 ns IT 00000230 684a LDR r2,[r1,#4] - 2990090 ns MR4_I 00000234 6008d1fc - 2990150 ns MR4_D 40006004 00000001 - 2990150 ns R r2 00000001 - 2990150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2990170 ns R r2 80000000 - 2990170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2990190 ns R psr 81000200 - 2990190 ns MR4_I 00000238 48054770 - 2990210 ns MR4_I 00000230 07d2684a - 2990230 ns IT 00000230 684a LDR r2,[r1,#4] - 2990250 ns MR4_I 00000234 6008d1fc - 2990310 ns MR4_D 40006004 00000001 - 2990310 ns R r2 00000001 - 2990310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2990330 ns R r2 80000000 - 2990330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2990350 ns R psr 81000200 - 2990350 ns MR4_I 00000238 48054770 - 2990370 ns MR4_I 00000230 07d2684a - 2990390 ns IT 00000230 684a LDR r2,[r1,#4] - 2990410 ns MR4_I 00000234 6008d1fc - 2990470 ns MR4_D 40006004 00000001 - 2990470 ns R r2 00000001 - 2990470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2990490 ns R r2 80000000 - 2990490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2990510 ns R psr 81000200 - 2990510 ns MR4_I 00000238 48054770 - 2990530 ns MR4_I 00000230 07d2684a - 2990550 ns IT 00000230 684a LDR r2,[r1,#4] - 2990570 ns MR4_I 00000234 6008d1fc - 2990630 ns MR4_D 40006004 00000001 - 2990630 ns R r2 00000001 - 2990630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2990650 ns R r2 80000000 - 2990650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2990670 ns R psr 81000200 - 2990670 ns MR4_I 00000238 48054770 - 2990690 ns MR4_I 00000230 07d2684a - 2990710 ns IT 00000230 684a LDR r2,[r1,#4] - 2990730 ns MR4_I 00000234 6008d1fc - 2990790 ns MR4_D 40006004 00000001 - 2990790 ns R r2 00000001 - 2990790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2990810 ns R r2 80000000 - 2990810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2990830 ns R psr 81000200 - 2990830 ns MR4_I 00000238 48054770 - 2990850 ns MR4_I 00000230 07d2684a - 2990870 ns IT 00000230 684a LDR r2,[r1,#4] - 2990890 ns MR4_I 00000234 6008d1fc - 2990950 ns MR4_D 40006004 00000001 - 2990950 ns R r2 00000001 - 2990950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2990970 ns R r2 80000000 - 2990970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2990990 ns R psr 81000200 - 2990990 ns MR4_I 00000238 48054770 - 2991010 ns MR4_I 00000230 07d2684a - 2991030 ns IT 00000230 684a LDR r2,[r1,#4] - 2991050 ns MR4_I 00000234 6008d1fc - 2991110 ns MR4_D 40006004 00000001 - 2991110 ns R r2 00000001 - 2991110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2991130 ns R r2 80000000 - 2991130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2991150 ns R psr 81000200 - 2991150 ns MR4_I 00000238 48054770 - 2991170 ns MR4_I 00000230 07d2684a - 2991190 ns IT 00000230 684a LDR r2,[r1,#4] - 2991210 ns MR4_I 00000234 6008d1fc - 2991270 ns MR4_D 40006004 00000001 - 2991270 ns R r2 00000001 - 2991270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2991290 ns R r2 80000000 - 2991290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2991310 ns R psr 81000200 - 2991310 ns MR4_I 00000238 48054770 - 2991330 ns MR4_I 00000230 07d2684a - 2991350 ns IT 00000230 684a LDR r2,[r1,#4] - 2991370 ns MR4_I 00000234 6008d1fc - 2991430 ns MR4_D 40006004 00000001 - 2991430 ns R r2 00000001 - 2991430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2991450 ns R r2 80000000 - 2991450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2991470 ns R psr 81000200 - 2991470 ns MR4_I 00000238 48054770 - 2991490 ns MR4_I 00000230 07d2684a - 2991510 ns IT 00000230 684a LDR r2,[r1,#4] - 2991530 ns MR4_I 00000234 6008d1fc - 2991590 ns MR4_D 40006004 00000001 - 2991590 ns R r2 00000001 - 2991590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2991610 ns R r2 80000000 - 2991610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2991630 ns R psr 81000200 - 2991630 ns MR4_I 00000238 48054770 - 2991650 ns MR4_I 00000230 07d2684a - 2991670 ns IT 00000230 684a LDR r2,[r1,#4] - 2991690 ns MR4_I 00000234 6008d1fc - 2991750 ns MR4_D 40006004 00000001 - 2991750 ns R r2 00000001 - 2991750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2991770 ns R r2 80000000 - 2991770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2991790 ns R psr 81000200 - 2991790 ns MR4_I 00000238 48054770 - 2991810 ns MR4_I 00000230 07d2684a - 2991830 ns IT 00000230 684a LDR r2,[r1,#4] - 2991850 ns MR4_I 00000234 6008d1fc - 2991910 ns MR4_D 40006004 00000001 - 2991910 ns R r2 00000001 - 2991910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2991930 ns R r2 80000000 - 2991930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2991950 ns R psr 81000200 - 2991950 ns MR4_I 00000238 48054770 - 2991970 ns MR4_I 00000230 07d2684a - 2991990 ns IT 00000230 684a LDR r2,[r1,#4] - 2992010 ns MR4_I 00000234 6008d1fc - 2992070 ns MR4_D 40006004 00000001 - 2992070 ns R r2 00000001 - 2992070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2992090 ns R r2 80000000 - 2992090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2992110 ns R psr 81000200 - 2992110 ns MR4_I 00000238 48054770 - 2992130 ns MR4_I 00000230 07d2684a - 2992150 ns IT 00000230 684a LDR r2,[r1,#4] - 2992170 ns MR4_I 00000234 6008d1fc - 2992230 ns MR4_D 40006004 00000001 - 2992230 ns R r2 00000001 - 2992230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2992250 ns R r2 80000000 - 2992250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2992270 ns R psr 81000200 - 2992270 ns MR4_I 00000238 48054770 - 2992290 ns MR4_I 00000230 07d2684a - 2992310 ns IT 00000230 684a LDR r2,[r1,#4] - 2992330 ns MR4_I 00000234 6008d1fc - 2992390 ns MR4_D 40006004 00000001 - 2992390 ns R r2 00000001 - 2992390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2992410 ns R r2 80000000 - 2992410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2992430 ns R psr 81000200 - 2992430 ns MR4_I 00000238 48054770 - 2992450 ns MR4_I 00000230 07d2684a - 2992470 ns IT 00000230 684a LDR r2,[r1,#4] - 2992490 ns MR4_I 00000234 6008d1fc - 2992550 ns MR4_D 40006004 00000001 - 2992550 ns R r2 00000001 - 2992550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2992570 ns R r2 80000000 - 2992570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2992590 ns R psr 81000200 - 2992590 ns MR4_I 00000238 48054770 - 2992610 ns MR4_I 00000230 07d2684a - 2992630 ns IT 00000230 684a LDR r2,[r1,#4] - 2992650 ns MR4_I 00000234 6008d1fc - 2992710 ns MR4_D 40006004 00000001 - 2992710 ns R r2 00000001 - 2992710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2992730 ns R r2 80000000 - 2992730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2992750 ns R psr 81000200 - 2992750 ns MR4_I 00000238 48054770 - 2992770 ns MR4_I 00000230 07d2684a - 2992790 ns IT 00000230 684a LDR r2,[r1,#4] - 2992810 ns MR4_I 00000234 6008d1fc - 2992870 ns MR4_D 40006004 00000001 - 2992870 ns R r2 00000001 - 2992870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2992890 ns R r2 80000000 - 2992890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2992910 ns R psr 81000200 - 2992910 ns MR4_I 00000238 48054770 - 2992930 ns MR4_I 00000230 07d2684a - 2992950 ns IT 00000230 684a LDR r2,[r1,#4] - 2992970 ns MR4_I 00000234 6008d1fc - 2993030 ns MR4_D 40006004 00000001 - 2993030 ns R r2 00000001 - 2993030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2993050 ns R r2 80000000 - 2993050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2993070 ns R psr 81000200 - 2993070 ns MR4_I 00000238 48054770 - 2993090 ns MR4_I 00000230 07d2684a - 2993110 ns IT 00000230 684a LDR r2,[r1,#4] - 2993130 ns MR4_I 00000234 6008d1fc - 2993190 ns MR4_D 40006004 00000001 - 2993190 ns R r2 00000001 - 2993190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2993210 ns R r2 80000000 - 2993210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2993230 ns R psr 81000200 - 2993230 ns MR4_I 00000238 48054770 - 2993250 ns MR4_I 00000230 07d2684a - 2993270 ns IT 00000230 684a LDR r2,[r1,#4] - 2993290 ns MR4_I 00000234 6008d1fc - 2993350 ns MR4_D 40006004 00000001 - 2993350 ns R r2 00000001 - 2993350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2993370 ns R r2 80000000 - 2993370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2993390 ns R psr 81000200 - 2993390 ns MR4_I 00000238 48054770 - 2993410 ns MR4_I 00000230 07d2684a - 2993430 ns IT 00000230 684a LDR r2,[r1,#4] - 2993450 ns MR4_I 00000234 6008d1fc - 2993510 ns MR4_D 40006004 00000001 - 2993510 ns R r2 00000001 - 2993510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2993530 ns R r2 80000000 - 2993530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2993550 ns R psr 81000200 - 2993550 ns MR4_I 00000238 48054770 - 2993570 ns MR4_I 00000230 07d2684a - 2993590 ns IT 00000230 684a LDR r2,[r1,#4] - 2993610 ns MR4_I 00000234 6008d1fc - 2993670 ns MR4_D 40006004 00000001 - 2993670 ns R r2 00000001 - 2993670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2993690 ns R r2 80000000 - 2993690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2993710 ns R psr 81000200 - 2993710 ns MR4_I 00000238 48054770 - 2993730 ns MR4_I 00000230 07d2684a - 2993750 ns IT 00000230 684a LDR r2,[r1,#4] - 2993770 ns MR4_I 00000234 6008d1fc - 2993830 ns MR4_D 40006004 00000001 - 2993830 ns R r2 00000001 - 2993830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2993850 ns R r2 80000000 - 2993850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2993870 ns R psr 81000200 - 2993870 ns MR4_I 00000238 48054770 - 2993890 ns MR4_I 00000230 07d2684a - 2993910 ns IT 00000230 684a LDR r2,[r1,#4] - 2993930 ns MR4_I 00000234 6008d1fc - 2993990 ns MR4_D 40006004 00000001 - 2993990 ns R r2 00000001 - 2993990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2994010 ns R r2 80000000 - 2994010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2994030 ns R psr 81000200 - 2994030 ns MR4_I 00000238 48054770 - 2994050 ns MR4_I 00000230 07d2684a - 2994070 ns IT 00000230 684a LDR r2,[r1,#4] - 2994090 ns MR4_I 00000234 6008d1fc - 2994150 ns MR4_D 40006004 00000001 - 2994150 ns R r2 00000001 - 2994150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2994170 ns R r2 80000000 - 2994170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2994190 ns R psr 81000200 - 2994190 ns MR4_I 00000238 48054770 - 2994210 ns MR4_I 00000230 07d2684a - 2994230 ns IT 00000230 684a LDR r2,[r1,#4] - 2994250 ns MR4_I 00000234 6008d1fc - 2994310 ns MR4_D 40006004 00000001 - 2994310 ns R r2 00000001 - 2994310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2994330 ns R r2 80000000 - 2994330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2994350 ns R psr 81000200 - 2994350 ns MR4_I 00000238 48054770 - 2994370 ns MR4_I 00000230 07d2684a - 2994390 ns IT 00000230 684a LDR r2,[r1,#4] - 2994410 ns MR4_I 00000234 6008d1fc - 2994470 ns MR4_D 40006004 00000001 - 2994470 ns R r2 00000001 - 2994470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2994490 ns R r2 80000000 - 2994490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2994510 ns R psr 81000200 - 2994510 ns MR4_I 00000238 48054770 - 2994530 ns MR4_I 00000230 07d2684a - 2994550 ns IT 00000230 684a LDR r2,[r1,#4] - 2994570 ns MR4_I 00000234 6008d1fc - 2994630 ns MR4_D 40006004 00000001 - 2994630 ns R r2 00000001 - 2994630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2994650 ns R r2 80000000 - 2994650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2994670 ns R psr 81000200 - 2994670 ns MR4_I 00000238 48054770 - 2994690 ns MR4_I 00000230 07d2684a - 2994710 ns IT 00000230 684a LDR r2,[r1,#4] - 2994730 ns MR4_I 00000234 6008d1fc - 2994790 ns MR4_D 40006004 00000001 - 2994790 ns R r2 00000001 - 2994790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2994810 ns R r2 80000000 - 2994810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2994830 ns R psr 81000200 - 2994830 ns MR4_I 00000238 48054770 - 2994850 ns MR4_I 00000230 07d2684a - 2994870 ns IT 00000230 684a LDR r2,[r1,#4] - 2994890 ns MR4_I 00000234 6008d1fc - 2994950 ns MR4_D 40006004 00000001 - 2994950 ns R r2 00000001 - 2994950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2994970 ns R r2 80000000 - 2994970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2994990 ns R psr 81000200 - 2994990 ns MR4_I 00000238 48054770 - 2995010 ns MR4_I 00000230 07d2684a - 2995030 ns IT 00000230 684a LDR r2,[r1,#4] - 2995050 ns MR4_I 00000234 6008d1fc - 2995110 ns MR4_D 40006004 00000001 - 2995110 ns R r2 00000001 - 2995110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2995130 ns R r2 80000000 - 2995130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2995150 ns R psr 81000200 - 2995150 ns MR4_I 00000238 48054770 - 2995170 ns MR4_I 00000230 07d2684a - 2995190 ns IT 00000230 684a LDR r2,[r1,#4] - 2995210 ns MR4_I 00000234 6008d1fc - 2995270 ns MR4_D 40006004 00000001 - 2995270 ns R r2 00000001 - 2995270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2995290 ns R r2 80000000 - 2995290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2995310 ns R psr 81000200 - 2995310 ns MR4_I 00000238 48054770 - 2995330 ns MR4_I 00000230 07d2684a - 2995350 ns IT 00000230 684a LDR r2,[r1,#4] - 2995370 ns MR4_I 00000234 6008d1fc - 2995430 ns MR4_D 40006004 00000001 - 2995430 ns R r2 00000001 - 2995430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2995450 ns R r2 80000000 - 2995450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2995470 ns R psr 81000200 - 2995470 ns MR4_I 00000238 48054770 - 2995490 ns MR4_I 00000230 07d2684a - 2995510 ns IT 00000230 684a LDR r2,[r1,#4] - 2995530 ns MR4_I 00000234 6008d1fc - 2995590 ns MR4_D 40006004 00000001 - 2995590 ns R r2 00000001 - 2995590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2995610 ns R r2 80000000 - 2995610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2995630 ns R psr 81000200 - 2995630 ns MR4_I 00000238 48054770 - 2995650 ns MR4_I 00000230 07d2684a - 2995670 ns IT 00000230 684a LDR r2,[r1,#4] - 2995690 ns MR4_I 00000234 6008d1fc - 2995750 ns MR4_D 40006004 00000001 - 2995750 ns R r2 00000001 - 2995750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2995770 ns R r2 80000000 - 2995770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2995790 ns R psr 81000200 - 2995790 ns MR4_I 00000238 48054770 - 2995810 ns MR4_I 00000230 07d2684a - 2995830 ns IT 00000230 684a LDR r2,[r1,#4] - 2995850 ns MR4_I 00000234 6008d1fc - 2995910 ns MR4_D 40006004 00000001 - 2995910 ns R r2 00000001 - 2995910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2995930 ns R r2 80000000 - 2995930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2995950 ns R psr 81000200 - 2995950 ns MR4_I 00000238 48054770 - 2995970 ns MR4_I 00000230 07d2684a - 2995990 ns IT 00000230 684a LDR r2,[r1,#4] - 2996010 ns MR4_I 00000234 6008d1fc - 2996070 ns MR4_D 40006004 00000001 - 2996070 ns R r2 00000001 - 2996070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2996090 ns R r2 80000000 - 2996090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2996110 ns R psr 81000200 - 2996110 ns MR4_I 00000238 48054770 - 2996130 ns MR4_I 00000230 07d2684a - 2996150 ns IT 00000230 684a LDR r2,[r1,#4] - 2996170 ns MR4_I 00000234 6008d1fc - 2996230 ns MR4_D 40006004 00000001 - 2996230 ns R r2 00000001 - 2996230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2996250 ns R r2 80000000 - 2996250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2996270 ns R psr 81000200 - 2996270 ns MR4_I 00000238 48054770 - 2996290 ns MR4_I 00000230 07d2684a - 2996310 ns IT 00000230 684a LDR r2,[r1,#4] - 2996330 ns MR4_I 00000234 6008d1fc - 2996390 ns MR4_D 40006004 00000001 - 2996390 ns R r2 00000001 - 2996390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2996410 ns R r2 80000000 - 2996410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2996430 ns R psr 81000200 - 2996430 ns MR4_I 00000238 48054770 - 2996450 ns MR4_I 00000230 07d2684a - 2996470 ns IT 00000230 684a LDR r2,[r1,#4] - 2996490 ns MR4_I 00000234 6008d1fc - 2996550 ns MR4_D 40006004 00000001 - 2996550 ns R r2 00000001 - 2996550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2996570 ns R r2 80000000 - 2996570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2996590 ns R psr 81000200 - 2996590 ns MR4_I 00000238 48054770 - 2996610 ns MR4_I 00000230 07d2684a - 2996630 ns IT 00000230 684a LDR r2,[r1,#4] - 2996650 ns MR4_I 00000234 6008d1fc - 2996710 ns MR4_D 40006004 00000001 - 2996710 ns R r2 00000001 - 2996710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2996730 ns R r2 80000000 - 2996730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2996750 ns R psr 81000200 - 2996750 ns MR4_I 00000238 48054770 - 2996770 ns MR4_I 00000230 07d2684a - 2996790 ns IT 00000230 684a LDR r2,[r1,#4] - 2996810 ns MR4_I 00000234 6008d1fc - 2996870 ns MR4_D 40006004 00000001 - 2996870 ns R r2 00000001 - 2996870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2996890 ns R r2 80000000 - 2996890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2996910 ns R psr 81000200 - 2996910 ns MR4_I 00000238 48054770 - 2996930 ns MR4_I 00000230 07d2684a - 2996950 ns IT 00000230 684a LDR r2,[r1,#4] - 2996970 ns MR4_I 00000234 6008d1fc - 2997030 ns MR4_D 40006004 00000001 - 2997030 ns R r2 00000001 - 2997030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2997050 ns R r2 80000000 - 2997050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2997070 ns R psr 81000200 - 2997070 ns MR4_I 00000238 48054770 - 2997090 ns MR4_I 00000230 07d2684a - 2997110 ns IT 00000230 684a LDR r2,[r1,#4] - 2997130 ns MR4_I 00000234 6008d1fc - 2997190 ns MR4_D 40006004 00000001 - 2997190 ns R r2 00000001 - 2997190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2997210 ns R r2 80000000 - 2997210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2997230 ns R psr 81000200 - 2997230 ns MR4_I 00000238 48054770 - 2997250 ns MR4_I 00000230 07d2684a - 2997270 ns IT 00000230 684a LDR r2,[r1,#4] - 2997290 ns MR4_I 00000234 6008d1fc - 2997350 ns MR4_D 40006004 00000001 - 2997350 ns R r2 00000001 - 2997350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2997370 ns R r2 80000000 - 2997370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2997390 ns R psr 81000200 - 2997390 ns MR4_I 00000238 48054770 - 2997410 ns MR4_I 00000230 07d2684a - 2997430 ns IT 00000230 684a LDR r2,[r1,#4] - 2997450 ns MR4_I 00000234 6008d1fc - 2997510 ns MR4_D 40006004 00000001 - 2997510 ns R r2 00000001 - 2997510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2997530 ns R r2 80000000 - 2997530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2997550 ns R psr 81000200 - 2997550 ns MR4_I 00000238 48054770 - 2997570 ns MR4_I 00000230 07d2684a - 2997590 ns IT 00000230 684a LDR r2,[r1,#4] - 2997610 ns MR4_I 00000234 6008d1fc - 2997670 ns MR4_D 40006004 00000001 - 2997670 ns R r2 00000001 - 2997670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2997690 ns R r2 80000000 - 2997690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2997710 ns R psr 81000200 - 2997710 ns MR4_I 00000238 48054770 - 2997730 ns MR4_I 00000230 07d2684a - 2997750 ns IT 00000230 684a LDR r2,[r1,#4] - 2997770 ns MR4_I 00000234 6008d1fc - 2997830 ns MR4_D 40006004 00000001 - 2997830 ns R r2 00000001 - 2997830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2997850 ns R r2 80000000 - 2997850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2997870 ns R psr 81000200 - 2997870 ns MR4_I 00000238 48054770 - 2997890 ns MR4_I 00000230 07d2684a - 2997910 ns IT 00000230 684a LDR r2,[r1,#4] - 2997930 ns MR4_I 00000234 6008d1fc - 2997990 ns MR4_D 40006004 00000001 - 2997990 ns R r2 00000001 - 2997990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2998010 ns R r2 80000000 - 2998010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2998030 ns R psr 81000200 - 2998030 ns MR4_I 00000238 48054770 - 2998050 ns MR4_I 00000230 07d2684a - 2998070 ns IT 00000230 684a LDR r2,[r1,#4] - 2998090 ns MR4_I 00000234 6008d1fc - 2998150 ns MR4_D 40006004 00000001 - 2998150 ns R r2 00000001 - 2998150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2998170 ns R r2 80000000 - 2998170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2998190 ns R psr 81000200 - 2998190 ns MR4_I 00000238 48054770 - 2998210 ns MR4_I 00000230 07d2684a - 2998230 ns IT 00000230 684a LDR r2,[r1,#4] - 2998250 ns MR4_I 00000234 6008d1fc - 2998310 ns MR4_D 40006004 00000001 - 2998310 ns R r2 00000001 - 2998310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2998330 ns R r2 80000000 - 2998330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2998350 ns R psr 81000200 - 2998350 ns MR4_I 00000238 48054770 - 2998370 ns MR4_I 00000230 07d2684a - 2998390 ns IT 00000230 684a LDR r2,[r1,#4] - 2998410 ns MR4_I 00000234 6008d1fc - 2998470 ns MR4_D 40006004 00000001 - 2998470 ns R r2 00000001 - 2998470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2998490 ns R r2 80000000 - 2998490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2998510 ns R psr 81000200 - 2998510 ns MR4_I 00000238 48054770 - 2998530 ns MR4_I 00000230 07d2684a - 2998550 ns IT 00000230 684a LDR r2,[r1,#4] - 2998570 ns MR4_I 00000234 6008d1fc - 2998630 ns MR4_D 40006004 00000001 - 2998630 ns R r2 00000001 - 2998630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2998650 ns R r2 80000000 - 2998650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2998670 ns R psr 81000200 - 2998670 ns MR4_I 00000238 48054770 - 2998690 ns MR4_I 00000230 07d2684a - 2998710 ns IT 00000230 684a LDR r2,[r1,#4] - 2998730 ns MR4_I 00000234 6008d1fc - 2998790 ns MR4_D 40006004 00000001 - 2998790 ns R r2 00000001 - 2998790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2998810 ns R r2 80000000 - 2998810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2998830 ns R psr 81000200 - 2998830 ns MR4_I 00000238 48054770 - 2998850 ns MR4_I 00000230 07d2684a - 2998870 ns IT 00000230 684a LDR r2,[r1,#4] - 2998890 ns MR4_I 00000234 6008d1fc - 2998950 ns MR4_D 40006004 00000001 - 2998950 ns R r2 00000001 - 2998950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2998970 ns R r2 80000000 - 2998970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2998990 ns R psr 81000200 - 2998990 ns MR4_I 00000238 48054770 - 2999010 ns MR4_I 00000230 07d2684a - 2999030 ns IT 00000230 684a LDR r2,[r1,#4] - 2999050 ns MR4_I 00000234 6008d1fc - 2999110 ns MR4_D 40006004 00000001 - 2999110 ns R r2 00000001 - 2999110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2999130 ns R r2 80000000 - 2999130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2999150 ns R psr 81000200 - 2999150 ns MR4_I 00000238 48054770 - 2999170 ns MR4_I 00000230 07d2684a - 2999190 ns IT 00000230 684a LDR r2,[r1,#4] - 2999210 ns MR4_I 00000234 6008d1fc - 2999270 ns MR4_D 40006004 00000001 - 2999270 ns R r2 00000001 - 2999270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2999290 ns R r2 80000000 - 2999290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2999310 ns R psr 81000200 - 2999310 ns MR4_I 00000238 48054770 - 2999330 ns MR4_I 00000230 07d2684a - 2999350 ns IT 00000230 684a LDR r2,[r1,#4] - 2999370 ns MR4_I 00000234 6008d1fc - 2999430 ns MR4_D 40006004 00000001 - 2999430 ns R r2 00000001 - 2999430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2999450 ns R r2 80000000 - 2999450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2999470 ns R psr 81000200 - 2999470 ns MR4_I 00000238 48054770 - 2999490 ns MR4_I 00000230 07d2684a - 2999510 ns IT 00000230 684a LDR r2,[r1,#4] - 2999530 ns MR4_I 00000234 6008d1fc - 2999590 ns MR4_D 40006004 00000001 - 2999590 ns R r2 00000001 - 2999590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2999610 ns R r2 80000000 - 2999610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2999630 ns R psr 81000200 - 2999630 ns MR4_I 00000238 48054770 - 2999650 ns MR4_I 00000230 07d2684a - 2999670 ns IT 00000230 684a LDR r2,[r1,#4] - 2999690 ns MR4_I 00000234 6008d1fc - 2999750 ns MR4_D 40006004 00000001 - 2999750 ns R r2 00000001 - 2999750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2999770 ns R r2 80000000 - 2999770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2999790 ns R psr 81000200 - 2999790 ns MR4_I 00000238 48054770 - 2999810 ns MR4_I 00000230 07d2684a - 2999830 ns IT 00000230 684a LDR r2,[r1,#4] - 2999850 ns MR4_I 00000234 6008d1fc - 2999910 ns MR4_D 40006004 00000001 - 2999910 ns R r2 00000001 - 2999910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 2999930 ns R r2 80000000 - 2999930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 2999950 ns R psr 81000200 - 2999950 ns MR4_I 00000238 48054770 - 2999970 ns MR4_I 00000230 07d2684a - 2999990 ns IT 00000230 684a LDR r2,[r1,#4] - 3000010 ns MR4_I 00000234 6008d1fc - 3000070 ns MR4_D 40006004 00000001 - 3000070 ns R r2 00000001 - 3000070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3000090 ns R r2 80000000 - 3000090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3000110 ns R psr 81000200 - 3000110 ns MR4_I 00000238 48054770 - 3000130 ns MR4_I 00000230 07d2684a - 3000150 ns IT 00000230 684a LDR r2,[r1,#4] - 3000170 ns MR4_I 00000234 6008d1fc - 3000230 ns MR4_D 40006004 00000001 - 3000230 ns R r2 00000001 - 3000230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3000250 ns R r2 80000000 - 3000250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3000270 ns R psr 81000200 - 3000270 ns MR4_I 00000238 48054770 - 3000290 ns MR4_I 00000230 07d2684a - 3000310 ns IT 00000230 684a LDR r2,[r1,#4] - 3000330 ns MR4_I 00000234 6008d1fc - 3000390 ns MR4_D 40006004 00000001 - 3000390 ns R r2 00000001 - 3000390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3000410 ns R r2 80000000 - 3000410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3000430 ns R psr 81000200 - 3000430 ns MR4_I 00000238 48054770 - 3000450 ns MR4_I 00000230 07d2684a - 3000470 ns IT 00000230 684a LDR r2,[r1,#4] - 3000490 ns MR4_I 00000234 6008d1fc - 3000550 ns MR4_D 40006004 00000001 - 3000550 ns R r2 00000001 - 3000550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3000570 ns R r2 80000000 - 3000570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3000590 ns R psr 81000200 - 3000590 ns MR4_I 00000238 48054770 - 3000610 ns MR4_I 00000230 07d2684a - 3000630 ns IT 00000230 684a LDR r2,[r1,#4] - 3000650 ns MR4_I 00000234 6008d1fc - 3000710 ns MR4_D 40006004 00000001 - 3000710 ns R r2 00000001 - 3000710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3000730 ns R r2 80000000 - 3000730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3000750 ns R psr 81000200 - 3000750 ns MR4_I 00000238 48054770 - 3000770 ns MR4_I 00000230 07d2684a - 3000790 ns IT 00000230 684a LDR r2,[r1,#4] - 3000810 ns MR4_I 00000234 6008d1fc - 3000870 ns MR4_D 40006004 00000001 - 3000870 ns R r2 00000001 - 3000870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3000890 ns R r2 80000000 - 3000890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3000910 ns R psr 81000200 - 3000910 ns MR4_I 00000238 48054770 - 3000930 ns MR4_I 00000230 07d2684a - 3000950 ns IT 00000230 684a LDR r2,[r1,#4] - 3000970 ns MR4_I 00000234 6008d1fc - 3001030 ns MR4_D 40006004 00000001 - 3001030 ns R r2 00000001 - 3001030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3001050 ns R r2 80000000 - 3001050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3001070 ns R psr 81000200 - 3001070 ns MR4_I 00000238 48054770 - 3001090 ns MR4_I 00000230 07d2684a - 3001110 ns IT 00000230 684a LDR r2,[r1,#4] - 3001130 ns MR4_I 00000234 6008d1fc - 3001190 ns MR4_D 40006004 00000001 - 3001190 ns R r2 00000001 - 3001190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3001210 ns R r2 80000000 - 3001210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3001230 ns R psr 81000200 - 3001230 ns MR4_I 00000238 48054770 - 3001250 ns MR4_I 00000230 07d2684a - 3001270 ns IT 00000230 684a LDR r2,[r1,#4] - 3001290 ns MR4_I 00000234 6008d1fc - 3001350 ns MR4_D 40006004 00000001 - 3001350 ns R r2 00000001 - 3001350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3001370 ns R r2 80000000 - 3001370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3001390 ns R psr 81000200 - 3001390 ns MR4_I 00000238 48054770 - 3001410 ns MR4_I 00000230 07d2684a - 3001430 ns IT 00000230 684a LDR r2,[r1,#4] - 3001450 ns MR4_I 00000234 6008d1fc - 3001510 ns MR4_D 40006004 00000001 - 3001510 ns R r2 00000001 - 3001510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3001530 ns R r2 80000000 - 3001530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3001550 ns R psr 81000200 - 3001550 ns MR4_I 00000238 48054770 - 3001570 ns MR4_I 00000230 07d2684a - 3001590 ns IT 00000230 684a LDR r2,[r1,#4] - 3001610 ns MR4_I 00000234 6008d1fc - 3001670 ns MR4_D 40006004 00000001 - 3001670 ns R r2 00000001 - 3001670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3001690 ns R r2 80000000 - 3001690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3001710 ns R psr 81000200 - 3001710 ns MR4_I 00000238 48054770 - 3001730 ns MR4_I 00000230 07d2684a - 3001750 ns IT 00000230 684a LDR r2,[r1,#4] - 3001770 ns MR4_I 00000234 6008d1fc - 3001830 ns MR4_D 40006004 00000001 - 3001830 ns R r2 00000001 - 3001830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3001850 ns R r2 80000000 - 3001850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3001870 ns R psr 81000200 - 3001870 ns MR4_I 00000238 48054770 - 3001890 ns MR4_I 00000230 07d2684a - 3001910 ns IT 00000230 684a LDR r2,[r1,#4] - 3001930 ns MR4_I 00000234 6008d1fc - 3001990 ns MR4_D 40006004 00000001 - 3001990 ns R r2 00000001 - 3001990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3002010 ns R r2 80000000 - 3002010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3002030 ns R psr 81000200 - 3002030 ns MR4_I 00000238 48054770 - 3002050 ns MR4_I 00000230 07d2684a - 3002070 ns IT 00000230 684a LDR r2,[r1,#4] - 3002090 ns MR4_I 00000234 6008d1fc - 3002150 ns MR4_D 40006004 00000001 - 3002150 ns R r2 00000001 - 3002150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3002170 ns R r2 80000000 - 3002170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3002190 ns R psr 81000200 - 3002190 ns MR4_I 00000238 48054770 - 3002210 ns MR4_I 00000230 07d2684a - 3002230 ns IT 00000230 684a LDR r2,[r1,#4] - 3002250 ns MR4_I 00000234 6008d1fc - 3002310 ns MR4_D 40006004 00000001 - 3002310 ns R r2 00000001 - 3002310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3002330 ns R r2 80000000 - 3002330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3002350 ns R psr 81000200 - 3002350 ns MR4_I 00000238 48054770 - 3002370 ns MR4_I 00000230 07d2684a - 3002390 ns IT 00000230 684a LDR r2,[r1,#4] - 3002410 ns MR4_I 00000234 6008d1fc - 3002470 ns MR4_D 40006004 00000001 - 3002470 ns R r2 00000001 - 3002470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3002490 ns R r2 80000000 - 3002490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3002510 ns R psr 81000200 - 3002510 ns MR4_I 00000238 48054770 - 3002530 ns MR4_I 00000230 07d2684a - 3002550 ns IT 00000230 684a LDR r2,[r1,#4] - 3002570 ns MR4_I 00000234 6008d1fc - 3002630 ns MR4_D 40006004 00000001 - 3002630 ns R r2 00000001 - 3002630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3002650 ns R r2 80000000 - 3002650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3002670 ns R psr 81000200 - 3002670 ns MR4_I 00000238 48054770 - 3002690 ns MR4_I 00000230 07d2684a - 3002710 ns IT 00000230 684a LDR r2,[r1,#4] - 3002730 ns MR4_I 00000234 6008d1fc - 3002790 ns MR4_D 40006004 00000001 - 3002790 ns R r2 00000001 - 3002790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3002810 ns R r2 80000000 - 3002810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3002830 ns R psr 81000200 - 3002830 ns MR4_I 00000238 48054770 - 3002850 ns MR4_I 00000230 07d2684a - 3002870 ns IT 00000230 684a LDR r2,[r1,#4] - 3002890 ns MR4_I 00000234 6008d1fc - 3002950 ns MR4_D 40006004 00000001 - 3002950 ns R r2 00000001 - 3002950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3002970 ns R r2 80000000 - 3002970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3002990 ns R psr 81000200 - 3002990 ns MR4_I 00000238 48054770 - 3003010 ns MR4_I 00000230 07d2684a - 3003030 ns IT 00000230 684a LDR r2,[r1,#4] - 3003050 ns MR4_I 00000234 6008d1fc - 3003110 ns MR4_D 40006004 00000001 - 3003110 ns R r2 00000001 - 3003110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3003130 ns R r2 80000000 - 3003130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3003150 ns R psr 81000200 - 3003150 ns MR4_I 00000238 48054770 - 3003170 ns MR4_I 00000230 07d2684a - 3003190 ns IT 00000230 684a LDR r2,[r1,#4] - 3003210 ns MR4_I 00000234 6008d1fc - 3003270 ns MR4_D 40006004 00000001 - 3003270 ns R r2 00000001 - 3003270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3003290 ns R r2 80000000 - 3003290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3003310 ns R psr 81000200 - 3003310 ns MR4_I 00000238 48054770 - 3003330 ns MR4_I 00000230 07d2684a - 3003350 ns IT 00000230 684a LDR r2,[r1,#4] - 3003370 ns MR4_I 00000234 6008d1fc - 3003430 ns MR4_D 40006004 00000001 - 3003430 ns R r2 00000001 - 3003430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3003450 ns R r2 80000000 - 3003450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3003470 ns R psr 81000200 - 3003470 ns MR4_I 00000238 48054770 - 3003490 ns MR4_I 00000230 07d2684a - 3003510 ns IT 00000230 684a LDR r2,[r1,#4] - 3003530 ns MR4_I 00000234 6008d1fc - 3003590 ns MR4_D 40006004 00000001 - 3003590 ns R r2 00000001 - 3003590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3003610 ns R r2 80000000 - 3003610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3003630 ns R psr 81000200 - 3003630 ns MR4_I 00000238 48054770 - 3003650 ns MR4_I 00000230 07d2684a - 3003670 ns IT 00000230 684a LDR r2,[r1,#4] - 3003690 ns MR4_I 00000234 6008d1fc - 3003750 ns MR4_D 40006004 00000001 - 3003750 ns R r2 00000001 - 3003750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3003770 ns R r2 80000000 - 3003770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3003790 ns R psr 81000200 - 3003790 ns MR4_I 00000238 48054770 - 3003810 ns MR4_I 00000230 07d2684a - 3003830 ns IT 00000230 684a LDR r2,[r1,#4] - 3003850 ns MR4_I 00000234 6008d1fc - 3003910 ns MR4_D 40006004 00000001 - 3003910 ns R r2 00000001 - 3003910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3003930 ns R r2 80000000 - 3003930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3003950 ns R psr 81000200 - 3003950 ns MR4_I 00000238 48054770 - 3003970 ns MR4_I 00000230 07d2684a - 3003990 ns IT 00000230 684a LDR r2,[r1,#4] - 3004010 ns MR4_I 00000234 6008d1fc - 3004070 ns MR4_D 40006004 00000001 - 3004070 ns R r2 00000001 - 3004070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3004090 ns R r2 80000000 - 3004090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3004110 ns R psr 81000200 - 3004110 ns MR4_I 00000238 48054770 - 3004130 ns MR4_I 00000230 07d2684a - 3004150 ns IT 00000230 684a LDR r2,[r1,#4] - 3004170 ns MR4_I 00000234 6008d1fc - 3004230 ns MR4_D 40006004 00000001 - 3004230 ns R r2 00000001 - 3004230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3004250 ns R r2 80000000 - 3004250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3004270 ns R psr 81000200 - 3004270 ns MR4_I 00000238 48054770 - 3004290 ns MR4_I 00000230 07d2684a - 3004310 ns IT 00000230 684a LDR r2,[r1,#4] - 3004330 ns MR4_I 00000234 6008d1fc - 3004390 ns MR4_D 40006004 00000001 - 3004390 ns R r2 00000001 - 3004390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3004410 ns R r2 80000000 - 3004410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3004430 ns R psr 81000200 - 3004430 ns MR4_I 00000238 48054770 - 3004450 ns MR4_I 00000230 07d2684a - 3004470 ns IT 00000230 684a LDR r2,[r1,#4] - 3004490 ns MR4_I 00000234 6008d1fc - 3004550 ns MR4_D 40006004 00000001 - 3004550 ns R r2 00000001 - 3004550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3004570 ns R r2 80000000 - 3004570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3004590 ns R psr 81000200 - 3004590 ns MR4_I 00000238 48054770 - 3004610 ns MR4_I 00000230 07d2684a - 3004630 ns IT 00000230 684a LDR r2,[r1,#4] - 3004650 ns MR4_I 00000234 6008d1fc - 3004710 ns MR4_D 40006004 00000001 - 3004710 ns R r2 00000001 - 3004710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3004730 ns R r2 80000000 - 3004730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3004750 ns R psr 81000200 - 3004750 ns MR4_I 00000238 48054770 - 3004770 ns MR4_I 00000230 07d2684a - 3004790 ns IT 00000230 684a LDR r2,[r1,#4] - 3004810 ns MR4_I 00000234 6008d1fc - 3004870 ns MR4_D 40006004 00000001 - 3004870 ns R r2 00000001 - 3004870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3004890 ns R r2 80000000 - 3004890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3004910 ns R psr 81000200 - 3004910 ns MR4_I 00000238 48054770 - 3004930 ns MR4_I 00000230 07d2684a - 3004950 ns IT 00000230 684a LDR r2,[r1,#4] - 3004970 ns MR4_I 00000234 6008d1fc - 3005030 ns MR4_D 40006004 00000001 - 3005030 ns R r2 00000001 - 3005030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3005050 ns R r2 80000000 - 3005050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3005070 ns R psr 81000200 - 3005070 ns MR4_I 00000238 48054770 - 3005090 ns MR4_I 00000230 07d2684a - 3005110 ns IT 00000230 684a LDR r2,[r1,#4] - 3005130 ns MR4_I 00000234 6008d1fc - 3005190 ns MR4_D 40006004 00000001 - 3005190 ns R r2 00000001 - 3005190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3005210 ns R r2 80000000 - 3005210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3005230 ns R psr 81000200 - 3005230 ns MR4_I 00000238 48054770 - 3005250 ns MR4_I 00000230 07d2684a - 3005270 ns IT 00000230 684a LDR r2,[r1,#4] - 3005290 ns MR4_I 00000234 6008d1fc - 3005350 ns MR4_D 40006004 00000001 - 3005350 ns R r2 00000001 - 3005350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3005370 ns R r2 80000000 - 3005370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3005390 ns R psr 81000200 - 3005390 ns MR4_I 00000238 48054770 - 3005410 ns MR4_I 00000230 07d2684a - 3005430 ns IT 00000230 684a LDR r2,[r1,#4] - 3005450 ns MR4_I 00000234 6008d1fc - 3005510 ns MR4_D 40006004 00000001 - 3005510 ns R r2 00000001 - 3005510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3005530 ns R r2 80000000 - 3005530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3005550 ns R psr 81000200 - 3005550 ns MR4_I 00000238 48054770 - 3005570 ns MR4_I 00000230 07d2684a - 3005590 ns IT 00000230 684a LDR r2,[r1,#4] - 3005610 ns MR4_I 00000234 6008d1fc - 3005670 ns MR4_D 40006004 00000001 - 3005670 ns R r2 00000001 - 3005670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3005690 ns R r2 80000000 - 3005690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3005710 ns R psr 81000200 - 3005710 ns MR4_I 00000238 48054770 - 3005730 ns MR4_I 00000230 07d2684a - 3005750 ns IT 00000230 684a LDR r2,[r1,#4] - 3005770 ns MR4_I 00000234 6008d1fc - 3005830 ns MR4_D 40006004 00000001 - 3005830 ns R r2 00000001 - 3005830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3005850 ns R r2 80000000 - 3005850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3005870 ns R psr 81000200 - 3005870 ns MR4_I 00000238 48054770 - 3005890 ns MR4_I 00000230 07d2684a - 3005910 ns IT 00000230 684a LDR r2,[r1,#4] - 3005930 ns MR4_I 00000234 6008d1fc - 3005990 ns MR4_D 40006004 00000001 - 3005990 ns R r2 00000001 - 3005990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3006010 ns R r2 80000000 - 3006010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3006030 ns R psr 81000200 - 3006030 ns MR4_I 00000238 48054770 - 3006050 ns MR4_I 00000230 07d2684a - 3006070 ns IT 00000230 684a LDR r2,[r1,#4] - 3006090 ns MR4_I 00000234 6008d1fc - 3006150 ns MR4_D 40006004 00000001 - 3006150 ns R r2 00000001 - 3006150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3006170 ns R r2 80000000 - 3006170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3006190 ns R psr 81000200 - 3006190 ns MR4_I 00000238 48054770 - 3006210 ns MR4_I 00000230 07d2684a - 3006230 ns IT 00000230 684a LDR r2,[r1,#4] - 3006250 ns MR4_I 00000234 6008d1fc - 3006310 ns MR4_D 40006004 00000001 - 3006310 ns R r2 00000001 - 3006310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3006330 ns R r2 80000000 - 3006330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3006350 ns R psr 81000200 - 3006350 ns MR4_I 00000238 48054770 - 3006370 ns MR4_I 00000230 07d2684a - 3006390 ns IT 00000230 684a LDR r2,[r1,#4] - 3006410 ns MR4_I 00000234 6008d1fc - 3006470 ns MR4_D 40006004 00000001 - 3006470 ns R r2 00000001 - 3006470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3006490 ns R r2 80000000 - 3006490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3006510 ns R psr 81000200 - 3006510 ns MR4_I 00000238 48054770 - 3006530 ns MR4_I 00000230 07d2684a - 3006550 ns IT 00000230 684a LDR r2,[r1,#4] - 3006570 ns MR4_I 00000234 6008d1fc - 3006630 ns MR4_D 40006004 00000001 - 3006630 ns R r2 00000001 - 3006630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3006650 ns R r2 80000000 - 3006650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3006670 ns R psr 81000200 - 3006670 ns MR4_I 00000238 48054770 - 3006690 ns MR4_I 00000230 07d2684a - 3006710 ns IT 00000230 684a LDR r2,[r1,#4] - 3006730 ns MR4_I 00000234 6008d1fc - 3006790 ns MR4_D 40006004 00000001 - 3006790 ns R r2 00000001 - 3006790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3006810 ns R r2 80000000 - 3006810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3006830 ns R psr 81000200 - 3006830 ns MR4_I 00000238 48054770 - 3006850 ns MR4_I 00000230 07d2684a - 3006870 ns IT 00000230 684a LDR r2,[r1,#4] - 3006890 ns MR4_I 00000234 6008d1fc - 3006950 ns MR4_D 40006004 00000001 - 3006950 ns R r2 00000001 - 3006950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3006970 ns R r2 80000000 - 3006970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3006990 ns R psr 81000200 - 3006990 ns MR4_I 00000238 48054770 - 3007010 ns MR4_I 00000230 07d2684a - 3007030 ns IT 00000230 684a LDR r2,[r1,#4] - 3007050 ns MR4_I 00000234 6008d1fc - 3007110 ns MR4_D 40006004 00000001 - 3007110 ns R r2 00000001 - 3007110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3007130 ns R r2 80000000 - 3007130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3007150 ns R psr 81000200 - 3007150 ns MR4_I 00000238 48054770 - 3007170 ns MR4_I 00000230 07d2684a - 3007190 ns IT 00000230 684a LDR r2,[r1,#4] - 3007210 ns MR4_I 00000234 6008d1fc - 3007270 ns MR4_D 40006004 00000001 - 3007270 ns R r2 00000001 - 3007270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3007290 ns R r2 80000000 - 3007290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3007310 ns R psr 81000200 - 3007310 ns MR4_I 00000238 48054770 - 3007330 ns MR4_I 00000230 07d2684a - 3007350 ns IT 00000230 684a LDR r2,[r1,#4] - 3007370 ns MR4_I 00000234 6008d1fc - 3007430 ns MR4_D 40006004 00000001 - 3007430 ns R r2 00000001 - 3007430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3007450 ns R r2 80000000 - 3007450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3007470 ns R psr 81000200 - 3007470 ns MR4_I 00000238 48054770 - 3007490 ns MR4_I 00000230 07d2684a - 3007510 ns IT 00000230 684a LDR r2,[r1,#4] - 3007530 ns MR4_I 00000234 6008d1fc - 3007590 ns MR4_D 40006004 00000001 - 3007590 ns R r2 00000001 - 3007590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3007610 ns R r2 80000000 - 3007610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3007630 ns R psr 81000200 - 3007630 ns MR4_I 00000238 48054770 - 3007650 ns MR4_I 00000230 07d2684a - 3007670 ns IT 00000230 684a LDR r2,[r1,#4] - 3007690 ns MR4_I 00000234 6008d1fc - 3007750 ns MR4_D 40006004 00000001 - 3007750 ns R r2 00000001 - 3007750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3007770 ns R r2 80000000 - 3007770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3007790 ns R psr 81000200 - 3007790 ns MR4_I 00000238 48054770 - 3007810 ns MR4_I 00000230 07d2684a - 3007830 ns IT 00000230 684a LDR r2,[r1,#4] - 3007850 ns MR4_I 00000234 6008d1fc - 3007910 ns MR4_D 40006004 00000001 - 3007910 ns R r2 00000001 - 3007910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3007930 ns R r2 80000000 - 3007930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3007950 ns R psr 81000200 - 3007950 ns MR4_I 00000238 48054770 - 3007970 ns MR4_I 00000230 07d2684a - 3007990 ns IT 00000230 684a LDR r2,[r1,#4] - 3008010 ns MR4_I 00000234 6008d1fc - 3008070 ns MR4_D 40006004 00000001 - 3008070 ns R r2 00000001 - 3008070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3008090 ns R r2 80000000 - 3008090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3008110 ns R psr 81000200 - 3008110 ns MR4_I 00000238 48054770 - 3008130 ns MR4_I 00000230 07d2684a - 3008150 ns IT 00000230 684a LDR r2,[r1,#4] - 3008170 ns MR4_I 00000234 6008d1fc - 3008230 ns MR4_D 40006004 00000001 - 3008230 ns R r2 00000001 - 3008230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3008250 ns R r2 80000000 - 3008250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3008270 ns R psr 81000200 - 3008270 ns MR4_I 00000238 48054770 - 3008290 ns MR4_I 00000230 07d2684a - 3008310 ns IT 00000230 684a LDR r2,[r1,#4] - 3008330 ns MR4_I 00000234 6008d1fc - 3008390 ns MR4_D 40006004 00000001 - 3008390 ns R r2 00000001 - 3008390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3008410 ns R r2 80000000 - 3008410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3008430 ns R psr 81000200 - 3008430 ns MR4_I 00000238 48054770 - 3008450 ns MR4_I 00000230 07d2684a - 3008470 ns IT 00000230 684a LDR r2,[r1,#4] - 3008490 ns MR4_I 00000234 6008d1fc - 3008550 ns MR4_D 40006004 00000001 - 3008550 ns R r2 00000001 - 3008550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3008570 ns R r2 80000000 - 3008570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3008590 ns R psr 81000200 - 3008590 ns MR4_I 00000238 48054770 - 3008610 ns MR4_I 00000230 07d2684a - 3008630 ns IT 00000230 684a LDR r2,[r1,#4] - 3008650 ns MR4_I 00000234 6008d1fc - 3008710 ns MR4_D 40006004 00000001 - 3008710 ns R r2 00000001 - 3008710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3008730 ns R r2 80000000 - 3008730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3008750 ns R psr 81000200 - 3008750 ns MR4_I 00000238 48054770 - 3008770 ns MR4_I 00000230 07d2684a - 3008790 ns IT 00000230 684a LDR r2,[r1,#4] - 3008810 ns MR4_I 00000234 6008d1fc - 3008870 ns MR4_D 40006004 00000001 - 3008870 ns R r2 00000001 - 3008870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3008890 ns R r2 80000000 - 3008890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3008910 ns R psr 81000200 - 3008910 ns MR4_I 00000238 48054770 - 3008930 ns MR4_I 00000230 07d2684a - 3008950 ns IT 00000230 684a LDR r2,[r1,#4] - 3008970 ns MR4_I 00000234 6008d1fc - 3009030 ns MR4_D 40006004 00000001 - 3009030 ns R r2 00000001 - 3009030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3009050 ns R r2 80000000 - 3009050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3009070 ns R psr 81000200 - 3009070 ns MR4_I 00000238 48054770 - 3009090 ns MR4_I 00000230 07d2684a - 3009110 ns IT 00000230 684a LDR r2,[r1,#4] - 3009130 ns MR4_I 00000234 6008d1fc - 3009190 ns MR4_D 40006004 00000001 - 3009190 ns R r2 00000001 - 3009190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3009210 ns R r2 80000000 - 3009210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3009230 ns R psr 81000200 - 3009230 ns MR4_I 00000238 48054770 - 3009250 ns MR4_I 00000230 07d2684a - 3009270 ns IT 00000230 684a LDR r2,[r1,#4] - 3009290 ns MR4_I 00000234 6008d1fc - 3009350 ns MR4_D 40006004 00000001 - 3009350 ns R r2 00000001 - 3009350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3009370 ns R r2 80000000 - 3009370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3009390 ns R psr 81000200 - 3009390 ns MR4_I 00000238 48054770 - 3009410 ns MR4_I 00000230 07d2684a - 3009430 ns IT 00000230 684a LDR r2,[r1,#4] - 3009450 ns MR4_I 00000234 6008d1fc - 3009510 ns MR4_D 40006004 00000001 - 3009510 ns R r2 00000001 - 3009510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3009530 ns R r2 80000000 - 3009530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3009550 ns R psr 81000200 - 3009550 ns MR4_I 00000238 48054770 - 3009570 ns MR4_I 00000230 07d2684a - 3009590 ns IT 00000230 684a LDR r2,[r1,#4] - 3009610 ns MR4_I 00000234 6008d1fc - 3009670 ns MR4_D 40006004 00000001 - 3009670 ns R r2 00000001 - 3009670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3009690 ns R r2 80000000 - 3009690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3009710 ns R psr 81000200 - 3009710 ns MR4_I 00000238 48054770 - 3009730 ns MR4_I 00000230 07d2684a - 3009750 ns IT 00000230 684a LDR r2,[r1,#4] - 3009770 ns MR4_I 00000234 6008d1fc - 3009830 ns MR4_D 40006004 00000001 - 3009830 ns R r2 00000001 - 3009830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3009850 ns R r2 80000000 - 3009850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3009870 ns R psr 81000200 - 3009870 ns MR4_I 00000238 48054770 - 3009890 ns MR4_I 00000230 07d2684a - 3009910 ns IT 00000230 684a LDR r2,[r1,#4] - 3009930 ns MR4_I 00000234 6008d1fc - 3009990 ns MR4_D 40006004 00000001 - 3009990 ns R r2 00000001 - 3009990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3010010 ns R r2 80000000 - 3010010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3010030 ns R psr 81000200 - 3010030 ns MR4_I 00000238 48054770 - 3010050 ns MR4_I 00000230 07d2684a - 3010070 ns IT 00000230 684a LDR r2,[r1,#4] - 3010090 ns MR4_I 00000234 6008d1fc - 3010150 ns MR4_D 40006004 00000001 - 3010150 ns R r2 00000001 - 3010150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3010170 ns R r2 80000000 - 3010170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3010190 ns R psr 81000200 - 3010190 ns MR4_I 00000238 48054770 - 3010210 ns MR4_I 00000230 07d2684a - 3010230 ns IT 00000230 684a LDR r2,[r1,#4] - 3010250 ns MR4_I 00000234 6008d1fc - 3010310 ns MR4_D 40006004 00000001 - 3010310 ns R r2 00000001 - 3010310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3010330 ns R r2 80000000 - 3010330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3010350 ns R psr 81000200 - 3010350 ns MR4_I 00000238 48054770 - 3010370 ns MR4_I 00000230 07d2684a - 3010390 ns IT 00000230 684a LDR r2,[r1,#4] - 3010410 ns MR4_I 00000234 6008d1fc - 3010470 ns MR4_D 40006004 00000001 - 3010470 ns R r2 00000001 - 3010470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3010490 ns R r2 80000000 - 3010490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3010510 ns R psr 81000200 - 3010510 ns MR4_I 00000238 48054770 - 3010530 ns MR4_I 00000230 07d2684a - 3010550 ns IT 00000230 684a LDR r2,[r1,#4] - 3010570 ns MR4_I 00000234 6008d1fc - 3010630 ns MR4_D 40006004 00000001 - 3010630 ns R r2 00000001 - 3010630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3010650 ns R r2 80000000 - 3010650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3010670 ns R psr 81000200 - 3010670 ns MR4_I 00000238 48054770 - 3010690 ns MR4_I 00000230 07d2684a - 3010710 ns IT 00000230 684a LDR r2,[r1,#4] - 3010730 ns MR4_I 00000234 6008d1fc - 3010790 ns MR4_D 40006004 00000001 - 3010790 ns R r2 00000001 - 3010790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3010810 ns R r2 80000000 - 3010810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3010830 ns R psr 81000200 - 3010830 ns MR4_I 00000238 48054770 - 3010850 ns MR4_I 00000230 07d2684a - 3010870 ns IT 00000230 684a LDR r2,[r1,#4] - 3010890 ns MR4_I 00000234 6008d1fc - 3010950 ns MR4_D 40006004 00000001 - 3010950 ns R r2 00000001 - 3010950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3010970 ns R r2 80000000 - 3010970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3010990 ns R psr 81000200 - 3010990 ns MR4_I 00000238 48054770 - 3011010 ns MR4_I 00000230 07d2684a - 3011030 ns IT 00000230 684a LDR r2,[r1,#4] - 3011050 ns MR4_I 00000234 6008d1fc - 3011110 ns MR4_D 40006004 00000001 - 3011110 ns R r2 00000001 - 3011110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3011130 ns R r2 80000000 - 3011130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3011150 ns R psr 81000200 - 3011150 ns MR4_I 00000238 48054770 - 3011170 ns MR4_I 00000230 07d2684a - 3011190 ns IT 00000230 684a LDR r2,[r1,#4] - 3011210 ns MR4_I 00000234 6008d1fc - 3011270 ns MR4_D 40006004 00000001 - 3011270 ns R r2 00000001 - 3011270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3011290 ns R r2 80000000 - 3011290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3011310 ns R psr 81000200 - 3011310 ns MR4_I 00000238 48054770 - 3011330 ns MR4_I 00000230 07d2684a - 3011350 ns IT 00000230 684a LDR r2,[r1,#4] - 3011370 ns MR4_I 00000234 6008d1fc - 3011430 ns MR4_D 40006004 00000001 - 3011430 ns R r2 00000001 - 3011430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3011450 ns R r2 80000000 - 3011450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3011470 ns R psr 81000200 - 3011470 ns MR4_I 00000238 48054770 - 3011490 ns MR4_I 00000230 07d2684a - 3011510 ns IT 00000230 684a LDR r2,[r1,#4] - 3011530 ns MR4_I 00000234 6008d1fc - 3011590 ns MR4_D 40006004 00000001 - 3011590 ns R r2 00000001 - 3011590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3011610 ns R r2 80000000 - 3011610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3011630 ns R psr 81000200 - 3011630 ns MR4_I 00000238 48054770 - 3011650 ns MR4_I 00000230 07d2684a - 3011670 ns IT 00000230 684a LDR r2,[r1,#4] - 3011690 ns MR4_I 00000234 6008d1fc - 3011750 ns MR4_D 40006004 00000001 - 3011750 ns R r2 00000001 - 3011750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3011770 ns R r2 80000000 - 3011770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3011790 ns R psr 81000200 - 3011790 ns MR4_I 00000238 48054770 - 3011810 ns MR4_I 00000230 07d2684a - 3011830 ns IT 00000230 684a LDR r2,[r1,#4] - 3011850 ns MR4_I 00000234 6008d1fc - 3011910 ns MR4_D 40006004 00000000 - 3011910 ns R r2 00000000 - 3011910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3011930 ns R r2 00000000 - 3011930 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3011950 ns R psr 41000200 - 3011950 ns MR4_I 00000238 48054770 - 3011950 ns IT 00000236 6008 STR r0,[r1,#0] - 3012030 ns MW4_D 40006000 00000044 - 3012030 ns IT 00000238 4770 BX lr - 3012050 ns MR4_I 0000023c 07896841 - 3012070 ns R psr 41000200 - 3012070 ns MR4_I 000001fc b510bd10 - 3012090 ns IT 000001fc bd10 POP {r4,pc} - 3012110 ns MR4_I 00000200 f81bf000 - 3012110 ns R r13 200002e0 (MSP) - 3012130 ns MR4_D 200002d8 0000000d - 3012130 ns R r4 0000000d - 3012150 ns MR4_D 200002dc 0000032d - 3012170 ns R psr 41000200 - 3012190 ns MR4_I 0000032c 46301c64 - 3012210 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 3012230 ns MR4_I 00000330 280047a8 - 3012230 ns R r4 0000000e - 3012230 ns IT 0000032e 4630 MOV r0,r6 - 3012250 ns R psr 01000200 - 3012250 ns R r0 200002f8 - 3012250 ns IT 00000330 47a8 BLX r5 - 3012270 ns MR4_I 00000334 4620d1f8 - 3012290 ns R psr 01000200 - 3012290 ns MR4_I 000002a8 1c4a6901 - 3012290 ns R r14 00000333 - 3012310 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 3012330 ns MR4_I 000002ac 78086102 - 3012350 ns MR4_D 20000308 0000019e - 3012350 ns R r1 0000019e - 3012350 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 3012370 ns R r2 0000019f - 3012370 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 3012390 ns R psr 01000200 - 3012390 ns MR4_I 000002b0 b5004770 - 3012410 ns MW4_D 20000308 0000019f - 3012410 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 3012450 ns MR1_D 0000019e 2a204445 - 3012450 ns R r0 00000020 - 3012450 ns IT 000002b0 4770 BX lr - 3012470 ns MR4_I 000002b4 9102b08f - 3012490 ns R psr 01000200 - 3012490 ns MR4_I 00000330 280047a8 - 3012510 ns MR4_I 00000334 4620d1f8 - 3012510 ns IT 00000332 2800 CMP r0,#0 - 3012530 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 3012550 ns R psr 21000200 - 3012550 ns MR4_I 00000338 b510bdf8 - 3012570 ns MR4_I 00000328 47b89900 - 3012590 ns IT 00000328 9900 LDR r1,[sp,#0] - 3012610 ns MR4_I 0000032c 46301c64 - 3012630 ns MR4_D 200002e0 20000004 - 3012630 ns R r1 20000004 - 3012630 ns IT 0000032a 47b8 BLX r7 - 3012670 ns R psr 21000200 - 3012670 ns MR4_I 000001f4 b2c0b510 - 3012670 ns R r14 0000032d - 3012690 ns IT 000001f4 b510 PUSH {r4,lr} - 3012710 ns MR4_I 000001f8 f819f000 - 3012730 ns MW4_D 200002d8 0000000e - 3012750 ns MW4_D 200002dc 0000032d - 3012750 ns R r13 200002d8 (MSP) - 3012750 ns IT 000001f6 b2c0 UXTB r0,r0 - 3012770 ns R r0 00000020 - 3012770 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 3012790 ns MR4_I 000001fc b510bd10 - 3012810 ns R r14 000001fd - 3012830 ns MR4_I 0000022c 49084770 - 3012850 ns MR4_I 00000230 07d2684a - 3012850 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 3012890 ns MR4_D 00000250 40006000 - 3012890 ns R r1 40006000 - 3012890 ns IT 00000230 684a LDR r2,[r1,#4] - 3012910 ns MR4_I 00000234 6008d1fc - 3012970 ns MR4_D 40006004 00000001 - 3012970 ns R r2 00000001 - 3012970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3012990 ns R r2 80000000 - 3012990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3013010 ns R psr 81000200 - 3013010 ns MR4_I 00000238 48054770 - 3013030 ns MR4_I 00000230 07d2684a - 3013050 ns IT 00000230 684a LDR r2,[r1,#4] - 3013070 ns MR4_I 00000234 6008d1fc - 3013130 ns MR4_D 40006004 00000001 - 3013130 ns R r2 00000001 - 3013130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3013150 ns R r2 80000000 - 3013150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3013170 ns R psr 81000200 - 3013170 ns MR4_I 00000238 48054770 - 3013190 ns MR4_I 00000230 07d2684a - 3013210 ns IT 00000230 684a LDR r2,[r1,#4] - 3013230 ns MR4_I 00000234 6008d1fc - 3013290 ns MR4_D 40006004 00000001 - 3013290 ns R r2 00000001 - 3013290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3013310 ns R r2 80000000 - 3013310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3013330 ns R psr 81000200 - 3013330 ns MR4_I 00000238 48054770 - 3013350 ns MR4_I 00000230 07d2684a - 3013370 ns IT 00000230 684a LDR r2,[r1,#4] - 3013390 ns MR4_I 00000234 6008d1fc - 3013450 ns MR4_D 40006004 00000001 - 3013450 ns R r2 00000001 - 3013450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3013470 ns R r2 80000000 - 3013470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3013490 ns R psr 81000200 - 3013490 ns MR4_I 00000238 48054770 - 3013510 ns MR4_I 00000230 07d2684a - 3013530 ns IT 00000230 684a LDR r2,[r1,#4] - 3013550 ns MR4_I 00000234 6008d1fc - 3013610 ns MR4_D 40006004 00000001 - 3013610 ns R r2 00000001 - 3013610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3013630 ns R r2 80000000 - 3013630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3013650 ns R psr 81000200 - 3013650 ns MR4_I 00000238 48054770 - 3013670 ns MR4_I 00000230 07d2684a - 3013690 ns IT 00000230 684a LDR r2,[r1,#4] - 3013710 ns MR4_I 00000234 6008d1fc - 3013770 ns MR4_D 40006004 00000001 - 3013770 ns R r2 00000001 - 3013770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3013790 ns R r2 80000000 - 3013790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3013810 ns R psr 81000200 - 3013810 ns MR4_I 00000238 48054770 - 3013830 ns MR4_I 00000230 07d2684a - 3013850 ns IT 00000230 684a LDR r2,[r1,#4] - 3013870 ns MR4_I 00000234 6008d1fc - 3013930 ns MR4_D 40006004 00000001 - 3013930 ns R r2 00000001 - 3013930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3013950 ns R r2 80000000 - 3013950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3013970 ns R psr 81000200 - 3013970 ns MR4_I 00000238 48054770 - 3013990 ns MR4_I 00000230 07d2684a - 3014010 ns IT 00000230 684a LDR r2,[r1,#4] - 3014030 ns MR4_I 00000234 6008d1fc - 3014090 ns MR4_D 40006004 00000001 - 3014090 ns R r2 00000001 - 3014090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3014110 ns R r2 80000000 - 3014110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3014130 ns R psr 81000200 - 3014130 ns MR4_I 00000238 48054770 - 3014150 ns MR4_I 00000230 07d2684a - 3014170 ns IT 00000230 684a LDR r2,[r1,#4] - 3014190 ns MR4_I 00000234 6008d1fc - 3014250 ns MR4_D 40006004 00000001 - 3014250 ns R r2 00000001 - 3014250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3014270 ns R r2 80000000 - 3014270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3014290 ns R psr 81000200 - 3014290 ns MR4_I 00000238 48054770 - 3014310 ns MR4_I 00000230 07d2684a - 3014330 ns IT 00000230 684a LDR r2,[r1,#4] - 3014350 ns MR4_I 00000234 6008d1fc - 3014410 ns MR4_D 40006004 00000001 - 3014410 ns R r2 00000001 - 3014410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3014430 ns R r2 80000000 - 3014430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3014450 ns R psr 81000200 - 3014450 ns MR4_I 00000238 48054770 - 3014470 ns MR4_I 00000230 07d2684a - 3014490 ns IT 00000230 684a LDR r2,[r1,#4] - 3014510 ns MR4_I 00000234 6008d1fc - 3014570 ns MR4_D 40006004 00000001 - 3014570 ns R r2 00000001 - 3014570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3014590 ns R r2 80000000 - 3014590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3014610 ns R psr 81000200 - 3014610 ns MR4_I 00000238 48054770 - 3014630 ns MR4_I 00000230 07d2684a - 3014650 ns IT 00000230 684a LDR r2,[r1,#4] - 3014670 ns MR4_I 00000234 6008d1fc - 3014730 ns MR4_D 40006004 00000001 - 3014730 ns R r2 00000001 - 3014730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3014750 ns R r2 80000000 - 3014750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3014770 ns R psr 81000200 - 3014770 ns MR4_I 00000238 48054770 - 3014790 ns MR4_I 00000230 07d2684a - 3014810 ns IT 00000230 684a LDR r2,[r1,#4] - 3014830 ns MR4_I 00000234 6008d1fc - 3014890 ns MR4_D 40006004 00000001 - 3014890 ns R r2 00000001 - 3014890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3014910 ns R r2 80000000 - 3014910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3014930 ns R psr 81000200 - 3014930 ns MR4_I 00000238 48054770 - 3014950 ns MR4_I 00000230 07d2684a - 3014970 ns IT 00000230 684a LDR r2,[r1,#4] - 3014990 ns MR4_I 00000234 6008d1fc - 3015050 ns MR4_D 40006004 00000001 - 3015050 ns R r2 00000001 - 3015050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3015070 ns R r2 80000000 - 3015070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3015090 ns R psr 81000200 - 3015090 ns MR4_I 00000238 48054770 - 3015110 ns MR4_I 00000230 07d2684a - 3015130 ns IT 00000230 684a LDR r2,[r1,#4] - 3015150 ns MR4_I 00000234 6008d1fc - 3015210 ns MR4_D 40006004 00000001 - 3015210 ns R r2 00000001 - 3015210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3015230 ns R r2 80000000 - 3015230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3015250 ns R psr 81000200 - 3015250 ns MR4_I 00000238 48054770 - 3015270 ns MR4_I 00000230 07d2684a - 3015290 ns IT 00000230 684a LDR r2,[r1,#4] - 3015310 ns MR4_I 00000234 6008d1fc - 3015370 ns MR4_D 40006004 00000001 - 3015370 ns R r2 00000001 - 3015370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3015390 ns R r2 80000000 - 3015390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3015410 ns R psr 81000200 - 3015410 ns MR4_I 00000238 48054770 - 3015430 ns MR4_I 00000230 07d2684a - 3015450 ns IT 00000230 684a LDR r2,[r1,#4] - 3015470 ns MR4_I 00000234 6008d1fc - 3015530 ns MR4_D 40006004 00000001 - 3015530 ns R r2 00000001 - 3015530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3015550 ns R r2 80000000 - 3015550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3015570 ns R psr 81000200 - 3015570 ns MR4_I 00000238 48054770 - 3015590 ns MR4_I 00000230 07d2684a - 3015610 ns IT 00000230 684a LDR r2,[r1,#4] - 3015630 ns MR4_I 00000234 6008d1fc - 3015690 ns MR4_D 40006004 00000001 - 3015690 ns R r2 00000001 - 3015690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3015710 ns R r2 80000000 - 3015710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3015730 ns R psr 81000200 - 3015730 ns MR4_I 00000238 48054770 - 3015750 ns MR4_I 00000230 07d2684a - 3015770 ns IT 00000230 684a LDR r2,[r1,#4] - 3015790 ns MR4_I 00000234 6008d1fc - 3015850 ns MR4_D 40006004 00000001 - 3015850 ns R r2 00000001 - 3015850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3015870 ns R r2 80000000 - 3015870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3015890 ns R psr 81000200 - 3015890 ns MR4_I 00000238 48054770 - 3015910 ns MR4_I 00000230 07d2684a - 3015930 ns IT 00000230 684a LDR r2,[r1,#4] - 3015950 ns MR4_I 00000234 6008d1fc - 3016010 ns MR4_D 40006004 00000001 - 3016010 ns R r2 00000001 - 3016010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3016030 ns R r2 80000000 - 3016030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3016050 ns R psr 81000200 - 3016050 ns MR4_I 00000238 48054770 - 3016070 ns MR4_I 00000230 07d2684a - 3016090 ns IT 00000230 684a LDR r2,[r1,#4] - 3016110 ns MR4_I 00000234 6008d1fc - 3016170 ns MR4_D 40006004 00000001 - 3016170 ns R r2 00000001 - 3016170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3016190 ns R r2 80000000 - 3016190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3016210 ns R psr 81000200 - 3016210 ns MR4_I 00000238 48054770 - 3016230 ns MR4_I 00000230 07d2684a - 3016250 ns IT 00000230 684a LDR r2,[r1,#4] - 3016270 ns MR4_I 00000234 6008d1fc - 3016330 ns MR4_D 40006004 00000001 - 3016330 ns R r2 00000001 - 3016330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3016350 ns R r2 80000000 - 3016350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3016370 ns R psr 81000200 - 3016370 ns MR4_I 00000238 48054770 - 3016390 ns MR4_I 00000230 07d2684a - 3016410 ns IT 00000230 684a LDR r2,[r1,#4] - 3016430 ns MR4_I 00000234 6008d1fc - 3016490 ns MR4_D 40006004 00000001 - 3016490 ns R r2 00000001 - 3016490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3016510 ns R r2 80000000 - 3016510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3016530 ns R psr 81000200 - 3016530 ns MR4_I 00000238 48054770 - 3016550 ns MR4_I 00000230 07d2684a - 3016570 ns IT 00000230 684a LDR r2,[r1,#4] - 3016590 ns MR4_I 00000234 6008d1fc - 3016650 ns MR4_D 40006004 00000001 - 3016650 ns R r2 00000001 - 3016650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3016670 ns R r2 80000000 - 3016670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3016690 ns R psr 81000200 - 3016690 ns MR4_I 00000238 48054770 - 3016710 ns MR4_I 00000230 07d2684a - 3016730 ns IT 00000230 684a LDR r2,[r1,#4] - 3016750 ns MR4_I 00000234 6008d1fc - 3016810 ns MR4_D 40006004 00000001 - 3016810 ns R r2 00000001 - 3016810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3016830 ns R r2 80000000 - 3016830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3016850 ns R psr 81000200 - 3016850 ns MR4_I 00000238 48054770 - 3016870 ns MR4_I 00000230 07d2684a - 3016890 ns IT 00000230 684a LDR r2,[r1,#4] - 3016910 ns MR4_I 00000234 6008d1fc - 3016970 ns MR4_D 40006004 00000001 - 3016970 ns R r2 00000001 - 3016970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3016990 ns R r2 80000000 - 3016990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3017010 ns R psr 81000200 - 3017010 ns MR4_I 00000238 48054770 - 3017030 ns MR4_I 00000230 07d2684a - 3017050 ns IT 00000230 684a LDR r2,[r1,#4] - 3017070 ns MR4_I 00000234 6008d1fc - 3017130 ns MR4_D 40006004 00000001 - 3017130 ns R r2 00000001 - 3017130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3017150 ns R r2 80000000 - 3017150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3017170 ns R psr 81000200 - 3017170 ns MR4_I 00000238 48054770 - 3017190 ns MR4_I 00000230 07d2684a - 3017210 ns IT 00000230 684a LDR r2,[r1,#4] - 3017230 ns MR4_I 00000234 6008d1fc - 3017290 ns MR4_D 40006004 00000001 - 3017290 ns R r2 00000001 - 3017290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3017310 ns R r2 80000000 - 3017310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3017330 ns R psr 81000200 - 3017330 ns MR4_I 00000238 48054770 - 3017350 ns MR4_I 00000230 07d2684a - 3017370 ns IT 00000230 684a LDR r2,[r1,#4] - 3017390 ns MR4_I 00000234 6008d1fc - 3017450 ns MR4_D 40006004 00000001 - 3017450 ns R r2 00000001 - 3017450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3017470 ns R r2 80000000 - 3017470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3017490 ns R psr 81000200 - 3017490 ns MR4_I 00000238 48054770 - 3017510 ns MR4_I 00000230 07d2684a - 3017530 ns IT 00000230 684a LDR r2,[r1,#4] - 3017550 ns MR4_I 00000234 6008d1fc - 3017610 ns MR4_D 40006004 00000001 - 3017610 ns R r2 00000001 - 3017610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3017630 ns R r2 80000000 - 3017630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3017650 ns R psr 81000200 - 3017650 ns MR4_I 00000238 48054770 - 3017670 ns MR4_I 00000230 07d2684a - 3017690 ns IT 00000230 684a LDR r2,[r1,#4] - 3017710 ns MR4_I 00000234 6008d1fc - 3017770 ns MR4_D 40006004 00000001 - 3017770 ns R r2 00000001 - 3017770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3017790 ns R r2 80000000 - 3017790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3017810 ns R psr 81000200 - 3017810 ns MR4_I 00000238 48054770 - 3017830 ns MR4_I 00000230 07d2684a - 3017850 ns IT 00000230 684a LDR r2,[r1,#4] - 3017870 ns MR4_I 00000234 6008d1fc - 3017930 ns MR4_D 40006004 00000001 - 3017930 ns R r2 00000001 - 3017930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3017950 ns R r2 80000000 - 3017950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3017970 ns R psr 81000200 - 3017970 ns MR4_I 00000238 48054770 - 3017990 ns MR4_I 00000230 07d2684a - 3018010 ns IT 00000230 684a LDR r2,[r1,#4] - 3018030 ns MR4_I 00000234 6008d1fc - 3018090 ns MR4_D 40006004 00000001 - 3018090 ns R r2 00000001 - 3018090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3018110 ns R r2 80000000 - 3018110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3018130 ns R psr 81000200 - 3018130 ns MR4_I 00000238 48054770 - 3018150 ns MR4_I 00000230 07d2684a - 3018170 ns IT 00000230 684a LDR r2,[r1,#4] - 3018190 ns MR4_I 00000234 6008d1fc - 3018250 ns MR4_D 40006004 00000001 - 3018250 ns R r2 00000001 - 3018250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3018270 ns R r2 80000000 - 3018270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3018290 ns R psr 81000200 - 3018290 ns MR4_I 00000238 48054770 - 3018310 ns MR4_I 00000230 07d2684a - 3018330 ns IT 00000230 684a LDR r2,[r1,#4] - 3018350 ns MR4_I 00000234 6008d1fc - 3018410 ns MR4_D 40006004 00000001 - 3018410 ns R r2 00000001 - 3018410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3018430 ns R r2 80000000 - 3018430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3018450 ns R psr 81000200 - 3018450 ns MR4_I 00000238 48054770 - 3018470 ns MR4_I 00000230 07d2684a - 3018490 ns IT 00000230 684a LDR r2,[r1,#4] - 3018510 ns MR4_I 00000234 6008d1fc - 3018570 ns MR4_D 40006004 00000001 - 3018570 ns R r2 00000001 - 3018570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3018590 ns R r2 80000000 - 3018590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3018610 ns R psr 81000200 - 3018610 ns MR4_I 00000238 48054770 - 3018630 ns MR4_I 00000230 07d2684a - 3018650 ns IT 00000230 684a LDR r2,[r1,#4] - 3018670 ns MR4_I 00000234 6008d1fc - 3018730 ns MR4_D 40006004 00000001 - 3018730 ns R r2 00000001 - 3018730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3018750 ns R r2 80000000 - 3018750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3018770 ns R psr 81000200 - 3018770 ns MR4_I 00000238 48054770 - 3018790 ns MR4_I 00000230 07d2684a - 3018810 ns IT 00000230 684a LDR r2,[r1,#4] - 3018830 ns MR4_I 00000234 6008d1fc - 3018890 ns MR4_D 40006004 00000001 - 3018890 ns R r2 00000001 - 3018890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3018910 ns R r2 80000000 - 3018910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3018930 ns R psr 81000200 - 3018930 ns MR4_I 00000238 48054770 - 3018950 ns MR4_I 00000230 07d2684a - 3018970 ns IT 00000230 684a LDR r2,[r1,#4] - 3018990 ns MR4_I 00000234 6008d1fc - 3019050 ns MR4_D 40006004 00000001 - 3019050 ns R r2 00000001 - 3019050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3019070 ns R r2 80000000 - 3019070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3019090 ns R psr 81000200 - 3019090 ns MR4_I 00000238 48054770 - 3019110 ns MR4_I 00000230 07d2684a - 3019130 ns IT 00000230 684a LDR r2,[r1,#4] - 3019150 ns MR4_I 00000234 6008d1fc - 3019210 ns MR4_D 40006004 00000001 - 3019210 ns R r2 00000001 - 3019210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3019230 ns R r2 80000000 - 3019230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3019250 ns R psr 81000200 - 3019250 ns MR4_I 00000238 48054770 - 3019270 ns MR4_I 00000230 07d2684a - 3019290 ns IT 00000230 684a LDR r2,[r1,#4] - 3019310 ns MR4_I 00000234 6008d1fc - 3019370 ns MR4_D 40006004 00000001 - 3019370 ns R r2 00000001 - 3019370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3019390 ns R r2 80000000 - 3019390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3019410 ns R psr 81000200 - 3019410 ns MR4_I 00000238 48054770 - 3019430 ns MR4_I 00000230 07d2684a - 3019450 ns IT 00000230 684a LDR r2,[r1,#4] - 3019470 ns MR4_I 00000234 6008d1fc - 3019530 ns MR4_D 40006004 00000001 - 3019530 ns R r2 00000001 - 3019530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3019550 ns R r2 80000000 - 3019550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3019570 ns R psr 81000200 - 3019570 ns MR4_I 00000238 48054770 - 3019590 ns MR4_I 00000230 07d2684a - 3019610 ns IT 00000230 684a LDR r2,[r1,#4] - 3019630 ns MR4_I 00000234 6008d1fc - 3019690 ns MR4_D 40006004 00000001 - 3019690 ns R r2 00000001 - 3019690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3019710 ns R r2 80000000 - 3019710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3019730 ns R psr 81000200 - 3019730 ns MR4_I 00000238 48054770 - 3019750 ns MR4_I 00000230 07d2684a - 3019770 ns IT 00000230 684a LDR r2,[r1,#4] - 3019790 ns MR4_I 00000234 6008d1fc - 3019850 ns MR4_D 40006004 00000001 - 3019850 ns R r2 00000001 - 3019850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3019870 ns R r2 80000000 - 3019870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3019890 ns R psr 81000200 - 3019890 ns MR4_I 00000238 48054770 - 3019910 ns MR4_I 00000230 07d2684a - 3019930 ns IT 00000230 684a LDR r2,[r1,#4] - 3019950 ns MR4_I 00000234 6008d1fc - 3020010 ns MR4_D 40006004 00000001 - 3020010 ns R r2 00000001 - 3020010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3020030 ns R r2 80000000 - 3020030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3020050 ns R psr 81000200 - 3020050 ns MR4_I 00000238 48054770 - 3020070 ns MR4_I 00000230 07d2684a - 3020090 ns IT 00000230 684a LDR r2,[r1,#4] - 3020110 ns MR4_I 00000234 6008d1fc - 3020170 ns MR4_D 40006004 00000001 - 3020170 ns R r2 00000001 - 3020170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3020190 ns R r2 80000000 - 3020190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3020210 ns R psr 81000200 - 3020210 ns MR4_I 00000238 48054770 - 3020230 ns MR4_I 00000230 07d2684a - 3020250 ns IT 00000230 684a LDR r2,[r1,#4] - 3020270 ns MR4_I 00000234 6008d1fc - 3020330 ns MR4_D 40006004 00000001 - 3020330 ns R r2 00000001 - 3020330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3020350 ns R r2 80000000 - 3020350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3020370 ns R psr 81000200 - 3020370 ns MR4_I 00000238 48054770 - 3020390 ns MR4_I 00000230 07d2684a - 3020410 ns IT 00000230 684a LDR r2,[r1,#4] - 3020430 ns MR4_I 00000234 6008d1fc - 3020490 ns MR4_D 40006004 00000001 - 3020490 ns R r2 00000001 - 3020490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3020510 ns R r2 80000000 - 3020510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3020530 ns R psr 81000200 - 3020530 ns MR4_I 00000238 48054770 - 3020550 ns MR4_I 00000230 07d2684a - 3020570 ns IT 00000230 684a LDR r2,[r1,#4] - 3020590 ns MR4_I 00000234 6008d1fc - 3020650 ns MR4_D 40006004 00000001 - 3020650 ns R r2 00000001 - 3020650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3020670 ns R r2 80000000 - 3020670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3020690 ns R psr 81000200 - 3020690 ns MR4_I 00000238 48054770 - 3020710 ns MR4_I 00000230 07d2684a - 3020730 ns IT 00000230 684a LDR r2,[r1,#4] - 3020750 ns MR4_I 00000234 6008d1fc - 3020810 ns MR4_D 40006004 00000001 - 3020810 ns R r2 00000001 - 3020810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3020830 ns R r2 80000000 - 3020830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3020850 ns R psr 81000200 - 3020850 ns MR4_I 00000238 48054770 - 3020870 ns MR4_I 00000230 07d2684a - 3020890 ns IT 00000230 684a LDR r2,[r1,#4] - 3020910 ns MR4_I 00000234 6008d1fc - 3020970 ns MR4_D 40006004 00000001 - 3020970 ns R r2 00000001 - 3020970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3020990 ns R r2 80000000 - 3020990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3021010 ns R psr 81000200 - 3021010 ns MR4_I 00000238 48054770 - 3021030 ns MR4_I 00000230 07d2684a - 3021050 ns IT 00000230 684a LDR r2,[r1,#4] - 3021070 ns MR4_I 00000234 6008d1fc - 3021130 ns MR4_D 40006004 00000001 - 3021130 ns R r2 00000001 - 3021130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3021150 ns R r2 80000000 - 3021150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3021170 ns R psr 81000200 - 3021170 ns MR4_I 00000238 48054770 - 3021190 ns MR4_I 00000230 07d2684a - 3021210 ns IT 00000230 684a LDR r2,[r1,#4] - 3021230 ns MR4_I 00000234 6008d1fc - 3021290 ns MR4_D 40006004 00000001 - 3021290 ns R r2 00000001 - 3021290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3021310 ns R r2 80000000 - 3021310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3021330 ns R psr 81000200 - 3021330 ns MR4_I 00000238 48054770 - 3021350 ns MR4_I 00000230 07d2684a - 3021370 ns IT 00000230 684a LDR r2,[r1,#4] - 3021390 ns MR4_I 00000234 6008d1fc - 3021450 ns MR4_D 40006004 00000001 - 3021450 ns R r2 00000001 - 3021450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3021470 ns R r2 80000000 - 3021470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3021490 ns R psr 81000200 - 3021490 ns MR4_I 00000238 48054770 - 3021510 ns MR4_I 00000230 07d2684a - 3021530 ns IT 00000230 684a LDR r2,[r1,#4] - 3021550 ns MR4_I 00000234 6008d1fc - 3021610 ns MR4_D 40006004 00000001 - 3021610 ns R r2 00000001 - 3021610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3021630 ns R r2 80000000 - 3021630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3021650 ns R psr 81000200 - 3021650 ns MR4_I 00000238 48054770 - 3021670 ns MR4_I 00000230 07d2684a - 3021690 ns IT 00000230 684a LDR r2,[r1,#4] - 3021710 ns MR4_I 00000234 6008d1fc - 3021770 ns MR4_D 40006004 00000001 - 3021770 ns R r2 00000001 - 3021770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3021790 ns R r2 80000000 - 3021790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3021810 ns R psr 81000200 - 3021810 ns MR4_I 00000238 48054770 - 3021830 ns MR4_I 00000230 07d2684a - 3021850 ns IT 00000230 684a LDR r2,[r1,#4] - 3021870 ns MR4_I 00000234 6008d1fc - 3021930 ns MR4_D 40006004 00000001 - 3021930 ns R r2 00000001 - 3021930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3021950 ns R r2 80000000 - 3021950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3021970 ns R psr 81000200 - 3021970 ns MR4_I 00000238 48054770 - 3021990 ns MR4_I 00000230 07d2684a - 3022010 ns IT 00000230 684a LDR r2,[r1,#4] - 3022030 ns MR4_I 00000234 6008d1fc - 3022090 ns MR4_D 40006004 00000001 - 3022090 ns R r2 00000001 - 3022090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3022110 ns R r2 80000000 - 3022110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3022130 ns R psr 81000200 - 3022130 ns MR4_I 00000238 48054770 - 3022150 ns MR4_I 00000230 07d2684a - 3022170 ns IT 00000230 684a LDR r2,[r1,#4] - 3022190 ns MR4_I 00000234 6008d1fc - 3022250 ns MR4_D 40006004 00000001 - 3022250 ns R r2 00000001 - 3022250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3022270 ns R r2 80000000 - 3022270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3022290 ns R psr 81000200 - 3022290 ns MR4_I 00000238 48054770 - 3022310 ns MR4_I 00000230 07d2684a - 3022330 ns IT 00000230 684a LDR r2,[r1,#4] - 3022350 ns MR4_I 00000234 6008d1fc - 3022410 ns MR4_D 40006004 00000001 - 3022410 ns R r2 00000001 - 3022410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3022430 ns R r2 80000000 - 3022430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3022450 ns R psr 81000200 - 3022450 ns MR4_I 00000238 48054770 - 3022470 ns MR4_I 00000230 07d2684a - 3022490 ns IT 00000230 684a LDR r2,[r1,#4] - 3022510 ns MR4_I 00000234 6008d1fc - 3022570 ns MR4_D 40006004 00000001 - 3022570 ns R r2 00000001 - 3022570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3022590 ns R r2 80000000 - 3022590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3022610 ns R psr 81000200 - 3022610 ns MR4_I 00000238 48054770 - 3022630 ns MR4_I 00000230 07d2684a - 3022650 ns IT 00000230 684a LDR r2,[r1,#4] - 3022670 ns MR4_I 00000234 6008d1fc - 3022730 ns MR4_D 40006004 00000001 - 3022730 ns R r2 00000001 - 3022730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3022750 ns R r2 80000000 - 3022750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3022770 ns R psr 81000200 - 3022770 ns MR4_I 00000238 48054770 - 3022790 ns MR4_I 00000230 07d2684a - 3022810 ns IT 00000230 684a LDR r2,[r1,#4] - 3022830 ns MR4_I 00000234 6008d1fc - 3022890 ns MR4_D 40006004 00000001 - 3022890 ns R r2 00000001 - 3022890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3022910 ns R r2 80000000 - 3022910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3022930 ns R psr 81000200 - 3022930 ns MR4_I 00000238 48054770 - 3022950 ns MR4_I 00000230 07d2684a - 3022970 ns IT 00000230 684a LDR r2,[r1,#4] - 3022990 ns MR4_I 00000234 6008d1fc - 3023050 ns MR4_D 40006004 00000001 - 3023050 ns R r2 00000001 - 3023050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3023070 ns R r2 80000000 - 3023070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3023090 ns R psr 81000200 - 3023090 ns MR4_I 00000238 48054770 - 3023110 ns MR4_I 00000230 07d2684a - 3023130 ns IT 00000230 684a LDR r2,[r1,#4] - 3023150 ns MR4_I 00000234 6008d1fc - 3023210 ns MR4_D 40006004 00000001 - 3023210 ns R r2 00000001 - 3023210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3023230 ns R r2 80000000 - 3023230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3023250 ns R psr 81000200 - 3023250 ns MR4_I 00000238 48054770 - 3023270 ns MR4_I 00000230 07d2684a - 3023290 ns IT 00000230 684a LDR r2,[r1,#4] - 3023310 ns MR4_I 00000234 6008d1fc - 3023370 ns MR4_D 40006004 00000001 - 3023370 ns R r2 00000001 - 3023370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3023390 ns R r2 80000000 - 3023390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3023410 ns R psr 81000200 - 3023410 ns MR4_I 00000238 48054770 - 3023430 ns MR4_I 00000230 07d2684a - 3023450 ns IT 00000230 684a LDR r2,[r1,#4] - 3023470 ns MR4_I 00000234 6008d1fc - 3023530 ns MR4_D 40006004 00000001 - 3023530 ns R r2 00000001 - 3023530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3023550 ns R r2 80000000 - 3023550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3023570 ns R psr 81000200 - 3023570 ns MR4_I 00000238 48054770 - 3023590 ns MR4_I 00000230 07d2684a - 3023610 ns IT 00000230 684a LDR r2,[r1,#4] - 3023630 ns MR4_I 00000234 6008d1fc - 3023690 ns MR4_D 40006004 00000001 - 3023690 ns R r2 00000001 - 3023690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3023710 ns R r2 80000000 - 3023710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3023730 ns R psr 81000200 - 3023730 ns MR4_I 00000238 48054770 - 3023750 ns MR4_I 00000230 07d2684a - 3023770 ns IT 00000230 684a LDR r2,[r1,#4] - 3023790 ns MR4_I 00000234 6008d1fc - 3023850 ns MR4_D 40006004 00000001 - 3023850 ns R r2 00000001 - 3023850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3023870 ns R r2 80000000 - 3023870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3023890 ns R psr 81000200 - 3023890 ns MR4_I 00000238 48054770 - 3023910 ns MR4_I 00000230 07d2684a - 3023930 ns IT 00000230 684a LDR r2,[r1,#4] - 3023950 ns MR4_I 00000234 6008d1fc - 3024010 ns MR4_D 40006004 00000001 - 3024010 ns R r2 00000001 - 3024010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3024030 ns R r2 80000000 - 3024030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3024050 ns R psr 81000200 - 3024050 ns MR4_I 00000238 48054770 - 3024070 ns MR4_I 00000230 07d2684a - 3024090 ns IT 00000230 684a LDR r2,[r1,#4] - 3024110 ns MR4_I 00000234 6008d1fc - 3024170 ns MR4_D 40006004 00000001 - 3024170 ns R r2 00000001 - 3024170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3024190 ns R r2 80000000 - 3024190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3024210 ns R psr 81000200 - 3024210 ns MR4_I 00000238 48054770 - 3024230 ns MR4_I 00000230 07d2684a - 3024250 ns IT 00000230 684a LDR r2,[r1,#4] - 3024270 ns MR4_I 00000234 6008d1fc - 3024330 ns MR4_D 40006004 00000001 - 3024330 ns R r2 00000001 - 3024330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3024350 ns R r2 80000000 - 3024350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3024370 ns R psr 81000200 - 3024370 ns MR4_I 00000238 48054770 - 3024390 ns MR4_I 00000230 07d2684a - 3024410 ns IT 00000230 684a LDR r2,[r1,#4] - 3024430 ns MR4_I 00000234 6008d1fc - 3024490 ns MR4_D 40006004 00000001 - 3024490 ns R r2 00000001 - 3024490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3024510 ns R r2 80000000 - 3024510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3024530 ns R psr 81000200 - 3024530 ns MR4_I 00000238 48054770 - 3024550 ns MR4_I 00000230 07d2684a - 3024570 ns IT 00000230 684a LDR r2,[r1,#4] - 3024590 ns MR4_I 00000234 6008d1fc - 3024650 ns MR4_D 40006004 00000001 - 3024650 ns R r2 00000001 - 3024650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3024670 ns R r2 80000000 - 3024670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3024690 ns R psr 81000200 - 3024690 ns MR4_I 00000238 48054770 - 3024710 ns MR4_I 00000230 07d2684a - 3024730 ns IT 00000230 684a LDR r2,[r1,#4] - 3024750 ns MR4_I 00000234 6008d1fc - 3024810 ns MR4_D 40006004 00000001 - 3024810 ns R r2 00000001 - 3024810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3024830 ns R r2 80000000 - 3024830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3024850 ns R psr 81000200 - 3024850 ns MR4_I 00000238 48054770 - 3024870 ns MR4_I 00000230 07d2684a - 3024890 ns IT 00000230 684a LDR r2,[r1,#4] - 3024910 ns MR4_I 00000234 6008d1fc - 3024970 ns MR4_D 40006004 00000001 - 3024970 ns R r2 00000001 - 3024970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3024990 ns R r2 80000000 - 3024990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3025010 ns R psr 81000200 - 3025010 ns MR4_I 00000238 48054770 - 3025030 ns MR4_I 00000230 07d2684a - 3025050 ns IT 00000230 684a LDR r2,[r1,#4] - 3025070 ns MR4_I 00000234 6008d1fc - 3025130 ns MR4_D 40006004 00000001 - 3025130 ns R r2 00000001 - 3025130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3025150 ns R r2 80000000 - 3025150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3025170 ns R psr 81000200 - 3025170 ns MR4_I 00000238 48054770 - 3025190 ns MR4_I 00000230 07d2684a - 3025210 ns IT 00000230 684a LDR r2,[r1,#4] - 3025230 ns MR4_I 00000234 6008d1fc - 3025290 ns MR4_D 40006004 00000001 - 3025290 ns R r2 00000001 - 3025290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3025310 ns R r2 80000000 - 3025310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3025330 ns R psr 81000200 - 3025330 ns MR4_I 00000238 48054770 - 3025350 ns MR4_I 00000230 07d2684a - 3025370 ns IT 00000230 684a LDR r2,[r1,#4] - 3025390 ns MR4_I 00000234 6008d1fc - 3025450 ns MR4_D 40006004 00000001 - 3025450 ns R r2 00000001 - 3025450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3025470 ns R r2 80000000 - 3025470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3025490 ns R psr 81000200 - 3025490 ns MR4_I 00000238 48054770 - 3025510 ns MR4_I 00000230 07d2684a - 3025530 ns IT 00000230 684a LDR r2,[r1,#4] - 3025550 ns MR4_I 00000234 6008d1fc - 3025610 ns MR4_D 40006004 00000001 - 3025610 ns R r2 00000001 - 3025610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3025630 ns R r2 80000000 - 3025630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3025650 ns R psr 81000200 - 3025650 ns MR4_I 00000238 48054770 - 3025670 ns MR4_I 00000230 07d2684a - 3025690 ns IT 00000230 684a LDR r2,[r1,#4] - 3025710 ns MR4_I 00000234 6008d1fc - 3025770 ns MR4_D 40006004 00000001 - 3025770 ns R r2 00000001 - 3025770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3025790 ns R r2 80000000 - 3025790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3025810 ns R psr 81000200 - 3025810 ns MR4_I 00000238 48054770 - 3025830 ns MR4_I 00000230 07d2684a - 3025850 ns IT 00000230 684a LDR r2,[r1,#4] - 3025870 ns MR4_I 00000234 6008d1fc - 3025930 ns MR4_D 40006004 00000001 - 3025930 ns R r2 00000001 - 3025930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3025950 ns R r2 80000000 - 3025950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3025970 ns R psr 81000200 - 3025970 ns MR4_I 00000238 48054770 - 3025990 ns MR4_I 00000230 07d2684a - 3026010 ns IT 00000230 684a LDR r2,[r1,#4] - 3026030 ns MR4_I 00000234 6008d1fc - 3026090 ns MR4_D 40006004 00000001 - 3026090 ns R r2 00000001 - 3026090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3026110 ns R r2 80000000 - 3026110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3026130 ns R psr 81000200 - 3026130 ns MR4_I 00000238 48054770 - 3026150 ns MR4_I 00000230 07d2684a - 3026170 ns IT 00000230 684a LDR r2,[r1,#4] - 3026190 ns MR4_I 00000234 6008d1fc - 3026250 ns MR4_D 40006004 00000001 - 3026250 ns R r2 00000001 - 3026250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3026270 ns R r2 80000000 - 3026270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3026290 ns R psr 81000200 - 3026290 ns MR4_I 00000238 48054770 - 3026310 ns MR4_I 00000230 07d2684a - 3026330 ns IT 00000230 684a LDR r2,[r1,#4] - 3026350 ns MR4_I 00000234 6008d1fc - 3026410 ns MR4_D 40006004 00000001 - 3026410 ns R r2 00000001 - 3026410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3026430 ns R r2 80000000 - 3026430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3026450 ns R psr 81000200 - 3026450 ns MR4_I 00000238 48054770 - 3026470 ns MR4_I 00000230 07d2684a - 3026490 ns IT 00000230 684a LDR r2,[r1,#4] - 3026510 ns MR4_I 00000234 6008d1fc - 3026570 ns MR4_D 40006004 00000001 - 3026570 ns R r2 00000001 - 3026570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3026590 ns R r2 80000000 - 3026590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3026610 ns R psr 81000200 - 3026610 ns MR4_I 00000238 48054770 - 3026630 ns MR4_I 00000230 07d2684a - 3026650 ns IT 00000230 684a LDR r2,[r1,#4] - 3026670 ns MR4_I 00000234 6008d1fc - 3026730 ns MR4_D 40006004 00000001 - 3026730 ns R r2 00000001 - 3026730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3026750 ns R r2 80000000 - 3026750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3026770 ns R psr 81000200 - 3026770 ns MR4_I 00000238 48054770 - 3026790 ns MR4_I 00000230 07d2684a - 3026810 ns IT 00000230 684a LDR r2,[r1,#4] - 3026830 ns MR4_I 00000234 6008d1fc - 3026890 ns MR4_D 40006004 00000001 - 3026890 ns R r2 00000001 - 3026890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3026910 ns R r2 80000000 - 3026910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3026930 ns R psr 81000200 - 3026930 ns MR4_I 00000238 48054770 - 3026950 ns MR4_I 00000230 07d2684a - 3026970 ns IT 00000230 684a LDR r2,[r1,#4] - 3026990 ns MR4_I 00000234 6008d1fc - 3027050 ns MR4_D 40006004 00000001 - 3027050 ns R r2 00000001 - 3027050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3027070 ns R r2 80000000 - 3027070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3027090 ns R psr 81000200 - 3027090 ns MR4_I 00000238 48054770 - 3027110 ns MR4_I 00000230 07d2684a - 3027130 ns IT 00000230 684a LDR r2,[r1,#4] - 3027150 ns MR4_I 00000234 6008d1fc - 3027210 ns MR4_D 40006004 00000001 - 3027210 ns R r2 00000001 - 3027210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3027230 ns R r2 80000000 - 3027230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3027250 ns R psr 81000200 - 3027250 ns MR4_I 00000238 48054770 - 3027270 ns MR4_I 00000230 07d2684a - 3027290 ns IT 00000230 684a LDR r2,[r1,#4] - 3027310 ns MR4_I 00000234 6008d1fc - 3027370 ns MR4_D 40006004 00000001 - 3027370 ns R r2 00000001 - 3027370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3027390 ns R r2 80000000 - 3027390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3027410 ns R psr 81000200 - 3027410 ns MR4_I 00000238 48054770 - 3027430 ns MR4_I 00000230 07d2684a - 3027450 ns IT 00000230 684a LDR r2,[r1,#4] - 3027470 ns MR4_I 00000234 6008d1fc - 3027530 ns MR4_D 40006004 00000001 - 3027530 ns R r2 00000001 - 3027530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3027550 ns R r2 80000000 - 3027550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3027570 ns R psr 81000200 - 3027570 ns MR4_I 00000238 48054770 - 3027590 ns MR4_I 00000230 07d2684a - 3027610 ns IT 00000230 684a LDR r2,[r1,#4] - 3027630 ns MR4_I 00000234 6008d1fc - 3027690 ns MR4_D 40006004 00000001 - 3027690 ns R r2 00000001 - 3027690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3027710 ns R r2 80000000 - 3027710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3027730 ns R psr 81000200 - 3027730 ns MR4_I 00000238 48054770 - 3027750 ns MR4_I 00000230 07d2684a - 3027770 ns IT 00000230 684a LDR r2,[r1,#4] - 3027790 ns MR4_I 00000234 6008d1fc - 3027850 ns MR4_D 40006004 00000001 - 3027850 ns R r2 00000001 - 3027850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3027870 ns R r2 80000000 - 3027870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3027890 ns R psr 81000200 - 3027890 ns MR4_I 00000238 48054770 - 3027910 ns MR4_I 00000230 07d2684a - 3027930 ns IT 00000230 684a LDR r2,[r1,#4] - 3027950 ns MR4_I 00000234 6008d1fc - 3028010 ns MR4_D 40006004 00000001 - 3028010 ns R r2 00000001 - 3028010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3028030 ns R r2 80000000 - 3028030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3028050 ns R psr 81000200 - 3028050 ns MR4_I 00000238 48054770 - 3028070 ns MR4_I 00000230 07d2684a - 3028090 ns IT 00000230 684a LDR r2,[r1,#4] - 3028110 ns MR4_I 00000234 6008d1fc - 3028170 ns MR4_D 40006004 00000001 - 3028170 ns R r2 00000001 - 3028170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3028190 ns R r2 80000000 - 3028190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3028210 ns R psr 81000200 - 3028210 ns MR4_I 00000238 48054770 - 3028230 ns MR4_I 00000230 07d2684a - 3028250 ns IT 00000230 684a LDR r2,[r1,#4] - 3028270 ns MR4_I 00000234 6008d1fc - 3028330 ns MR4_D 40006004 00000001 - 3028330 ns R r2 00000001 - 3028330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3028350 ns R r2 80000000 - 3028350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3028370 ns R psr 81000200 - 3028370 ns MR4_I 00000238 48054770 - 3028390 ns MR4_I 00000230 07d2684a - 3028410 ns IT 00000230 684a LDR r2,[r1,#4] - 3028430 ns MR4_I 00000234 6008d1fc - 3028490 ns MR4_D 40006004 00000001 - 3028490 ns R r2 00000001 - 3028490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3028510 ns R r2 80000000 - 3028510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3028530 ns R psr 81000200 - 3028530 ns MR4_I 00000238 48054770 - 3028550 ns MR4_I 00000230 07d2684a - 3028570 ns IT 00000230 684a LDR r2,[r1,#4] - 3028590 ns MR4_I 00000234 6008d1fc - 3028650 ns MR4_D 40006004 00000001 - 3028650 ns R r2 00000001 - 3028650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3028670 ns R r2 80000000 - 3028670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3028690 ns R psr 81000200 - 3028690 ns MR4_I 00000238 48054770 - 3028710 ns MR4_I 00000230 07d2684a - 3028730 ns IT 00000230 684a LDR r2,[r1,#4] - 3028750 ns MR4_I 00000234 6008d1fc - 3028810 ns MR4_D 40006004 00000001 - 3028810 ns R r2 00000001 - 3028810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3028830 ns R r2 80000000 - 3028830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3028850 ns R psr 81000200 - 3028850 ns MR4_I 00000238 48054770 - 3028870 ns MR4_I 00000230 07d2684a - 3028890 ns IT 00000230 684a LDR r2,[r1,#4] - 3028910 ns MR4_I 00000234 6008d1fc - 3028970 ns MR4_D 40006004 00000001 - 3028970 ns R r2 00000001 - 3028970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3028990 ns R r2 80000000 - 3028990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3029010 ns R psr 81000200 - 3029010 ns MR4_I 00000238 48054770 - 3029030 ns MR4_I 00000230 07d2684a - 3029050 ns IT 00000230 684a LDR r2,[r1,#4] - 3029070 ns MR4_I 00000234 6008d1fc - 3029130 ns MR4_D 40006004 00000001 - 3029130 ns R r2 00000001 - 3029130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3029150 ns R r2 80000000 - 3029150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3029170 ns R psr 81000200 - 3029170 ns MR4_I 00000238 48054770 - 3029190 ns MR4_I 00000230 07d2684a - 3029210 ns IT 00000230 684a LDR r2,[r1,#4] - 3029230 ns MR4_I 00000234 6008d1fc - 3029290 ns MR4_D 40006004 00000001 - 3029290 ns R r2 00000001 - 3029290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3029310 ns R r2 80000000 - 3029310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3029330 ns R psr 81000200 - 3029330 ns MR4_I 00000238 48054770 - 3029350 ns MR4_I 00000230 07d2684a - 3029370 ns IT 00000230 684a LDR r2,[r1,#4] - 3029390 ns MR4_I 00000234 6008d1fc - 3029450 ns MR4_D 40006004 00000001 - 3029450 ns R r2 00000001 - 3029450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3029470 ns R r2 80000000 - 3029470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3029490 ns R psr 81000200 - 3029490 ns MR4_I 00000238 48054770 - 3029510 ns MR4_I 00000230 07d2684a - 3029530 ns IT 00000230 684a LDR r2,[r1,#4] - 3029550 ns MR4_I 00000234 6008d1fc - 3029610 ns MR4_D 40006004 00000001 - 3029610 ns R r2 00000001 - 3029610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3029630 ns R r2 80000000 - 3029630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3029650 ns R psr 81000200 - 3029650 ns MR4_I 00000238 48054770 - 3029670 ns MR4_I 00000230 07d2684a - 3029690 ns IT 00000230 684a LDR r2,[r1,#4] - 3029710 ns MR4_I 00000234 6008d1fc - 3029770 ns MR4_D 40006004 00000001 - 3029770 ns R r2 00000001 - 3029770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3029790 ns R r2 80000000 - 3029790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3029810 ns R psr 81000200 - 3029810 ns MR4_I 00000238 48054770 - 3029830 ns MR4_I 00000230 07d2684a - 3029850 ns IT 00000230 684a LDR r2,[r1,#4] - 3029870 ns MR4_I 00000234 6008d1fc - 3029930 ns MR4_D 40006004 00000001 - 3029930 ns R r2 00000001 - 3029930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3029950 ns R r2 80000000 - 3029950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3029970 ns R psr 81000200 - 3029970 ns MR4_I 00000238 48054770 - 3029990 ns MR4_I 00000230 07d2684a - 3030010 ns IT 00000230 684a LDR r2,[r1,#4] - 3030030 ns MR4_I 00000234 6008d1fc - 3030090 ns MR4_D 40006004 00000001 - 3030090 ns R r2 00000001 - 3030090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3030110 ns R r2 80000000 - 3030110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3030130 ns R psr 81000200 - 3030130 ns MR4_I 00000238 48054770 - 3030150 ns MR4_I 00000230 07d2684a - 3030170 ns IT 00000230 684a LDR r2,[r1,#4] - 3030190 ns MR4_I 00000234 6008d1fc - 3030250 ns MR4_D 40006004 00000001 - 3030250 ns R r2 00000001 - 3030250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3030270 ns R r2 80000000 - 3030270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3030290 ns R psr 81000200 - 3030290 ns MR4_I 00000238 48054770 - 3030310 ns MR4_I 00000230 07d2684a - 3030330 ns IT 00000230 684a LDR r2,[r1,#4] - 3030350 ns MR4_I 00000234 6008d1fc - 3030410 ns MR4_D 40006004 00000001 - 3030410 ns R r2 00000001 - 3030410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3030430 ns R r2 80000000 - 3030430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3030450 ns R psr 81000200 - 3030450 ns MR4_I 00000238 48054770 - 3030470 ns MR4_I 00000230 07d2684a - 3030490 ns IT 00000230 684a LDR r2,[r1,#4] - 3030510 ns MR4_I 00000234 6008d1fc - 3030570 ns MR4_D 40006004 00000001 - 3030570 ns R r2 00000001 - 3030570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3030590 ns R r2 80000000 - 3030590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3030610 ns R psr 81000200 - 3030610 ns MR4_I 00000238 48054770 - 3030630 ns MR4_I 00000230 07d2684a - 3030650 ns IT 00000230 684a LDR r2,[r1,#4] - 3030670 ns MR4_I 00000234 6008d1fc - 3030730 ns MR4_D 40006004 00000001 - 3030730 ns R r2 00000001 - 3030730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3030750 ns R r2 80000000 - 3030750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3030770 ns R psr 81000200 - 3030770 ns MR4_I 00000238 48054770 - 3030790 ns MR4_I 00000230 07d2684a - 3030810 ns IT 00000230 684a LDR r2,[r1,#4] - 3030830 ns MR4_I 00000234 6008d1fc - 3030890 ns MR4_D 40006004 00000001 - 3030890 ns R r2 00000001 - 3030890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3030910 ns R r2 80000000 - 3030910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3030930 ns R psr 81000200 - 3030930 ns MR4_I 00000238 48054770 - 3030950 ns MR4_I 00000230 07d2684a - 3030970 ns IT 00000230 684a LDR r2,[r1,#4] - 3030990 ns MR4_I 00000234 6008d1fc - 3031050 ns MR4_D 40006004 00000001 - 3031050 ns R r2 00000001 - 3031050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3031070 ns R r2 80000000 - 3031070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3031090 ns R psr 81000200 - 3031090 ns MR4_I 00000238 48054770 - 3031110 ns MR4_I 00000230 07d2684a - 3031130 ns IT 00000230 684a LDR r2,[r1,#4] - 3031150 ns MR4_I 00000234 6008d1fc - 3031210 ns MR4_D 40006004 00000001 - 3031210 ns R r2 00000001 - 3031210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3031230 ns R r2 80000000 - 3031230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3031250 ns R psr 81000200 - 3031250 ns MR4_I 00000238 48054770 - 3031270 ns MR4_I 00000230 07d2684a - 3031290 ns IT 00000230 684a LDR r2,[r1,#4] - 3031310 ns MR4_I 00000234 6008d1fc - 3031370 ns MR4_D 40006004 00000001 - 3031370 ns R r2 00000001 - 3031370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3031390 ns R r2 80000000 - 3031390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3031410 ns R psr 81000200 - 3031410 ns MR4_I 00000238 48054770 - 3031430 ns MR4_I 00000230 07d2684a - 3031450 ns IT 00000230 684a LDR r2,[r1,#4] - 3031470 ns MR4_I 00000234 6008d1fc - 3031530 ns MR4_D 40006004 00000001 - 3031530 ns R r2 00000001 - 3031530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3031550 ns R r2 80000000 - 3031550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3031570 ns R psr 81000200 - 3031570 ns MR4_I 00000238 48054770 - 3031590 ns MR4_I 00000230 07d2684a - 3031610 ns IT 00000230 684a LDR r2,[r1,#4] - 3031630 ns MR4_I 00000234 6008d1fc - 3031690 ns MR4_D 40006004 00000001 - 3031690 ns R r2 00000001 - 3031690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3031710 ns R r2 80000000 - 3031710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3031730 ns R psr 81000200 - 3031730 ns MR4_I 00000238 48054770 - 3031750 ns MR4_I 00000230 07d2684a - 3031770 ns IT 00000230 684a LDR r2,[r1,#4] - 3031790 ns MR4_I 00000234 6008d1fc - 3031850 ns MR4_D 40006004 00000001 - 3031850 ns R r2 00000001 - 3031850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3031870 ns R r2 80000000 - 3031870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3031890 ns R psr 81000200 - 3031890 ns MR4_I 00000238 48054770 - 3031910 ns MR4_I 00000230 07d2684a - 3031930 ns IT 00000230 684a LDR r2,[r1,#4] - 3031950 ns MR4_I 00000234 6008d1fc - 3032010 ns MR4_D 40006004 00000001 - 3032010 ns R r2 00000001 - 3032010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3032030 ns R r2 80000000 - 3032030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3032050 ns R psr 81000200 - 3032050 ns MR4_I 00000238 48054770 - 3032070 ns MR4_I 00000230 07d2684a - 3032090 ns IT 00000230 684a LDR r2,[r1,#4] - 3032110 ns MR4_I 00000234 6008d1fc - 3032170 ns MR4_D 40006004 00000001 - 3032170 ns R r2 00000001 - 3032170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3032190 ns R r2 80000000 - 3032190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3032210 ns R psr 81000200 - 3032210 ns MR4_I 00000238 48054770 - 3032230 ns MR4_I 00000230 07d2684a - 3032250 ns IT 00000230 684a LDR r2,[r1,#4] - 3032270 ns MR4_I 00000234 6008d1fc - 3032330 ns MR4_D 40006004 00000001 - 3032330 ns R r2 00000001 - 3032330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3032350 ns R r2 80000000 - 3032350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3032370 ns R psr 81000200 - 3032370 ns MR4_I 00000238 48054770 - 3032390 ns MR4_I 00000230 07d2684a - 3032410 ns IT 00000230 684a LDR r2,[r1,#4] - 3032430 ns MR4_I 00000234 6008d1fc - 3032490 ns MR4_D 40006004 00000001 - 3032490 ns R r2 00000001 - 3032490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3032510 ns R r2 80000000 - 3032510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3032530 ns R psr 81000200 - 3032530 ns MR4_I 00000238 48054770 - 3032550 ns MR4_I 00000230 07d2684a - 3032570 ns IT 00000230 684a LDR r2,[r1,#4] - 3032590 ns MR4_I 00000234 6008d1fc - 3032650 ns MR4_D 40006004 00000001 - 3032650 ns R r2 00000001 - 3032650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3032670 ns R r2 80000000 - 3032670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3032690 ns R psr 81000200 - 3032690 ns MR4_I 00000238 48054770 - 3032710 ns MR4_I 00000230 07d2684a - 3032730 ns IT 00000230 684a LDR r2,[r1,#4] - 3032750 ns MR4_I 00000234 6008d1fc - 3032810 ns MR4_D 40006004 00000001 - 3032810 ns R r2 00000001 - 3032810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3032830 ns R r2 80000000 - 3032830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3032850 ns R psr 81000200 - 3032850 ns MR4_I 00000238 48054770 - 3032870 ns MR4_I 00000230 07d2684a - 3032890 ns IT 00000230 684a LDR r2,[r1,#4] - 3032910 ns MR4_I 00000234 6008d1fc - 3032970 ns MR4_D 40006004 00000001 - 3032970 ns R r2 00000001 - 3032970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3032990 ns R r2 80000000 - 3032990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3033010 ns R psr 81000200 - 3033010 ns MR4_I 00000238 48054770 - 3033030 ns MR4_I 00000230 07d2684a - 3033050 ns IT 00000230 684a LDR r2,[r1,#4] - 3033070 ns MR4_I 00000234 6008d1fc - 3033130 ns MR4_D 40006004 00000001 - 3033130 ns R r2 00000001 - 3033130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3033150 ns R r2 80000000 - 3033150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3033170 ns R psr 81000200 - 3033170 ns MR4_I 00000238 48054770 - 3033190 ns MR4_I 00000230 07d2684a - 3033210 ns IT 00000230 684a LDR r2,[r1,#4] - 3033230 ns MR4_I 00000234 6008d1fc - 3033290 ns MR4_D 40006004 00000001 - 3033290 ns R r2 00000001 - 3033290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3033310 ns R r2 80000000 - 3033310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3033330 ns R psr 81000200 - 3033330 ns MR4_I 00000238 48054770 - 3033350 ns MR4_I 00000230 07d2684a - 3033370 ns IT 00000230 684a LDR r2,[r1,#4] - 3033390 ns MR4_I 00000234 6008d1fc - 3033450 ns MR4_D 40006004 00000001 - 3033450 ns R r2 00000001 - 3033450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3033470 ns R r2 80000000 - 3033470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3033490 ns R psr 81000200 - 3033490 ns MR4_I 00000238 48054770 - 3033510 ns MR4_I 00000230 07d2684a - 3033530 ns IT 00000230 684a LDR r2,[r1,#4] - 3033550 ns MR4_I 00000234 6008d1fc - 3033610 ns MR4_D 40006004 00000001 - 3033610 ns R r2 00000001 - 3033610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3033630 ns R r2 80000000 - 3033630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3033650 ns R psr 81000200 - 3033650 ns MR4_I 00000238 48054770 - 3033670 ns MR4_I 00000230 07d2684a - 3033690 ns IT 00000230 684a LDR r2,[r1,#4] - 3033710 ns MR4_I 00000234 6008d1fc - 3033770 ns MR4_D 40006004 00000001 - 3033770 ns R r2 00000001 - 3033770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3033790 ns R r2 80000000 - 3033790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3033810 ns R psr 81000200 - 3033810 ns MR4_I 00000238 48054770 - 3033830 ns MR4_I 00000230 07d2684a - 3033850 ns IT 00000230 684a LDR r2,[r1,#4] - 3033870 ns MR4_I 00000234 6008d1fc - 3033930 ns MR4_D 40006004 00000001 - 3033930 ns R r2 00000001 - 3033930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3033950 ns R r2 80000000 - 3033950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3033970 ns R psr 81000200 - 3033970 ns MR4_I 00000238 48054770 - 3033990 ns MR4_I 00000230 07d2684a - 3034010 ns IT 00000230 684a LDR r2,[r1,#4] - 3034030 ns MR4_I 00000234 6008d1fc - 3034090 ns MR4_D 40006004 00000001 - 3034090 ns R r2 00000001 - 3034090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3034110 ns R r2 80000000 - 3034110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3034130 ns R psr 81000200 - 3034130 ns MR4_I 00000238 48054770 - 3034150 ns MR4_I 00000230 07d2684a - 3034170 ns IT 00000230 684a LDR r2,[r1,#4] - 3034190 ns MR4_I 00000234 6008d1fc - 3034250 ns MR4_D 40006004 00000001 - 3034250 ns R r2 00000001 - 3034250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3034270 ns R r2 80000000 - 3034270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3034290 ns R psr 81000200 - 3034290 ns MR4_I 00000238 48054770 - 3034310 ns MR4_I 00000230 07d2684a - 3034330 ns IT 00000230 684a LDR r2,[r1,#4] - 3034350 ns MR4_I 00000234 6008d1fc - 3034410 ns MR4_D 40006004 00000001 - 3034410 ns R r2 00000001 - 3034410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3034430 ns R r2 80000000 - 3034430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3034450 ns R psr 81000200 - 3034450 ns MR4_I 00000238 48054770 - 3034470 ns MR4_I 00000230 07d2684a - 3034490 ns IT 00000230 684a LDR r2,[r1,#4] - 3034510 ns MR4_I 00000234 6008d1fc - 3034570 ns MR4_D 40006004 00000001 - 3034570 ns R r2 00000001 - 3034570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3034590 ns R r2 80000000 - 3034590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3034610 ns R psr 81000200 - 3034610 ns MR4_I 00000238 48054770 - 3034630 ns MR4_I 00000230 07d2684a - 3034650 ns IT 00000230 684a LDR r2,[r1,#4] - 3034670 ns MR4_I 00000234 6008d1fc - 3034730 ns MR4_D 40006004 00000001 - 3034730 ns R r2 00000001 - 3034730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3034750 ns R r2 80000000 - 3034750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3034770 ns R psr 81000200 - 3034770 ns MR4_I 00000238 48054770 - 3034790 ns MR4_I 00000230 07d2684a - 3034810 ns IT 00000230 684a LDR r2,[r1,#4] - 3034830 ns MR4_I 00000234 6008d1fc - 3034890 ns MR4_D 40006004 00000001 - 3034890 ns R r2 00000001 - 3034890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3034910 ns R r2 80000000 - 3034910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3034930 ns R psr 81000200 - 3034930 ns MR4_I 00000238 48054770 - 3034950 ns MR4_I 00000230 07d2684a - 3034970 ns IT 00000230 684a LDR r2,[r1,#4] - 3034990 ns MR4_I 00000234 6008d1fc - 3035050 ns MR4_D 40006004 00000001 - 3035050 ns R r2 00000001 - 3035050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3035070 ns R r2 80000000 - 3035070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3035090 ns R psr 81000200 - 3035090 ns MR4_I 00000238 48054770 - 3035110 ns MR4_I 00000230 07d2684a - 3035130 ns IT 00000230 684a LDR r2,[r1,#4] - 3035150 ns MR4_I 00000234 6008d1fc - 3035210 ns MR4_D 40006004 00000001 - 3035210 ns R r2 00000001 - 3035210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3035230 ns R r2 80000000 - 3035230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3035250 ns R psr 81000200 - 3035250 ns MR4_I 00000238 48054770 - 3035270 ns MR4_I 00000230 07d2684a - 3035290 ns IT 00000230 684a LDR r2,[r1,#4] - 3035310 ns MR4_I 00000234 6008d1fc - 3035370 ns MR4_D 40006004 00000001 - 3035370 ns R r2 00000001 - 3035370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3035390 ns R r2 80000000 - 3035390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3035410 ns R psr 81000200 - 3035410 ns MR4_I 00000238 48054770 - 3035430 ns MR4_I 00000230 07d2684a - 3035450 ns IT 00000230 684a LDR r2,[r1,#4] - 3035470 ns MR4_I 00000234 6008d1fc - 3035530 ns MR4_D 40006004 00000001 - 3035530 ns R r2 00000001 - 3035530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3035550 ns R r2 80000000 - 3035550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3035570 ns R psr 81000200 - 3035570 ns MR4_I 00000238 48054770 - 3035590 ns MR4_I 00000230 07d2684a - 3035610 ns IT 00000230 684a LDR r2,[r1,#4] - 3035630 ns MR4_I 00000234 6008d1fc - 3035690 ns MR4_D 40006004 00000001 - 3035690 ns R r2 00000001 - 3035690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3035710 ns R r2 80000000 - 3035710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3035730 ns R psr 81000200 - 3035730 ns MR4_I 00000238 48054770 - 3035750 ns MR4_I 00000230 07d2684a - 3035770 ns IT 00000230 684a LDR r2,[r1,#4] - 3035790 ns MR4_I 00000234 6008d1fc - 3035850 ns MR4_D 40006004 00000001 - 3035850 ns R r2 00000001 - 3035850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3035870 ns R r2 80000000 - 3035870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3035890 ns R psr 81000200 - 3035890 ns MR4_I 00000238 48054770 - 3035910 ns MR4_I 00000230 07d2684a - 3035930 ns IT 00000230 684a LDR r2,[r1,#4] - 3035950 ns MR4_I 00000234 6008d1fc - 3036010 ns MR4_D 40006004 00000001 - 3036010 ns R r2 00000001 - 3036010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3036030 ns R r2 80000000 - 3036030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3036050 ns R psr 81000200 - 3036050 ns MR4_I 00000238 48054770 - 3036070 ns MR4_I 00000230 07d2684a - 3036090 ns IT 00000230 684a LDR r2,[r1,#4] - 3036110 ns MR4_I 00000234 6008d1fc - 3036170 ns MR4_D 40006004 00000001 - 3036170 ns R r2 00000001 - 3036170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3036190 ns R r2 80000000 - 3036190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3036210 ns R psr 81000200 - 3036210 ns MR4_I 00000238 48054770 - 3036230 ns MR4_I 00000230 07d2684a - 3036250 ns IT 00000230 684a LDR r2,[r1,#4] - 3036270 ns MR4_I 00000234 6008d1fc - 3036330 ns MR4_D 40006004 00000001 - 3036330 ns R r2 00000001 - 3036330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3036350 ns R r2 80000000 - 3036350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3036370 ns R psr 81000200 - 3036370 ns MR4_I 00000238 48054770 - 3036390 ns MR4_I 00000230 07d2684a - 3036410 ns IT 00000230 684a LDR r2,[r1,#4] - 3036430 ns MR4_I 00000234 6008d1fc - 3036490 ns MR4_D 40006004 00000001 - 3036490 ns R r2 00000001 - 3036490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3036510 ns R r2 80000000 - 3036510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3036530 ns R psr 81000200 - 3036530 ns MR4_I 00000238 48054770 - 3036550 ns MR4_I 00000230 07d2684a - 3036570 ns IT 00000230 684a LDR r2,[r1,#4] - 3036590 ns MR4_I 00000234 6008d1fc - 3036650 ns MR4_D 40006004 00000001 - 3036650 ns R r2 00000001 - 3036650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3036670 ns R r2 80000000 - 3036670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3036690 ns R psr 81000200 - 3036690 ns MR4_I 00000238 48054770 - 3036710 ns MR4_I 00000230 07d2684a - 3036730 ns IT 00000230 684a LDR r2,[r1,#4] - 3036750 ns MR4_I 00000234 6008d1fc - 3036810 ns MR4_D 40006004 00000001 - 3036810 ns R r2 00000001 - 3036810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3036830 ns R r2 80000000 - 3036830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3036850 ns R psr 81000200 - 3036850 ns MR4_I 00000238 48054770 - 3036870 ns MR4_I 00000230 07d2684a - 3036890 ns IT 00000230 684a LDR r2,[r1,#4] - 3036910 ns MR4_I 00000234 6008d1fc - 3036970 ns MR4_D 40006004 00000001 - 3036970 ns R r2 00000001 - 3036970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3036990 ns R r2 80000000 - 3036990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3037010 ns R psr 81000200 - 3037010 ns MR4_I 00000238 48054770 - 3037030 ns MR4_I 00000230 07d2684a - 3037050 ns IT 00000230 684a LDR r2,[r1,#4] - 3037070 ns MR4_I 00000234 6008d1fc - 3037130 ns MR4_D 40006004 00000001 - 3037130 ns R r2 00000001 - 3037130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3037150 ns R r2 80000000 - 3037150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3037170 ns R psr 81000200 - 3037170 ns MR4_I 00000238 48054770 - 3037190 ns MR4_I 00000230 07d2684a - 3037210 ns IT 00000230 684a LDR r2,[r1,#4] - 3037230 ns MR4_I 00000234 6008d1fc - 3037290 ns MR4_D 40006004 00000001 - 3037290 ns R r2 00000001 - 3037290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3037310 ns R r2 80000000 - 3037310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3037330 ns R psr 81000200 - 3037330 ns MR4_I 00000238 48054770 - 3037350 ns MR4_I 00000230 07d2684a - 3037370 ns IT 00000230 684a LDR r2,[r1,#4] - 3037390 ns MR4_I 00000234 6008d1fc - 3037450 ns MR4_D 40006004 00000001 - 3037450 ns R r2 00000001 - 3037450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3037470 ns R r2 80000000 - 3037470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3037490 ns R psr 81000200 - 3037490 ns MR4_I 00000238 48054770 - 3037510 ns MR4_I 00000230 07d2684a - 3037530 ns IT 00000230 684a LDR r2,[r1,#4] - 3037550 ns MR4_I 00000234 6008d1fc - 3037610 ns MR4_D 40006004 00000001 - 3037610 ns R r2 00000001 - 3037610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3037630 ns R r2 80000000 - 3037630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3037650 ns R psr 81000200 - 3037650 ns MR4_I 00000238 48054770 - 3037670 ns MR4_I 00000230 07d2684a - 3037690 ns IT 00000230 684a LDR r2,[r1,#4] - 3037710 ns MR4_I 00000234 6008d1fc - 3037770 ns MR4_D 40006004 00000001 - 3037770 ns R r2 00000001 - 3037770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3037790 ns R r2 80000000 - 3037790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3037810 ns R psr 81000200 - 3037810 ns MR4_I 00000238 48054770 - 3037830 ns MR4_I 00000230 07d2684a - 3037850 ns IT 00000230 684a LDR r2,[r1,#4] - 3037870 ns MR4_I 00000234 6008d1fc - 3037930 ns MR4_D 40006004 00000001 - 3037930 ns R r2 00000001 - 3037930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3037950 ns R r2 80000000 - 3037950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3037970 ns R psr 81000200 - 3037970 ns MR4_I 00000238 48054770 - 3037990 ns MR4_I 00000230 07d2684a - 3038010 ns IT 00000230 684a LDR r2,[r1,#4] - 3038030 ns MR4_I 00000234 6008d1fc - 3038090 ns MR4_D 40006004 00000001 - 3038090 ns R r2 00000001 - 3038090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3038110 ns R r2 80000000 - 3038110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3038130 ns R psr 81000200 - 3038130 ns MR4_I 00000238 48054770 - 3038150 ns MR4_I 00000230 07d2684a - 3038170 ns IT 00000230 684a LDR r2,[r1,#4] - 3038190 ns MR4_I 00000234 6008d1fc - 3038250 ns MR4_D 40006004 00000001 - 3038250 ns R r2 00000001 - 3038250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3038270 ns R r2 80000000 - 3038270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3038290 ns R psr 81000200 - 3038290 ns MR4_I 00000238 48054770 - 3038310 ns MR4_I 00000230 07d2684a - 3038330 ns IT 00000230 684a LDR r2,[r1,#4] - 3038350 ns MR4_I 00000234 6008d1fc - 3038410 ns MR4_D 40006004 00000001 - 3038410 ns R r2 00000001 - 3038410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3038430 ns R r2 80000000 - 3038430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3038450 ns R psr 81000200 - 3038450 ns MR4_I 00000238 48054770 - 3038470 ns MR4_I 00000230 07d2684a - 3038490 ns IT 00000230 684a LDR r2,[r1,#4] - 3038510 ns MR4_I 00000234 6008d1fc - 3038570 ns MR4_D 40006004 00000001 - 3038570 ns R r2 00000001 - 3038570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3038590 ns R r2 80000000 - 3038590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3038610 ns R psr 81000200 - 3038610 ns MR4_I 00000238 48054770 - 3038630 ns MR4_I 00000230 07d2684a - 3038650 ns IT 00000230 684a LDR r2,[r1,#4] - 3038670 ns MR4_I 00000234 6008d1fc - 3038730 ns MR4_D 40006004 00000001 - 3038730 ns R r2 00000001 - 3038730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3038750 ns R r2 80000000 - 3038750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3038770 ns R psr 81000200 - 3038770 ns MR4_I 00000238 48054770 - 3038790 ns MR4_I 00000230 07d2684a - 3038810 ns IT 00000230 684a LDR r2,[r1,#4] - 3038830 ns MR4_I 00000234 6008d1fc - 3038890 ns MR4_D 40006004 00000001 - 3038890 ns R r2 00000001 - 3038890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3038910 ns R r2 80000000 - 3038910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3038930 ns R psr 81000200 - 3038930 ns MR4_I 00000238 48054770 - 3038950 ns MR4_I 00000230 07d2684a - 3038970 ns IT 00000230 684a LDR r2,[r1,#4] - 3038990 ns MR4_I 00000234 6008d1fc - 3039050 ns MR4_D 40006004 00000001 - 3039050 ns R r2 00000001 - 3039050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3039070 ns R r2 80000000 - 3039070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3039090 ns R psr 81000200 - 3039090 ns MR4_I 00000238 48054770 - 3039110 ns MR4_I 00000230 07d2684a - 3039130 ns IT 00000230 684a LDR r2,[r1,#4] - 3039150 ns MR4_I 00000234 6008d1fc - 3039210 ns MR4_D 40006004 00000001 - 3039210 ns R r2 00000001 - 3039210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3039230 ns R r2 80000000 - 3039230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3039250 ns R psr 81000200 - 3039250 ns MR4_I 00000238 48054770 - 3039270 ns MR4_I 00000230 07d2684a - 3039290 ns IT 00000230 684a LDR r2,[r1,#4] - 3039310 ns MR4_I 00000234 6008d1fc - 3039370 ns MR4_D 40006004 00000001 - 3039370 ns R r2 00000001 - 3039370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3039390 ns R r2 80000000 - 3039390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3039410 ns R psr 81000200 - 3039410 ns MR4_I 00000238 48054770 - 3039430 ns MR4_I 00000230 07d2684a - 3039450 ns IT 00000230 684a LDR r2,[r1,#4] - 3039470 ns MR4_I 00000234 6008d1fc - 3039530 ns MR4_D 40006004 00000001 - 3039530 ns R r2 00000001 - 3039530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3039550 ns R r2 80000000 - 3039550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3039570 ns R psr 81000200 - 3039570 ns MR4_I 00000238 48054770 - 3039590 ns MR4_I 00000230 07d2684a - 3039610 ns IT 00000230 684a LDR r2,[r1,#4] - 3039630 ns MR4_I 00000234 6008d1fc - 3039690 ns MR4_D 40006004 00000001 - 3039690 ns R r2 00000001 - 3039690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3039710 ns R r2 80000000 - 3039710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3039730 ns R psr 81000200 - 3039730 ns MR4_I 00000238 48054770 - 3039750 ns MR4_I 00000230 07d2684a - 3039770 ns IT 00000230 684a LDR r2,[r1,#4] - 3039790 ns MR4_I 00000234 6008d1fc - 3039850 ns MR4_D 40006004 00000001 - 3039850 ns R r2 00000001 - 3039850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3039870 ns R r2 80000000 - 3039870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3039890 ns R psr 81000200 - 3039890 ns MR4_I 00000238 48054770 - 3039910 ns MR4_I 00000230 07d2684a - 3039930 ns IT 00000230 684a LDR r2,[r1,#4] - 3039950 ns MR4_I 00000234 6008d1fc - 3040010 ns MR4_D 40006004 00000001 - 3040010 ns R r2 00000001 - 3040010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3040030 ns R r2 80000000 - 3040030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3040050 ns R psr 81000200 - 3040050 ns MR4_I 00000238 48054770 - 3040070 ns MR4_I 00000230 07d2684a - 3040090 ns IT 00000230 684a LDR r2,[r1,#4] - 3040110 ns MR4_I 00000234 6008d1fc - 3040170 ns MR4_D 40006004 00000001 - 3040170 ns R r2 00000001 - 3040170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3040190 ns R r2 80000000 - 3040190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3040210 ns R psr 81000200 - 3040210 ns MR4_I 00000238 48054770 - 3040230 ns MR4_I 00000230 07d2684a - 3040250 ns IT 00000230 684a LDR r2,[r1,#4] - 3040270 ns MR4_I 00000234 6008d1fc - 3040330 ns MR4_D 40006004 00000001 - 3040330 ns R r2 00000001 - 3040330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3040350 ns R r2 80000000 - 3040350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3040370 ns R psr 81000200 - 3040370 ns MR4_I 00000238 48054770 - 3040390 ns MR4_I 00000230 07d2684a - 3040410 ns IT 00000230 684a LDR r2,[r1,#4] - 3040430 ns MR4_I 00000234 6008d1fc - 3040490 ns MR4_D 40006004 00000001 - 3040490 ns R r2 00000001 - 3040490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3040510 ns R r2 80000000 - 3040510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3040530 ns R psr 81000200 - 3040530 ns MR4_I 00000238 48054770 - 3040550 ns MR4_I 00000230 07d2684a - 3040570 ns IT 00000230 684a LDR r2,[r1,#4] - 3040590 ns MR4_I 00000234 6008d1fc - 3040650 ns MR4_D 40006004 00000001 - 3040650 ns R r2 00000001 - 3040650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3040670 ns R r2 80000000 - 3040670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3040690 ns R psr 81000200 - 3040690 ns MR4_I 00000238 48054770 - 3040710 ns MR4_I 00000230 07d2684a - 3040730 ns IT 00000230 684a LDR r2,[r1,#4] - 3040750 ns MR4_I 00000234 6008d1fc - 3040810 ns MR4_D 40006004 00000001 - 3040810 ns R r2 00000001 - 3040810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3040830 ns R r2 80000000 - 3040830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3040850 ns R psr 81000200 - 3040850 ns MR4_I 00000238 48054770 - 3040870 ns MR4_I 00000230 07d2684a - 3040890 ns IT 00000230 684a LDR r2,[r1,#4] - 3040910 ns MR4_I 00000234 6008d1fc - 3040970 ns MR4_D 40006004 00000001 - 3040970 ns R r2 00000001 - 3040970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3040990 ns R r2 80000000 - 3040990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3041010 ns R psr 81000200 - 3041010 ns MR4_I 00000238 48054770 - 3041030 ns MR4_I 00000230 07d2684a - 3041050 ns IT 00000230 684a LDR r2,[r1,#4] - 3041070 ns MR4_I 00000234 6008d1fc - 3041130 ns MR4_D 40006004 00000001 - 3041130 ns R r2 00000001 - 3041130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3041150 ns R r2 80000000 - 3041150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3041170 ns R psr 81000200 - 3041170 ns MR4_I 00000238 48054770 - 3041190 ns MR4_I 00000230 07d2684a - 3041210 ns IT 00000230 684a LDR r2,[r1,#4] - 3041230 ns MR4_I 00000234 6008d1fc - 3041290 ns MR4_D 40006004 00000001 - 3041290 ns R r2 00000001 - 3041290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3041310 ns R r2 80000000 - 3041310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3041330 ns R psr 81000200 - 3041330 ns MR4_I 00000238 48054770 - 3041350 ns MR4_I 00000230 07d2684a - 3041370 ns IT 00000230 684a LDR r2,[r1,#4] - 3041390 ns MR4_I 00000234 6008d1fc - 3041450 ns MR4_D 40006004 00000001 - 3041450 ns R r2 00000001 - 3041450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3041470 ns R r2 80000000 - 3041470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3041490 ns R psr 81000200 - 3041490 ns MR4_I 00000238 48054770 - 3041510 ns MR4_I 00000230 07d2684a - 3041530 ns IT 00000230 684a LDR r2,[r1,#4] - 3041550 ns MR4_I 00000234 6008d1fc - 3041610 ns MR4_D 40006004 00000001 - 3041610 ns R r2 00000001 - 3041610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3041630 ns R r2 80000000 - 3041630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3041650 ns R psr 81000200 - 3041650 ns MR4_I 00000238 48054770 - 3041670 ns MR4_I 00000230 07d2684a - 3041690 ns IT 00000230 684a LDR r2,[r1,#4] - 3041710 ns MR4_I 00000234 6008d1fc - 3041770 ns MR4_D 40006004 00000001 - 3041770 ns R r2 00000001 - 3041770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3041790 ns R r2 80000000 - 3041790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3041810 ns R psr 81000200 - 3041810 ns MR4_I 00000238 48054770 - 3041830 ns MR4_I 00000230 07d2684a - 3041850 ns IT 00000230 684a LDR r2,[r1,#4] - 3041870 ns MR4_I 00000234 6008d1fc - 3041930 ns MR4_D 40006004 00000001 - 3041930 ns R r2 00000001 - 3041930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3041950 ns R r2 80000000 - 3041950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3041970 ns R psr 81000200 - 3041970 ns MR4_I 00000238 48054770 - 3041990 ns MR4_I 00000230 07d2684a - 3042010 ns IT 00000230 684a LDR r2,[r1,#4] - 3042030 ns MR4_I 00000234 6008d1fc - 3042090 ns MR4_D 40006004 00000001 - 3042090 ns R r2 00000001 - 3042090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3042110 ns R r2 80000000 - 3042110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3042130 ns R psr 81000200 - 3042130 ns MR4_I 00000238 48054770 - 3042150 ns MR4_I 00000230 07d2684a - 3042170 ns IT 00000230 684a LDR r2,[r1,#4] - 3042190 ns MR4_I 00000234 6008d1fc - 3042250 ns MR4_D 40006004 00000001 - 3042250 ns R r2 00000001 - 3042250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3042270 ns R r2 80000000 - 3042270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3042290 ns R psr 81000200 - 3042290 ns MR4_I 00000238 48054770 - 3042310 ns MR4_I 00000230 07d2684a - 3042330 ns IT 00000230 684a LDR r2,[r1,#4] - 3042350 ns MR4_I 00000234 6008d1fc - 3042410 ns MR4_D 40006004 00000001 - 3042410 ns R r2 00000001 - 3042410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3042430 ns R r2 80000000 - 3042430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3042450 ns R psr 81000200 - 3042450 ns MR4_I 00000238 48054770 - 3042470 ns MR4_I 00000230 07d2684a - 3042490 ns IT 00000230 684a LDR r2,[r1,#4] - 3042510 ns MR4_I 00000234 6008d1fc - 3042570 ns MR4_D 40006004 00000001 - 3042570 ns R r2 00000001 - 3042570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3042590 ns R r2 80000000 - 3042590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3042610 ns R psr 81000200 - 3042610 ns MR4_I 00000238 48054770 - 3042630 ns MR4_I 00000230 07d2684a - 3042650 ns IT 00000230 684a LDR r2,[r1,#4] - 3042670 ns MR4_I 00000234 6008d1fc - 3042730 ns MR4_D 40006004 00000001 - 3042730 ns R r2 00000001 - 3042730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3042750 ns R r2 80000000 - 3042750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3042770 ns R psr 81000200 - 3042770 ns MR4_I 00000238 48054770 - 3042790 ns MR4_I 00000230 07d2684a - 3042810 ns IT 00000230 684a LDR r2,[r1,#4] - 3042830 ns MR4_I 00000234 6008d1fc - 3042890 ns MR4_D 40006004 00000001 - 3042890 ns R r2 00000001 - 3042890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3042910 ns R r2 80000000 - 3042910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3042930 ns R psr 81000200 - 3042930 ns MR4_I 00000238 48054770 - 3042950 ns MR4_I 00000230 07d2684a - 3042970 ns IT 00000230 684a LDR r2,[r1,#4] - 3042990 ns MR4_I 00000234 6008d1fc - 3043050 ns MR4_D 40006004 00000001 - 3043050 ns R r2 00000001 - 3043050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3043070 ns R r2 80000000 - 3043070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3043090 ns R psr 81000200 - 3043090 ns MR4_I 00000238 48054770 - 3043110 ns MR4_I 00000230 07d2684a - 3043130 ns IT 00000230 684a LDR r2,[r1,#4] - 3043150 ns MR4_I 00000234 6008d1fc - 3043210 ns MR4_D 40006004 00000001 - 3043210 ns R r2 00000001 - 3043210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3043230 ns R r2 80000000 - 3043230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3043250 ns R psr 81000200 - 3043250 ns MR4_I 00000238 48054770 - 3043270 ns MR4_I 00000230 07d2684a - 3043290 ns IT 00000230 684a LDR r2,[r1,#4] - 3043310 ns MR4_I 00000234 6008d1fc - 3043370 ns MR4_D 40006004 00000001 - 3043370 ns R r2 00000001 - 3043370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3043390 ns R r2 80000000 - 3043390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3043410 ns R psr 81000200 - 3043410 ns MR4_I 00000238 48054770 - 3043430 ns MR4_I 00000230 07d2684a - 3043450 ns IT 00000230 684a LDR r2,[r1,#4] - 3043470 ns MR4_I 00000234 6008d1fc - 3043530 ns MR4_D 40006004 00000001 - 3043530 ns R r2 00000001 - 3043530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3043550 ns R r2 80000000 - 3043550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3043570 ns R psr 81000200 - 3043570 ns MR4_I 00000238 48054770 - 3043590 ns MR4_I 00000230 07d2684a - 3043610 ns IT 00000230 684a LDR r2,[r1,#4] - 3043630 ns MR4_I 00000234 6008d1fc - 3043690 ns MR4_D 40006004 00000001 - 3043690 ns R r2 00000001 - 3043690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3043710 ns R r2 80000000 - 3043710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3043730 ns R psr 81000200 - 3043730 ns MR4_I 00000238 48054770 - 3043750 ns MR4_I 00000230 07d2684a - 3043770 ns IT 00000230 684a LDR r2,[r1,#4] - 3043790 ns MR4_I 00000234 6008d1fc - 3043850 ns MR4_D 40006004 00000001 - 3043850 ns R r2 00000001 - 3043850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3043870 ns R r2 80000000 - 3043870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3043890 ns R psr 81000200 - 3043890 ns MR4_I 00000238 48054770 - 3043910 ns MR4_I 00000230 07d2684a - 3043930 ns IT 00000230 684a LDR r2,[r1,#4] - 3043950 ns MR4_I 00000234 6008d1fc - 3044010 ns MR4_D 40006004 00000001 - 3044010 ns R r2 00000001 - 3044010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3044030 ns R r2 80000000 - 3044030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3044050 ns R psr 81000200 - 3044050 ns MR4_I 00000238 48054770 - 3044070 ns MR4_I 00000230 07d2684a - 3044090 ns IT 00000230 684a LDR r2,[r1,#4] - 3044110 ns MR4_I 00000234 6008d1fc - 3044170 ns MR4_D 40006004 00000001 - 3044170 ns R r2 00000001 - 3044170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3044190 ns R r2 80000000 - 3044190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3044210 ns R psr 81000200 - 3044210 ns MR4_I 00000238 48054770 - 3044230 ns MR4_I 00000230 07d2684a - 3044250 ns IT 00000230 684a LDR r2,[r1,#4] - 3044270 ns MR4_I 00000234 6008d1fc - 3044330 ns MR4_D 40006004 00000001 - 3044330 ns R r2 00000001 - 3044330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3044350 ns R r2 80000000 - 3044350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3044370 ns R psr 81000200 - 3044370 ns MR4_I 00000238 48054770 - 3044390 ns MR4_I 00000230 07d2684a - 3044410 ns IT 00000230 684a LDR r2,[r1,#4] - 3044430 ns MR4_I 00000234 6008d1fc - 3044490 ns MR4_D 40006004 00000001 - 3044490 ns R r2 00000001 - 3044490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3044510 ns R r2 80000000 - 3044510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3044530 ns R psr 81000200 - 3044530 ns MR4_I 00000238 48054770 - 3044550 ns MR4_I 00000230 07d2684a - 3044570 ns IT 00000230 684a LDR r2,[r1,#4] - 3044590 ns MR4_I 00000234 6008d1fc - 3044650 ns MR4_D 40006004 00000001 - 3044650 ns R r2 00000001 - 3044650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3044670 ns R r2 80000000 - 3044670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3044690 ns R psr 81000200 - 3044690 ns MR4_I 00000238 48054770 - 3044710 ns MR4_I 00000230 07d2684a - 3044730 ns IT 00000230 684a LDR r2,[r1,#4] - 3044750 ns MR4_I 00000234 6008d1fc - 3044810 ns MR4_D 40006004 00000001 - 3044810 ns R r2 00000001 - 3044810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3044830 ns R r2 80000000 - 3044830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3044850 ns R psr 81000200 - 3044850 ns MR4_I 00000238 48054770 - 3044870 ns MR4_I 00000230 07d2684a - 3044890 ns IT 00000230 684a LDR r2,[r1,#4] - 3044910 ns MR4_I 00000234 6008d1fc - 3044970 ns MR4_D 40006004 00000001 - 3044970 ns R r2 00000001 - 3044970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3044990 ns R r2 80000000 - 3044990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3045010 ns R psr 81000200 - 3045010 ns MR4_I 00000238 48054770 - 3045030 ns MR4_I 00000230 07d2684a - 3045050 ns IT 00000230 684a LDR r2,[r1,#4] - 3045070 ns MR4_I 00000234 6008d1fc - 3045130 ns MR4_D 40006004 00000001 - 3045130 ns R r2 00000001 - 3045130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3045150 ns R r2 80000000 - 3045150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3045170 ns R psr 81000200 - 3045170 ns MR4_I 00000238 48054770 - 3045190 ns MR4_I 00000230 07d2684a - 3045210 ns IT 00000230 684a LDR r2,[r1,#4] - 3045230 ns MR4_I 00000234 6008d1fc - 3045290 ns MR4_D 40006004 00000001 - 3045290 ns R r2 00000001 - 3045290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3045310 ns R r2 80000000 - 3045310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3045330 ns R psr 81000200 - 3045330 ns MR4_I 00000238 48054770 - 3045350 ns MR4_I 00000230 07d2684a - 3045370 ns IT 00000230 684a LDR r2,[r1,#4] - 3045390 ns MR4_I 00000234 6008d1fc - 3045450 ns MR4_D 40006004 00000001 - 3045450 ns R r2 00000001 - 3045450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3045470 ns R r2 80000000 - 3045470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3045490 ns R psr 81000200 - 3045490 ns MR4_I 00000238 48054770 - 3045510 ns MR4_I 00000230 07d2684a - 3045530 ns IT 00000230 684a LDR r2,[r1,#4] - 3045550 ns MR4_I 00000234 6008d1fc - 3045610 ns MR4_D 40006004 00000001 - 3045610 ns R r2 00000001 - 3045610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3045630 ns R r2 80000000 - 3045630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3045650 ns R psr 81000200 - 3045650 ns MR4_I 00000238 48054770 - 3045670 ns MR4_I 00000230 07d2684a - 3045690 ns IT 00000230 684a LDR r2,[r1,#4] - 3045710 ns MR4_I 00000234 6008d1fc - 3045770 ns MR4_D 40006004 00000001 - 3045770 ns R r2 00000001 - 3045770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3045790 ns R r2 80000000 - 3045790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3045810 ns R psr 81000200 - 3045810 ns MR4_I 00000238 48054770 - 3045830 ns MR4_I 00000230 07d2684a - 3045850 ns IT 00000230 684a LDR r2,[r1,#4] - 3045870 ns MR4_I 00000234 6008d1fc - 3045930 ns MR4_D 40006004 00000001 - 3045930 ns R r2 00000001 - 3045930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3045950 ns R r2 80000000 - 3045950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3045970 ns R psr 81000200 - 3045970 ns MR4_I 00000238 48054770 - 3045990 ns MR4_I 00000230 07d2684a - 3046010 ns IT 00000230 684a LDR r2,[r1,#4] - 3046030 ns MR4_I 00000234 6008d1fc - 3046090 ns MR4_D 40006004 00000001 - 3046090 ns R r2 00000001 - 3046090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3046110 ns R r2 80000000 - 3046110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3046130 ns R psr 81000200 - 3046130 ns MR4_I 00000238 48054770 - 3046150 ns MR4_I 00000230 07d2684a - 3046170 ns IT 00000230 684a LDR r2,[r1,#4] - 3046190 ns MR4_I 00000234 6008d1fc - 3046250 ns MR4_D 40006004 00000001 - 3046250 ns R r2 00000001 - 3046250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3046270 ns R r2 80000000 - 3046270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3046290 ns R psr 81000200 - 3046290 ns MR4_I 00000238 48054770 - 3046310 ns MR4_I 00000230 07d2684a - 3046330 ns IT 00000230 684a LDR r2,[r1,#4] - 3046350 ns MR4_I 00000234 6008d1fc - 3046410 ns MR4_D 40006004 00000001 - 3046410 ns R r2 00000001 - 3046410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3046430 ns R r2 80000000 - 3046430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3046450 ns R psr 81000200 - 3046450 ns MR4_I 00000238 48054770 - 3046470 ns MR4_I 00000230 07d2684a - 3046490 ns IT 00000230 684a LDR r2,[r1,#4] - 3046510 ns MR4_I 00000234 6008d1fc - 3046570 ns MR4_D 40006004 00000001 - 3046570 ns R r2 00000001 - 3046570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3046590 ns R r2 80000000 - 3046590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3046610 ns R psr 81000200 - 3046610 ns MR4_I 00000238 48054770 - 3046630 ns MR4_I 00000230 07d2684a - 3046650 ns IT 00000230 684a LDR r2,[r1,#4] - 3046670 ns MR4_I 00000234 6008d1fc - 3046730 ns MR4_D 40006004 00000001 - 3046730 ns R r2 00000001 - 3046730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3046750 ns R r2 80000000 - 3046750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3046770 ns R psr 81000200 - 3046770 ns MR4_I 00000238 48054770 - 3046790 ns MR4_I 00000230 07d2684a - 3046810 ns IT 00000230 684a LDR r2,[r1,#4] - 3046830 ns MR4_I 00000234 6008d1fc - 3046890 ns MR4_D 40006004 00000001 - 3046890 ns R r2 00000001 - 3046890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3046910 ns R r2 80000000 - 3046910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3046930 ns R psr 81000200 - 3046930 ns MR4_I 00000238 48054770 - 3046950 ns MR4_I 00000230 07d2684a - 3046970 ns IT 00000230 684a LDR r2,[r1,#4] - 3046990 ns MR4_I 00000234 6008d1fc - 3047050 ns MR4_D 40006004 00000001 - 3047050 ns R r2 00000001 - 3047050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3047070 ns R r2 80000000 - 3047070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3047090 ns R psr 81000200 - 3047090 ns MR4_I 00000238 48054770 - 3047110 ns MR4_I 00000230 07d2684a - 3047130 ns IT 00000230 684a LDR r2,[r1,#4] - 3047150 ns MR4_I 00000234 6008d1fc - 3047210 ns MR4_D 40006004 00000001 - 3047210 ns R r2 00000001 - 3047210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3047230 ns R r2 80000000 - 3047230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3047250 ns R psr 81000200 - 3047250 ns MR4_I 00000238 48054770 - 3047270 ns MR4_I 00000230 07d2684a - 3047290 ns IT 00000230 684a LDR r2,[r1,#4] - 3047310 ns MR4_I 00000234 6008d1fc - 3047370 ns MR4_D 40006004 00000001 - 3047370 ns R r2 00000001 - 3047370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3047390 ns R r2 80000000 - 3047390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3047410 ns R psr 81000200 - 3047410 ns MR4_I 00000238 48054770 - 3047430 ns MR4_I 00000230 07d2684a - 3047450 ns IT 00000230 684a LDR r2,[r1,#4] - 3047470 ns MR4_I 00000234 6008d1fc - 3047530 ns MR4_D 40006004 00000001 - 3047530 ns R r2 00000001 - 3047530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3047550 ns R r2 80000000 - 3047550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3047570 ns R psr 81000200 - 3047570 ns MR4_I 00000238 48054770 - 3047590 ns MR4_I 00000230 07d2684a - 3047610 ns IT 00000230 684a LDR r2,[r1,#4] - 3047630 ns MR4_I 00000234 6008d1fc - 3047690 ns MR4_D 40006004 00000001 - 3047690 ns R r2 00000001 - 3047690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3047710 ns R r2 80000000 - 3047710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3047730 ns R psr 81000200 - 3047730 ns MR4_I 00000238 48054770 - 3047750 ns MR4_I 00000230 07d2684a - 3047770 ns IT 00000230 684a LDR r2,[r1,#4] - 3047790 ns MR4_I 00000234 6008d1fc - 3047850 ns MR4_D 40006004 00000001 - 3047850 ns R r2 00000001 - 3047850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3047870 ns R r2 80000000 - 3047870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3047890 ns R psr 81000200 - 3047890 ns MR4_I 00000238 48054770 - 3047910 ns MR4_I 00000230 07d2684a - 3047930 ns IT 00000230 684a LDR r2,[r1,#4] - 3047950 ns MR4_I 00000234 6008d1fc - 3048010 ns MR4_D 40006004 00000001 - 3048010 ns R r2 00000001 - 3048010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3048030 ns R r2 80000000 - 3048030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3048050 ns R psr 81000200 - 3048050 ns MR4_I 00000238 48054770 - 3048070 ns MR4_I 00000230 07d2684a - 3048090 ns IT 00000230 684a LDR r2,[r1,#4] - 3048110 ns MR4_I 00000234 6008d1fc - 3048170 ns MR4_D 40006004 00000001 - 3048170 ns R r2 00000001 - 3048170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3048190 ns R r2 80000000 - 3048190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3048210 ns R psr 81000200 - 3048210 ns MR4_I 00000238 48054770 - 3048230 ns MR4_I 00000230 07d2684a - 3048250 ns IT 00000230 684a LDR r2,[r1,#4] - 3048270 ns MR4_I 00000234 6008d1fc - 3048330 ns MR4_D 40006004 00000001 - 3048330 ns R r2 00000001 - 3048330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3048350 ns R r2 80000000 - 3048350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3048370 ns R psr 81000200 - 3048370 ns MR4_I 00000238 48054770 - 3048390 ns MR4_I 00000230 07d2684a - 3048410 ns IT 00000230 684a LDR r2,[r1,#4] - 3048430 ns MR4_I 00000234 6008d1fc - 3048490 ns MR4_D 40006004 00000001 - 3048490 ns R r2 00000001 - 3048490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3048510 ns R r2 80000000 - 3048510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3048530 ns R psr 81000200 - 3048530 ns MR4_I 00000238 48054770 - 3048550 ns MR4_I 00000230 07d2684a - 3048570 ns IT 00000230 684a LDR r2,[r1,#4] - 3048590 ns MR4_I 00000234 6008d1fc - 3048650 ns MR4_D 40006004 00000001 - 3048650 ns R r2 00000001 - 3048650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3048670 ns R r2 80000000 - 3048670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3048690 ns R psr 81000200 - 3048690 ns MR4_I 00000238 48054770 - 3048710 ns MR4_I 00000230 07d2684a - 3048730 ns IT 00000230 684a LDR r2,[r1,#4] - 3048750 ns MR4_I 00000234 6008d1fc - 3048810 ns MR4_D 40006004 00000001 - 3048810 ns R r2 00000001 - 3048810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3048830 ns R r2 80000000 - 3048830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3048850 ns R psr 81000200 - 3048850 ns MR4_I 00000238 48054770 - 3048870 ns MR4_I 00000230 07d2684a - 3048890 ns IT 00000230 684a LDR r2,[r1,#4] - 3048910 ns MR4_I 00000234 6008d1fc - 3048970 ns MR4_D 40006004 00000001 - 3048970 ns R r2 00000001 - 3048970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3048990 ns R r2 80000000 - 3048990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3049010 ns R psr 81000200 - 3049010 ns MR4_I 00000238 48054770 - 3049030 ns MR4_I 00000230 07d2684a - 3049050 ns IT 00000230 684a LDR r2,[r1,#4] - 3049070 ns MR4_I 00000234 6008d1fc - 3049130 ns MR4_D 40006004 00000001 - 3049130 ns R r2 00000001 - 3049130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3049150 ns R r2 80000000 - 3049150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3049170 ns R psr 81000200 - 3049170 ns MR4_I 00000238 48054770 - 3049190 ns MR4_I 00000230 07d2684a - 3049210 ns IT 00000230 684a LDR r2,[r1,#4] - 3049230 ns MR4_I 00000234 6008d1fc - 3049290 ns MR4_D 40006004 00000001 - 3049290 ns R r2 00000001 - 3049290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3049310 ns R r2 80000000 - 3049310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3049330 ns R psr 81000200 - 3049330 ns MR4_I 00000238 48054770 - 3049350 ns MR4_I 00000230 07d2684a - 3049370 ns IT 00000230 684a LDR r2,[r1,#4] - 3049390 ns MR4_I 00000234 6008d1fc - 3049450 ns MR4_D 40006004 00000001 - 3049450 ns R r2 00000001 - 3049450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3049470 ns R r2 80000000 - 3049470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3049490 ns R psr 81000200 - 3049490 ns MR4_I 00000238 48054770 - 3049510 ns MR4_I 00000230 07d2684a - 3049530 ns IT 00000230 684a LDR r2,[r1,#4] - 3049550 ns MR4_I 00000234 6008d1fc - 3049610 ns MR4_D 40006004 00000001 - 3049610 ns R r2 00000001 - 3049610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3049630 ns R r2 80000000 - 3049630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3049650 ns R psr 81000200 - 3049650 ns MR4_I 00000238 48054770 - 3049670 ns MR4_I 00000230 07d2684a - 3049690 ns IT 00000230 684a LDR r2,[r1,#4] - 3049710 ns MR4_I 00000234 6008d1fc - 3049770 ns MR4_D 40006004 00000001 - 3049770 ns R r2 00000001 - 3049770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3049790 ns R r2 80000000 - 3049790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3049810 ns R psr 81000200 - 3049810 ns MR4_I 00000238 48054770 - 3049830 ns MR4_I 00000230 07d2684a - 3049850 ns IT 00000230 684a LDR r2,[r1,#4] - 3049870 ns MR4_I 00000234 6008d1fc - 3049930 ns MR4_D 40006004 00000001 - 3049930 ns R r2 00000001 - 3049930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3049950 ns R r2 80000000 - 3049950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3049970 ns R psr 81000200 - 3049970 ns MR4_I 00000238 48054770 - 3049990 ns MR4_I 00000230 07d2684a - 3050010 ns IT 00000230 684a LDR r2,[r1,#4] - 3050030 ns MR4_I 00000234 6008d1fc - 3050090 ns MR4_D 40006004 00000001 - 3050090 ns R r2 00000001 - 3050090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3050110 ns R r2 80000000 - 3050110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3050130 ns R psr 81000200 - 3050130 ns MR4_I 00000238 48054770 - 3050150 ns MR4_I 00000230 07d2684a - 3050170 ns IT 00000230 684a LDR r2,[r1,#4] - 3050190 ns MR4_I 00000234 6008d1fc - 3050250 ns MR4_D 40006004 00000001 - 3050250 ns R r2 00000001 - 3050250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3050270 ns R r2 80000000 - 3050270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3050290 ns R psr 81000200 - 3050290 ns MR4_I 00000238 48054770 - 3050310 ns MR4_I 00000230 07d2684a - 3050330 ns IT 00000230 684a LDR r2,[r1,#4] - 3050350 ns MR4_I 00000234 6008d1fc - 3050410 ns MR4_D 40006004 00000001 - 3050410 ns R r2 00000001 - 3050410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3050430 ns R r2 80000000 - 3050430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3050450 ns R psr 81000200 - 3050450 ns MR4_I 00000238 48054770 - 3050470 ns MR4_I 00000230 07d2684a - 3050490 ns IT 00000230 684a LDR r2,[r1,#4] - 3050510 ns MR4_I 00000234 6008d1fc - 3050570 ns MR4_D 40006004 00000001 - 3050570 ns R r2 00000001 - 3050570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3050590 ns R r2 80000000 - 3050590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3050610 ns R psr 81000200 - 3050610 ns MR4_I 00000238 48054770 - 3050630 ns MR4_I 00000230 07d2684a - 3050650 ns IT 00000230 684a LDR r2,[r1,#4] - 3050670 ns MR4_I 00000234 6008d1fc - 3050730 ns MR4_D 40006004 00000001 - 3050730 ns R r2 00000001 - 3050730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3050750 ns R r2 80000000 - 3050750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3050770 ns R psr 81000200 - 3050770 ns MR4_I 00000238 48054770 - 3050790 ns MR4_I 00000230 07d2684a - 3050810 ns IT 00000230 684a LDR r2,[r1,#4] - 3050830 ns MR4_I 00000234 6008d1fc - 3050890 ns MR4_D 40006004 00000001 - 3050890 ns R r2 00000001 - 3050890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3050910 ns R r2 80000000 - 3050910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3050930 ns R psr 81000200 - 3050930 ns MR4_I 00000238 48054770 - 3050950 ns MR4_I 00000230 07d2684a - 3050970 ns IT 00000230 684a LDR r2,[r1,#4] - 3050990 ns MR4_I 00000234 6008d1fc - 3051050 ns MR4_D 40006004 00000001 - 3051050 ns R r2 00000001 - 3051050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3051070 ns R r2 80000000 - 3051070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3051090 ns R psr 81000200 - 3051090 ns MR4_I 00000238 48054770 - 3051110 ns MR4_I 00000230 07d2684a - 3051130 ns IT 00000230 684a LDR r2,[r1,#4] - 3051150 ns MR4_I 00000234 6008d1fc - 3051210 ns MR4_D 40006004 00000001 - 3051210 ns R r2 00000001 - 3051210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3051230 ns R r2 80000000 - 3051230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3051250 ns R psr 81000200 - 3051250 ns MR4_I 00000238 48054770 - 3051270 ns MR4_I 00000230 07d2684a - 3051290 ns IT 00000230 684a LDR r2,[r1,#4] - 3051310 ns MR4_I 00000234 6008d1fc - 3051370 ns MR4_D 40006004 00000001 - 3051370 ns R r2 00000001 - 3051370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3051390 ns R r2 80000000 - 3051390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3051410 ns R psr 81000200 - 3051410 ns MR4_I 00000238 48054770 - 3051430 ns MR4_I 00000230 07d2684a - 3051450 ns IT 00000230 684a LDR r2,[r1,#4] - 3051470 ns MR4_I 00000234 6008d1fc - 3051530 ns MR4_D 40006004 00000001 - 3051530 ns R r2 00000001 - 3051530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3051550 ns R r2 80000000 - 3051550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3051570 ns R psr 81000200 - 3051570 ns MR4_I 00000238 48054770 - 3051590 ns MR4_I 00000230 07d2684a - 3051610 ns IT 00000230 684a LDR r2,[r1,#4] - 3051630 ns MR4_I 00000234 6008d1fc - 3051690 ns MR4_D 40006004 00000001 - 3051690 ns R r2 00000001 - 3051690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3051710 ns R r2 80000000 - 3051710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3051730 ns R psr 81000200 - 3051730 ns MR4_I 00000238 48054770 - 3051750 ns MR4_I 00000230 07d2684a - 3051770 ns IT 00000230 684a LDR r2,[r1,#4] - 3051790 ns MR4_I 00000234 6008d1fc - 3051850 ns MR4_D 40006004 00000001 - 3051850 ns R r2 00000001 - 3051850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3051870 ns R r2 80000000 - 3051870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3051890 ns R psr 81000200 - 3051890 ns MR4_I 00000238 48054770 - 3051910 ns MR4_I 00000230 07d2684a - 3051930 ns IT 00000230 684a LDR r2,[r1,#4] - 3051950 ns MR4_I 00000234 6008d1fc - 3052010 ns MR4_D 40006004 00000001 - 3052010 ns R r2 00000001 - 3052010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3052030 ns R r2 80000000 - 3052030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3052050 ns R psr 81000200 - 3052050 ns MR4_I 00000238 48054770 - 3052070 ns MR4_I 00000230 07d2684a - 3052090 ns IT 00000230 684a LDR r2,[r1,#4] - 3052110 ns MR4_I 00000234 6008d1fc - 3052170 ns MR4_D 40006004 00000001 - 3052170 ns R r2 00000001 - 3052170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3052190 ns R r2 80000000 - 3052190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3052210 ns R psr 81000200 - 3052210 ns MR4_I 00000238 48054770 - 3052230 ns MR4_I 00000230 07d2684a - 3052250 ns IT 00000230 684a LDR r2,[r1,#4] - 3052270 ns MR4_I 00000234 6008d1fc - 3052330 ns MR4_D 40006004 00000001 - 3052330 ns R r2 00000001 - 3052330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3052350 ns R r2 80000000 - 3052350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3052370 ns R psr 81000200 - 3052370 ns MR4_I 00000238 48054770 - 3052390 ns MR4_I 00000230 07d2684a - 3052410 ns IT 00000230 684a LDR r2,[r1,#4] - 3052430 ns MR4_I 00000234 6008d1fc - 3052490 ns MR4_D 40006004 00000001 - 3052490 ns R r2 00000001 - 3052490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3052510 ns R r2 80000000 - 3052510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3052530 ns R psr 81000200 - 3052530 ns MR4_I 00000238 48054770 - 3052550 ns MR4_I 00000230 07d2684a - 3052570 ns IT 00000230 684a LDR r2,[r1,#4] - 3052590 ns MR4_I 00000234 6008d1fc - 3052650 ns MR4_D 40006004 00000001 - 3052650 ns R r2 00000001 - 3052650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3052670 ns R r2 80000000 - 3052670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3052690 ns R psr 81000200 - 3052690 ns MR4_I 00000238 48054770 - 3052710 ns MR4_I 00000230 07d2684a - 3052730 ns IT 00000230 684a LDR r2,[r1,#4] - 3052750 ns MR4_I 00000234 6008d1fc - 3052810 ns MR4_D 40006004 00000001 - 3052810 ns R r2 00000001 - 3052810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3052830 ns R r2 80000000 - 3052830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3052850 ns R psr 81000200 - 3052850 ns MR4_I 00000238 48054770 - 3052870 ns MR4_I 00000230 07d2684a - 3052890 ns IT 00000230 684a LDR r2,[r1,#4] - 3052910 ns MR4_I 00000234 6008d1fc - 3052970 ns MR4_D 40006004 00000001 - 3052970 ns R r2 00000001 - 3052970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3052990 ns R r2 80000000 - 3052990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3053010 ns R psr 81000200 - 3053010 ns MR4_I 00000238 48054770 - 3053030 ns MR4_I 00000230 07d2684a - 3053050 ns IT 00000230 684a LDR r2,[r1,#4] - 3053070 ns MR4_I 00000234 6008d1fc - 3053130 ns MR4_D 40006004 00000001 - 3053130 ns R r2 00000001 - 3053130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3053150 ns R r2 80000000 - 3053150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3053170 ns R psr 81000200 - 3053170 ns MR4_I 00000238 48054770 - 3053190 ns MR4_I 00000230 07d2684a - 3053210 ns IT 00000230 684a LDR r2,[r1,#4] - 3053230 ns MR4_I 00000234 6008d1fc - 3053290 ns MR4_D 40006004 00000001 - 3053290 ns R r2 00000001 - 3053290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3053310 ns R r2 80000000 - 3053310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3053330 ns R psr 81000200 - 3053330 ns MR4_I 00000238 48054770 - 3053350 ns MR4_I 00000230 07d2684a - 3053370 ns IT 00000230 684a LDR r2,[r1,#4] - 3053390 ns MR4_I 00000234 6008d1fc - 3053450 ns MR4_D 40006004 00000001 - 3053450 ns R r2 00000001 - 3053450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3053470 ns R r2 80000000 - 3053470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3053490 ns R psr 81000200 - 3053490 ns MR4_I 00000238 48054770 - 3053510 ns MR4_I 00000230 07d2684a - 3053530 ns IT 00000230 684a LDR r2,[r1,#4] - 3053550 ns MR4_I 00000234 6008d1fc - 3053610 ns MR4_D 40006004 00000000 - 3053610 ns R r2 00000000 - 3053610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3053630 ns R r2 00000000 - 3053630 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3053650 ns R psr 41000200 - 3053650 ns MR4_I 00000238 48054770 - 3053650 ns IT 00000236 6008 STR r0,[r1,#0] - 3053730 ns MW4_D 40006000 00000020 - 3053730 ns IT 00000238 4770 BX lr - 3053750 ns MR4_I 0000023c 07896841 - 3053770 ns R psr 41000200 - 3053770 ns MR4_I 000001fc b510bd10 - 3053790 ns IT 000001fc bd10 POP {r4,pc} - 3053810 ns MR4_I 00000200 f81bf000 - 3053810 ns R r13 200002e0 (MSP) - 3053830 ns MR4_D 200002d8 0000000e - 3053830 ns R r4 0000000e - 3053850 ns MR4_D 200002dc 0000032d - 3053870 ns R psr 41000200 - 3053890 ns MR4_I 0000032c 46301c64 - 3053910 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 3053930 ns MR4_I 00000330 280047a8 - 3053930 ns R r4 0000000f - 3053930 ns IT 0000032e 4630 MOV r0,r6 - 3053950 ns R psr 01000200 - 3053950 ns R r0 200002f8 - 3053950 ns IT 00000330 47a8 BLX r5 - 3053970 ns MR4_I 00000334 4620d1f8 - 3053990 ns R psr 01000200 - 3053990 ns MR4_I 000002a8 1c4a6901 - 3053990 ns R r14 00000333 - 3054010 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 3054030 ns MR4_I 000002ac 78086102 - 3054050 ns MR4_D 20000308 0000019f - 3054050 ns R r1 0000019f - 3054050 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 3054070 ns R r2 000001a0 - 3054070 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 3054090 ns R psr 01000200 - 3054090 ns MR4_I 000002b0 b5004770 - 3054110 ns MW4_D 20000308 000001a0 - 3054110 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 3054150 ns MR1_D 0000019f 2a204445 - 3054150 ns R r0 0000002a - 3054150 ns IT 000002b0 4770 BX lr - 3054170 ns MR4_I 000002b4 9102b08f - 3054190 ns R psr 01000200 - 3054190 ns MR4_I 00000330 280047a8 - 3054210 ns MR4_I 00000334 4620d1f8 - 3054210 ns IT 00000332 2800 CMP r0,#0 - 3054230 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 3054250 ns R psr 21000200 - 3054250 ns MR4_I 00000338 b510bdf8 - 3054270 ns MR4_I 00000328 47b89900 - 3054290 ns IT 00000328 9900 LDR r1,[sp,#0] - 3054310 ns MR4_I 0000032c 46301c64 - 3054330 ns MR4_D 200002e0 20000004 - 3054330 ns R r1 20000004 - 3054330 ns IT 0000032a 47b8 BLX r7 - 3054370 ns R psr 21000200 - 3054370 ns MR4_I 000001f4 b2c0b510 - 3054370 ns R r14 0000032d - 3054390 ns IT 000001f4 b510 PUSH {r4,lr} - 3054410 ns MR4_I 000001f8 f819f000 - 3054430 ns MW4_D 200002d8 0000000f - 3054450 ns MW4_D 200002dc 0000032d - 3054450 ns R r13 200002d8 (MSP) - 3054450 ns IT 000001f6 b2c0 UXTB r0,r0 - 3054470 ns R r0 0000002a - 3054470 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 3054490 ns MR4_I 000001fc b510bd10 - 3054510 ns R r14 000001fd - 3054530 ns MR4_I 0000022c 49084770 - 3054550 ns MR4_I 00000230 07d2684a - 3054550 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 3054590 ns MR4_D 00000250 40006000 - 3054590 ns R r1 40006000 - 3054590 ns IT 00000230 684a LDR r2,[r1,#4] - 3054610 ns MR4_I 00000234 6008d1fc - 3054670 ns MR4_D 40006004 00000001 - 3054670 ns R r2 00000001 - 3054670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3054690 ns R r2 80000000 - 3054690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3054710 ns R psr 81000200 - 3054710 ns MR4_I 00000238 48054770 - 3054730 ns MR4_I 00000230 07d2684a - 3054750 ns IT 00000230 684a LDR r2,[r1,#4] - 3054770 ns MR4_I 00000234 6008d1fc - 3054830 ns MR4_D 40006004 00000001 - 3054830 ns R r2 00000001 - 3054830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3054850 ns R r2 80000000 - 3054850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3054870 ns R psr 81000200 - 3054870 ns MR4_I 00000238 48054770 - 3054890 ns MR4_I 00000230 07d2684a - 3054910 ns IT 00000230 684a LDR r2,[r1,#4] - 3054930 ns MR4_I 00000234 6008d1fc - 3054990 ns MR4_D 40006004 00000001 - 3054990 ns R r2 00000001 - 3054990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3055010 ns R r2 80000000 - 3055010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3055030 ns R psr 81000200 - 3055030 ns MR4_I 00000238 48054770 - 3055050 ns MR4_I 00000230 07d2684a - 3055070 ns IT 00000230 684a LDR r2,[r1,#4] - 3055090 ns MR4_I 00000234 6008d1fc - 3055150 ns MR4_D 40006004 00000001 - 3055150 ns R r2 00000001 - 3055150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3055170 ns R r2 80000000 - 3055170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3055190 ns R psr 81000200 - 3055190 ns MR4_I 00000238 48054770 - 3055210 ns MR4_I 00000230 07d2684a - 3055230 ns IT 00000230 684a LDR r2,[r1,#4] - 3055250 ns MR4_I 00000234 6008d1fc - 3055310 ns MR4_D 40006004 00000001 - 3055310 ns R r2 00000001 - 3055310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3055330 ns R r2 80000000 - 3055330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3055350 ns R psr 81000200 - 3055350 ns MR4_I 00000238 48054770 - 3055370 ns MR4_I 00000230 07d2684a - 3055390 ns IT 00000230 684a LDR r2,[r1,#4] - 3055410 ns MR4_I 00000234 6008d1fc - 3055470 ns MR4_D 40006004 00000001 - 3055470 ns R r2 00000001 - 3055470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3055490 ns R r2 80000000 - 3055490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3055510 ns R psr 81000200 - 3055510 ns MR4_I 00000238 48054770 - 3055530 ns MR4_I 00000230 07d2684a - 3055550 ns IT 00000230 684a LDR r2,[r1,#4] - 3055570 ns MR4_I 00000234 6008d1fc - 3055630 ns MR4_D 40006004 00000001 - 3055630 ns R r2 00000001 - 3055630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3055650 ns R r2 80000000 - 3055650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3055670 ns R psr 81000200 - 3055670 ns MR4_I 00000238 48054770 - 3055690 ns MR4_I 00000230 07d2684a - 3055710 ns IT 00000230 684a LDR r2,[r1,#4] - 3055730 ns MR4_I 00000234 6008d1fc - 3055790 ns MR4_D 40006004 00000001 - 3055790 ns R r2 00000001 - 3055790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3055810 ns R r2 80000000 - 3055810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3055830 ns R psr 81000200 - 3055830 ns MR4_I 00000238 48054770 - 3055850 ns MR4_I 00000230 07d2684a - 3055870 ns IT 00000230 684a LDR r2,[r1,#4] - 3055890 ns MR4_I 00000234 6008d1fc - 3055950 ns MR4_D 40006004 00000001 - 3055950 ns R r2 00000001 - 3055950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3055970 ns R r2 80000000 - 3055970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3055990 ns R psr 81000200 - 3055990 ns MR4_I 00000238 48054770 - 3056010 ns MR4_I 00000230 07d2684a - 3056030 ns IT 00000230 684a LDR r2,[r1,#4] - 3056050 ns MR4_I 00000234 6008d1fc - 3056110 ns MR4_D 40006004 00000001 - 3056110 ns R r2 00000001 - 3056110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3056130 ns R r2 80000000 - 3056130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3056150 ns R psr 81000200 - 3056150 ns MR4_I 00000238 48054770 - 3056170 ns MR4_I 00000230 07d2684a - 3056190 ns IT 00000230 684a LDR r2,[r1,#4] - 3056210 ns MR4_I 00000234 6008d1fc - 3056270 ns MR4_D 40006004 00000001 - 3056270 ns R r2 00000001 - 3056270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3056290 ns R r2 80000000 - 3056290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3056310 ns R psr 81000200 - 3056310 ns MR4_I 00000238 48054770 - 3056330 ns MR4_I 00000230 07d2684a - 3056350 ns IT 00000230 684a LDR r2,[r1,#4] - 3056370 ns MR4_I 00000234 6008d1fc - 3056430 ns MR4_D 40006004 00000001 - 3056430 ns R r2 00000001 - 3056430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3056450 ns R r2 80000000 - 3056450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3056470 ns R psr 81000200 - 3056470 ns MR4_I 00000238 48054770 - 3056490 ns MR4_I 00000230 07d2684a - 3056510 ns IT 00000230 684a LDR r2,[r1,#4] - 3056530 ns MR4_I 00000234 6008d1fc - 3056590 ns MR4_D 40006004 00000001 - 3056590 ns R r2 00000001 - 3056590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3056610 ns R r2 80000000 - 3056610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3056630 ns R psr 81000200 - 3056630 ns MR4_I 00000238 48054770 - 3056650 ns MR4_I 00000230 07d2684a - 3056670 ns IT 00000230 684a LDR r2,[r1,#4] - 3056690 ns MR4_I 00000234 6008d1fc - 3056750 ns MR4_D 40006004 00000001 - 3056750 ns R r2 00000001 - 3056750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3056770 ns R r2 80000000 - 3056770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3056790 ns R psr 81000200 - 3056790 ns MR4_I 00000238 48054770 - 3056810 ns MR4_I 00000230 07d2684a - 3056830 ns IT 00000230 684a LDR r2,[r1,#4] - 3056850 ns MR4_I 00000234 6008d1fc - 3056910 ns MR4_D 40006004 00000001 - 3056910 ns R r2 00000001 - 3056910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3056930 ns R r2 80000000 - 3056930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3056950 ns R psr 81000200 - 3056950 ns MR4_I 00000238 48054770 - 3056970 ns MR4_I 00000230 07d2684a - 3056990 ns IT 00000230 684a LDR r2,[r1,#4] - 3057010 ns MR4_I 00000234 6008d1fc - 3057070 ns MR4_D 40006004 00000001 - 3057070 ns R r2 00000001 - 3057070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3057090 ns R r2 80000000 - 3057090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3057110 ns R psr 81000200 - 3057110 ns MR4_I 00000238 48054770 - 3057130 ns MR4_I 00000230 07d2684a - 3057150 ns IT 00000230 684a LDR r2,[r1,#4] - 3057170 ns MR4_I 00000234 6008d1fc - 3057230 ns MR4_D 40006004 00000001 - 3057230 ns R r2 00000001 - 3057230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3057250 ns R r2 80000000 - 3057250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3057270 ns R psr 81000200 - 3057270 ns MR4_I 00000238 48054770 - 3057290 ns MR4_I 00000230 07d2684a - 3057310 ns IT 00000230 684a LDR r2,[r1,#4] - 3057330 ns MR4_I 00000234 6008d1fc - 3057390 ns MR4_D 40006004 00000001 - 3057390 ns R r2 00000001 - 3057390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3057410 ns R r2 80000000 - 3057410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3057430 ns R psr 81000200 - 3057430 ns MR4_I 00000238 48054770 - 3057450 ns MR4_I 00000230 07d2684a - 3057470 ns IT 00000230 684a LDR r2,[r1,#4] - 3057490 ns MR4_I 00000234 6008d1fc - 3057550 ns MR4_D 40006004 00000001 - 3057550 ns R r2 00000001 - 3057550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3057570 ns R r2 80000000 - 3057570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3057590 ns R psr 81000200 - 3057590 ns MR4_I 00000238 48054770 - 3057610 ns MR4_I 00000230 07d2684a - 3057630 ns IT 00000230 684a LDR r2,[r1,#4] - 3057650 ns MR4_I 00000234 6008d1fc - 3057710 ns MR4_D 40006004 00000001 - 3057710 ns R r2 00000001 - 3057710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3057730 ns R r2 80000000 - 3057730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3057750 ns R psr 81000200 - 3057750 ns MR4_I 00000238 48054770 - 3057770 ns MR4_I 00000230 07d2684a - 3057790 ns IT 00000230 684a LDR r2,[r1,#4] - 3057810 ns MR4_I 00000234 6008d1fc - 3057870 ns MR4_D 40006004 00000001 - 3057870 ns R r2 00000001 - 3057870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3057890 ns R r2 80000000 - 3057890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3057910 ns R psr 81000200 - 3057910 ns MR4_I 00000238 48054770 - 3057930 ns MR4_I 00000230 07d2684a - 3057950 ns IT 00000230 684a LDR r2,[r1,#4] - 3057970 ns MR4_I 00000234 6008d1fc - 3058030 ns MR4_D 40006004 00000001 - 3058030 ns R r2 00000001 - 3058030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3058050 ns R r2 80000000 - 3058050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3058070 ns R psr 81000200 - 3058070 ns MR4_I 00000238 48054770 - 3058090 ns MR4_I 00000230 07d2684a - 3058110 ns IT 00000230 684a LDR r2,[r1,#4] - 3058130 ns MR4_I 00000234 6008d1fc - 3058190 ns MR4_D 40006004 00000001 - 3058190 ns R r2 00000001 - 3058190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3058210 ns R r2 80000000 - 3058210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3058230 ns R psr 81000200 - 3058230 ns MR4_I 00000238 48054770 - 3058250 ns MR4_I 00000230 07d2684a - 3058270 ns IT 00000230 684a LDR r2,[r1,#4] - 3058290 ns MR4_I 00000234 6008d1fc - 3058350 ns MR4_D 40006004 00000001 - 3058350 ns R r2 00000001 - 3058350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3058370 ns R r2 80000000 - 3058370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3058390 ns R psr 81000200 - 3058390 ns MR4_I 00000238 48054770 - 3058410 ns MR4_I 00000230 07d2684a - 3058430 ns IT 00000230 684a LDR r2,[r1,#4] - 3058450 ns MR4_I 00000234 6008d1fc - 3058510 ns MR4_D 40006004 00000001 - 3058510 ns R r2 00000001 - 3058510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3058530 ns R r2 80000000 - 3058530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3058550 ns R psr 81000200 - 3058550 ns MR4_I 00000238 48054770 - 3058570 ns MR4_I 00000230 07d2684a - 3058590 ns IT 00000230 684a LDR r2,[r1,#4] - 3058610 ns MR4_I 00000234 6008d1fc - 3058670 ns MR4_D 40006004 00000001 - 3058670 ns R r2 00000001 - 3058670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3058690 ns R r2 80000000 - 3058690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3058710 ns R psr 81000200 - 3058710 ns MR4_I 00000238 48054770 - 3058730 ns MR4_I 00000230 07d2684a - 3058750 ns IT 00000230 684a LDR r2,[r1,#4] - 3058770 ns MR4_I 00000234 6008d1fc - 3058830 ns MR4_D 40006004 00000001 - 3058830 ns R r2 00000001 - 3058830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3058850 ns R r2 80000000 - 3058850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3058870 ns R psr 81000200 - 3058870 ns MR4_I 00000238 48054770 - 3058890 ns MR4_I 00000230 07d2684a - 3058910 ns IT 00000230 684a LDR r2,[r1,#4] - 3058930 ns MR4_I 00000234 6008d1fc - 3058990 ns MR4_D 40006004 00000001 - 3058990 ns R r2 00000001 - 3058990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3059010 ns R r2 80000000 - 3059010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3059030 ns R psr 81000200 - 3059030 ns MR4_I 00000238 48054770 - 3059050 ns MR4_I 00000230 07d2684a - 3059070 ns IT 00000230 684a LDR r2,[r1,#4] - 3059090 ns MR4_I 00000234 6008d1fc - 3059150 ns MR4_D 40006004 00000001 - 3059150 ns R r2 00000001 - 3059150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3059170 ns R r2 80000000 - 3059170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3059190 ns R psr 81000200 - 3059190 ns MR4_I 00000238 48054770 - 3059210 ns MR4_I 00000230 07d2684a - 3059230 ns IT 00000230 684a LDR r2,[r1,#4] - 3059250 ns MR4_I 00000234 6008d1fc - 3059310 ns MR4_D 40006004 00000001 - 3059310 ns R r2 00000001 - 3059310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3059330 ns R r2 80000000 - 3059330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3059350 ns R psr 81000200 - 3059350 ns MR4_I 00000238 48054770 - 3059370 ns MR4_I 00000230 07d2684a - 3059390 ns IT 00000230 684a LDR r2,[r1,#4] - 3059410 ns MR4_I 00000234 6008d1fc - 3059470 ns MR4_D 40006004 00000001 - 3059470 ns R r2 00000001 - 3059470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3059490 ns R r2 80000000 - 3059490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3059510 ns R psr 81000200 - 3059510 ns MR4_I 00000238 48054770 - 3059530 ns MR4_I 00000230 07d2684a - 3059550 ns IT 00000230 684a LDR r2,[r1,#4] - 3059570 ns MR4_I 00000234 6008d1fc - 3059630 ns MR4_D 40006004 00000001 - 3059630 ns R r2 00000001 - 3059630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3059650 ns R r2 80000000 - 3059650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3059670 ns R psr 81000200 - 3059670 ns MR4_I 00000238 48054770 - 3059690 ns MR4_I 00000230 07d2684a - 3059710 ns IT 00000230 684a LDR r2,[r1,#4] - 3059730 ns MR4_I 00000234 6008d1fc - 3059790 ns MR4_D 40006004 00000001 - 3059790 ns R r2 00000001 - 3059790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3059810 ns R r2 80000000 - 3059810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3059830 ns R psr 81000200 - 3059830 ns MR4_I 00000238 48054770 - 3059850 ns MR4_I 00000230 07d2684a - 3059870 ns IT 00000230 684a LDR r2,[r1,#4] - 3059890 ns MR4_I 00000234 6008d1fc - 3059950 ns MR4_D 40006004 00000001 - 3059950 ns R r2 00000001 - 3059950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3059970 ns R r2 80000000 - 3059970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3059990 ns R psr 81000200 - 3059990 ns MR4_I 00000238 48054770 - 3060010 ns MR4_I 00000230 07d2684a - 3060030 ns IT 00000230 684a LDR r2,[r1,#4] - 3060050 ns MR4_I 00000234 6008d1fc - 3060110 ns MR4_D 40006004 00000001 - 3060110 ns R r2 00000001 - 3060110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3060130 ns R r2 80000000 - 3060130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3060150 ns R psr 81000200 - 3060150 ns MR4_I 00000238 48054770 - 3060170 ns MR4_I 00000230 07d2684a - 3060190 ns IT 00000230 684a LDR r2,[r1,#4] - 3060210 ns MR4_I 00000234 6008d1fc - 3060270 ns MR4_D 40006004 00000001 - 3060270 ns R r2 00000001 - 3060270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3060290 ns R r2 80000000 - 3060290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3060310 ns R psr 81000200 - 3060310 ns MR4_I 00000238 48054770 - 3060330 ns MR4_I 00000230 07d2684a - 3060350 ns IT 00000230 684a LDR r2,[r1,#4] - 3060370 ns MR4_I 00000234 6008d1fc - 3060430 ns MR4_D 40006004 00000001 - 3060430 ns R r2 00000001 - 3060430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3060450 ns R r2 80000000 - 3060450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3060470 ns R psr 81000200 - 3060470 ns MR4_I 00000238 48054770 - 3060490 ns MR4_I 00000230 07d2684a - 3060510 ns IT 00000230 684a LDR r2,[r1,#4] - 3060530 ns MR4_I 00000234 6008d1fc - 3060590 ns MR4_D 40006004 00000001 - 3060590 ns R r2 00000001 - 3060590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3060610 ns R r2 80000000 - 3060610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3060630 ns R psr 81000200 - 3060630 ns MR4_I 00000238 48054770 - 3060650 ns MR4_I 00000230 07d2684a - 3060670 ns IT 00000230 684a LDR r2,[r1,#4] - 3060690 ns MR4_I 00000234 6008d1fc - 3060750 ns MR4_D 40006004 00000001 - 3060750 ns R r2 00000001 - 3060750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3060770 ns R r2 80000000 - 3060770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3060790 ns R psr 81000200 - 3060790 ns MR4_I 00000238 48054770 - 3060810 ns MR4_I 00000230 07d2684a - 3060830 ns IT 00000230 684a LDR r2,[r1,#4] - 3060850 ns MR4_I 00000234 6008d1fc - 3060910 ns MR4_D 40006004 00000001 - 3060910 ns R r2 00000001 - 3060910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3060930 ns R r2 80000000 - 3060930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3060950 ns R psr 81000200 - 3060950 ns MR4_I 00000238 48054770 - 3060970 ns MR4_I 00000230 07d2684a - 3060990 ns IT 00000230 684a LDR r2,[r1,#4] - 3061010 ns MR4_I 00000234 6008d1fc - 3061070 ns MR4_D 40006004 00000001 - 3061070 ns R r2 00000001 - 3061070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3061090 ns R r2 80000000 - 3061090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3061110 ns R psr 81000200 - 3061110 ns MR4_I 00000238 48054770 - 3061130 ns MR4_I 00000230 07d2684a - 3061150 ns IT 00000230 684a LDR r2,[r1,#4] - 3061170 ns MR4_I 00000234 6008d1fc - 3061230 ns MR4_D 40006004 00000001 - 3061230 ns R r2 00000001 - 3061230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3061250 ns R r2 80000000 - 3061250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3061270 ns R psr 81000200 - 3061270 ns MR4_I 00000238 48054770 - 3061290 ns MR4_I 00000230 07d2684a - 3061310 ns IT 00000230 684a LDR r2,[r1,#4] - 3061330 ns MR4_I 00000234 6008d1fc - 3061390 ns MR4_D 40006004 00000001 - 3061390 ns R r2 00000001 - 3061390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3061410 ns R r2 80000000 - 3061410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3061430 ns R psr 81000200 - 3061430 ns MR4_I 00000238 48054770 - 3061450 ns MR4_I 00000230 07d2684a - 3061470 ns IT 00000230 684a LDR r2,[r1,#4] - 3061490 ns MR4_I 00000234 6008d1fc - 3061550 ns MR4_D 40006004 00000001 - 3061550 ns R r2 00000001 - 3061550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3061570 ns R r2 80000000 - 3061570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3061590 ns R psr 81000200 - 3061590 ns MR4_I 00000238 48054770 - 3061610 ns MR4_I 00000230 07d2684a - 3061630 ns IT 00000230 684a LDR r2,[r1,#4] - 3061650 ns MR4_I 00000234 6008d1fc - 3061710 ns MR4_D 40006004 00000001 - 3061710 ns R r2 00000001 - 3061710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3061730 ns R r2 80000000 - 3061730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3061750 ns R psr 81000200 - 3061750 ns MR4_I 00000238 48054770 - 3061770 ns MR4_I 00000230 07d2684a - 3061790 ns IT 00000230 684a LDR r2,[r1,#4] - 3061810 ns MR4_I 00000234 6008d1fc - 3061870 ns MR4_D 40006004 00000001 - 3061870 ns R r2 00000001 - 3061870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3061890 ns R r2 80000000 - 3061890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3061910 ns R psr 81000200 - 3061910 ns MR4_I 00000238 48054770 - 3061930 ns MR4_I 00000230 07d2684a - 3061950 ns IT 00000230 684a LDR r2,[r1,#4] - 3061970 ns MR4_I 00000234 6008d1fc - 3062030 ns MR4_D 40006004 00000001 - 3062030 ns R r2 00000001 - 3062030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3062050 ns R r2 80000000 - 3062050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3062070 ns R psr 81000200 - 3062070 ns MR4_I 00000238 48054770 - 3062090 ns MR4_I 00000230 07d2684a - 3062110 ns IT 00000230 684a LDR r2,[r1,#4] - 3062130 ns MR4_I 00000234 6008d1fc - 3062190 ns MR4_D 40006004 00000001 - 3062190 ns R r2 00000001 - 3062190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3062210 ns R r2 80000000 - 3062210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3062230 ns R psr 81000200 - 3062230 ns MR4_I 00000238 48054770 - 3062250 ns MR4_I 00000230 07d2684a - 3062270 ns IT 00000230 684a LDR r2,[r1,#4] - 3062290 ns MR4_I 00000234 6008d1fc - 3062350 ns MR4_D 40006004 00000001 - 3062350 ns R r2 00000001 - 3062350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3062370 ns R r2 80000000 - 3062370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3062390 ns R psr 81000200 - 3062390 ns MR4_I 00000238 48054770 - 3062410 ns MR4_I 00000230 07d2684a - 3062430 ns IT 00000230 684a LDR r2,[r1,#4] - 3062450 ns MR4_I 00000234 6008d1fc - 3062510 ns MR4_D 40006004 00000001 - 3062510 ns R r2 00000001 - 3062510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3062530 ns R r2 80000000 - 3062530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3062550 ns R psr 81000200 - 3062550 ns MR4_I 00000238 48054770 - 3062570 ns MR4_I 00000230 07d2684a - 3062590 ns IT 00000230 684a LDR r2,[r1,#4] - 3062610 ns MR4_I 00000234 6008d1fc - 3062670 ns MR4_D 40006004 00000001 - 3062670 ns R r2 00000001 - 3062670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3062690 ns R r2 80000000 - 3062690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3062710 ns R psr 81000200 - 3062710 ns MR4_I 00000238 48054770 - 3062730 ns MR4_I 00000230 07d2684a - 3062750 ns IT 00000230 684a LDR r2,[r1,#4] - 3062770 ns MR4_I 00000234 6008d1fc - 3062830 ns MR4_D 40006004 00000001 - 3062830 ns R r2 00000001 - 3062830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3062850 ns R r2 80000000 - 3062850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3062870 ns R psr 81000200 - 3062870 ns MR4_I 00000238 48054770 - 3062890 ns MR4_I 00000230 07d2684a - 3062910 ns IT 00000230 684a LDR r2,[r1,#4] - 3062930 ns MR4_I 00000234 6008d1fc - 3062990 ns MR4_D 40006004 00000001 - 3062990 ns R r2 00000001 - 3062990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3063010 ns R r2 80000000 - 3063010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3063030 ns R psr 81000200 - 3063030 ns MR4_I 00000238 48054770 - 3063050 ns MR4_I 00000230 07d2684a - 3063070 ns IT 00000230 684a LDR r2,[r1,#4] - 3063090 ns MR4_I 00000234 6008d1fc - 3063150 ns MR4_D 40006004 00000001 - 3063150 ns R r2 00000001 - 3063150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3063170 ns R r2 80000000 - 3063170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3063190 ns R psr 81000200 - 3063190 ns MR4_I 00000238 48054770 - 3063210 ns MR4_I 00000230 07d2684a - 3063230 ns IT 00000230 684a LDR r2,[r1,#4] - 3063250 ns MR4_I 00000234 6008d1fc - 3063310 ns MR4_D 40006004 00000001 - 3063310 ns R r2 00000001 - 3063310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3063330 ns R r2 80000000 - 3063330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3063350 ns R psr 81000200 - 3063350 ns MR4_I 00000238 48054770 - 3063370 ns MR4_I 00000230 07d2684a - 3063390 ns IT 00000230 684a LDR r2,[r1,#4] - 3063410 ns MR4_I 00000234 6008d1fc - 3063470 ns MR4_D 40006004 00000001 - 3063470 ns R r2 00000001 - 3063470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3063490 ns R r2 80000000 - 3063490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3063510 ns R psr 81000200 - 3063510 ns MR4_I 00000238 48054770 - 3063530 ns MR4_I 00000230 07d2684a - 3063550 ns IT 00000230 684a LDR r2,[r1,#4] - 3063570 ns MR4_I 00000234 6008d1fc - 3063630 ns MR4_D 40006004 00000001 - 3063630 ns R r2 00000001 - 3063630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3063650 ns R r2 80000000 - 3063650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3063670 ns R psr 81000200 - 3063670 ns MR4_I 00000238 48054770 - 3063690 ns MR4_I 00000230 07d2684a - 3063710 ns IT 00000230 684a LDR r2,[r1,#4] - 3063730 ns MR4_I 00000234 6008d1fc - 3063790 ns MR4_D 40006004 00000001 - 3063790 ns R r2 00000001 - 3063790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3063810 ns R r2 80000000 - 3063810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3063830 ns R psr 81000200 - 3063830 ns MR4_I 00000238 48054770 - 3063850 ns MR4_I 00000230 07d2684a - 3063870 ns IT 00000230 684a LDR r2,[r1,#4] - 3063890 ns MR4_I 00000234 6008d1fc - 3063950 ns MR4_D 40006004 00000001 - 3063950 ns R r2 00000001 - 3063950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3063970 ns R r2 80000000 - 3063970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3063990 ns R psr 81000200 - 3063990 ns MR4_I 00000238 48054770 - 3064010 ns MR4_I 00000230 07d2684a - 3064030 ns IT 00000230 684a LDR r2,[r1,#4] - 3064050 ns MR4_I 00000234 6008d1fc - 3064110 ns MR4_D 40006004 00000001 - 3064110 ns R r2 00000001 - 3064110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3064130 ns R r2 80000000 - 3064130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3064150 ns R psr 81000200 - 3064150 ns MR4_I 00000238 48054770 - 3064170 ns MR4_I 00000230 07d2684a - 3064190 ns IT 00000230 684a LDR r2,[r1,#4] - 3064210 ns MR4_I 00000234 6008d1fc - 3064270 ns MR4_D 40006004 00000001 - 3064270 ns R r2 00000001 - 3064270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3064290 ns R r2 80000000 - 3064290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3064310 ns R psr 81000200 - 3064310 ns MR4_I 00000238 48054770 - 3064330 ns MR4_I 00000230 07d2684a - 3064350 ns IT 00000230 684a LDR r2,[r1,#4] - 3064370 ns MR4_I 00000234 6008d1fc - 3064430 ns MR4_D 40006004 00000001 - 3064430 ns R r2 00000001 - 3064430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3064450 ns R r2 80000000 - 3064450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3064470 ns R psr 81000200 - 3064470 ns MR4_I 00000238 48054770 - 3064490 ns MR4_I 00000230 07d2684a - 3064510 ns IT 00000230 684a LDR r2,[r1,#4] - 3064530 ns MR4_I 00000234 6008d1fc - 3064590 ns MR4_D 40006004 00000001 - 3064590 ns R r2 00000001 - 3064590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3064610 ns R r2 80000000 - 3064610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3064630 ns R psr 81000200 - 3064630 ns MR4_I 00000238 48054770 - 3064650 ns MR4_I 00000230 07d2684a - 3064670 ns IT 00000230 684a LDR r2,[r1,#4] - 3064690 ns MR4_I 00000234 6008d1fc - 3064750 ns MR4_D 40006004 00000001 - 3064750 ns R r2 00000001 - 3064750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3064770 ns R r2 80000000 - 3064770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3064790 ns R psr 81000200 - 3064790 ns MR4_I 00000238 48054770 - 3064810 ns MR4_I 00000230 07d2684a - 3064830 ns IT 00000230 684a LDR r2,[r1,#4] - 3064850 ns MR4_I 00000234 6008d1fc - 3064910 ns MR4_D 40006004 00000001 - 3064910 ns R r2 00000001 - 3064910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3064930 ns R r2 80000000 - 3064930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3064950 ns R psr 81000200 - 3064950 ns MR4_I 00000238 48054770 - 3064970 ns MR4_I 00000230 07d2684a - 3064990 ns IT 00000230 684a LDR r2,[r1,#4] - 3065010 ns MR4_I 00000234 6008d1fc - 3065070 ns MR4_D 40006004 00000001 - 3065070 ns R r2 00000001 - 3065070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3065090 ns R r2 80000000 - 3065090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3065110 ns R psr 81000200 - 3065110 ns MR4_I 00000238 48054770 - 3065130 ns MR4_I 00000230 07d2684a - 3065150 ns IT 00000230 684a LDR r2,[r1,#4] - 3065170 ns MR4_I 00000234 6008d1fc - 3065230 ns MR4_D 40006004 00000001 - 3065230 ns R r2 00000001 - 3065230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3065250 ns R r2 80000000 - 3065250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3065270 ns R psr 81000200 - 3065270 ns MR4_I 00000238 48054770 - 3065290 ns MR4_I 00000230 07d2684a - 3065310 ns IT 00000230 684a LDR r2,[r1,#4] - 3065330 ns MR4_I 00000234 6008d1fc - 3065390 ns MR4_D 40006004 00000001 - 3065390 ns R r2 00000001 - 3065390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3065410 ns R r2 80000000 - 3065410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3065430 ns R psr 81000200 - 3065430 ns MR4_I 00000238 48054770 - 3065450 ns MR4_I 00000230 07d2684a - 3065470 ns IT 00000230 684a LDR r2,[r1,#4] - 3065490 ns MR4_I 00000234 6008d1fc - 3065550 ns MR4_D 40006004 00000001 - 3065550 ns R r2 00000001 - 3065550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3065570 ns R r2 80000000 - 3065570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3065590 ns R psr 81000200 - 3065590 ns MR4_I 00000238 48054770 - 3065610 ns MR4_I 00000230 07d2684a - 3065630 ns IT 00000230 684a LDR r2,[r1,#4] - 3065650 ns MR4_I 00000234 6008d1fc - 3065710 ns MR4_D 40006004 00000001 - 3065710 ns R r2 00000001 - 3065710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3065730 ns R r2 80000000 - 3065730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3065750 ns R psr 81000200 - 3065750 ns MR4_I 00000238 48054770 - 3065770 ns MR4_I 00000230 07d2684a - 3065790 ns IT 00000230 684a LDR r2,[r1,#4] - 3065810 ns MR4_I 00000234 6008d1fc - 3065870 ns MR4_D 40006004 00000001 - 3065870 ns R r2 00000001 - 3065870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3065890 ns R r2 80000000 - 3065890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3065910 ns R psr 81000200 - 3065910 ns MR4_I 00000238 48054770 - 3065930 ns MR4_I 00000230 07d2684a - 3065950 ns IT 00000230 684a LDR r2,[r1,#4] - 3065970 ns MR4_I 00000234 6008d1fc - 3066030 ns MR4_D 40006004 00000001 - 3066030 ns R r2 00000001 - 3066030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3066050 ns R r2 80000000 - 3066050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3066070 ns R psr 81000200 - 3066070 ns MR4_I 00000238 48054770 - 3066090 ns MR4_I 00000230 07d2684a - 3066110 ns IT 00000230 684a LDR r2,[r1,#4] - 3066130 ns MR4_I 00000234 6008d1fc - 3066190 ns MR4_D 40006004 00000001 - 3066190 ns R r2 00000001 - 3066190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3066210 ns R r2 80000000 - 3066210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3066230 ns R psr 81000200 - 3066230 ns MR4_I 00000238 48054770 - 3066250 ns MR4_I 00000230 07d2684a - 3066270 ns IT 00000230 684a LDR r2,[r1,#4] - 3066290 ns MR4_I 00000234 6008d1fc - 3066350 ns MR4_D 40006004 00000001 - 3066350 ns R r2 00000001 - 3066350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3066370 ns R r2 80000000 - 3066370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3066390 ns R psr 81000200 - 3066390 ns MR4_I 00000238 48054770 - 3066410 ns MR4_I 00000230 07d2684a - 3066430 ns IT 00000230 684a LDR r2,[r1,#4] - 3066450 ns MR4_I 00000234 6008d1fc - 3066510 ns MR4_D 40006004 00000001 - 3066510 ns R r2 00000001 - 3066510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3066530 ns R r2 80000000 - 3066530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3066550 ns R psr 81000200 - 3066550 ns MR4_I 00000238 48054770 - 3066570 ns MR4_I 00000230 07d2684a - 3066590 ns IT 00000230 684a LDR r2,[r1,#4] - 3066610 ns MR4_I 00000234 6008d1fc - 3066670 ns MR4_D 40006004 00000001 - 3066670 ns R r2 00000001 - 3066670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3066690 ns R r2 80000000 - 3066690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3066710 ns R psr 81000200 - 3066710 ns MR4_I 00000238 48054770 - 3066730 ns MR4_I 00000230 07d2684a - 3066750 ns IT 00000230 684a LDR r2,[r1,#4] - 3066770 ns MR4_I 00000234 6008d1fc - 3066830 ns MR4_D 40006004 00000001 - 3066830 ns R r2 00000001 - 3066830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3066850 ns R r2 80000000 - 3066850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3066870 ns R psr 81000200 - 3066870 ns MR4_I 00000238 48054770 - 3066890 ns MR4_I 00000230 07d2684a - 3066910 ns IT 00000230 684a LDR r2,[r1,#4] - 3066930 ns MR4_I 00000234 6008d1fc - 3066990 ns MR4_D 40006004 00000001 - 3066990 ns R r2 00000001 - 3066990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3067010 ns R r2 80000000 - 3067010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3067030 ns R psr 81000200 - 3067030 ns MR4_I 00000238 48054770 - 3067050 ns MR4_I 00000230 07d2684a - 3067070 ns IT 00000230 684a LDR r2,[r1,#4] - 3067090 ns MR4_I 00000234 6008d1fc - 3067150 ns MR4_D 40006004 00000001 - 3067150 ns R r2 00000001 - 3067150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3067170 ns R r2 80000000 - 3067170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3067190 ns R psr 81000200 - 3067190 ns MR4_I 00000238 48054770 - 3067210 ns MR4_I 00000230 07d2684a - 3067230 ns IT 00000230 684a LDR r2,[r1,#4] - 3067250 ns MR4_I 00000234 6008d1fc - 3067310 ns MR4_D 40006004 00000001 - 3067310 ns R r2 00000001 - 3067310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3067330 ns R r2 80000000 - 3067330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3067350 ns R psr 81000200 - 3067350 ns MR4_I 00000238 48054770 - 3067370 ns MR4_I 00000230 07d2684a - 3067390 ns IT 00000230 684a LDR r2,[r1,#4] - 3067410 ns MR4_I 00000234 6008d1fc - 3067470 ns MR4_D 40006004 00000001 - 3067470 ns R r2 00000001 - 3067470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3067490 ns R r2 80000000 - 3067490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3067510 ns R psr 81000200 - 3067510 ns MR4_I 00000238 48054770 - 3067530 ns MR4_I 00000230 07d2684a - 3067550 ns IT 00000230 684a LDR r2,[r1,#4] - 3067570 ns MR4_I 00000234 6008d1fc - 3067630 ns MR4_D 40006004 00000001 - 3067630 ns R r2 00000001 - 3067630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3067650 ns R r2 80000000 - 3067650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3067670 ns R psr 81000200 - 3067670 ns MR4_I 00000238 48054770 - 3067690 ns MR4_I 00000230 07d2684a - 3067710 ns IT 00000230 684a LDR r2,[r1,#4] - 3067730 ns MR4_I 00000234 6008d1fc - 3067790 ns MR4_D 40006004 00000001 - 3067790 ns R r2 00000001 - 3067790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3067810 ns R r2 80000000 - 3067810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3067830 ns R psr 81000200 - 3067830 ns MR4_I 00000238 48054770 - 3067850 ns MR4_I 00000230 07d2684a - 3067870 ns IT 00000230 684a LDR r2,[r1,#4] - 3067890 ns MR4_I 00000234 6008d1fc - 3067950 ns MR4_D 40006004 00000001 - 3067950 ns R r2 00000001 - 3067950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3067970 ns R r2 80000000 - 3067970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3067990 ns R psr 81000200 - 3067990 ns MR4_I 00000238 48054770 - 3068010 ns MR4_I 00000230 07d2684a - 3068030 ns IT 00000230 684a LDR r2,[r1,#4] - 3068050 ns MR4_I 00000234 6008d1fc - 3068110 ns MR4_D 40006004 00000001 - 3068110 ns R r2 00000001 - 3068110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3068130 ns R r2 80000000 - 3068130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3068150 ns R psr 81000200 - 3068150 ns MR4_I 00000238 48054770 - 3068170 ns MR4_I 00000230 07d2684a - 3068190 ns IT 00000230 684a LDR r2,[r1,#4] - 3068210 ns MR4_I 00000234 6008d1fc - 3068270 ns MR4_D 40006004 00000001 - 3068270 ns R r2 00000001 - 3068270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3068290 ns R r2 80000000 - 3068290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3068310 ns R psr 81000200 - 3068310 ns MR4_I 00000238 48054770 - 3068330 ns MR4_I 00000230 07d2684a - 3068350 ns IT 00000230 684a LDR r2,[r1,#4] - 3068370 ns MR4_I 00000234 6008d1fc - 3068430 ns MR4_D 40006004 00000001 - 3068430 ns R r2 00000001 - 3068430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3068450 ns R r2 80000000 - 3068450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3068470 ns R psr 81000200 - 3068470 ns MR4_I 00000238 48054770 - 3068490 ns MR4_I 00000230 07d2684a - 3068510 ns IT 00000230 684a LDR r2,[r1,#4] - 3068530 ns MR4_I 00000234 6008d1fc - 3068590 ns MR4_D 40006004 00000001 - 3068590 ns R r2 00000001 - 3068590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3068610 ns R r2 80000000 - 3068610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3068630 ns R psr 81000200 - 3068630 ns MR4_I 00000238 48054770 - 3068650 ns MR4_I 00000230 07d2684a - 3068670 ns IT 00000230 684a LDR r2,[r1,#4] - 3068690 ns MR4_I 00000234 6008d1fc - 3068750 ns MR4_D 40006004 00000001 - 3068750 ns R r2 00000001 - 3068750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3068770 ns R r2 80000000 - 3068770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3068790 ns R psr 81000200 - 3068790 ns MR4_I 00000238 48054770 - 3068810 ns MR4_I 00000230 07d2684a - 3068830 ns IT 00000230 684a LDR r2,[r1,#4] - 3068850 ns MR4_I 00000234 6008d1fc - 3068910 ns MR4_D 40006004 00000001 - 3068910 ns R r2 00000001 - 3068910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3068930 ns R r2 80000000 - 3068930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3068950 ns R psr 81000200 - 3068950 ns MR4_I 00000238 48054770 - 3068970 ns MR4_I 00000230 07d2684a - 3068990 ns IT 00000230 684a LDR r2,[r1,#4] - 3069010 ns MR4_I 00000234 6008d1fc - 3069070 ns MR4_D 40006004 00000001 - 3069070 ns R r2 00000001 - 3069070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3069090 ns R r2 80000000 - 3069090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3069110 ns R psr 81000200 - 3069110 ns MR4_I 00000238 48054770 - 3069130 ns MR4_I 00000230 07d2684a - 3069150 ns IT 00000230 684a LDR r2,[r1,#4] - 3069170 ns MR4_I 00000234 6008d1fc - 3069230 ns MR4_D 40006004 00000001 - 3069230 ns R r2 00000001 - 3069230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3069250 ns R r2 80000000 - 3069250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3069270 ns R psr 81000200 - 3069270 ns MR4_I 00000238 48054770 - 3069290 ns MR4_I 00000230 07d2684a - 3069310 ns IT 00000230 684a LDR r2,[r1,#4] - 3069330 ns MR4_I 00000234 6008d1fc - 3069390 ns MR4_D 40006004 00000001 - 3069390 ns R r2 00000001 - 3069390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3069410 ns R r2 80000000 - 3069410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3069430 ns R psr 81000200 - 3069430 ns MR4_I 00000238 48054770 - 3069450 ns MR4_I 00000230 07d2684a - 3069470 ns IT 00000230 684a LDR r2,[r1,#4] - 3069490 ns MR4_I 00000234 6008d1fc - 3069550 ns MR4_D 40006004 00000001 - 3069550 ns R r2 00000001 - 3069550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3069570 ns R r2 80000000 - 3069570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3069590 ns R psr 81000200 - 3069590 ns MR4_I 00000238 48054770 - 3069610 ns MR4_I 00000230 07d2684a - 3069630 ns IT 00000230 684a LDR r2,[r1,#4] - 3069650 ns MR4_I 00000234 6008d1fc - 3069710 ns MR4_D 40006004 00000001 - 3069710 ns R r2 00000001 - 3069710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3069730 ns R r2 80000000 - 3069730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3069750 ns R psr 81000200 - 3069750 ns MR4_I 00000238 48054770 - 3069770 ns MR4_I 00000230 07d2684a - 3069790 ns IT 00000230 684a LDR r2,[r1,#4] - 3069810 ns MR4_I 00000234 6008d1fc - 3069870 ns MR4_D 40006004 00000001 - 3069870 ns R r2 00000001 - 3069870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3069890 ns R r2 80000000 - 3069890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3069910 ns R psr 81000200 - 3069910 ns MR4_I 00000238 48054770 - 3069930 ns MR4_I 00000230 07d2684a - 3069950 ns IT 00000230 684a LDR r2,[r1,#4] - 3069970 ns MR4_I 00000234 6008d1fc - 3070030 ns MR4_D 40006004 00000001 - 3070030 ns R r2 00000001 - 3070030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3070050 ns R r2 80000000 - 3070050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3070070 ns R psr 81000200 - 3070070 ns MR4_I 00000238 48054770 - 3070090 ns MR4_I 00000230 07d2684a - 3070110 ns IT 00000230 684a LDR r2,[r1,#4] - 3070130 ns MR4_I 00000234 6008d1fc - 3070190 ns MR4_D 40006004 00000001 - 3070190 ns R r2 00000001 - 3070190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3070210 ns R r2 80000000 - 3070210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3070230 ns R psr 81000200 - 3070230 ns MR4_I 00000238 48054770 - 3070250 ns MR4_I 00000230 07d2684a - 3070270 ns IT 00000230 684a LDR r2,[r1,#4] - 3070290 ns MR4_I 00000234 6008d1fc - 3070350 ns MR4_D 40006004 00000001 - 3070350 ns R r2 00000001 - 3070350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3070370 ns R r2 80000000 - 3070370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3070390 ns R psr 81000200 - 3070390 ns MR4_I 00000238 48054770 - 3070410 ns MR4_I 00000230 07d2684a - 3070430 ns IT 00000230 684a LDR r2,[r1,#4] - 3070450 ns MR4_I 00000234 6008d1fc - 3070510 ns MR4_D 40006004 00000001 - 3070510 ns R r2 00000001 - 3070510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3070530 ns R r2 80000000 - 3070530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3070550 ns R psr 81000200 - 3070550 ns MR4_I 00000238 48054770 - 3070570 ns MR4_I 00000230 07d2684a - 3070590 ns IT 00000230 684a LDR r2,[r1,#4] - 3070610 ns MR4_I 00000234 6008d1fc - 3070670 ns MR4_D 40006004 00000001 - 3070670 ns R r2 00000001 - 3070670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3070690 ns R r2 80000000 - 3070690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3070710 ns R psr 81000200 - 3070710 ns MR4_I 00000238 48054770 - 3070730 ns MR4_I 00000230 07d2684a - 3070750 ns IT 00000230 684a LDR r2,[r1,#4] - 3070770 ns MR4_I 00000234 6008d1fc - 3070830 ns MR4_D 40006004 00000001 - 3070830 ns R r2 00000001 - 3070830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3070850 ns R r2 80000000 - 3070850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3070870 ns R psr 81000200 - 3070870 ns MR4_I 00000238 48054770 - 3070890 ns MR4_I 00000230 07d2684a - 3070910 ns IT 00000230 684a LDR r2,[r1,#4] - 3070930 ns MR4_I 00000234 6008d1fc - 3070990 ns MR4_D 40006004 00000001 - 3070990 ns R r2 00000001 - 3070990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3071010 ns R r2 80000000 - 3071010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3071030 ns R psr 81000200 - 3071030 ns MR4_I 00000238 48054770 - 3071050 ns MR4_I 00000230 07d2684a - 3071070 ns IT 00000230 684a LDR r2,[r1,#4] - 3071090 ns MR4_I 00000234 6008d1fc - 3071150 ns MR4_D 40006004 00000001 - 3071150 ns R r2 00000001 - 3071150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3071170 ns R r2 80000000 - 3071170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3071190 ns R psr 81000200 - 3071190 ns MR4_I 00000238 48054770 - 3071210 ns MR4_I 00000230 07d2684a - 3071230 ns IT 00000230 684a LDR r2,[r1,#4] - 3071250 ns MR4_I 00000234 6008d1fc - 3071310 ns MR4_D 40006004 00000001 - 3071310 ns R r2 00000001 - 3071310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3071330 ns R r2 80000000 - 3071330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3071350 ns R psr 81000200 - 3071350 ns MR4_I 00000238 48054770 - 3071370 ns MR4_I 00000230 07d2684a - 3071390 ns IT 00000230 684a LDR r2,[r1,#4] - 3071410 ns MR4_I 00000234 6008d1fc - 3071470 ns MR4_D 40006004 00000001 - 3071470 ns R r2 00000001 - 3071470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3071490 ns R r2 80000000 - 3071490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3071510 ns R psr 81000200 - 3071510 ns MR4_I 00000238 48054770 - 3071530 ns MR4_I 00000230 07d2684a - 3071550 ns IT 00000230 684a LDR r2,[r1,#4] - 3071570 ns MR4_I 00000234 6008d1fc - 3071630 ns MR4_D 40006004 00000001 - 3071630 ns R r2 00000001 - 3071630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3071650 ns R r2 80000000 - 3071650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3071670 ns R psr 81000200 - 3071670 ns MR4_I 00000238 48054770 - 3071690 ns MR4_I 00000230 07d2684a - 3071710 ns IT 00000230 684a LDR r2,[r1,#4] - 3071730 ns MR4_I 00000234 6008d1fc - 3071790 ns MR4_D 40006004 00000001 - 3071790 ns R r2 00000001 - 3071790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3071810 ns R r2 80000000 - 3071810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3071830 ns R psr 81000200 - 3071830 ns MR4_I 00000238 48054770 - 3071850 ns MR4_I 00000230 07d2684a - 3071870 ns IT 00000230 684a LDR r2,[r1,#4] - 3071890 ns MR4_I 00000234 6008d1fc - 3071950 ns MR4_D 40006004 00000001 - 3071950 ns R r2 00000001 - 3071950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3071970 ns R r2 80000000 - 3071970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3071990 ns R psr 81000200 - 3071990 ns MR4_I 00000238 48054770 - 3072010 ns MR4_I 00000230 07d2684a - 3072030 ns IT 00000230 684a LDR r2,[r1,#4] - 3072050 ns MR4_I 00000234 6008d1fc - 3072110 ns MR4_D 40006004 00000001 - 3072110 ns R r2 00000001 - 3072110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3072130 ns R r2 80000000 - 3072130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3072150 ns R psr 81000200 - 3072150 ns MR4_I 00000238 48054770 - 3072170 ns MR4_I 00000230 07d2684a - 3072190 ns IT 00000230 684a LDR r2,[r1,#4] - 3072210 ns MR4_I 00000234 6008d1fc - 3072270 ns MR4_D 40006004 00000001 - 3072270 ns R r2 00000001 - 3072270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3072290 ns R r2 80000000 - 3072290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3072310 ns R psr 81000200 - 3072310 ns MR4_I 00000238 48054770 - 3072330 ns MR4_I 00000230 07d2684a - 3072350 ns IT 00000230 684a LDR r2,[r1,#4] - 3072370 ns MR4_I 00000234 6008d1fc - 3072430 ns MR4_D 40006004 00000001 - 3072430 ns R r2 00000001 - 3072430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3072450 ns R r2 80000000 - 3072450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3072470 ns R psr 81000200 - 3072470 ns MR4_I 00000238 48054770 - 3072490 ns MR4_I 00000230 07d2684a - 3072510 ns IT 00000230 684a LDR r2,[r1,#4] - 3072530 ns MR4_I 00000234 6008d1fc - 3072590 ns MR4_D 40006004 00000001 - 3072590 ns R r2 00000001 - 3072590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3072610 ns R r2 80000000 - 3072610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3072630 ns R psr 81000200 - 3072630 ns MR4_I 00000238 48054770 - 3072650 ns MR4_I 00000230 07d2684a - 3072670 ns IT 00000230 684a LDR r2,[r1,#4] - 3072690 ns MR4_I 00000234 6008d1fc - 3072750 ns MR4_D 40006004 00000001 - 3072750 ns R r2 00000001 - 3072750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3072770 ns R r2 80000000 - 3072770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3072790 ns R psr 81000200 - 3072790 ns MR4_I 00000238 48054770 - 3072810 ns MR4_I 00000230 07d2684a - 3072830 ns IT 00000230 684a LDR r2,[r1,#4] - 3072850 ns MR4_I 00000234 6008d1fc - 3072910 ns MR4_D 40006004 00000001 - 3072910 ns R r2 00000001 - 3072910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3072930 ns R r2 80000000 - 3072930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3072950 ns R psr 81000200 - 3072950 ns MR4_I 00000238 48054770 - 3072970 ns MR4_I 00000230 07d2684a - 3072990 ns IT 00000230 684a LDR r2,[r1,#4] - 3073010 ns MR4_I 00000234 6008d1fc - 3073070 ns MR4_D 40006004 00000001 - 3073070 ns R r2 00000001 - 3073070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3073090 ns R r2 80000000 - 3073090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3073110 ns R psr 81000200 - 3073110 ns MR4_I 00000238 48054770 - 3073130 ns MR4_I 00000230 07d2684a - 3073150 ns IT 00000230 684a LDR r2,[r1,#4] - 3073170 ns MR4_I 00000234 6008d1fc - 3073230 ns MR4_D 40006004 00000001 - 3073230 ns R r2 00000001 - 3073230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3073250 ns R r2 80000000 - 3073250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3073270 ns R psr 81000200 - 3073270 ns MR4_I 00000238 48054770 - 3073290 ns MR4_I 00000230 07d2684a - 3073310 ns IT 00000230 684a LDR r2,[r1,#4] - 3073330 ns MR4_I 00000234 6008d1fc - 3073390 ns MR4_D 40006004 00000001 - 3073390 ns R r2 00000001 - 3073390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3073410 ns R r2 80000000 - 3073410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3073430 ns R psr 81000200 - 3073430 ns MR4_I 00000238 48054770 - 3073450 ns MR4_I 00000230 07d2684a - 3073470 ns IT 00000230 684a LDR r2,[r1,#4] - 3073490 ns MR4_I 00000234 6008d1fc - 3073550 ns MR4_D 40006004 00000001 - 3073550 ns R r2 00000001 - 3073550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3073570 ns R r2 80000000 - 3073570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3073590 ns R psr 81000200 - 3073590 ns MR4_I 00000238 48054770 - 3073610 ns MR4_I 00000230 07d2684a - 3073630 ns IT 00000230 684a LDR r2,[r1,#4] - 3073650 ns MR4_I 00000234 6008d1fc - 3073710 ns MR4_D 40006004 00000001 - 3073710 ns R r2 00000001 - 3073710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3073730 ns R r2 80000000 - 3073730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3073750 ns R psr 81000200 - 3073750 ns MR4_I 00000238 48054770 - 3073770 ns MR4_I 00000230 07d2684a - 3073790 ns IT 00000230 684a LDR r2,[r1,#4] - 3073810 ns MR4_I 00000234 6008d1fc - 3073870 ns MR4_D 40006004 00000001 - 3073870 ns R r2 00000001 - 3073870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3073890 ns R r2 80000000 - 3073890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3073910 ns R psr 81000200 - 3073910 ns MR4_I 00000238 48054770 - 3073930 ns MR4_I 00000230 07d2684a - 3073950 ns IT 00000230 684a LDR r2,[r1,#4] - 3073970 ns MR4_I 00000234 6008d1fc - 3074030 ns MR4_D 40006004 00000001 - 3074030 ns R r2 00000001 - 3074030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3074050 ns R r2 80000000 - 3074050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3074070 ns R psr 81000200 - 3074070 ns MR4_I 00000238 48054770 - 3074090 ns MR4_I 00000230 07d2684a - 3074110 ns IT 00000230 684a LDR r2,[r1,#4] - 3074130 ns MR4_I 00000234 6008d1fc - 3074190 ns MR4_D 40006004 00000001 - 3074190 ns R r2 00000001 - 3074190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3074210 ns R r2 80000000 - 3074210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3074230 ns R psr 81000200 - 3074230 ns MR4_I 00000238 48054770 - 3074250 ns MR4_I 00000230 07d2684a - 3074270 ns IT 00000230 684a LDR r2,[r1,#4] - 3074290 ns MR4_I 00000234 6008d1fc - 3074350 ns MR4_D 40006004 00000001 - 3074350 ns R r2 00000001 - 3074350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3074370 ns R r2 80000000 - 3074370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3074390 ns R psr 81000200 - 3074390 ns MR4_I 00000238 48054770 - 3074410 ns MR4_I 00000230 07d2684a - 3074430 ns IT 00000230 684a LDR r2,[r1,#4] - 3074450 ns MR4_I 00000234 6008d1fc - 3074510 ns MR4_D 40006004 00000001 - 3074510 ns R r2 00000001 - 3074510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3074530 ns R r2 80000000 - 3074530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3074550 ns R psr 81000200 - 3074550 ns MR4_I 00000238 48054770 - 3074570 ns MR4_I 00000230 07d2684a - 3074590 ns IT 00000230 684a LDR r2,[r1,#4] - 3074610 ns MR4_I 00000234 6008d1fc - 3074670 ns MR4_D 40006004 00000001 - 3074670 ns R r2 00000001 - 3074670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3074690 ns R r2 80000000 - 3074690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3074710 ns R psr 81000200 - 3074710 ns MR4_I 00000238 48054770 - 3074730 ns MR4_I 00000230 07d2684a - 3074750 ns IT 00000230 684a LDR r2,[r1,#4] - 3074770 ns MR4_I 00000234 6008d1fc - 3074830 ns MR4_D 40006004 00000001 - 3074830 ns R r2 00000001 - 3074830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3074850 ns R r2 80000000 - 3074850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3074870 ns R psr 81000200 - 3074870 ns MR4_I 00000238 48054770 - 3074890 ns MR4_I 00000230 07d2684a - 3074910 ns IT 00000230 684a LDR r2,[r1,#4] - 3074930 ns MR4_I 00000234 6008d1fc - 3074990 ns MR4_D 40006004 00000001 - 3074990 ns R r2 00000001 - 3074990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3075010 ns R r2 80000000 - 3075010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3075030 ns R psr 81000200 - 3075030 ns MR4_I 00000238 48054770 - 3075050 ns MR4_I 00000230 07d2684a - 3075070 ns IT 00000230 684a LDR r2,[r1,#4] - 3075090 ns MR4_I 00000234 6008d1fc - 3075150 ns MR4_D 40006004 00000001 - 3075150 ns R r2 00000001 - 3075150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3075170 ns R r2 80000000 - 3075170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3075190 ns R psr 81000200 - 3075190 ns MR4_I 00000238 48054770 - 3075210 ns MR4_I 00000230 07d2684a - 3075230 ns IT 00000230 684a LDR r2,[r1,#4] - 3075250 ns MR4_I 00000234 6008d1fc - 3075310 ns MR4_D 40006004 00000001 - 3075310 ns R r2 00000001 - 3075310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3075330 ns R r2 80000000 - 3075330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3075350 ns R psr 81000200 - 3075350 ns MR4_I 00000238 48054770 - 3075370 ns MR4_I 00000230 07d2684a - 3075390 ns IT 00000230 684a LDR r2,[r1,#4] - 3075410 ns MR4_I 00000234 6008d1fc - 3075470 ns MR4_D 40006004 00000001 - 3075470 ns R r2 00000001 - 3075470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3075490 ns R r2 80000000 - 3075490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3075510 ns R psr 81000200 - 3075510 ns MR4_I 00000238 48054770 - 3075530 ns MR4_I 00000230 07d2684a - 3075550 ns IT 00000230 684a LDR r2,[r1,#4] - 3075570 ns MR4_I 00000234 6008d1fc - 3075630 ns MR4_D 40006004 00000001 - 3075630 ns R r2 00000001 - 3075630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3075650 ns R r2 80000000 - 3075650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3075670 ns R psr 81000200 - 3075670 ns MR4_I 00000238 48054770 - 3075690 ns MR4_I 00000230 07d2684a - 3075710 ns IT 00000230 684a LDR r2,[r1,#4] - 3075730 ns MR4_I 00000234 6008d1fc - 3075790 ns MR4_D 40006004 00000001 - 3075790 ns R r2 00000001 - 3075790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3075810 ns R r2 80000000 - 3075810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3075830 ns R psr 81000200 - 3075830 ns MR4_I 00000238 48054770 - 3075850 ns MR4_I 00000230 07d2684a - 3075870 ns IT 00000230 684a LDR r2,[r1,#4] - 3075890 ns MR4_I 00000234 6008d1fc - 3075950 ns MR4_D 40006004 00000001 - 3075950 ns R r2 00000001 - 3075950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3075970 ns R r2 80000000 - 3075970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3075990 ns R psr 81000200 - 3075990 ns MR4_I 00000238 48054770 - 3076010 ns MR4_I 00000230 07d2684a - 3076030 ns IT 00000230 684a LDR r2,[r1,#4] - 3076050 ns MR4_I 00000234 6008d1fc - 3076110 ns MR4_D 40006004 00000001 - 3076110 ns R r2 00000001 - 3076110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3076130 ns R r2 80000000 - 3076130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3076150 ns R psr 81000200 - 3076150 ns MR4_I 00000238 48054770 - 3076170 ns MR4_I 00000230 07d2684a - 3076190 ns IT 00000230 684a LDR r2,[r1,#4] - 3076210 ns MR4_I 00000234 6008d1fc - 3076270 ns MR4_D 40006004 00000001 - 3076270 ns R r2 00000001 - 3076270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3076290 ns R r2 80000000 - 3076290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3076310 ns R psr 81000200 - 3076310 ns MR4_I 00000238 48054770 - 3076330 ns MR4_I 00000230 07d2684a - 3076350 ns IT 00000230 684a LDR r2,[r1,#4] - 3076370 ns MR4_I 00000234 6008d1fc - 3076430 ns MR4_D 40006004 00000001 - 3076430 ns R r2 00000001 - 3076430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3076450 ns R r2 80000000 - 3076450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3076470 ns R psr 81000200 - 3076470 ns MR4_I 00000238 48054770 - 3076490 ns MR4_I 00000230 07d2684a - 3076510 ns IT 00000230 684a LDR r2,[r1,#4] - 3076530 ns MR4_I 00000234 6008d1fc - 3076590 ns MR4_D 40006004 00000001 - 3076590 ns R r2 00000001 - 3076590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3076610 ns R r2 80000000 - 3076610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3076630 ns R psr 81000200 - 3076630 ns MR4_I 00000238 48054770 - 3076650 ns MR4_I 00000230 07d2684a - 3076670 ns IT 00000230 684a LDR r2,[r1,#4] - 3076690 ns MR4_I 00000234 6008d1fc - 3076750 ns MR4_D 40006004 00000001 - 3076750 ns R r2 00000001 - 3076750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3076770 ns R r2 80000000 - 3076770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3076790 ns R psr 81000200 - 3076790 ns MR4_I 00000238 48054770 - 3076810 ns MR4_I 00000230 07d2684a - 3076830 ns IT 00000230 684a LDR r2,[r1,#4] - 3076850 ns MR4_I 00000234 6008d1fc - 3076910 ns MR4_D 40006004 00000001 - 3076910 ns R r2 00000001 - 3076910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3076930 ns R r2 80000000 - 3076930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3076950 ns R psr 81000200 - 3076950 ns MR4_I 00000238 48054770 - 3076970 ns MR4_I 00000230 07d2684a - 3076990 ns IT 00000230 684a LDR r2,[r1,#4] - 3077010 ns MR4_I 00000234 6008d1fc - 3077070 ns MR4_D 40006004 00000001 - 3077070 ns R r2 00000001 - 3077070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3077090 ns R r2 80000000 - 3077090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3077110 ns R psr 81000200 - 3077110 ns MR4_I 00000238 48054770 - 3077130 ns MR4_I 00000230 07d2684a - 3077150 ns IT 00000230 684a LDR r2,[r1,#4] - 3077170 ns MR4_I 00000234 6008d1fc - 3077230 ns MR4_D 40006004 00000001 - 3077230 ns R r2 00000001 - 3077230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3077250 ns R r2 80000000 - 3077250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3077270 ns R psr 81000200 - 3077270 ns MR4_I 00000238 48054770 - 3077290 ns MR4_I 00000230 07d2684a - 3077310 ns IT 00000230 684a LDR r2,[r1,#4] - 3077330 ns MR4_I 00000234 6008d1fc - 3077390 ns MR4_D 40006004 00000001 - 3077390 ns R r2 00000001 - 3077390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3077410 ns R r2 80000000 - 3077410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3077430 ns R psr 81000200 - 3077430 ns MR4_I 00000238 48054770 - 3077450 ns MR4_I 00000230 07d2684a - 3077470 ns IT 00000230 684a LDR r2,[r1,#4] - 3077490 ns MR4_I 00000234 6008d1fc - 3077550 ns MR4_D 40006004 00000001 - 3077550 ns R r2 00000001 - 3077550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3077570 ns R r2 80000000 - 3077570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3077590 ns R psr 81000200 - 3077590 ns MR4_I 00000238 48054770 - 3077610 ns MR4_I 00000230 07d2684a - 3077630 ns IT 00000230 684a LDR r2,[r1,#4] - 3077650 ns MR4_I 00000234 6008d1fc - 3077710 ns MR4_D 40006004 00000001 - 3077710 ns R r2 00000001 - 3077710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3077730 ns R r2 80000000 - 3077730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3077750 ns R psr 81000200 - 3077750 ns MR4_I 00000238 48054770 - 3077770 ns MR4_I 00000230 07d2684a - 3077790 ns IT 00000230 684a LDR r2,[r1,#4] - 3077810 ns MR4_I 00000234 6008d1fc - 3077870 ns MR4_D 40006004 00000001 - 3077870 ns R r2 00000001 - 3077870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3077890 ns R r2 80000000 - 3077890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3077910 ns R psr 81000200 - 3077910 ns MR4_I 00000238 48054770 - 3077930 ns MR4_I 00000230 07d2684a - 3077950 ns IT 00000230 684a LDR r2,[r1,#4] - 3077970 ns MR4_I 00000234 6008d1fc - 3078030 ns MR4_D 40006004 00000001 - 3078030 ns R r2 00000001 - 3078030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3078050 ns R r2 80000000 - 3078050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3078070 ns R psr 81000200 - 3078070 ns MR4_I 00000238 48054770 - 3078090 ns MR4_I 00000230 07d2684a - 3078110 ns IT 00000230 684a LDR r2,[r1,#4] - 3078130 ns MR4_I 00000234 6008d1fc - 3078190 ns MR4_D 40006004 00000001 - 3078190 ns R r2 00000001 - 3078190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3078210 ns R r2 80000000 - 3078210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3078230 ns R psr 81000200 - 3078230 ns MR4_I 00000238 48054770 - 3078250 ns MR4_I 00000230 07d2684a - 3078270 ns IT 00000230 684a LDR r2,[r1,#4] - 3078290 ns MR4_I 00000234 6008d1fc - 3078350 ns MR4_D 40006004 00000001 - 3078350 ns R r2 00000001 - 3078350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3078370 ns R r2 80000000 - 3078370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3078390 ns R psr 81000200 - 3078390 ns MR4_I 00000238 48054770 - 3078410 ns MR4_I 00000230 07d2684a - 3078430 ns IT 00000230 684a LDR r2,[r1,#4] - 3078450 ns MR4_I 00000234 6008d1fc - 3078510 ns MR4_D 40006004 00000001 - 3078510 ns R r2 00000001 - 3078510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3078530 ns R r2 80000000 - 3078530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3078550 ns R psr 81000200 - 3078550 ns MR4_I 00000238 48054770 - 3078570 ns MR4_I 00000230 07d2684a - 3078590 ns IT 00000230 684a LDR r2,[r1,#4] - 3078610 ns MR4_I 00000234 6008d1fc - 3078670 ns MR4_D 40006004 00000001 - 3078670 ns R r2 00000001 - 3078670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3078690 ns R r2 80000000 - 3078690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3078710 ns R psr 81000200 - 3078710 ns MR4_I 00000238 48054770 - 3078730 ns MR4_I 00000230 07d2684a - 3078750 ns IT 00000230 684a LDR r2,[r1,#4] - 3078770 ns MR4_I 00000234 6008d1fc - 3078830 ns MR4_D 40006004 00000001 - 3078830 ns R r2 00000001 - 3078830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3078850 ns R r2 80000000 - 3078850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3078870 ns R psr 81000200 - 3078870 ns MR4_I 00000238 48054770 - 3078890 ns MR4_I 00000230 07d2684a - 3078910 ns IT 00000230 684a LDR r2,[r1,#4] - 3078930 ns MR4_I 00000234 6008d1fc - 3078990 ns MR4_D 40006004 00000001 - 3078990 ns R r2 00000001 - 3078990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3079010 ns R r2 80000000 - 3079010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3079030 ns R psr 81000200 - 3079030 ns MR4_I 00000238 48054770 - 3079050 ns MR4_I 00000230 07d2684a - 3079070 ns IT 00000230 684a LDR r2,[r1,#4] - 3079090 ns MR4_I 00000234 6008d1fc - 3079150 ns MR4_D 40006004 00000001 - 3079150 ns R r2 00000001 - 3079150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3079170 ns R r2 80000000 - 3079170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3079190 ns R psr 81000200 - 3079190 ns MR4_I 00000238 48054770 - 3079210 ns MR4_I 00000230 07d2684a - 3079230 ns IT 00000230 684a LDR r2,[r1,#4] - 3079250 ns MR4_I 00000234 6008d1fc - 3079310 ns MR4_D 40006004 00000001 - 3079310 ns R r2 00000001 - 3079310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3079330 ns R r2 80000000 - 3079330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3079350 ns R psr 81000200 - 3079350 ns MR4_I 00000238 48054770 - 3079370 ns MR4_I 00000230 07d2684a - 3079390 ns IT 00000230 684a LDR r2,[r1,#4] - 3079410 ns MR4_I 00000234 6008d1fc - 3079470 ns MR4_D 40006004 00000001 - 3079470 ns R r2 00000001 - 3079470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3079490 ns R r2 80000000 - 3079490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3079510 ns R psr 81000200 - 3079510 ns MR4_I 00000238 48054770 - 3079530 ns MR4_I 00000230 07d2684a - 3079550 ns IT 00000230 684a LDR r2,[r1,#4] - 3079570 ns MR4_I 00000234 6008d1fc - 3079630 ns MR4_D 40006004 00000001 - 3079630 ns R r2 00000001 - 3079630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3079650 ns R r2 80000000 - 3079650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3079670 ns R psr 81000200 - 3079670 ns MR4_I 00000238 48054770 - 3079690 ns MR4_I 00000230 07d2684a - 3079710 ns IT 00000230 684a LDR r2,[r1,#4] - 3079730 ns MR4_I 00000234 6008d1fc - 3079790 ns MR4_D 40006004 00000001 - 3079790 ns R r2 00000001 - 3079790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3079810 ns R r2 80000000 - 3079810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3079830 ns R psr 81000200 - 3079830 ns MR4_I 00000238 48054770 - 3079850 ns MR4_I 00000230 07d2684a - 3079870 ns IT 00000230 684a LDR r2,[r1,#4] - 3079890 ns MR4_I 00000234 6008d1fc - 3079950 ns MR4_D 40006004 00000001 - 3079950 ns R r2 00000001 - 3079950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3079970 ns R r2 80000000 - 3079970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3079990 ns R psr 81000200 - 3079990 ns MR4_I 00000238 48054770 - 3080010 ns MR4_I 00000230 07d2684a - 3080030 ns IT 00000230 684a LDR r2,[r1,#4] - 3080050 ns MR4_I 00000234 6008d1fc - 3080110 ns MR4_D 40006004 00000001 - 3080110 ns R r2 00000001 - 3080110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3080130 ns R r2 80000000 - 3080130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3080150 ns R psr 81000200 - 3080150 ns MR4_I 00000238 48054770 - 3080170 ns MR4_I 00000230 07d2684a - 3080190 ns IT 00000230 684a LDR r2,[r1,#4] - 3080210 ns MR4_I 00000234 6008d1fc - 3080270 ns MR4_D 40006004 00000001 - 3080270 ns R r2 00000001 - 3080270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3080290 ns R r2 80000000 - 3080290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3080310 ns R psr 81000200 - 3080310 ns MR4_I 00000238 48054770 - 3080330 ns MR4_I 00000230 07d2684a - 3080350 ns IT 00000230 684a LDR r2,[r1,#4] - 3080370 ns MR4_I 00000234 6008d1fc - 3080430 ns MR4_D 40006004 00000001 - 3080430 ns R r2 00000001 - 3080430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3080450 ns R r2 80000000 - 3080450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3080470 ns R psr 81000200 - 3080470 ns MR4_I 00000238 48054770 - 3080490 ns MR4_I 00000230 07d2684a - 3080510 ns IT 00000230 684a LDR r2,[r1,#4] - 3080530 ns MR4_I 00000234 6008d1fc - 3080590 ns MR4_D 40006004 00000001 - 3080590 ns R r2 00000001 - 3080590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3080610 ns R r2 80000000 - 3080610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3080630 ns R psr 81000200 - 3080630 ns MR4_I 00000238 48054770 - 3080650 ns MR4_I 00000230 07d2684a - 3080670 ns IT 00000230 684a LDR r2,[r1,#4] - 3080690 ns MR4_I 00000234 6008d1fc - 3080750 ns MR4_D 40006004 00000001 - 3080750 ns R r2 00000001 - 3080750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3080770 ns R r2 80000000 - 3080770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3080790 ns R psr 81000200 - 3080790 ns MR4_I 00000238 48054770 - 3080810 ns MR4_I 00000230 07d2684a - 3080830 ns IT 00000230 684a LDR r2,[r1,#4] - 3080850 ns MR4_I 00000234 6008d1fc - 3080910 ns MR4_D 40006004 00000001 - 3080910 ns R r2 00000001 - 3080910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3080930 ns R r2 80000000 - 3080930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3080950 ns R psr 81000200 - 3080950 ns MR4_I 00000238 48054770 - 3080970 ns MR4_I 00000230 07d2684a - 3080990 ns IT 00000230 684a LDR r2,[r1,#4] - 3081010 ns MR4_I 00000234 6008d1fc - 3081070 ns MR4_D 40006004 00000001 - 3081070 ns R r2 00000001 - 3081070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3081090 ns R r2 80000000 - 3081090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3081110 ns R psr 81000200 - 3081110 ns MR4_I 00000238 48054770 - 3081130 ns MR4_I 00000230 07d2684a - 3081150 ns IT 00000230 684a LDR r2,[r1,#4] - 3081170 ns MR4_I 00000234 6008d1fc - 3081230 ns MR4_D 40006004 00000001 - 3081230 ns R r2 00000001 - 3081230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3081250 ns R r2 80000000 - 3081250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3081270 ns R psr 81000200 - 3081270 ns MR4_I 00000238 48054770 - 3081290 ns MR4_I 00000230 07d2684a - 3081310 ns IT 00000230 684a LDR r2,[r1,#4] - 3081330 ns MR4_I 00000234 6008d1fc - 3081390 ns MR4_D 40006004 00000001 - 3081390 ns R r2 00000001 - 3081390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3081410 ns R r2 80000000 - 3081410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3081430 ns R psr 81000200 - 3081430 ns MR4_I 00000238 48054770 - 3081450 ns MR4_I 00000230 07d2684a - 3081470 ns IT 00000230 684a LDR r2,[r1,#4] - 3081490 ns MR4_I 00000234 6008d1fc - 3081550 ns MR4_D 40006004 00000001 - 3081550 ns R r2 00000001 - 3081550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3081570 ns R r2 80000000 - 3081570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3081590 ns R psr 81000200 - 3081590 ns MR4_I 00000238 48054770 - 3081610 ns MR4_I 00000230 07d2684a - 3081630 ns IT 00000230 684a LDR r2,[r1,#4] - 3081650 ns MR4_I 00000234 6008d1fc - 3081710 ns MR4_D 40006004 00000001 - 3081710 ns R r2 00000001 - 3081710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3081730 ns R r2 80000000 - 3081730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3081750 ns R psr 81000200 - 3081750 ns MR4_I 00000238 48054770 - 3081770 ns MR4_I 00000230 07d2684a - 3081790 ns IT 00000230 684a LDR r2,[r1,#4] - 3081810 ns MR4_I 00000234 6008d1fc - 3081870 ns MR4_D 40006004 00000001 - 3081870 ns R r2 00000001 - 3081870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3081890 ns R r2 80000000 - 3081890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3081910 ns R psr 81000200 - 3081910 ns MR4_I 00000238 48054770 - 3081930 ns MR4_I 00000230 07d2684a - 3081950 ns IT 00000230 684a LDR r2,[r1,#4] - 3081970 ns MR4_I 00000234 6008d1fc - 3082030 ns MR4_D 40006004 00000001 - 3082030 ns R r2 00000001 - 3082030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3082050 ns R r2 80000000 - 3082050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3082070 ns R psr 81000200 - 3082070 ns MR4_I 00000238 48054770 - 3082090 ns MR4_I 00000230 07d2684a - 3082110 ns IT 00000230 684a LDR r2,[r1,#4] - 3082130 ns MR4_I 00000234 6008d1fc - 3082190 ns MR4_D 40006004 00000001 - 3082190 ns R r2 00000001 - 3082190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3082210 ns R r2 80000000 - 3082210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3082230 ns R psr 81000200 - 3082230 ns MR4_I 00000238 48054770 - 3082250 ns MR4_I 00000230 07d2684a - 3082270 ns IT 00000230 684a LDR r2,[r1,#4] - 3082290 ns MR4_I 00000234 6008d1fc - 3082350 ns MR4_D 40006004 00000001 - 3082350 ns R r2 00000001 - 3082350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3082370 ns R r2 80000000 - 3082370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3082390 ns R psr 81000200 - 3082390 ns MR4_I 00000238 48054770 - 3082410 ns MR4_I 00000230 07d2684a - 3082430 ns IT 00000230 684a LDR r2,[r1,#4] - 3082450 ns MR4_I 00000234 6008d1fc - 3082510 ns MR4_D 40006004 00000001 - 3082510 ns R r2 00000001 - 3082510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3082530 ns R r2 80000000 - 3082530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3082550 ns R psr 81000200 - 3082550 ns MR4_I 00000238 48054770 - 3082570 ns MR4_I 00000230 07d2684a - 3082590 ns IT 00000230 684a LDR r2,[r1,#4] - 3082610 ns MR4_I 00000234 6008d1fc - 3082670 ns MR4_D 40006004 00000001 - 3082670 ns R r2 00000001 - 3082670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3082690 ns R r2 80000000 - 3082690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3082710 ns R psr 81000200 - 3082710 ns MR4_I 00000238 48054770 - 3082730 ns MR4_I 00000230 07d2684a - 3082750 ns IT 00000230 684a LDR r2,[r1,#4] - 3082770 ns MR4_I 00000234 6008d1fc - 3082830 ns MR4_D 40006004 00000001 - 3082830 ns R r2 00000001 - 3082830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3082850 ns R r2 80000000 - 3082850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3082870 ns R psr 81000200 - 3082870 ns MR4_I 00000238 48054770 - 3082890 ns MR4_I 00000230 07d2684a - 3082910 ns IT 00000230 684a LDR r2,[r1,#4] - 3082930 ns MR4_I 00000234 6008d1fc - 3082990 ns MR4_D 40006004 00000001 - 3082990 ns R r2 00000001 - 3082990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3083010 ns R r2 80000000 - 3083010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3083030 ns R psr 81000200 - 3083030 ns MR4_I 00000238 48054770 - 3083050 ns MR4_I 00000230 07d2684a - 3083070 ns IT 00000230 684a LDR r2,[r1,#4] - 3083090 ns MR4_I 00000234 6008d1fc - 3083150 ns MR4_D 40006004 00000001 - 3083150 ns R r2 00000001 - 3083150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3083170 ns R r2 80000000 - 3083170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3083190 ns R psr 81000200 - 3083190 ns MR4_I 00000238 48054770 - 3083210 ns MR4_I 00000230 07d2684a - 3083230 ns IT 00000230 684a LDR r2,[r1,#4] - 3083250 ns MR4_I 00000234 6008d1fc - 3083310 ns MR4_D 40006004 00000001 - 3083310 ns R r2 00000001 - 3083310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3083330 ns R r2 80000000 - 3083330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3083350 ns R psr 81000200 - 3083350 ns MR4_I 00000238 48054770 - 3083370 ns MR4_I 00000230 07d2684a - 3083390 ns IT 00000230 684a LDR r2,[r1,#4] - 3083410 ns MR4_I 00000234 6008d1fc - 3083470 ns MR4_D 40006004 00000001 - 3083470 ns R r2 00000001 - 3083470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3083490 ns R r2 80000000 - 3083490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3083510 ns R psr 81000200 - 3083510 ns MR4_I 00000238 48054770 - 3083530 ns MR4_I 00000230 07d2684a - 3083550 ns IT 00000230 684a LDR r2,[r1,#4] - 3083570 ns MR4_I 00000234 6008d1fc - 3083630 ns MR4_D 40006004 00000001 - 3083630 ns R r2 00000001 - 3083630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3083650 ns R r2 80000000 - 3083650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3083670 ns R psr 81000200 - 3083670 ns MR4_I 00000238 48054770 - 3083690 ns MR4_I 00000230 07d2684a - 3083710 ns IT 00000230 684a LDR r2,[r1,#4] - 3083730 ns MR4_I 00000234 6008d1fc - 3083790 ns MR4_D 40006004 00000001 - 3083790 ns R r2 00000001 - 3083790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3083810 ns R r2 80000000 - 3083810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3083830 ns R psr 81000200 - 3083830 ns MR4_I 00000238 48054770 - 3083850 ns MR4_I 00000230 07d2684a - 3083870 ns IT 00000230 684a LDR r2,[r1,#4] - 3083890 ns MR4_I 00000234 6008d1fc - 3083950 ns MR4_D 40006004 00000001 - 3083950 ns R r2 00000001 - 3083950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3083970 ns R r2 80000000 - 3083970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3083990 ns R psr 81000200 - 3083990 ns MR4_I 00000238 48054770 - 3084010 ns MR4_I 00000230 07d2684a - 3084030 ns IT 00000230 684a LDR r2,[r1,#4] - 3084050 ns MR4_I 00000234 6008d1fc - 3084110 ns MR4_D 40006004 00000001 - 3084110 ns R r2 00000001 - 3084110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3084130 ns R r2 80000000 - 3084130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3084150 ns R psr 81000200 - 3084150 ns MR4_I 00000238 48054770 - 3084170 ns MR4_I 00000230 07d2684a - 3084190 ns IT 00000230 684a LDR r2,[r1,#4] - 3084210 ns MR4_I 00000234 6008d1fc - 3084270 ns MR4_D 40006004 00000001 - 3084270 ns R r2 00000001 - 3084270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3084290 ns R r2 80000000 - 3084290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3084310 ns R psr 81000200 - 3084310 ns MR4_I 00000238 48054770 - 3084330 ns MR4_I 00000230 07d2684a - 3084350 ns IT 00000230 684a LDR r2,[r1,#4] - 3084370 ns MR4_I 00000234 6008d1fc - 3084430 ns MR4_D 40006004 00000001 - 3084430 ns R r2 00000001 - 3084430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3084450 ns R r2 80000000 - 3084450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3084470 ns R psr 81000200 - 3084470 ns MR4_I 00000238 48054770 - 3084490 ns MR4_I 00000230 07d2684a - 3084510 ns IT 00000230 684a LDR r2,[r1,#4] - 3084530 ns MR4_I 00000234 6008d1fc - 3084590 ns MR4_D 40006004 00000001 - 3084590 ns R r2 00000001 - 3084590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3084610 ns R r2 80000000 - 3084610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3084630 ns R psr 81000200 - 3084630 ns MR4_I 00000238 48054770 - 3084650 ns MR4_I 00000230 07d2684a - 3084670 ns IT 00000230 684a LDR r2,[r1,#4] - 3084690 ns MR4_I 00000234 6008d1fc - 3084750 ns MR4_D 40006004 00000001 - 3084750 ns R r2 00000001 - 3084750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3084770 ns R r2 80000000 - 3084770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3084790 ns R psr 81000200 - 3084790 ns MR4_I 00000238 48054770 - 3084810 ns MR4_I 00000230 07d2684a - 3084830 ns IT 00000230 684a LDR r2,[r1,#4] - 3084850 ns MR4_I 00000234 6008d1fc - 3084910 ns MR4_D 40006004 00000001 - 3084910 ns R r2 00000001 - 3084910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3084930 ns R r2 80000000 - 3084930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3084950 ns R psr 81000200 - 3084950 ns MR4_I 00000238 48054770 - 3084970 ns MR4_I 00000230 07d2684a - 3084990 ns IT 00000230 684a LDR r2,[r1,#4] - 3085010 ns MR4_I 00000234 6008d1fc - 3085070 ns MR4_D 40006004 00000001 - 3085070 ns R r2 00000001 - 3085070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3085090 ns R r2 80000000 - 3085090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3085110 ns R psr 81000200 - 3085110 ns MR4_I 00000238 48054770 - 3085130 ns MR4_I 00000230 07d2684a - 3085150 ns IT 00000230 684a LDR r2,[r1,#4] - 3085170 ns MR4_I 00000234 6008d1fc - 3085230 ns MR4_D 40006004 00000001 - 3085230 ns R r2 00000001 - 3085230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3085250 ns R r2 80000000 - 3085250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3085270 ns R psr 81000200 - 3085270 ns MR4_I 00000238 48054770 - 3085290 ns MR4_I 00000230 07d2684a - 3085310 ns IT 00000230 684a LDR r2,[r1,#4] - 3085330 ns MR4_I 00000234 6008d1fc - 3085390 ns MR4_D 40006004 00000001 - 3085390 ns R r2 00000001 - 3085390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3085410 ns R r2 80000000 - 3085410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3085430 ns R psr 81000200 - 3085430 ns MR4_I 00000238 48054770 - 3085450 ns MR4_I 00000230 07d2684a - 3085470 ns IT 00000230 684a LDR r2,[r1,#4] - 3085490 ns MR4_I 00000234 6008d1fc - 3085550 ns MR4_D 40006004 00000001 - 3085550 ns R r2 00000001 - 3085550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3085570 ns R r2 80000000 - 3085570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3085590 ns R psr 81000200 - 3085590 ns MR4_I 00000238 48054770 - 3085610 ns MR4_I 00000230 07d2684a - 3085630 ns IT 00000230 684a LDR r2,[r1,#4] - 3085650 ns MR4_I 00000234 6008d1fc - 3085710 ns MR4_D 40006004 00000001 - 3085710 ns R r2 00000001 - 3085710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3085730 ns R r2 80000000 - 3085730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3085750 ns R psr 81000200 - 3085750 ns MR4_I 00000238 48054770 - 3085770 ns MR4_I 00000230 07d2684a - 3085790 ns IT 00000230 684a LDR r2,[r1,#4] - 3085810 ns MR4_I 00000234 6008d1fc - 3085870 ns MR4_D 40006004 00000001 - 3085870 ns R r2 00000001 - 3085870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3085890 ns R r2 80000000 - 3085890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3085910 ns R psr 81000200 - 3085910 ns MR4_I 00000238 48054770 - 3085930 ns MR4_I 00000230 07d2684a - 3085950 ns IT 00000230 684a LDR r2,[r1,#4] - 3085970 ns MR4_I 00000234 6008d1fc - 3086030 ns MR4_D 40006004 00000001 - 3086030 ns R r2 00000001 - 3086030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3086050 ns R r2 80000000 - 3086050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3086070 ns R psr 81000200 - 3086070 ns MR4_I 00000238 48054770 - 3086090 ns MR4_I 00000230 07d2684a - 3086110 ns IT 00000230 684a LDR r2,[r1,#4] - 3086130 ns MR4_I 00000234 6008d1fc - 3086190 ns MR4_D 40006004 00000001 - 3086190 ns R r2 00000001 - 3086190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3086210 ns R r2 80000000 - 3086210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3086230 ns R psr 81000200 - 3086230 ns MR4_I 00000238 48054770 - 3086250 ns MR4_I 00000230 07d2684a - 3086270 ns IT 00000230 684a LDR r2,[r1,#4] - 3086290 ns MR4_I 00000234 6008d1fc - 3086350 ns MR4_D 40006004 00000001 - 3086350 ns R r2 00000001 - 3086350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3086370 ns R r2 80000000 - 3086370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3086390 ns R psr 81000200 - 3086390 ns MR4_I 00000238 48054770 - 3086410 ns MR4_I 00000230 07d2684a - 3086430 ns IT 00000230 684a LDR r2,[r1,#4] - 3086450 ns MR4_I 00000234 6008d1fc - 3086510 ns MR4_D 40006004 00000001 - 3086510 ns R r2 00000001 - 3086510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3086530 ns R r2 80000000 - 3086530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3086550 ns R psr 81000200 - 3086550 ns MR4_I 00000238 48054770 - 3086570 ns MR4_I 00000230 07d2684a - 3086590 ns IT 00000230 684a LDR r2,[r1,#4] - 3086610 ns MR4_I 00000234 6008d1fc - 3086670 ns MR4_D 40006004 00000001 - 3086670 ns R r2 00000001 - 3086670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3086690 ns R r2 80000000 - 3086690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3086710 ns R psr 81000200 - 3086710 ns MR4_I 00000238 48054770 - 3086730 ns MR4_I 00000230 07d2684a - 3086750 ns IT 00000230 684a LDR r2,[r1,#4] - 3086770 ns MR4_I 00000234 6008d1fc - 3086830 ns MR4_D 40006004 00000001 - 3086830 ns R r2 00000001 - 3086830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3086850 ns R r2 80000000 - 3086850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3086870 ns R psr 81000200 - 3086870 ns MR4_I 00000238 48054770 - 3086890 ns MR4_I 00000230 07d2684a - 3086910 ns IT 00000230 684a LDR r2,[r1,#4] - 3086930 ns MR4_I 00000234 6008d1fc - 3086990 ns MR4_D 40006004 00000001 - 3086990 ns R r2 00000001 - 3086990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3087010 ns R r2 80000000 - 3087010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3087030 ns R psr 81000200 - 3087030 ns MR4_I 00000238 48054770 - 3087050 ns MR4_I 00000230 07d2684a - 3087070 ns IT 00000230 684a LDR r2,[r1,#4] - 3087090 ns MR4_I 00000234 6008d1fc - 3087150 ns MR4_D 40006004 00000001 - 3087150 ns R r2 00000001 - 3087150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3087170 ns R r2 80000000 - 3087170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3087190 ns R psr 81000200 - 3087190 ns MR4_I 00000238 48054770 - 3087210 ns MR4_I 00000230 07d2684a - 3087230 ns IT 00000230 684a LDR r2,[r1,#4] - 3087250 ns MR4_I 00000234 6008d1fc - 3087310 ns MR4_D 40006004 00000001 - 3087310 ns R r2 00000001 - 3087310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3087330 ns R r2 80000000 - 3087330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3087350 ns R psr 81000200 - 3087350 ns MR4_I 00000238 48054770 - 3087370 ns MR4_I 00000230 07d2684a - 3087390 ns IT 00000230 684a LDR r2,[r1,#4] - 3087410 ns MR4_I 00000234 6008d1fc - 3087470 ns MR4_D 40006004 00000001 - 3087470 ns R r2 00000001 - 3087470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3087490 ns R r2 80000000 - 3087490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3087510 ns R psr 81000200 - 3087510 ns MR4_I 00000238 48054770 - 3087530 ns MR4_I 00000230 07d2684a - 3087550 ns IT 00000230 684a LDR r2,[r1,#4] - 3087570 ns MR4_I 00000234 6008d1fc - 3087630 ns MR4_D 40006004 00000001 - 3087630 ns R r2 00000001 - 3087630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3087650 ns R r2 80000000 - 3087650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3087670 ns R psr 81000200 - 3087670 ns MR4_I 00000238 48054770 - 3087690 ns MR4_I 00000230 07d2684a - 3087710 ns IT 00000230 684a LDR r2,[r1,#4] - 3087730 ns MR4_I 00000234 6008d1fc - 3087790 ns MR4_D 40006004 00000001 - 3087790 ns R r2 00000001 - 3087790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3087810 ns R r2 80000000 - 3087810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3087830 ns R psr 81000200 - 3087830 ns MR4_I 00000238 48054770 - 3087850 ns MR4_I 00000230 07d2684a - 3087870 ns IT 00000230 684a LDR r2,[r1,#4] - 3087890 ns MR4_I 00000234 6008d1fc - 3087950 ns MR4_D 40006004 00000001 - 3087950 ns R r2 00000001 - 3087950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3087970 ns R r2 80000000 - 3087970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3087990 ns R psr 81000200 - 3087990 ns MR4_I 00000238 48054770 - 3088010 ns MR4_I 00000230 07d2684a - 3088030 ns IT 00000230 684a LDR r2,[r1,#4] - 3088050 ns MR4_I 00000234 6008d1fc - 3088110 ns MR4_D 40006004 00000001 - 3088110 ns R r2 00000001 - 3088110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3088130 ns R r2 80000000 - 3088130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3088150 ns R psr 81000200 - 3088150 ns MR4_I 00000238 48054770 - 3088170 ns MR4_I 00000230 07d2684a - 3088190 ns IT 00000230 684a LDR r2,[r1,#4] - 3088210 ns MR4_I 00000234 6008d1fc - 3088270 ns MR4_D 40006004 00000001 - 3088270 ns R r2 00000001 - 3088270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3088290 ns R r2 80000000 - 3088290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3088310 ns R psr 81000200 - 3088310 ns MR4_I 00000238 48054770 - 3088330 ns MR4_I 00000230 07d2684a - 3088350 ns IT 00000230 684a LDR r2,[r1,#4] - 3088370 ns MR4_I 00000234 6008d1fc - 3088430 ns MR4_D 40006004 00000001 - 3088430 ns R r2 00000001 - 3088430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3088450 ns R r2 80000000 - 3088450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3088470 ns R psr 81000200 - 3088470 ns MR4_I 00000238 48054770 - 3088490 ns MR4_I 00000230 07d2684a - 3088510 ns IT 00000230 684a LDR r2,[r1,#4] - 3088530 ns MR4_I 00000234 6008d1fc - 3088590 ns MR4_D 40006004 00000001 - 3088590 ns R r2 00000001 - 3088590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3088610 ns R r2 80000000 - 3088610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3088630 ns R psr 81000200 - 3088630 ns MR4_I 00000238 48054770 - 3088650 ns MR4_I 00000230 07d2684a - 3088670 ns IT 00000230 684a LDR r2,[r1,#4] - 3088690 ns MR4_I 00000234 6008d1fc - 3088750 ns MR4_D 40006004 00000001 - 3088750 ns R r2 00000001 - 3088750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3088770 ns R r2 80000000 - 3088770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3088790 ns R psr 81000200 - 3088790 ns MR4_I 00000238 48054770 - 3088810 ns MR4_I 00000230 07d2684a - 3088830 ns IT 00000230 684a LDR r2,[r1,#4] - 3088850 ns MR4_I 00000234 6008d1fc - 3088910 ns MR4_D 40006004 00000001 - 3088910 ns R r2 00000001 - 3088910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3088930 ns R r2 80000000 - 3088930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3088950 ns R psr 81000200 - 3088950 ns MR4_I 00000238 48054770 - 3088970 ns MR4_I 00000230 07d2684a - 3088990 ns IT 00000230 684a LDR r2,[r1,#4] - 3089010 ns MR4_I 00000234 6008d1fc - 3089070 ns MR4_D 40006004 00000001 - 3089070 ns R r2 00000001 - 3089070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3089090 ns R r2 80000000 - 3089090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3089110 ns R psr 81000200 - 3089110 ns MR4_I 00000238 48054770 - 3089130 ns MR4_I 00000230 07d2684a - 3089150 ns IT 00000230 684a LDR r2,[r1,#4] - 3089170 ns MR4_I 00000234 6008d1fc - 3089230 ns MR4_D 40006004 00000001 - 3089230 ns R r2 00000001 - 3089230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3089250 ns R r2 80000000 - 3089250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3089270 ns R psr 81000200 - 3089270 ns MR4_I 00000238 48054770 - 3089290 ns MR4_I 00000230 07d2684a - 3089310 ns IT 00000230 684a LDR r2,[r1,#4] - 3089330 ns MR4_I 00000234 6008d1fc - 3089390 ns MR4_D 40006004 00000001 - 3089390 ns R r2 00000001 - 3089390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3089410 ns R r2 80000000 - 3089410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3089430 ns R psr 81000200 - 3089430 ns MR4_I 00000238 48054770 - 3089450 ns MR4_I 00000230 07d2684a - 3089470 ns IT 00000230 684a LDR r2,[r1,#4] - 3089490 ns MR4_I 00000234 6008d1fc - 3089550 ns MR4_D 40006004 00000001 - 3089550 ns R r2 00000001 - 3089550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3089570 ns R r2 80000000 - 3089570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3089590 ns R psr 81000200 - 3089590 ns MR4_I 00000238 48054770 - 3089610 ns MR4_I 00000230 07d2684a - 3089630 ns IT 00000230 684a LDR r2,[r1,#4] - 3089650 ns MR4_I 00000234 6008d1fc - 3089710 ns MR4_D 40006004 00000001 - 3089710 ns R r2 00000001 - 3089710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3089730 ns R r2 80000000 - 3089730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3089750 ns R psr 81000200 - 3089750 ns MR4_I 00000238 48054770 - 3089770 ns MR4_I 00000230 07d2684a - 3089790 ns IT 00000230 684a LDR r2,[r1,#4] - 3089810 ns MR4_I 00000234 6008d1fc - 3089870 ns MR4_D 40006004 00000001 - 3089870 ns R r2 00000001 - 3089870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3089890 ns R r2 80000000 - 3089890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3089910 ns R psr 81000200 - 3089910 ns MR4_I 00000238 48054770 - 3089930 ns MR4_I 00000230 07d2684a - 3089950 ns IT 00000230 684a LDR r2,[r1,#4] - 3089970 ns MR4_I 00000234 6008d1fc - 3090030 ns MR4_D 40006004 00000001 - 3090030 ns R r2 00000001 - 3090030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3090050 ns R r2 80000000 - 3090050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3090070 ns R psr 81000200 - 3090070 ns MR4_I 00000238 48054770 - 3090090 ns MR4_I 00000230 07d2684a - 3090110 ns IT 00000230 684a LDR r2,[r1,#4] - 3090130 ns MR4_I 00000234 6008d1fc - 3090190 ns MR4_D 40006004 00000001 - 3090190 ns R r2 00000001 - 3090190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3090210 ns R r2 80000000 - 3090210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3090230 ns R psr 81000200 - 3090230 ns MR4_I 00000238 48054770 - 3090250 ns MR4_I 00000230 07d2684a - 3090270 ns IT 00000230 684a LDR r2,[r1,#4] - 3090290 ns MR4_I 00000234 6008d1fc - 3090350 ns MR4_D 40006004 00000001 - 3090350 ns R r2 00000001 - 3090350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3090370 ns R r2 80000000 - 3090370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3090390 ns R psr 81000200 - 3090390 ns MR4_I 00000238 48054770 - 3090410 ns MR4_I 00000230 07d2684a - 3090430 ns IT 00000230 684a LDR r2,[r1,#4] - 3090450 ns MR4_I 00000234 6008d1fc - 3090510 ns MR4_D 40006004 00000001 - 3090510 ns R r2 00000001 - 3090510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3090530 ns R r2 80000000 - 3090530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3090550 ns R psr 81000200 - 3090550 ns MR4_I 00000238 48054770 - 3090570 ns MR4_I 00000230 07d2684a - 3090590 ns IT 00000230 684a LDR r2,[r1,#4] - 3090610 ns MR4_I 00000234 6008d1fc - 3090670 ns MR4_D 40006004 00000001 - 3090670 ns R r2 00000001 - 3090670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3090690 ns R r2 80000000 - 3090690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3090710 ns R psr 81000200 - 3090710 ns MR4_I 00000238 48054770 - 3090730 ns MR4_I 00000230 07d2684a - 3090750 ns IT 00000230 684a LDR r2,[r1,#4] - 3090770 ns MR4_I 00000234 6008d1fc - 3090830 ns MR4_D 40006004 00000001 - 3090830 ns R r2 00000001 - 3090830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3090850 ns R r2 80000000 - 3090850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3090870 ns R psr 81000200 - 3090870 ns MR4_I 00000238 48054770 - 3090890 ns MR4_I 00000230 07d2684a - 3090910 ns IT 00000230 684a LDR r2,[r1,#4] - 3090930 ns MR4_I 00000234 6008d1fc - 3090990 ns MR4_D 40006004 00000001 - 3090990 ns R r2 00000001 - 3090990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3091010 ns R r2 80000000 - 3091010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3091030 ns R psr 81000200 - 3091030 ns MR4_I 00000238 48054770 - 3091050 ns MR4_I 00000230 07d2684a - 3091070 ns IT 00000230 684a LDR r2,[r1,#4] - 3091090 ns MR4_I 00000234 6008d1fc - 3091150 ns MR4_D 40006004 00000001 - 3091150 ns R r2 00000001 - 3091150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3091170 ns R r2 80000000 - 3091170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3091190 ns R psr 81000200 - 3091190 ns MR4_I 00000238 48054770 - 3091210 ns MR4_I 00000230 07d2684a - 3091230 ns IT 00000230 684a LDR r2,[r1,#4] - 3091250 ns MR4_I 00000234 6008d1fc - 3091310 ns MR4_D 40006004 00000001 - 3091310 ns R r2 00000001 - 3091310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3091330 ns R r2 80000000 - 3091330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3091350 ns R psr 81000200 - 3091350 ns MR4_I 00000238 48054770 - 3091370 ns MR4_I 00000230 07d2684a - 3091390 ns IT 00000230 684a LDR r2,[r1,#4] - 3091410 ns MR4_I 00000234 6008d1fc - 3091470 ns MR4_D 40006004 00000001 - 3091470 ns R r2 00000001 - 3091470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3091490 ns R r2 80000000 - 3091490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3091510 ns R psr 81000200 - 3091510 ns MR4_I 00000238 48054770 - 3091530 ns MR4_I 00000230 07d2684a - 3091550 ns IT 00000230 684a LDR r2,[r1,#4] - 3091570 ns MR4_I 00000234 6008d1fc - 3091630 ns MR4_D 40006004 00000001 - 3091630 ns R r2 00000001 - 3091630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3091650 ns R r2 80000000 - 3091650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3091670 ns R psr 81000200 - 3091670 ns MR4_I 00000238 48054770 - 3091690 ns MR4_I 00000230 07d2684a - 3091710 ns IT 00000230 684a LDR r2,[r1,#4] - 3091730 ns MR4_I 00000234 6008d1fc - 3091790 ns MR4_D 40006004 00000001 - 3091790 ns R r2 00000001 - 3091790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3091810 ns R r2 80000000 - 3091810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3091830 ns R psr 81000200 - 3091830 ns MR4_I 00000238 48054770 - 3091850 ns MR4_I 00000230 07d2684a - 3091870 ns IT 00000230 684a LDR r2,[r1,#4] - 3091890 ns MR4_I 00000234 6008d1fc - 3091950 ns MR4_D 40006004 00000001 - 3091950 ns R r2 00000001 - 3091950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3091970 ns R r2 80000000 - 3091970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3091990 ns R psr 81000200 - 3091990 ns MR4_I 00000238 48054770 - 3092010 ns MR4_I 00000230 07d2684a - 3092030 ns IT 00000230 684a LDR r2,[r1,#4] - 3092050 ns MR4_I 00000234 6008d1fc - 3092110 ns MR4_D 40006004 00000001 - 3092110 ns R r2 00000001 - 3092110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3092130 ns R r2 80000000 - 3092130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3092150 ns R psr 81000200 - 3092150 ns MR4_I 00000238 48054770 - 3092170 ns MR4_I 00000230 07d2684a - 3092190 ns IT 00000230 684a LDR r2,[r1,#4] - 3092210 ns MR4_I 00000234 6008d1fc - 3092270 ns MR4_D 40006004 00000001 - 3092270 ns R r2 00000001 - 3092270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3092290 ns R r2 80000000 - 3092290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3092310 ns R psr 81000200 - 3092310 ns MR4_I 00000238 48054770 - 3092330 ns MR4_I 00000230 07d2684a - 3092350 ns IT 00000230 684a LDR r2,[r1,#4] - 3092370 ns MR4_I 00000234 6008d1fc - 3092430 ns MR4_D 40006004 00000001 - 3092430 ns R r2 00000001 - 3092430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3092450 ns R r2 80000000 - 3092450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3092470 ns R psr 81000200 - 3092470 ns MR4_I 00000238 48054770 - 3092490 ns MR4_I 00000230 07d2684a - 3092510 ns IT 00000230 684a LDR r2,[r1,#4] - 3092530 ns MR4_I 00000234 6008d1fc - 3092590 ns MR4_D 40006004 00000001 - 3092590 ns R r2 00000001 - 3092590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3092610 ns R r2 80000000 - 3092610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3092630 ns R psr 81000200 - 3092630 ns MR4_I 00000238 48054770 - 3092650 ns MR4_I 00000230 07d2684a - 3092670 ns IT 00000230 684a LDR r2,[r1,#4] - 3092690 ns MR4_I 00000234 6008d1fc - 3092750 ns MR4_D 40006004 00000001 - 3092750 ns R r2 00000001 - 3092750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3092770 ns R r2 80000000 - 3092770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3092790 ns R psr 81000200 - 3092790 ns MR4_I 00000238 48054770 - 3092810 ns MR4_I 00000230 07d2684a - 3092830 ns IT 00000230 684a LDR r2,[r1,#4] - 3092850 ns MR4_I 00000234 6008d1fc - 3092910 ns MR4_D 40006004 00000001 - 3092910 ns R r2 00000001 - 3092910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3092930 ns R r2 80000000 - 3092930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3092950 ns R psr 81000200 - 3092950 ns MR4_I 00000238 48054770 - 3092970 ns MR4_I 00000230 07d2684a - 3092990 ns IT 00000230 684a LDR r2,[r1,#4] - 3093010 ns MR4_I 00000234 6008d1fc - 3093070 ns MR4_D 40006004 00000001 - 3093070 ns R r2 00000001 - 3093070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3093090 ns R r2 80000000 - 3093090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3093110 ns R psr 81000200 - 3093110 ns MR4_I 00000238 48054770 - 3093130 ns MR4_I 00000230 07d2684a - 3093150 ns IT 00000230 684a LDR r2,[r1,#4] - 3093170 ns MR4_I 00000234 6008d1fc - 3093230 ns MR4_D 40006004 00000001 - 3093230 ns R r2 00000001 - 3093230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3093250 ns R r2 80000000 - 3093250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3093270 ns R psr 81000200 - 3093270 ns MR4_I 00000238 48054770 - 3093290 ns MR4_I 00000230 07d2684a - 3093310 ns IT 00000230 684a LDR r2,[r1,#4] - 3093330 ns MR4_I 00000234 6008d1fc - 3093390 ns MR4_D 40006004 00000001 - 3093390 ns R r2 00000001 - 3093390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3093410 ns R r2 80000000 - 3093410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3093430 ns R psr 81000200 - 3093430 ns MR4_I 00000238 48054770 - 3093450 ns MR4_I 00000230 07d2684a - 3093470 ns IT 00000230 684a LDR r2,[r1,#4] - 3093490 ns MR4_I 00000234 6008d1fc - 3093550 ns MR4_D 40006004 00000001 - 3093550 ns R r2 00000001 - 3093550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3093570 ns R r2 80000000 - 3093570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3093590 ns R psr 81000200 - 3093590 ns MR4_I 00000238 48054770 - 3093610 ns MR4_I 00000230 07d2684a - 3093630 ns IT 00000230 684a LDR r2,[r1,#4] - 3093650 ns MR4_I 00000234 6008d1fc - 3093710 ns MR4_D 40006004 00000001 - 3093710 ns R r2 00000001 - 3093710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3093730 ns R r2 80000000 - 3093730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3093750 ns R psr 81000200 - 3093750 ns MR4_I 00000238 48054770 - 3093770 ns MR4_I 00000230 07d2684a - 3093790 ns IT 00000230 684a LDR r2,[r1,#4] - 3093810 ns MR4_I 00000234 6008d1fc - 3093870 ns MR4_D 40006004 00000001 - 3093870 ns R r2 00000001 - 3093870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3093890 ns R r2 80000000 - 3093890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3093910 ns R psr 81000200 - 3093910 ns MR4_I 00000238 48054770 - 3093930 ns MR4_I 00000230 07d2684a - 3093950 ns IT 00000230 684a LDR r2,[r1,#4] - 3093970 ns MR4_I 00000234 6008d1fc - 3094030 ns MR4_D 40006004 00000001 - 3094030 ns R r2 00000001 - 3094030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3094050 ns R r2 80000000 - 3094050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3094070 ns R psr 81000200 - 3094070 ns MR4_I 00000238 48054770 - 3094090 ns MR4_I 00000230 07d2684a - 3094110 ns IT 00000230 684a LDR r2,[r1,#4] - 3094130 ns MR4_I 00000234 6008d1fc - 3094190 ns MR4_D 40006004 00000001 - 3094190 ns R r2 00000001 - 3094190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3094210 ns R r2 80000000 - 3094210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3094230 ns R psr 81000200 - 3094230 ns MR4_I 00000238 48054770 - 3094250 ns MR4_I 00000230 07d2684a - 3094270 ns IT 00000230 684a LDR r2,[r1,#4] - 3094290 ns MR4_I 00000234 6008d1fc - 3094350 ns MR4_D 40006004 00000001 - 3094350 ns R r2 00000001 - 3094350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3094370 ns R r2 80000000 - 3094370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3094390 ns R psr 81000200 - 3094390 ns MR4_I 00000238 48054770 - 3094410 ns MR4_I 00000230 07d2684a - 3094430 ns IT 00000230 684a LDR r2,[r1,#4] - 3094450 ns MR4_I 00000234 6008d1fc - 3094510 ns MR4_D 40006004 00000001 - 3094510 ns R r2 00000001 - 3094510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3094530 ns R r2 80000000 - 3094530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3094550 ns R psr 81000200 - 3094550 ns MR4_I 00000238 48054770 - 3094570 ns MR4_I 00000230 07d2684a - 3094590 ns IT 00000230 684a LDR r2,[r1,#4] - 3094610 ns MR4_I 00000234 6008d1fc - 3094670 ns MR4_D 40006004 00000001 - 3094670 ns R r2 00000001 - 3094670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3094690 ns R r2 80000000 - 3094690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3094710 ns R psr 81000200 - 3094710 ns MR4_I 00000238 48054770 - 3094730 ns MR4_I 00000230 07d2684a - 3094750 ns IT 00000230 684a LDR r2,[r1,#4] - 3094770 ns MR4_I 00000234 6008d1fc - 3094830 ns MR4_D 40006004 00000001 - 3094830 ns R r2 00000001 - 3094830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3094850 ns R r2 80000000 - 3094850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3094870 ns R psr 81000200 - 3094870 ns MR4_I 00000238 48054770 - 3094890 ns MR4_I 00000230 07d2684a - 3094910 ns IT 00000230 684a LDR r2,[r1,#4] - 3094930 ns MR4_I 00000234 6008d1fc - 3094990 ns MR4_D 40006004 00000001 - 3094990 ns R r2 00000001 - 3094990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3095010 ns R r2 80000000 - 3095010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3095030 ns R psr 81000200 - 3095030 ns MR4_I 00000238 48054770 - 3095050 ns MR4_I 00000230 07d2684a - 3095070 ns IT 00000230 684a LDR r2,[r1,#4] - 3095090 ns MR4_I 00000234 6008d1fc - 3095150 ns MR4_D 40006004 00000000 - 3095150 ns R r2 00000000 - 3095150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3095170 ns R r2 00000000 - 3095170 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3095190 ns R psr 41000200 - 3095190 ns MR4_I 00000238 48054770 - 3095190 ns IT 00000236 6008 STR r0,[r1,#0] - 3095270 ns MW4_D 40006000 0000002a - 3095270 ns IT 00000238 4770 BX lr - 3095290 ns MR4_I 0000023c 07896841 - 3095310 ns R psr 41000200 - 3095310 ns MR4_I 000001fc b510bd10 - 3095330 ns IT 000001fc bd10 POP {r4,pc} - 3095350 ns MR4_I 00000200 f81bf000 - 3095350 ns R r13 200002e0 (MSP) - 3095370 ns MR4_D 200002d8 0000000f - 3095370 ns R r4 0000000f - 3095390 ns MR4_D 200002dc 0000032d - 3095410 ns R psr 41000200 - 3095430 ns MR4_I 0000032c 46301c64 - 3095450 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 3095470 ns MR4_I 00000330 280047a8 - 3095470 ns R r4 00000010 - 3095470 ns IT 0000032e 4630 MOV r0,r6 - 3095490 ns R psr 01000200 - 3095490 ns R r0 200002f8 - 3095490 ns IT 00000330 47a8 BLX r5 - 3095510 ns MR4_I 00000334 4620d1f8 - 3095530 ns R psr 01000200 - 3095530 ns MR4_I 000002a8 1c4a6901 - 3095530 ns R r14 00000333 - 3095550 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 3095570 ns MR4_I 000002ac 78086102 - 3095590 ns MR4_D 20000308 000001a0 - 3095590 ns R r1 000001a0 - 3095590 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 3095610 ns R r2 000001a1 - 3095610 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 3095630 ns R psr 01000200 - 3095630 ns MR4_I 000002b0 b5004770 - 3095650 ns MW4_D 20000308 000001a1 - 3095650 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 3095690 ns MR1_D 000001a0 00000a2a - 3095690 ns R r0 0000002a - 3095690 ns IT 000002b0 4770 BX lr - 3095710 ns MR4_I 000002b4 9102b08f - 3095730 ns R psr 01000200 - 3095730 ns MR4_I 00000330 280047a8 - 3095750 ns MR4_I 00000334 4620d1f8 - 3095750 ns IT 00000332 2800 CMP r0,#0 - 3095770 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 3095790 ns R psr 21000200 - 3095790 ns MR4_I 00000338 b510bdf8 - 3095810 ns MR4_I 00000328 47b89900 - 3095830 ns IT 00000328 9900 LDR r1,[sp,#0] - 3095850 ns MR4_I 0000032c 46301c64 - 3095870 ns MR4_D 200002e0 20000004 - 3095870 ns R r1 20000004 - 3095870 ns IT 0000032a 47b8 BLX r7 - 3095910 ns R psr 21000200 - 3095910 ns MR4_I 000001f4 b2c0b510 - 3095910 ns R r14 0000032d - 3095930 ns IT 000001f4 b510 PUSH {r4,lr} - 3095950 ns MR4_I 000001f8 f819f000 - 3095970 ns MW4_D 200002d8 00000010 - 3095990 ns MW4_D 200002dc 0000032d - 3095990 ns R r13 200002d8 (MSP) - 3095990 ns IT 000001f6 b2c0 UXTB r0,r0 - 3096010 ns R r0 0000002a - 3096010 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 3096030 ns MR4_I 000001fc b510bd10 - 3096050 ns R r14 000001fd - 3096070 ns MR4_I 0000022c 49084770 - 3096090 ns MR4_I 00000230 07d2684a - 3096090 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 3096130 ns MR4_D 00000250 40006000 - 3096130 ns R r1 40006000 - 3096130 ns IT 00000230 684a LDR r2,[r1,#4] - 3096150 ns MR4_I 00000234 6008d1fc - 3096210 ns MR4_D 40006004 00000001 - 3096210 ns R r2 00000001 - 3096210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3096230 ns R r2 80000000 - 3096230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3096250 ns R psr 81000200 - 3096250 ns MR4_I 00000238 48054770 - 3096270 ns MR4_I 00000230 07d2684a - 3096290 ns IT 00000230 684a LDR r2,[r1,#4] - 3096310 ns MR4_I 00000234 6008d1fc - 3096370 ns MR4_D 40006004 00000001 - 3096370 ns R r2 00000001 - 3096370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3096390 ns R r2 80000000 - 3096390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3096410 ns R psr 81000200 - 3096410 ns MR4_I 00000238 48054770 - 3096430 ns MR4_I 00000230 07d2684a - 3096450 ns IT 00000230 684a LDR r2,[r1,#4] - 3096470 ns MR4_I 00000234 6008d1fc - 3096530 ns MR4_D 40006004 00000001 - 3096530 ns R r2 00000001 - 3096530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3096550 ns R r2 80000000 - 3096550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3096570 ns R psr 81000200 - 3096570 ns MR4_I 00000238 48054770 - 3096590 ns MR4_I 00000230 07d2684a - 3096610 ns IT 00000230 684a LDR r2,[r1,#4] - 3096630 ns MR4_I 00000234 6008d1fc - 3096690 ns MR4_D 40006004 00000001 - 3096690 ns R r2 00000001 - 3096690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3096710 ns R r2 80000000 - 3096710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3096730 ns R psr 81000200 - 3096730 ns MR4_I 00000238 48054770 - 3096750 ns MR4_I 00000230 07d2684a - 3096770 ns IT 00000230 684a LDR r2,[r1,#4] - 3096790 ns MR4_I 00000234 6008d1fc - 3096850 ns MR4_D 40006004 00000001 - 3096850 ns R r2 00000001 - 3096850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3096870 ns R r2 80000000 - 3096870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3096890 ns R psr 81000200 - 3096890 ns MR4_I 00000238 48054770 - 3096910 ns MR4_I 00000230 07d2684a - 3096930 ns IT 00000230 684a LDR r2,[r1,#4] - 3096950 ns MR4_I 00000234 6008d1fc - 3097010 ns MR4_D 40006004 00000001 - 3097010 ns R r2 00000001 - 3097010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3097030 ns R r2 80000000 - 3097030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3097050 ns R psr 81000200 - 3097050 ns MR4_I 00000238 48054770 - 3097070 ns MR4_I 00000230 07d2684a - 3097090 ns IT 00000230 684a LDR r2,[r1,#4] - 3097110 ns MR4_I 00000234 6008d1fc - 3097170 ns MR4_D 40006004 00000001 - 3097170 ns R r2 00000001 - 3097170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3097190 ns R r2 80000000 - 3097190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3097210 ns R psr 81000200 - 3097210 ns MR4_I 00000238 48054770 - 3097230 ns MR4_I 00000230 07d2684a - 3097250 ns IT 00000230 684a LDR r2,[r1,#4] - 3097270 ns MR4_I 00000234 6008d1fc - 3097330 ns MR4_D 40006004 00000001 - 3097330 ns R r2 00000001 - 3097330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3097350 ns R r2 80000000 - 3097350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3097370 ns R psr 81000200 - 3097370 ns MR4_I 00000238 48054770 - 3097390 ns MR4_I 00000230 07d2684a - 3097410 ns IT 00000230 684a LDR r2,[r1,#4] - 3097430 ns MR4_I 00000234 6008d1fc - 3097490 ns MR4_D 40006004 00000001 - 3097490 ns R r2 00000001 - 3097490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3097510 ns R r2 80000000 - 3097510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3097530 ns R psr 81000200 - 3097530 ns MR4_I 00000238 48054770 - 3097550 ns MR4_I 00000230 07d2684a - 3097570 ns IT 00000230 684a LDR r2,[r1,#4] - 3097590 ns MR4_I 00000234 6008d1fc - 3097650 ns MR4_D 40006004 00000001 - 3097650 ns R r2 00000001 - 3097650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3097670 ns R r2 80000000 - 3097670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3097690 ns R psr 81000200 - 3097690 ns MR4_I 00000238 48054770 - 3097710 ns MR4_I 00000230 07d2684a - 3097730 ns IT 00000230 684a LDR r2,[r1,#4] - 3097750 ns MR4_I 00000234 6008d1fc - 3097810 ns MR4_D 40006004 00000001 - 3097810 ns R r2 00000001 - 3097810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3097830 ns R r2 80000000 - 3097830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3097850 ns R psr 81000200 - 3097850 ns MR4_I 00000238 48054770 - 3097870 ns MR4_I 00000230 07d2684a - 3097890 ns IT 00000230 684a LDR r2,[r1,#4] - 3097910 ns MR4_I 00000234 6008d1fc - 3097970 ns MR4_D 40006004 00000001 - 3097970 ns R r2 00000001 - 3097970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3097990 ns R r2 80000000 - 3097990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3098010 ns R psr 81000200 - 3098010 ns MR4_I 00000238 48054770 - 3098030 ns MR4_I 00000230 07d2684a - 3098050 ns IT 00000230 684a LDR r2,[r1,#4] - 3098070 ns MR4_I 00000234 6008d1fc - 3098130 ns MR4_D 40006004 00000001 - 3098130 ns R r2 00000001 - 3098130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3098150 ns R r2 80000000 - 3098150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3098170 ns R psr 81000200 - 3098170 ns MR4_I 00000238 48054770 - 3098190 ns MR4_I 00000230 07d2684a - 3098210 ns IT 00000230 684a LDR r2,[r1,#4] - 3098230 ns MR4_I 00000234 6008d1fc - 3098290 ns MR4_D 40006004 00000001 - 3098290 ns R r2 00000001 - 3098290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3098310 ns R r2 80000000 - 3098310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3098330 ns R psr 81000200 - 3098330 ns MR4_I 00000238 48054770 - 3098350 ns MR4_I 00000230 07d2684a - 3098370 ns IT 00000230 684a LDR r2,[r1,#4] - 3098390 ns MR4_I 00000234 6008d1fc - 3098450 ns MR4_D 40006004 00000001 - 3098450 ns R r2 00000001 - 3098450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3098470 ns R r2 80000000 - 3098470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3098490 ns R psr 81000200 - 3098490 ns MR4_I 00000238 48054770 - 3098510 ns MR4_I 00000230 07d2684a - 3098530 ns IT 00000230 684a LDR r2,[r1,#4] - 3098550 ns MR4_I 00000234 6008d1fc - 3098610 ns MR4_D 40006004 00000001 - 3098610 ns R r2 00000001 - 3098610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3098630 ns R r2 80000000 - 3098630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3098650 ns R psr 81000200 - 3098650 ns MR4_I 00000238 48054770 - 3098670 ns MR4_I 00000230 07d2684a - 3098690 ns IT 00000230 684a LDR r2,[r1,#4] - 3098710 ns MR4_I 00000234 6008d1fc - 3098770 ns MR4_D 40006004 00000001 - 3098770 ns R r2 00000001 - 3098770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3098790 ns R r2 80000000 - 3098790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3098810 ns R psr 81000200 - 3098810 ns MR4_I 00000238 48054770 - 3098830 ns MR4_I 00000230 07d2684a - 3098850 ns IT 00000230 684a LDR r2,[r1,#4] - 3098870 ns MR4_I 00000234 6008d1fc - 3098930 ns MR4_D 40006004 00000001 - 3098930 ns R r2 00000001 - 3098930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3098950 ns R r2 80000000 - 3098950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3098970 ns R psr 81000200 - 3098970 ns MR4_I 00000238 48054770 - 3098990 ns MR4_I 00000230 07d2684a - 3099010 ns IT 00000230 684a LDR r2,[r1,#4] - 3099030 ns MR4_I 00000234 6008d1fc - 3099090 ns MR4_D 40006004 00000001 - 3099090 ns R r2 00000001 - 3099090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3099110 ns R r2 80000000 - 3099110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3099130 ns R psr 81000200 - 3099130 ns MR4_I 00000238 48054770 - 3099150 ns MR4_I 00000230 07d2684a - 3099170 ns IT 00000230 684a LDR r2,[r1,#4] - 3099190 ns MR4_I 00000234 6008d1fc - 3099250 ns MR4_D 40006004 00000001 - 3099250 ns R r2 00000001 - 3099250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3099270 ns R r2 80000000 - 3099270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3099290 ns R psr 81000200 - 3099290 ns MR4_I 00000238 48054770 - 3099310 ns MR4_I 00000230 07d2684a - 3099330 ns IT 00000230 684a LDR r2,[r1,#4] - 3099350 ns MR4_I 00000234 6008d1fc - 3099410 ns MR4_D 40006004 00000001 - 3099410 ns R r2 00000001 - 3099410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3099430 ns R r2 80000000 - 3099430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3099450 ns R psr 81000200 - 3099450 ns MR4_I 00000238 48054770 - 3099470 ns MR4_I 00000230 07d2684a - 3099490 ns IT 00000230 684a LDR r2,[r1,#4] - 3099510 ns MR4_I 00000234 6008d1fc - 3099570 ns MR4_D 40006004 00000001 - 3099570 ns R r2 00000001 - 3099570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3099590 ns R r2 80000000 - 3099590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3099610 ns R psr 81000200 - 3099610 ns MR4_I 00000238 48054770 - 3099630 ns MR4_I 00000230 07d2684a - 3099650 ns IT 00000230 684a LDR r2,[r1,#4] - 3099670 ns MR4_I 00000234 6008d1fc - 3099730 ns MR4_D 40006004 00000001 - 3099730 ns R r2 00000001 - 3099730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3099750 ns R r2 80000000 - 3099750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3099770 ns R psr 81000200 - 3099770 ns MR4_I 00000238 48054770 - 3099790 ns MR4_I 00000230 07d2684a - 3099810 ns IT 00000230 684a LDR r2,[r1,#4] - 3099830 ns MR4_I 00000234 6008d1fc - 3099890 ns MR4_D 40006004 00000001 - 3099890 ns R r2 00000001 - 3099890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3099910 ns R r2 80000000 - 3099910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3099930 ns R psr 81000200 - 3099930 ns MR4_I 00000238 48054770 - 3099950 ns MR4_I 00000230 07d2684a - 3099970 ns IT 00000230 684a LDR r2,[r1,#4] - 3099990 ns MR4_I 00000234 6008d1fc - 3100050 ns MR4_D 40006004 00000001 - 3100050 ns R r2 00000001 - 3100050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3100070 ns R r2 80000000 - 3100070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3100090 ns R psr 81000200 - 3100090 ns MR4_I 00000238 48054770 - 3100110 ns MR4_I 00000230 07d2684a - 3100130 ns IT 00000230 684a LDR r2,[r1,#4] - 3100150 ns MR4_I 00000234 6008d1fc - 3100210 ns MR4_D 40006004 00000001 - 3100210 ns R r2 00000001 - 3100210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3100230 ns R r2 80000000 - 3100230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3100250 ns R psr 81000200 - 3100250 ns MR4_I 00000238 48054770 - 3100270 ns MR4_I 00000230 07d2684a - 3100290 ns IT 00000230 684a LDR r2,[r1,#4] - 3100310 ns MR4_I 00000234 6008d1fc - 3100370 ns MR4_D 40006004 00000001 - 3100370 ns R r2 00000001 - 3100370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3100390 ns R r2 80000000 - 3100390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3100410 ns R psr 81000200 - 3100410 ns MR4_I 00000238 48054770 - 3100430 ns MR4_I 00000230 07d2684a - 3100450 ns IT 00000230 684a LDR r2,[r1,#4] - 3100470 ns MR4_I 00000234 6008d1fc - 3100530 ns MR4_D 40006004 00000001 - 3100530 ns R r2 00000001 - 3100530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3100550 ns R r2 80000000 - 3100550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3100570 ns R psr 81000200 - 3100570 ns MR4_I 00000238 48054770 - 3100590 ns MR4_I 00000230 07d2684a - 3100610 ns IT 00000230 684a LDR r2,[r1,#4] - 3100630 ns MR4_I 00000234 6008d1fc - 3100690 ns MR4_D 40006004 00000001 - 3100690 ns R r2 00000001 - 3100690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3100710 ns R r2 80000000 - 3100710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3100730 ns R psr 81000200 - 3100730 ns MR4_I 00000238 48054770 - 3100750 ns MR4_I 00000230 07d2684a - 3100770 ns IT 00000230 684a LDR r2,[r1,#4] - 3100790 ns MR4_I 00000234 6008d1fc - 3100850 ns MR4_D 40006004 00000001 - 3100850 ns R r2 00000001 - 3100850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3100870 ns R r2 80000000 - 3100870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3100890 ns R psr 81000200 - 3100890 ns MR4_I 00000238 48054770 - 3100910 ns MR4_I 00000230 07d2684a - 3100930 ns IT 00000230 684a LDR r2,[r1,#4] - 3100950 ns MR4_I 00000234 6008d1fc - 3101010 ns MR4_D 40006004 00000001 - 3101010 ns R r2 00000001 - 3101010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3101030 ns R r2 80000000 - 3101030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3101050 ns R psr 81000200 - 3101050 ns MR4_I 00000238 48054770 - 3101070 ns MR4_I 00000230 07d2684a - 3101090 ns IT 00000230 684a LDR r2,[r1,#4] - 3101110 ns MR4_I 00000234 6008d1fc - 3101170 ns MR4_D 40006004 00000001 - 3101170 ns R r2 00000001 - 3101170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3101190 ns R r2 80000000 - 3101190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3101210 ns R psr 81000200 - 3101210 ns MR4_I 00000238 48054770 - 3101230 ns MR4_I 00000230 07d2684a - 3101250 ns IT 00000230 684a LDR r2,[r1,#4] - 3101270 ns MR4_I 00000234 6008d1fc - 3101330 ns MR4_D 40006004 00000001 - 3101330 ns R r2 00000001 - 3101330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3101350 ns R r2 80000000 - 3101350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3101370 ns R psr 81000200 - 3101370 ns MR4_I 00000238 48054770 - 3101390 ns MR4_I 00000230 07d2684a - 3101410 ns IT 00000230 684a LDR r2,[r1,#4] - 3101430 ns MR4_I 00000234 6008d1fc - 3101490 ns MR4_D 40006004 00000001 - 3101490 ns R r2 00000001 - 3101490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3101510 ns R r2 80000000 - 3101510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3101530 ns R psr 81000200 - 3101530 ns MR4_I 00000238 48054770 - 3101550 ns MR4_I 00000230 07d2684a - 3101570 ns IT 00000230 684a LDR r2,[r1,#4] - 3101590 ns MR4_I 00000234 6008d1fc - 3101650 ns MR4_D 40006004 00000001 - 3101650 ns R r2 00000001 - 3101650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3101670 ns R r2 80000000 - 3101670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3101690 ns R psr 81000200 - 3101690 ns MR4_I 00000238 48054770 - 3101710 ns MR4_I 00000230 07d2684a - 3101730 ns IT 00000230 684a LDR r2,[r1,#4] - 3101750 ns MR4_I 00000234 6008d1fc - 3101810 ns MR4_D 40006004 00000001 - 3101810 ns R r2 00000001 - 3101810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3101830 ns R r2 80000000 - 3101830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3101850 ns R psr 81000200 - 3101850 ns MR4_I 00000238 48054770 - 3101870 ns MR4_I 00000230 07d2684a - 3101890 ns IT 00000230 684a LDR r2,[r1,#4] - 3101910 ns MR4_I 00000234 6008d1fc - 3101970 ns MR4_D 40006004 00000001 - 3101970 ns R r2 00000001 - 3101970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3101990 ns R r2 80000000 - 3101990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3102010 ns R psr 81000200 - 3102010 ns MR4_I 00000238 48054770 - 3102030 ns MR4_I 00000230 07d2684a - 3102050 ns IT 00000230 684a LDR r2,[r1,#4] - 3102070 ns MR4_I 00000234 6008d1fc - 3102130 ns MR4_D 40006004 00000001 - 3102130 ns R r2 00000001 - 3102130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3102150 ns R r2 80000000 - 3102150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3102170 ns R psr 81000200 - 3102170 ns MR4_I 00000238 48054770 - 3102190 ns MR4_I 00000230 07d2684a - 3102210 ns IT 00000230 684a LDR r2,[r1,#4] - 3102230 ns MR4_I 00000234 6008d1fc - 3102290 ns MR4_D 40006004 00000001 - 3102290 ns R r2 00000001 - 3102290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3102310 ns R r2 80000000 - 3102310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3102330 ns R psr 81000200 - 3102330 ns MR4_I 00000238 48054770 - 3102350 ns MR4_I 00000230 07d2684a - 3102370 ns IT 00000230 684a LDR r2,[r1,#4] - 3102390 ns MR4_I 00000234 6008d1fc - 3102450 ns MR4_D 40006004 00000001 - 3102450 ns R r2 00000001 - 3102450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3102470 ns R r2 80000000 - 3102470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3102490 ns R psr 81000200 - 3102490 ns MR4_I 00000238 48054770 - 3102510 ns MR4_I 00000230 07d2684a - 3102530 ns IT 00000230 684a LDR r2,[r1,#4] - 3102550 ns MR4_I 00000234 6008d1fc - 3102610 ns MR4_D 40006004 00000001 - 3102610 ns R r2 00000001 - 3102610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3102630 ns R r2 80000000 - 3102630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3102650 ns R psr 81000200 - 3102650 ns MR4_I 00000238 48054770 - 3102670 ns MR4_I 00000230 07d2684a - 3102690 ns IT 00000230 684a LDR r2,[r1,#4] - 3102710 ns MR4_I 00000234 6008d1fc - 3102770 ns MR4_D 40006004 00000001 - 3102770 ns R r2 00000001 - 3102770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3102790 ns R r2 80000000 - 3102790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3102810 ns R psr 81000200 - 3102810 ns MR4_I 00000238 48054770 - 3102830 ns MR4_I 00000230 07d2684a - 3102850 ns IT 00000230 684a LDR r2,[r1,#4] - 3102870 ns MR4_I 00000234 6008d1fc - 3102930 ns MR4_D 40006004 00000001 - 3102930 ns R r2 00000001 - 3102930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3102950 ns R r2 80000000 - 3102950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3102970 ns R psr 81000200 - 3102970 ns MR4_I 00000238 48054770 - 3102990 ns MR4_I 00000230 07d2684a - 3103010 ns IT 00000230 684a LDR r2,[r1,#4] - 3103030 ns MR4_I 00000234 6008d1fc - 3103090 ns MR4_D 40006004 00000001 - 3103090 ns R r2 00000001 - 3103090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3103110 ns R r2 80000000 - 3103110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3103130 ns R psr 81000200 - 3103130 ns MR4_I 00000238 48054770 - 3103150 ns MR4_I 00000230 07d2684a - 3103170 ns IT 00000230 684a LDR r2,[r1,#4] - 3103190 ns MR4_I 00000234 6008d1fc - 3103250 ns MR4_D 40006004 00000001 - 3103250 ns R r2 00000001 - 3103250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3103270 ns R r2 80000000 - 3103270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3103290 ns R psr 81000200 - 3103290 ns MR4_I 00000238 48054770 - 3103310 ns MR4_I 00000230 07d2684a - 3103330 ns IT 00000230 684a LDR r2,[r1,#4] - 3103350 ns MR4_I 00000234 6008d1fc - 3103410 ns MR4_D 40006004 00000001 - 3103410 ns R r2 00000001 - 3103410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3103430 ns R r2 80000000 - 3103430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3103450 ns R psr 81000200 - 3103450 ns MR4_I 00000238 48054770 - 3103470 ns MR4_I 00000230 07d2684a - 3103490 ns IT 00000230 684a LDR r2,[r1,#4] - 3103510 ns MR4_I 00000234 6008d1fc - 3103570 ns MR4_D 40006004 00000001 - 3103570 ns R r2 00000001 - 3103570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3103590 ns R r2 80000000 - 3103590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3103610 ns R psr 81000200 - 3103610 ns MR4_I 00000238 48054770 - 3103630 ns MR4_I 00000230 07d2684a - 3103650 ns IT 00000230 684a LDR r2,[r1,#4] - 3103670 ns MR4_I 00000234 6008d1fc - 3103730 ns MR4_D 40006004 00000001 - 3103730 ns R r2 00000001 - 3103730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3103750 ns R r2 80000000 - 3103750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3103770 ns R psr 81000200 - 3103770 ns MR4_I 00000238 48054770 - 3103790 ns MR4_I 00000230 07d2684a - 3103810 ns IT 00000230 684a LDR r2,[r1,#4] - 3103830 ns MR4_I 00000234 6008d1fc - 3103890 ns MR4_D 40006004 00000001 - 3103890 ns R r2 00000001 - 3103890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3103910 ns R r2 80000000 - 3103910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3103930 ns R psr 81000200 - 3103930 ns MR4_I 00000238 48054770 - 3103950 ns MR4_I 00000230 07d2684a - 3103970 ns IT 00000230 684a LDR r2,[r1,#4] - 3103990 ns MR4_I 00000234 6008d1fc - 3104050 ns MR4_D 40006004 00000001 - 3104050 ns R r2 00000001 - 3104050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3104070 ns R r2 80000000 - 3104070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3104090 ns R psr 81000200 - 3104090 ns MR4_I 00000238 48054770 - 3104110 ns MR4_I 00000230 07d2684a - 3104130 ns IT 00000230 684a LDR r2,[r1,#4] - 3104150 ns MR4_I 00000234 6008d1fc - 3104210 ns MR4_D 40006004 00000001 - 3104210 ns R r2 00000001 - 3104210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3104230 ns R r2 80000000 - 3104230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3104250 ns R psr 81000200 - 3104250 ns MR4_I 00000238 48054770 - 3104270 ns MR4_I 00000230 07d2684a - 3104290 ns IT 00000230 684a LDR r2,[r1,#4] - 3104310 ns MR4_I 00000234 6008d1fc - 3104370 ns MR4_D 40006004 00000001 - 3104370 ns R r2 00000001 - 3104370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3104390 ns R r2 80000000 - 3104390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3104410 ns R psr 81000200 - 3104410 ns MR4_I 00000238 48054770 - 3104430 ns MR4_I 00000230 07d2684a - 3104450 ns IT 00000230 684a LDR r2,[r1,#4] - 3104470 ns MR4_I 00000234 6008d1fc - 3104530 ns MR4_D 40006004 00000001 - 3104530 ns R r2 00000001 - 3104530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3104550 ns R r2 80000000 - 3104550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3104570 ns R psr 81000200 - 3104570 ns MR4_I 00000238 48054770 - 3104590 ns MR4_I 00000230 07d2684a - 3104610 ns IT 00000230 684a LDR r2,[r1,#4] - 3104630 ns MR4_I 00000234 6008d1fc - 3104690 ns MR4_D 40006004 00000001 - 3104690 ns R r2 00000001 - 3104690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3104710 ns R r2 80000000 - 3104710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3104730 ns R psr 81000200 - 3104730 ns MR4_I 00000238 48054770 - 3104750 ns MR4_I 00000230 07d2684a - 3104770 ns IT 00000230 684a LDR r2,[r1,#4] - 3104790 ns MR4_I 00000234 6008d1fc - 3104850 ns MR4_D 40006004 00000001 - 3104850 ns R r2 00000001 - 3104850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3104870 ns R r2 80000000 - 3104870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3104890 ns R psr 81000200 - 3104890 ns MR4_I 00000238 48054770 - 3104910 ns MR4_I 00000230 07d2684a - 3104930 ns IT 00000230 684a LDR r2,[r1,#4] - 3104950 ns MR4_I 00000234 6008d1fc - 3105010 ns MR4_D 40006004 00000001 - 3105010 ns R r2 00000001 - 3105010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3105030 ns R r2 80000000 - 3105030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3105050 ns R psr 81000200 - 3105050 ns MR4_I 00000238 48054770 - 3105070 ns MR4_I 00000230 07d2684a - 3105090 ns IT 00000230 684a LDR r2,[r1,#4] - 3105110 ns MR4_I 00000234 6008d1fc - 3105170 ns MR4_D 40006004 00000001 - 3105170 ns R r2 00000001 - 3105170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3105190 ns R r2 80000000 - 3105190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3105210 ns R psr 81000200 - 3105210 ns MR4_I 00000238 48054770 - 3105230 ns MR4_I 00000230 07d2684a - 3105250 ns IT 00000230 684a LDR r2,[r1,#4] - 3105270 ns MR4_I 00000234 6008d1fc - 3105330 ns MR4_D 40006004 00000001 - 3105330 ns R r2 00000001 - 3105330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3105350 ns R r2 80000000 - 3105350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3105370 ns R psr 81000200 - 3105370 ns MR4_I 00000238 48054770 - 3105390 ns MR4_I 00000230 07d2684a - 3105410 ns IT 00000230 684a LDR r2,[r1,#4] - 3105430 ns MR4_I 00000234 6008d1fc - 3105490 ns MR4_D 40006004 00000001 - 3105490 ns R r2 00000001 - 3105490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3105510 ns R r2 80000000 - 3105510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3105530 ns R psr 81000200 - 3105530 ns MR4_I 00000238 48054770 - 3105550 ns MR4_I 00000230 07d2684a - 3105570 ns IT 00000230 684a LDR r2,[r1,#4] - 3105590 ns MR4_I 00000234 6008d1fc - 3105650 ns MR4_D 40006004 00000001 - 3105650 ns R r2 00000001 - 3105650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3105670 ns R r2 80000000 - 3105670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3105690 ns R psr 81000200 - 3105690 ns MR4_I 00000238 48054770 - 3105710 ns MR4_I 00000230 07d2684a - 3105730 ns IT 00000230 684a LDR r2,[r1,#4] - 3105750 ns MR4_I 00000234 6008d1fc - 3105810 ns MR4_D 40006004 00000001 - 3105810 ns R r2 00000001 - 3105810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3105830 ns R r2 80000000 - 3105830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3105850 ns R psr 81000200 - 3105850 ns MR4_I 00000238 48054770 - 3105870 ns MR4_I 00000230 07d2684a - 3105890 ns IT 00000230 684a LDR r2,[r1,#4] - 3105910 ns MR4_I 00000234 6008d1fc - 3105970 ns MR4_D 40006004 00000001 - 3105970 ns R r2 00000001 - 3105970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3105990 ns R r2 80000000 - 3105990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3106010 ns R psr 81000200 - 3106010 ns MR4_I 00000238 48054770 - 3106030 ns MR4_I 00000230 07d2684a - 3106050 ns IT 00000230 684a LDR r2,[r1,#4] - 3106070 ns MR4_I 00000234 6008d1fc - 3106130 ns MR4_D 40006004 00000001 - 3106130 ns R r2 00000001 - 3106130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3106150 ns R r2 80000000 - 3106150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3106170 ns R psr 81000200 - 3106170 ns MR4_I 00000238 48054770 - 3106190 ns MR4_I 00000230 07d2684a - 3106210 ns IT 00000230 684a LDR r2,[r1,#4] - 3106230 ns MR4_I 00000234 6008d1fc - 3106290 ns MR4_D 40006004 00000001 - 3106290 ns R r2 00000001 - 3106290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3106310 ns R r2 80000000 - 3106310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3106330 ns R psr 81000200 - 3106330 ns MR4_I 00000238 48054770 - 3106350 ns MR4_I 00000230 07d2684a - 3106370 ns IT 00000230 684a LDR r2,[r1,#4] - 3106390 ns MR4_I 00000234 6008d1fc - 3106450 ns MR4_D 40006004 00000001 - 3106450 ns R r2 00000001 - 3106450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3106470 ns R r2 80000000 - 3106470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3106490 ns R psr 81000200 - 3106490 ns MR4_I 00000238 48054770 - 3106510 ns MR4_I 00000230 07d2684a - 3106530 ns IT 00000230 684a LDR r2,[r1,#4] - 3106550 ns MR4_I 00000234 6008d1fc - 3106610 ns MR4_D 40006004 00000001 - 3106610 ns R r2 00000001 - 3106610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3106630 ns R r2 80000000 - 3106630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3106650 ns R psr 81000200 - 3106650 ns MR4_I 00000238 48054770 - 3106670 ns MR4_I 00000230 07d2684a - 3106690 ns IT 00000230 684a LDR r2,[r1,#4] - 3106710 ns MR4_I 00000234 6008d1fc - 3106770 ns MR4_D 40006004 00000001 - 3106770 ns R r2 00000001 - 3106770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3106790 ns R r2 80000000 - 3106790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3106810 ns R psr 81000200 - 3106810 ns MR4_I 00000238 48054770 - 3106830 ns MR4_I 00000230 07d2684a - 3106850 ns IT 00000230 684a LDR r2,[r1,#4] - 3106870 ns MR4_I 00000234 6008d1fc - 3106930 ns MR4_D 40006004 00000001 - 3106930 ns R r2 00000001 - 3106930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3106950 ns R r2 80000000 - 3106950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3106970 ns R psr 81000200 - 3106970 ns MR4_I 00000238 48054770 - 3106990 ns MR4_I 00000230 07d2684a - 3107010 ns IT 00000230 684a LDR r2,[r1,#4] - 3107030 ns MR4_I 00000234 6008d1fc - 3107090 ns MR4_D 40006004 00000001 - 3107090 ns R r2 00000001 - 3107090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3107110 ns R r2 80000000 - 3107110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3107130 ns R psr 81000200 - 3107130 ns MR4_I 00000238 48054770 - 3107150 ns MR4_I 00000230 07d2684a - 3107170 ns IT 00000230 684a LDR r2,[r1,#4] - 3107190 ns MR4_I 00000234 6008d1fc - 3107250 ns MR4_D 40006004 00000001 - 3107250 ns R r2 00000001 - 3107250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3107270 ns R r2 80000000 - 3107270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3107290 ns R psr 81000200 - 3107290 ns MR4_I 00000238 48054770 - 3107310 ns MR4_I 00000230 07d2684a - 3107330 ns IT 00000230 684a LDR r2,[r1,#4] - 3107350 ns MR4_I 00000234 6008d1fc - 3107410 ns MR4_D 40006004 00000001 - 3107410 ns R r2 00000001 - 3107410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3107430 ns R r2 80000000 - 3107430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3107450 ns R psr 81000200 - 3107450 ns MR4_I 00000238 48054770 - 3107470 ns MR4_I 00000230 07d2684a - 3107490 ns IT 00000230 684a LDR r2,[r1,#4] - 3107510 ns MR4_I 00000234 6008d1fc - 3107570 ns MR4_D 40006004 00000001 - 3107570 ns R r2 00000001 - 3107570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3107590 ns R r2 80000000 - 3107590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3107610 ns R psr 81000200 - 3107610 ns MR4_I 00000238 48054770 - 3107630 ns MR4_I 00000230 07d2684a - 3107650 ns IT 00000230 684a LDR r2,[r1,#4] - 3107670 ns MR4_I 00000234 6008d1fc - 3107730 ns MR4_D 40006004 00000001 - 3107730 ns R r2 00000001 - 3107730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3107750 ns R r2 80000000 - 3107750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3107770 ns R psr 81000200 - 3107770 ns MR4_I 00000238 48054770 - 3107790 ns MR4_I 00000230 07d2684a - 3107810 ns IT 00000230 684a LDR r2,[r1,#4] - 3107830 ns MR4_I 00000234 6008d1fc - 3107890 ns MR4_D 40006004 00000001 - 3107890 ns R r2 00000001 - 3107890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3107910 ns R r2 80000000 - 3107910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3107930 ns R psr 81000200 - 3107930 ns MR4_I 00000238 48054770 - 3107950 ns MR4_I 00000230 07d2684a - 3107970 ns IT 00000230 684a LDR r2,[r1,#4] - 3107990 ns MR4_I 00000234 6008d1fc - 3108050 ns MR4_D 40006004 00000001 - 3108050 ns R r2 00000001 - 3108050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3108070 ns R r2 80000000 - 3108070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3108090 ns R psr 81000200 - 3108090 ns MR4_I 00000238 48054770 - 3108110 ns MR4_I 00000230 07d2684a - 3108130 ns IT 00000230 684a LDR r2,[r1,#4] - 3108150 ns MR4_I 00000234 6008d1fc - 3108210 ns MR4_D 40006004 00000001 - 3108210 ns R r2 00000001 - 3108210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3108230 ns R r2 80000000 - 3108230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3108250 ns R psr 81000200 - 3108250 ns MR4_I 00000238 48054770 - 3108270 ns MR4_I 00000230 07d2684a - 3108290 ns IT 00000230 684a LDR r2,[r1,#4] - 3108310 ns MR4_I 00000234 6008d1fc - 3108370 ns MR4_D 40006004 00000001 - 3108370 ns R r2 00000001 - 3108370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3108390 ns R r2 80000000 - 3108390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3108410 ns R psr 81000200 - 3108410 ns MR4_I 00000238 48054770 - 3108430 ns MR4_I 00000230 07d2684a - 3108450 ns IT 00000230 684a LDR r2,[r1,#4] - 3108470 ns MR4_I 00000234 6008d1fc - 3108530 ns MR4_D 40006004 00000001 - 3108530 ns R r2 00000001 - 3108530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3108550 ns R r2 80000000 - 3108550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3108570 ns R psr 81000200 - 3108570 ns MR4_I 00000238 48054770 - 3108590 ns MR4_I 00000230 07d2684a - 3108610 ns IT 00000230 684a LDR r2,[r1,#4] - 3108630 ns MR4_I 00000234 6008d1fc - 3108690 ns MR4_D 40006004 00000001 - 3108690 ns R r2 00000001 - 3108690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3108710 ns R r2 80000000 - 3108710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3108730 ns R psr 81000200 - 3108730 ns MR4_I 00000238 48054770 - 3108750 ns MR4_I 00000230 07d2684a - 3108770 ns IT 00000230 684a LDR r2,[r1,#4] - 3108790 ns MR4_I 00000234 6008d1fc - 3108850 ns MR4_D 40006004 00000001 - 3108850 ns R r2 00000001 - 3108850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3108870 ns R r2 80000000 - 3108870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3108890 ns R psr 81000200 - 3108890 ns MR4_I 00000238 48054770 - 3108910 ns MR4_I 00000230 07d2684a - 3108930 ns IT 00000230 684a LDR r2,[r1,#4] - 3108950 ns MR4_I 00000234 6008d1fc - 3109010 ns MR4_D 40006004 00000001 - 3109010 ns R r2 00000001 - 3109010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3109030 ns R r2 80000000 - 3109030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3109050 ns R psr 81000200 - 3109050 ns MR4_I 00000238 48054770 - 3109070 ns MR4_I 00000230 07d2684a - 3109090 ns IT 00000230 684a LDR r2,[r1,#4] - 3109110 ns MR4_I 00000234 6008d1fc - 3109170 ns MR4_D 40006004 00000001 - 3109170 ns R r2 00000001 - 3109170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3109190 ns R r2 80000000 - 3109190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3109210 ns R psr 81000200 - 3109210 ns MR4_I 00000238 48054770 - 3109230 ns MR4_I 00000230 07d2684a - 3109250 ns IT 00000230 684a LDR r2,[r1,#4] - 3109270 ns MR4_I 00000234 6008d1fc - 3109330 ns MR4_D 40006004 00000001 - 3109330 ns R r2 00000001 - 3109330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3109350 ns R r2 80000000 - 3109350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3109370 ns R psr 81000200 - 3109370 ns MR4_I 00000238 48054770 - 3109390 ns MR4_I 00000230 07d2684a - 3109410 ns IT 00000230 684a LDR r2,[r1,#4] - 3109430 ns MR4_I 00000234 6008d1fc - 3109490 ns MR4_D 40006004 00000001 - 3109490 ns R r2 00000001 - 3109490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3109510 ns R r2 80000000 - 3109510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3109530 ns R psr 81000200 - 3109530 ns MR4_I 00000238 48054770 - 3109550 ns MR4_I 00000230 07d2684a - 3109570 ns IT 00000230 684a LDR r2,[r1,#4] - 3109590 ns MR4_I 00000234 6008d1fc - 3109650 ns MR4_D 40006004 00000001 - 3109650 ns R r2 00000001 - 3109650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3109670 ns R r2 80000000 - 3109670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3109690 ns R psr 81000200 - 3109690 ns MR4_I 00000238 48054770 - 3109710 ns MR4_I 00000230 07d2684a - 3109730 ns IT 00000230 684a LDR r2,[r1,#4] - 3109750 ns MR4_I 00000234 6008d1fc - 3109810 ns MR4_D 40006004 00000001 - 3109810 ns R r2 00000001 - 3109810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3109830 ns R r2 80000000 - 3109830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3109850 ns R psr 81000200 - 3109850 ns MR4_I 00000238 48054770 - 3109870 ns MR4_I 00000230 07d2684a - 3109890 ns IT 00000230 684a LDR r2,[r1,#4] - 3109910 ns MR4_I 00000234 6008d1fc - 3109970 ns MR4_D 40006004 00000001 - 3109970 ns R r2 00000001 - 3109970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3109990 ns R r2 80000000 - 3109990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3110010 ns R psr 81000200 - 3110010 ns MR4_I 00000238 48054770 - 3110030 ns MR4_I 00000230 07d2684a - 3110050 ns IT 00000230 684a LDR r2,[r1,#4] - 3110070 ns MR4_I 00000234 6008d1fc - 3110130 ns MR4_D 40006004 00000001 - 3110130 ns R r2 00000001 - 3110130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3110150 ns R r2 80000000 - 3110150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3110170 ns R psr 81000200 - 3110170 ns MR4_I 00000238 48054770 - 3110190 ns MR4_I 00000230 07d2684a - 3110210 ns IT 00000230 684a LDR r2,[r1,#4] - 3110230 ns MR4_I 00000234 6008d1fc - 3110290 ns MR4_D 40006004 00000001 - 3110290 ns R r2 00000001 - 3110290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3110310 ns R r2 80000000 - 3110310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3110330 ns R psr 81000200 - 3110330 ns MR4_I 00000238 48054770 - 3110350 ns MR4_I 00000230 07d2684a - 3110370 ns IT 00000230 684a LDR r2,[r1,#4] - 3110390 ns MR4_I 00000234 6008d1fc - 3110450 ns MR4_D 40006004 00000001 - 3110450 ns R r2 00000001 - 3110450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3110470 ns R r2 80000000 - 3110470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3110490 ns R psr 81000200 - 3110490 ns MR4_I 00000238 48054770 - 3110510 ns MR4_I 00000230 07d2684a - 3110530 ns IT 00000230 684a LDR r2,[r1,#4] - 3110550 ns MR4_I 00000234 6008d1fc - 3110610 ns MR4_D 40006004 00000001 - 3110610 ns R r2 00000001 - 3110610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3110630 ns R r2 80000000 - 3110630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3110650 ns R psr 81000200 - 3110650 ns MR4_I 00000238 48054770 - 3110670 ns MR4_I 00000230 07d2684a - 3110690 ns IT 00000230 684a LDR r2,[r1,#4] - 3110710 ns MR4_I 00000234 6008d1fc - 3110770 ns MR4_D 40006004 00000001 - 3110770 ns R r2 00000001 - 3110770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3110790 ns R r2 80000000 - 3110790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3110810 ns R psr 81000200 - 3110810 ns MR4_I 00000238 48054770 - 3110830 ns MR4_I 00000230 07d2684a - 3110850 ns IT 00000230 684a LDR r2,[r1,#4] - 3110870 ns MR4_I 00000234 6008d1fc - 3110930 ns MR4_D 40006004 00000001 - 3110930 ns R r2 00000001 - 3110930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3110950 ns R r2 80000000 - 3110950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3110970 ns R psr 81000200 - 3110970 ns MR4_I 00000238 48054770 - 3110990 ns MR4_I 00000230 07d2684a - 3111010 ns IT 00000230 684a LDR r2,[r1,#4] - 3111030 ns MR4_I 00000234 6008d1fc - 3111090 ns MR4_D 40006004 00000001 - 3111090 ns R r2 00000001 - 3111090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3111110 ns R r2 80000000 - 3111110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3111130 ns R psr 81000200 - 3111130 ns MR4_I 00000238 48054770 - 3111150 ns MR4_I 00000230 07d2684a - 3111170 ns IT 00000230 684a LDR r2,[r1,#4] - 3111190 ns MR4_I 00000234 6008d1fc - 3111250 ns MR4_D 40006004 00000001 - 3111250 ns R r2 00000001 - 3111250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3111270 ns R r2 80000000 - 3111270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3111290 ns R psr 81000200 - 3111290 ns MR4_I 00000238 48054770 - 3111310 ns MR4_I 00000230 07d2684a - 3111330 ns IT 00000230 684a LDR r2,[r1,#4] - 3111350 ns MR4_I 00000234 6008d1fc - 3111410 ns MR4_D 40006004 00000001 - 3111410 ns R r2 00000001 - 3111410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3111430 ns R r2 80000000 - 3111430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3111450 ns R psr 81000200 - 3111450 ns MR4_I 00000238 48054770 - 3111470 ns MR4_I 00000230 07d2684a - 3111490 ns IT 00000230 684a LDR r2,[r1,#4] - 3111510 ns MR4_I 00000234 6008d1fc - 3111570 ns MR4_D 40006004 00000001 - 3111570 ns R r2 00000001 - 3111570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3111590 ns R r2 80000000 - 3111590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3111610 ns R psr 81000200 - 3111610 ns MR4_I 00000238 48054770 - 3111630 ns MR4_I 00000230 07d2684a - 3111650 ns IT 00000230 684a LDR r2,[r1,#4] - 3111670 ns MR4_I 00000234 6008d1fc - 3111730 ns MR4_D 40006004 00000001 - 3111730 ns R r2 00000001 - 3111730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3111750 ns R r2 80000000 - 3111750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3111770 ns R psr 81000200 - 3111770 ns MR4_I 00000238 48054770 - 3111790 ns MR4_I 00000230 07d2684a - 3111810 ns IT 00000230 684a LDR r2,[r1,#4] - 3111830 ns MR4_I 00000234 6008d1fc - 3111890 ns MR4_D 40006004 00000001 - 3111890 ns R r2 00000001 - 3111890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3111910 ns R r2 80000000 - 3111910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3111930 ns R psr 81000200 - 3111930 ns MR4_I 00000238 48054770 - 3111950 ns MR4_I 00000230 07d2684a - 3111970 ns IT 00000230 684a LDR r2,[r1,#4] - 3111990 ns MR4_I 00000234 6008d1fc - 3112050 ns MR4_D 40006004 00000001 - 3112050 ns R r2 00000001 - 3112050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3112070 ns R r2 80000000 - 3112070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3112090 ns R psr 81000200 - 3112090 ns MR4_I 00000238 48054770 - 3112110 ns MR4_I 00000230 07d2684a - 3112130 ns IT 00000230 684a LDR r2,[r1,#4] - 3112150 ns MR4_I 00000234 6008d1fc - 3112210 ns MR4_D 40006004 00000001 - 3112210 ns R r2 00000001 - 3112210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3112230 ns R r2 80000000 - 3112230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3112250 ns R psr 81000200 - 3112250 ns MR4_I 00000238 48054770 - 3112270 ns MR4_I 00000230 07d2684a - 3112290 ns IT 00000230 684a LDR r2,[r1,#4] - 3112310 ns MR4_I 00000234 6008d1fc - 3112370 ns MR4_D 40006004 00000001 - 3112370 ns R r2 00000001 - 3112370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3112390 ns R r2 80000000 - 3112390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3112410 ns R psr 81000200 - 3112410 ns MR4_I 00000238 48054770 - 3112430 ns MR4_I 00000230 07d2684a - 3112450 ns IT 00000230 684a LDR r2,[r1,#4] - 3112470 ns MR4_I 00000234 6008d1fc - 3112530 ns MR4_D 40006004 00000001 - 3112530 ns R r2 00000001 - 3112530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3112550 ns R r2 80000000 - 3112550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3112570 ns R psr 81000200 - 3112570 ns MR4_I 00000238 48054770 - 3112590 ns MR4_I 00000230 07d2684a - 3112610 ns IT 00000230 684a LDR r2,[r1,#4] - 3112630 ns MR4_I 00000234 6008d1fc - 3112690 ns MR4_D 40006004 00000001 - 3112690 ns R r2 00000001 - 3112690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3112710 ns R r2 80000000 - 3112710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3112730 ns R psr 81000200 - 3112730 ns MR4_I 00000238 48054770 - 3112750 ns MR4_I 00000230 07d2684a - 3112770 ns IT 00000230 684a LDR r2,[r1,#4] - 3112790 ns MR4_I 00000234 6008d1fc - 3112850 ns MR4_D 40006004 00000001 - 3112850 ns R r2 00000001 - 3112850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3112870 ns R r2 80000000 - 3112870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3112890 ns R psr 81000200 - 3112890 ns MR4_I 00000238 48054770 - 3112910 ns MR4_I 00000230 07d2684a - 3112930 ns IT 00000230 684a LDR r2,[r1,#4] - 3112950 ns MR4_I 00000234 6008d1fc - 3113010 ns MR4_D 40006004 00000001 - 3113010 ns R r2 00000001 - 3113010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3113030 ns R r2 80000000 - 3113030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3113050 ns R psr 81000200 - 3113050 ns MR4_I 00000238 48054770 - 3113070 ns MR4_I 00000230 07d2684a - 3113090 ns IT 00000230 684a LDR r2,[r1,#4] - 3113110 ns MR4_I 00000234 6008d1fc - 3113170 ns MR4_D 40006004 00000001 - 3113170 ns R r2 00000001 - 3113170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3113190 ns R r2 80000000 - 3113190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3113210 ns R psr 81000200 - 3113210 ns MR4_I 00000238 48054770 - 3113230 ns MR4_I 00000230 07d2684a - 3113250 ns IT 00000230 684a LDR r2,[r1,#4] - 3113270 ns MR4_I 00000234 6008d1fc - 3113330 ns MR4_D 40006004 00000001 - 3113330 ns R r2 00000001 - 3113330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3113350 ns R r2 80000000 - 3113350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3113370 ns R psr 81000200 - 3113370 ns MR4_I 00000238 48054770 - 3113390 ns MR4_I 00000230 07d2684a - 3113410 ns IT 00000230 684a LDR r2,[r1,#4] - 3113430 ns MR4_I 00000234 6008d1fc - 3113490 ns MR4_D 40006004 00000001 - 3113490 ns R r2 00000001 - 3113490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3113510 ns R r2 80000000 - 3113510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3113530 ns R psr 81000200 - 3113530 ns MR4_I 00000238 48054770 - 3113550 ns MR4_I 00000230 07d2684a - 3113570 ns IT 00000230 684a LDR r2,[r1,#4] - 3113590 ns MR4_I 00000234 6008d1fc - 3113650 ns MR4_D 40006004 00000001 - 3113650 ns R r2 00000001 - 3113650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3113670 ns R r2 80000000 - 3113670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3113690 ns R psr 81000200 - 3113690 ns MR4_I 00000238 48054770 - 3113710 ns MR4_I 00000230 07d2684a - 3113730 ns IT 00000230 684a LDR r2,[r1,#4] - 3113750 ns MR4_I 00000234 6008d1fc - 3113810 ns MR4_D 40006004 00000001 - 3113810 ns R r2 00000001 - 3113810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3113830 ns R r2 80000000 - 3113830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3113850 ns R psr 81000200 - 3113850 ns MR4_I 00000238 48054770 - 3113870 ns MR4_I 00000230 07d2684a - 3113890 ns IT 00000230 684a LDR r2,[r1,#4] - 3113910 ns MR4_I 00000234 6008d1fc - 3113970 ns MR4_D 40006004 00000001 - 3113970 ns R r2 00000001 - 3113970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3113990 ns R r2 80000000 - 3113990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3114010 ns R psr 81000200 - 3114010 ns MR4_I 00000238 48054770 - 3114030 ns MR4_I 00000230 07d2684a - 3114050 ns IT 00000230 684a LDR r2,[r1,#4] - 3114070 ns MR4_I 00000234 6008d1fc - 3114130 ns MR4_D 40006004 00000001 - 3114130 ns R r2 00000001 - 3114130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3114150 ns R r2 80000000 - 3114150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3114170 ns R psr 81000200 - 3114170 ns MR4_I 00000238 48054770 - 3114190 ns MR4_I 00000230 07d2684a - 3114210 ns IT 00000230 684a LDR r2,[r1,#4] - 3114230 ns MR4_I 00000234 6008d1fc - 3114290 ns MR4_D 40006004 00000001 - 3114290 ns R r2 00000001 - 3114290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3114310 ns R r2 80000000 - 3114310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3114330 ns R psr 81000200 - 3114330 ns MR4_I 00000238 48054770 - 3114350 ns MR4_I 00000230 07d2684a - 3114370 ns IT 00000230 684a LDR r2,[r1,#4] - 3114390 ns MR4_I 00000234 6008d1fc - 3114450 ns MR4_D 40006004 00000001 - 3114450 ns R r2 00000001 - 3114450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3114470 ns R r2 80000000 - 3114470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3114490 ns R psr 81000200 - 3114490 ns MR4_I 00000238 48054770 - 3114510 ns MR4_I 00000230 07d2684a - 3114530 ns IT 00000230 684a LDR r2,[r1,#4] - 3114550 ns MR4_I 00000234 6008d1fc - 3114610 ns MR4_D 40006004 00000001 - 3114610 ns R r2 00000001 - 3114610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3114630 ns R r2 80000000 - 3114630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3114650 ns R psr 81000200 - 3114650 ns MR4_I 00000238 48054770 - 3114670 ns MR4_I 00000230 07d2684a - 3114690 ns IT 00000230 684a LDR r2,[r1,#4] - 3114710 ns MR4_I 00000234 6008d1fc - 3114770 ns MR4_D 40006004 00000001 - 3114770 ns R r2 00000001 - 3114770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3114790 ns R r2 80000000 - 3114790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3114810 ns R psr 81000200 - 3114810 ns MR4_I 00000238 48054770 - 3114830 ns MR4_I 00000230 07d2684a - 3114850 ns IT 00000230 684a LDR r2,[r1,#4] - 3114870 ns MR4_I 00000234 6008d1fc - 3114930 ns MR4_D 40006004 00000001 - 3114930 ns R r2 00000001 - 3114930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3114950 ns R r2 80000000 - 3114950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3114970 ns R psr 81000200 - 3114970 ns MR4_I 00000238 48054770 - 3114990 ns MR4_I 00000230 07d2684a - 3115010 ns IT 00000230 684a LDR r2,[r1,#4] - 3115030 ns MR4_I 00000234 6008d1fc - 3115090 ns MR4_D 40006004 00000001 - 3115090 ns R r2 00000001 - 3115090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3115110 ns R r2 80000000 - 3115110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3115130 ns R psr 81000200 - 3115130 ns MR4_I 00000238 48054770 - 3115150 ns MR4_I 00000230 07d2684a - 3115170 ns IT 00000230 684a LDR r2,[r1,#4] - 3115190 ns MR4_I 00000234 6008d1fc - 3115250 ns MR4_D 40006004 00000001 - 3115250 ns R r2 00000001 - 3115250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3115270 ns R r2 80000000 - 3115270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3115290 ns R psr 81000200 - 3115290 ns MR4_I 00000238 48054770 - 3115310 ns MR4_I 00000230 07d2684a - 3115330 ns IT 00000230 684a LDR r2,[r1,#4] - 3115350 ns MR4_I 00000234 6008d1fc - 3115410 ns MR4_D 40006004 00000001 - 3115410 ns R r2 00000001 - 3115410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3115430 ns R r2 80000000 - 3115430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3115450 ns R psr 81000200 - 3115450 ns MR4_I 00000238 48054770 - 3115470 ns MR4_I 00000230 07d2684a - 3115490 ns IT 00000230 684a LDR r2,[r1,#4] - 3115510 ns MR4_I 00000234 6008d1fc - 3115570 ns MR4_D 40006004 00000001 - 3115570 ns R r2 00000001 - 3115570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3115590 ns R r2 80000000 - 3115590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3115610 ns R psr 81000200 - 3115610 ns MR4_I 00000238 48054770 - 3115630 ns MR4_I 00000230 07d2684a - 3115650 ns IT 00000230 684a LDR r2,[r1,#4] - 3115670 ns MR4_I 00000234 6008d1fc - 3115730 ns MR4_D 40006004 00000001 - 3115730 ns R r2 00000001 - 3115730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3115750 ns R r2 80000000 - 3115750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3115770 ns R psr 81000200 - 3115770 ns MR4_I 00000238 48054770 - 3115790 ns MR4_I 00000230 07d2684a - 3115810 ns IT 00000230 684a LDR r2,[r1,#4] - 3115830 ns MR4_I 00000234 6008d1fc - 3115890 ns MR4_D 40006004 00000001 - 3115890 ns R r2 00000001 - 3115890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3115910 ns R r2 80000000 - 3115910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3115930 ns R psr 81000200 - 3115930 ns MR4_I 00000238 48054770 - 3115950 ns MR4_I 00000230 07d2684a - 3115970 ns IT 00000230 684a LDR r2,[r1,#4] - 3115990 ns MR4_I 00000234 6008d1fc - 3116050 ns MR4_D 40006004 00000001 - 3116050 ns R r2 00000001 - 3116050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3116070 ns R r2 80000000 - 3116070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3116090 ns R psr 81000200 - 3116090 ns MR4_I 00000238 48054770 - 3116110 ns MR4_I 00000230 07d2684a - 3116130 ns IT 00000230 684a LDR r2,[r1,#4] - 3116150 ns MR4_I 00000234 6008d1fc - 3116210 ns MR4_D 40006004 00000001 - 3116210 ns R r2 00000001 - 3116210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3116230 ns R r2 80000000 - 3116230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3116250 ns R psr 81000200 - 3116250 ns MR4_I 00000238 48054770 - 3116270 ns MR4_I 00000230 07d2684a - 3116290 ns IT 00000230 684a LDR r2,[r1,#4] - 3116310 ns MR4_I 00000234 6008d1fc - 3116370 ns MR4_D 40006004 00000001 - 3116370 ns R r2 00000001 - 3116370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3116390 ns R r2 80000000 - 3116390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3116410 ns R psr 81000200 - 3116410 ns MR4_I 00000238 48054770 - 3116430 ns MR4_I 00000230 07d2684a - 3116450 ns IT 00000230 684a LDR r2,[r1,#4] - 3116470 ns MR4_I 00000234 6008d1fc - 3116530 ns MR4_D 40006004 00000001 - 3116530 ns R r2 00000001 - 3116530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3116550 ns R r2 80000000 - 3116550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3116570 ns R psr 81000200 - 3116570 ns MR4_I 00000238 48054770 - 3116590 ns MR4_I 00000230 07d2684a - 3116610 ns IT 00000230 684a LDR r2,[r1,#4] - 3116630 ns MR4_I 00000234 6008d1fc - 3116690 ns MR4_D 40006004 00000001 - 3116690 ns R r2 00000001 - 3116690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3116710 ns R r2 80000000 - 3116710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3116730 ns R psr 81000200 - 3116730 ns MR4_I 00000238 48054770 - 3116750 ns MR4_I 00000230 07d2684a - 3116770 ns IT 00000230 684a LDR r2,[r1,#4] - 3116790 ns MR4_I 00000234 6008d1fc - 3116850 ns MR4_D 40006004 00000001 - 3116850 ns R r2 00000001 - 3116850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3116870 ns R r2 80000000 - 3116870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3116890 ns R psr 81000200 - 3116890 ns MR4_I 00000238 48054770 - 3116910 ns MR4_I 00000230 07d2684a - 3116930 ns IT 00000230 684a LDR r2,[r1,#4] - 3116950 ns MR4_I 00000234 6008d1fc - 3117010 ns MR4_D 40006004 00000001 - 3117010 ns R r2 00000001 - 3117010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3117030 ns R r2 80000000 - 3117030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3117050 ns R psr 81000200 - 3117050 ns MR4_I 00000238 48054770 - 3117070 ns MR4_I 00000230 07d2684a - 3117090 ns IT 00000230 684a LDR r2,[r1,#4] - 3117110 ns MR4_I 00000234 6008d1fc - 3117170 ns MR4_D 40006004 00000001 - 3117170 ns R r2 00000001 - 3117170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3117190 ns R r2 80000000 - 3117190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3117210 ns R psr 81000200 - 3117210 ns MR4_I 00000238 48054770 - 3117230 ns MR4_I 00000230 07d2684a - 3117250 ns IT 00000230 684a LDR r2,[r1,#4] - 3117270 ns MR4_I 00000234 6008d1fc - 3117330 ns MR4_D 40006004 00000001 - 3117330 ns R r2 00000001 - 3117330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3117350 ns R r2 80000000 - 3117350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3117370 ns R psr 81000200 - 3117370 ns MR4_I 00000238 48054770 - 3117390 ns MR4_I 00000230 07d2684a - 3117410 ns IT 00000230 684a LDR r2,[r1,#4] - 3117430 ns MR4_I 00000234 6008d1fc - 3117490 ns MR4_D 40006004 00000001 - 3117490 ns R r2 00000001 - 3117490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3117510 ns R r2 80000000 - 3117510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3117530 ns R psr 81000200 - 3117530 ns MR4_I 00000238 48054770 - 3117550 ns MR4_I 00000230 07d2684a - 3117570 ns IT 00000230 684a LDR r2,[r1,#4] - 3117590 ns MR4_I 00000234 6008d1fc - 3117650 ns MR4_D 40006004 00000001 - 3117650 ns R r2 00000001 - 3117650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3117670 ns R r2 80000000 - 3117670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3117690 ns R psr 81000200 - 3117690 ns MR4_I 00000238 48054770 - 3117710 ns MR4_I 00000230 07d2684a - 3117730 ns IT 00000230 684a LDR r2,[r1,#4] - 3117750 ns MR4_I 00000234 6008d1fc - 3117810 ns MR4_D 40006004 00000001 - 3117810 ns R r2 00000001 - 3117810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3117830 ns R r2 80000000 - 3117830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3117850 ns R psr 81000200 - 3117850 ns MR4_I 00000238 48054770 - 3117870 ns MR4_I 00000230 07d2684a - 3117890 ns IT 00000230 684a LDR r2,[r1,#4] - 3117910 ns MR4_I 00000234 6008d1fc - 3117970 ns MR4_D 40006004 00000001 - 3117970 ns R r2 00000001 - 3117970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3117990 ns R r2 80000000 - 3117990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3118010 ns R psr 81000200 - 3118010 ns MR4_I 00000238 48054770 - 3118030 ns MR4_I 00000230 07d2684a - 3118050 ns IT 00000230 684a LDR r2,[r1,#4] - 3118070 ns MR4_I 00000234 6008d1fc - 3118130 ns MR4_D 40006004 00000001 - 3118130 ns R r2 00000001 - 3118130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3118150 ns R r2 80000000 - 3118150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3118170 ns R psr 81000200 - 3118170 ns MR4_I 00000238 48054770 - 3118190 ns MR4_I 00000230 07d2684a - 3118210 ns IT 00000230 684a LDR r2,[r1,#4] - 3118230 ns MR4_I 00000234 6008d1fc - 3118290 ns MR4_D 40006004 00000001 - 3118290 ns R r2 00000001 - 3118290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3118310 ns R r2 80000000 - 3118310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3118330 ns R psr 81000200 - 3118330 ns MR4_I 00000238 48054770 - 3118350 ns MR4_I 00000230 07d2684a - 3118370 ns IT 00000230 684a LDR r2,[r1,#4] - 3118390 ns MR4_I 00000234 6008d1fc - 3118450 ns MR4_D 40006004 00000001 - 3118450 ns R r2 00000001 - 3118450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3118470 ns R r2 80000000 - 3118470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3118490 ns R psr 81000200 - 3118490 ns MR4_I 00000238 48054770 - 3118510 ns MR4_I 00000230 07d2684a - 3118530 ns IT 00000230 684a LDR r2,[r1,#4] - 3118550 ns MR4_I 00000234 6008d1fc - 3118610 ns MR4_D 40006004 00000001 - 3118610 ns R r2 00000001 - 3118610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3118630 ns R r2 80000000 - 3118630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3118650 ns R psr 81000200 - 3118650 ns MR4_I 00000238 48054770 - 3118670 ns MR4_I 00000230 07d2684a - 3118690 ns IT 00000230 684a LDR r2,[r1,#4] - 3118710 ns MR4_I 00000234 6008d1fc - 3118770 ns MR4_D 40006004 00000001 - 3118770 ns R r2 00000001 - 3118770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3118790 ns R r2 80000000 - 3118790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3118810 ns R psr 81000200 - 3118810 ns MR4_I 00000238 48054770 - 3118830 ns MR4_I 00000230 07d2684a - 3118850 ns IT 00000230 684a LDR r2,[r1,#4] - 3118870 ns MR4_I 00000234 6008d1fc - 3118930 ns MR4_D 40006004 00000001 - 3118930 ns R r2 00000001 - 3118930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3118950 ns R r2 80000000 - 3118950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3118970 ns R psr 81000200 - 3118970 ns MR4_I 00000238 48054770 - 3118990 ns MR4_I 00000230 07d2684a - 3119010 ns IT 00000230 684a LDR r2,[r1,#4] - 3119030 ns MR4_I 00000234 6008d1fc - 3119090 ns MR4_D 40006004 00000001 - 3119090 ns R r2 00000001 - 3119090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3119110 ns R r2 80000000 - 3119110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3119130 ns R psr 81000200 - 3119130 ns MR4_I 00000238 48054770 - 3119150 ns MR4_I 00000230 07d2684a - 3119170 ns IT 00000230 684a LDR r2,[r1,#4] - 3119190 ns MR4_I 00000234 6008d1fc - 3119250 ns MR4_D 40006004 00000001 - 3119250 ns R r2 00000001 - 3119250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3119270 ns R r2 80000000 - 3119270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3119290 ns R psr 81000200 - 3119290 ns MR4_I 00000238 48054770 - 3119310 ns MR4_I 00000230 07d2684a - 3119330 ns IT 00000230 684a LDR r2,[r1,#4] - 3119350 ns MR4_I 00000234 6008d1fc - 3119410 ns MR4_D 40006004 00000001 - 3119410 ns R r2 00000001 - 3119410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3119430 ns R r2 80000000 - 3119430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3119450 ns R psr 81000200 - 3119450 ns MR4_I 00000238 48054770 - 3119470 ns MR4_I 00000230 07d2684a - 3119490 ns IT 00000230 684a LDR r2,[r1,#4] - 3119510 ns MR4_I 00000234 6008d1fc - 3119570 ns MR4_D 40006004 00000001 - 3119570 ns R r2 00000001 - 3119570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3119590 ns R r2 80000000 - 3119590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3119610 ns R psr 81000200 - 3119610 ns MR4_I 00000238 48054770 - 3119630 ns MR4_I 00000230 07d2684a - 3119650 ns IT 00000230 684a LDR r2,[r1,#4] - 3119670 ns MR4_I 00000234 6008d1fc - 3119730 ns MR4_D 40006004 00000001 - 3119730 ns R r2 00000001 - 3119730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3119750 ns R r2 80000000 - 3119750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3119770 ns R psr 81000200 - 3119770 ns MR4_I 00000238 48054770 - 3119790 ns MR4_I 00000230 07d2684a - 3119810 ns IT 00000230 684a LDR r2,[r1,#4] - 3119830 ns MR4_I 00000234 6008d1fc - 3119890 ns MR4_D 40006004 00000001 - 3119890 ns R r2 00000001 - 3119890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3119910 ns R r2 80000000 - 3119910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3119930 ns R psr 81000200 - 3119930 ns MR4_I 00000238 48054770 - 3119950 ns MR4_I 00000230 07d2684a - 3119970 ns IT 00000230 684a LDR r2,[r1,#4] - 3119990 ns MR4_I 00000234 6008d1fc - 3120050 ns MR4_D 40006004 00000001 - 3120050 ns R r2 00000001 - 3120050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3120070 ns R r2 80000000 - 3120070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3120090 ns R psr 81000200 - 3120090 ns MR4_I 00000238 48054770 - 3120110 ns MR4_I 00000230 07d2684a - 3120130 ns IT 00000230 684a LDR r2,[r1,#4] - 3120150 ns MR4_I 00000234 6008d1fc - 3120210 ns MR4_D 40006004 00000001 - 3120210 ns R r2 00000001 - 3120210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3120230 ns R r2 80000000 - 3120230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3120250 ns R psr 81000200 - 3120250 ns MR4_I 00000238 48054770 - 3120270 ns MR4_I 00000230 07d2684a - 3120290 ns IT 00000230 684a LDR r2,[r1,#4] - 3120310 ns MR4_I 00000234 6008d1fc - 3120370 ns MR4_D 40006004 00000001 - 3120370 ns R r2 00000001 - 3120370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3120390 ns R r2 80000000 - 3120390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3120410 ns R psr 81000200 - 3120410 ns MR4_I 00000238 48054770 - 3120430 ns MR4_I 00000230 07d2684a - 3120450 ns IT 00000230 684a LDR r2,[r1,#4] - 3120470 ns MR4_I 00000234 6008d1fc - 3120530 ns MR4_D 40006004 00000001 - 3120530 ns R r2 00000001 - 3120530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3120550 ns R r2 80000000 - 3120550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3120570 ns R psr 81000200 - 3120570 ns MR4_I 00000238 48054770 - 3120590 ns MR4_I 00000230 07d2684a - 3120610 ns IT 00000230 684a LDR r2,[r1,#4] - 3120630 ns MR4_I 00000234 6008d1fc - 3120690 ns MR4_D 40006004 00000001 - 3120690 ns R r2 00000001 - 3120690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3120710 ns R r2 80000000 - 3120710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3120730 ns R psr 81000200 - 3120730 ns MR4_I 00000238 48054770 - 3120750 ns MR4_I 00000230 07d2684a - 3120770 ns IT 00000230 684a LDR r2,[r1,#4] - 3120790 ns MR4_I 00000234 6008d1fc - 3120850 ns MR4_D 40006004 00000001 - 3120850 ns R r2 00000001 - 3120850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3120870 ns R r2 80000000 - 3120870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3120890 ns R psr 81000200 - 3120890 ns MR4_I 00000238 48054770 - 3120910 ns MR4_I 00000230 07d2684a - 3120930 ns IT 00000230 684a LDR r2,[r1,#4] - 3120950 ns MR4_I 00000234 6008d1fc - 3121010 ns MR4_D 40006004 00000001 - 3121010 ns R r2 00000001 - 3121010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3121030 ns R r2 80000000 - 3121030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3121050 ns R psr 81000200 - 3121050 ns MR4_I 00000238 48054770 - 3121070 ns MR4_I 00000230 07d2684a - 3121090 ns IT 00000230 684a LDR r2,[r1,#4] - 3121110 ns MR4_I 00000234 6008d1fc - 3121170 ns MR4_D 40006004 00000001 - 3121170 ns R r2 00000001 - 3121170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3121190 ns R r2 80000000 - 3121190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3121210 ns R psr 81000200 - 3121210 ns MR4_I 00000238 48054770 - 3121230 ns MR4_I 00000230 07d2684a - 3121250 ns IT 00000230 684a LDR r2,[r1,#4] - 3121270 ns MR4_I 00000234 6008d1fc - 3121330 ns MR4_D 40006004 00000001 - 3121330 ns R r2 00000001 - 3121330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3121350 ns R r2 80000000 - 3121350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3121370 ns R psr 81000200 - 3121370 ns MR4_I 00000238 48054770 - 3121390 ns MR4_I 00000230 07d2684a - 3121410 ns IT 00000230 684a LDR r2,[r1,#4] - 3121430 ns MR4_I 00000234 6008d1fc - 3121490 ns MR4_D 40006004 00000001 - 3121490 ns R r2 00000001 - 3121490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3121510 ns R r2 80000000 - 3121510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3121530 ns R psr 81000200 - 3121530 ns MR4_I 00000238 48054770 - 3121550 ns MR4_I 00000230 07d2684a - 3121570 ns IT 00000230 684a LDR r2,[r1,#4] - 3121590 ns MR4_I 00000234 6008d1fc - 3121650 ns MR4_D 40006004 00000001 - 3121650 ns R r2 00000001 - 3121650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3121670 ns R r2 80000000 - 3121670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3121690 ns R psr 81000200 - 3121690 ns MR4_I 00000238 48054770 - 3121710 ns MR4_I 00000230 07d2684a - 3121730 ns IT 00000230 684a LDR r2,[r1,#4] - 3121750 ns MR4_I 00000234 6008d1fc - 3121810 ns MR4_D 40006004 00000001 - 3121810 ns R r2 00000001 - 3121810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3121830 ns R r2 80000000 - 3121830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3121850 ns R psr 81000200 - 3121850 ns MR4_I 00000238 48054770 - 3121870 ns MR4_I 00000230 07d2684a - 3121890 ns IT 00000230 684a LDR r2,[r1,#4] - 3121910 ns MR4_I 00000234 6008d1fc - 3121970 ns MR4_D 40006004 00000001 - 3121970 ns R r2 00000001 - 3121970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3121990 ns R r2 80000000 - 3121990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3122010 ns R psr 81000200 - 3122010 ns MR4_I 00000238 48054770 - 3122030 ns MR4_I 00000230 07d2684a - 3122050 ns IT 00000230 684a LDR r2,[r1,#4] - 3122070 ns MR4_I 00000234 6008d1fc - 3122130 ns MR4_D 40006004 00000001 - 3122130 ns R r2 00000001 - 3122130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3122150 ns R r2 80000000 - 3122150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3122170 ns R psr 81000200 - 3122170 ns MR4_I 00000238 48054770 - 3122190 ns MR4_I 00000230 07d2684a - 3122210 ns IT 00000230 684a LDR r2,[r1,#4] - 3122230 ns MR4_I 00000234 6008d1fc - 3122290 ns MR4_D 40006004 00000001 - 3122290 ns R r2 00000001 - 3122290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3122310 ns R r2 80000000 - 3122310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3122330 ns R psr 81000200 - 3122330 ns MR4_I 00000238 48054770 - 3122350 ns MR4_I 00000230 07d2684a - 3122370 ns IT 00000230 684a LDR r2,[r1,#4] - 3122390 ns MR4_I 00000234 6008d1fc - 3122450 ns MR4_D 40006004 00000001 - 3122450 ns R r2 00000001 - 3122450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3122470 ns R r2 80000000 - 3122470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3122490 ns R psr 81000200 - 3122490 ns MR4_I 00000238 48054770 - 3122510 ns MR4_I 00000230 07d2684a - 3122530 ns IT 00000230 684a LDR r2,[r1,#4] - 3122550 ns MR4_I 00000234 6008d1fc - 3122610 ns MR4_D 40006004 00000001 - 3122610 ns R r2 00000001 - 3122610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3122630 ns R r2 80000000 - 3122630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3122650 ns R psr 81000200 - 3122650 ns MR4_I 00000238 48054770 - 3122670 ns MR4_I 00000230 07d2684a - 3122690 ns IT 00000230 684a LDR r2,[r1,#4] - 3122710 ns MR4_I 00000234 6008d1fc - 3122770 ns MR4_D 40006004 00000001 - 3122770 ns R r2 00000001 - 3122770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3122790 ns R r2 80000000 - 3122790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3122810 ns R psr 81000200 - 3122810 ns MR4_I 00000238 48054770 - 3122830 ns MR4_I 00000230 07d2684a - 3122850 ns IT 00000230 684a LDR r2,[r1,#4] - 3122870 ns MR4_I 00000234 6008d1fc - 3122930 ns MR4_D 40006004 00000001 - 3122930 ns R r2 00000001 - 3122930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3122950 ns R r2 80000000 - 3122950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3122970 ns R psr 81000200 - 3122970 ns MR4_I 00000238 48054770 - 3122990 ns MR4_I 00000230 07d2684a - 3123010 ns IT 00000230 684a LDR r2,[r1,#4] - 3123030 ns MR4_I 00000234 6008d1fc - 3123090 ns MR4_D 40006004 00000001 - 3123090 ns R r2 00000001 - 3123090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3123110 ns R r2 80000000 - 3123110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3123130 ns R psr 81000200 - 3123130 ns MR4_I 00000238 48054770 - 3123150 ns MR4_I 00000230 07d2684a - 3123170 ns IT 00000230 684a LDR r2,[r1,#4] - 3123190 ns MR4_I 00000234 6008d1fc - 3123250 ns MR4_D 40006004 00000001 - 3123250 ns R r2 00000001 - 3123250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3123270 ns R r2 80000000 - 3123270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3123290 ns R psr 81000200 - 3123290 ns MR4_I 00000238 48054770 - 3123310 ns MR4_I 00000230 07d2684a - 3123330 ns IT 00000230 684a LDR r2,[r1,#4] - 3123350 ns MR4_I 00000234 6008d1fc - 3123410 ns MR4_D 40006004 00000001 - 3123410 ns R r2 00000001 - 3123410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3123430 ns R r2 80000000 - 3123430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3123450 ns R psr 81000200 - 3123450 ns MR4_I 00000238 48054770 - 3123470 ns MR4_I 00000230 07d2684a - 3123490 ns IT 00000230 684a LDR r2,[r1,#4] - 3123510 ns MR4_I 00000234 6008d1fc - 3123570 ns MR4_D 40006004 00000001 - 3123570 ns R r2 00000001 - 3123570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3123590 ns R r2 80000000 - 3123590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3123610 ns R psr 81000200 - 3123610 ns MR4_I 00000238 48054770 - 3123630 ns MR4_I 00000230 07d2684a - 3123650 ns IT 00000230 684a LDR r2,[r1,#4] - 3123670 ns MR4_I 00000234 6008d1fc - 3123730 ns MR4_D 40006004 00000001 - 3123730 ns R r2 00000001 - 3123730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3123750 ns R r2 80000000 - 3123750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3123770 ns R psr 81000200 - 3123770 ns MR4_I 00000238 48054770 - 3123790 ns MR4_I 00000230 07d2684a - 3123810 ns IT 00000230 684a LDR r2,[r1,#4] - 3123830 ns MR4_I 00000234 6008d1fc - 3123890 ns MR4_D 40006004 00000001 - 3123890 ns R r2 00000001 - 3123890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3123910 ns R r2 80000000 - 3123910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3123930 ns R psr 81000200 - 3123930 ns MR4_I 00000238 48054770 - 3123950 ns MR4_I 00000230 07d2684a - 3123970 ns IT 00000230 684a LDR r2,[r1,#4] - 3123990 ns MR4_I 00000234 6008d1fc - 3124050 ns MR4_D 40006004 00000001 - 3124050 ns R r2 00000001 - 3124050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3124070 ns R r2 80000000 - 3124070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3124090 ns R psr 81000200 - 3124090 ns MR4_I 00000238 48054770 - 3124110 ns MR4_I 00000230 07d2684a - 3124130 ns IT 00000230 684a LDR r2,[r1,#4] - 3124150 ns MR4_I 00000234 6008d1fc - 3124210 ns MR4_D 40006004 00000001 - 3124210 ns R r2 00000001 - 3124210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3124230 ns R r2 80000000 - 3124230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3124250 ns R psr 81000200 - 3124250 ns MR4_I 00000238 48054770 - 3124270 ns MR4_I 00000230 07d2684a - 3124290 ns IT 00000230 684a LDR r2,[r1,#4] - 3124310 ns MR4_I 00000234 6008d1fc - 3124370 ns MR4_D 40006004 00000001 - 3124370 ns R r2 00000001 - 3124370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3124390 ns R r2 80000000 - 3124390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3124410 ns R psr 81000200 - 3124410 ns MR4_I 00000238 48054770 - 3124430 ns MR4_I 00000230 07d2684a - 3124450 ns IT 00000230 684a LDR r2,[r1,#4] - 3124470 ns MR4_I 00000234 6008d1fc - 3124530 ns MR4_D 40006004 00000001 - 3124530 ns R r2 00000001 - 3124530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3124550 ns R r2 80000000 - 3124550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3124570 ns R psr 81000200 - 3124570 ns MR4_I 00000238 48054770 - 3124590 ns MR4_I 00000230 07d2684a - 3124610 ns IT 00000230 684a LDR r2,[r1,#4] - 3124630 ns MR4_I 00000234 6008d1fc - 3124690 ns MR4_D 40006004 00000001 - 3124690 ns R r2 00000001 - 3124690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3124710 ns R r2 80000000 - 3124710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3124730 ns R psr 81000200 - 3124730 ns MR4_I 00000238 48054770 - 3124750 ns MR4_I 00000230 07d2684a - 3124770 ns IT 00000230 684a LDR r2,[r1,#4] - 3124790 ns MR4_I 00000234 6008d1fc - 3124850 ns MR4_D 40006004 00000001 - 3124850 ns R r2 00000001 - 3124850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3124870 ns R r2 80000000 - 3124870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3124890 ns R psr 81000200 - 3124890 ns MR4_I 00000238 48054770 - 3124910 ns MR4_I 00000230 07d2684a - 3124930 ns IT 00000230 684a LDR r2,[r1,#4] - 3124950 ns MR4_I 00000234 6008d1fc - 3125010 ns MR4_D 40006004 00000001 - 3125010 ns R r2 00000001 - 3125010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3125030 ns R r2 80000000 - 3125030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3125050 ns R psr 81000200 - 3125050 ns MR4_I 00000238 48054770 - 3125070 ns MR4_I 00000230 07d2684a - 3125090 ns IT 00000230 684a LDR r2,[r1,#4] - 3125110 ns MR4_I 00000234 6008d1fc - 3125170 ns MR4_D 40006004 00000001 - 3125170 ns R r2 00000001 - 3125170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3125190 ns R r2 80000000 - 3125190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3125210 ns R psr 81000200 - 3125210 ns MR4_I 00000238 48054770 - 3125230 ns MR4_I 00000230 07d2684a - 3125250 ns IT 00000230 684a LDR r2,[r1,#4] - 3125270 ns MR4_I 00000234 6008d1fc - 3125330 ns MR4_D 40006004 00000001 - 3125330 ns R r2 00000001 - 3125330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3125350 ns R r2 80000000 - 3125350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3125370 ns R psr 81000200 - 3125370 ns MR4_I 00000238 48054770 - 3125390 ns MR4_I 00000230 07d2684a - 3125410 ns IT 00000230 684a LDR r2,[r1,#4] - 3125430 ns MR4_I 00000234 6008d1fc - 3125490 ns MR4_D 40006004 00000001 - 3125490 ns R r2 00000001 - 3125490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3125510 ns R r2 80000000 - 3125510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3125530 ns R psr 81000200 - 3125530 ns MR4_I 00000238 48054770 - 3125550 ns MR4_I 00000230 07d2684a - 3125570 ns IT 00000230 684a LDR r2,[r1,#4] - 3125590 ns MR4_I 00000234 6008d1fc - 3125650 ns MR4_D 40006004 00000001 - 3125650 ns R r2 00000001 - 3125650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3125670 ns R r2 80000000 - 3125670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3125690 ns R psr 81000200 - 3125690 ns MR4_I 00000238 48054770 - 3125710 ns MR4_I 00000230 07d2684a - 3125730 ns IT 00000230 684a LDR r2,[r1,#4] - 3125750 ns MR4_I 00000234 6008d1fc - 3125810 ns MR4_D 40006004 00000001 - 3125810 ns R r2 00000001 - 3125810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3125830 ns R r2 80000000 - 3125830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3125850 ns R psr 81000200 - 3125850 ns MR4_I 00000238 48054770 - 3125870 ns MR4_I 00000230 07d2684a - 3125890 ns IT 00000230 684a LDR r2,[r1,#4] - 3125910 ns MR4_I 00000234 6008d1fc - 3125970 ns MR4_D 40006004 00000001 - 3125970 ns R r2 00000001 - 3125970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3125990 ns R r2 80000000 - 3125990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3126010 ns R psr 81000200 - 3126010 ns MR4_I 00000238 48054770 - 3126030 ns MR4_I 00000230 07d2684a - 3126050 ns IT 00000230 684a LDR r2,[r1,#4] - 3126070 ns MR4_I 00000234 6008d1fc - 3126130 ns MR4_D 40006004 00000001 - 3126130 ns R r2 00000001 - 3126130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3126150 ns R r2 80000000 - 3126150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3126170 ns R psr 81000200 - 3126170 ns MR4_I 00000238 48054770 - 3126190 ns MR4_I 00000230 07d2684a - 3126210 ns IT 00000230 684a LDR r2,[r1,#4] - 3126230 ns MR4_I 00000234 6008d1fc - 3126290 ns MR4_D 40006004 00000001 - 3126290 ns R r2 00000001 - 3126290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3126310 ns R r2 80000000 - 3126310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3126330 ns R psr 81000200 - 3126330 ns MR4_I 00000238 48054770 - 3126350 ns MR4_I 00000230 07d2684a - 3126370 ns IT 00000230 684a LDR r2,[r1,#4] - 3126390 ns MR4_I 00000234 6008d1fc - 3126450 ns MR4_D 40006004 00000001 - 3126450 ns R r2 00000001 - 3126450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3126470 ns R r2 80000000 - 3126470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3126490 ns R psr 81000200 - 3126490 ns MR4_I 00000238 48054770 - 3126510 ns MR4_I 00000230 07d2684a - 3126530 ns IT 00000230 684a LDR r2,[r1,#4] - 3126550 ns MR4_I 00000234 6008d1fc - 3126610 ns MR4_D 40006004 00000001 - 3126610 ns R r2 00000001 - 3126610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3126630 ns R r2 80000000 - 3126630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3126650 ns R psr 81000200 - 3126650 ns MR4_I 00000238 48054770 - 3126670 ns MR4_I 00000230 07d2684a - 3126690 ns IT 00000230 684a LDR r2,[r1,#4] - 3126710 ns MR4_I 00000234 6008d1fc - 3126770 ns MR4_D 40006004 00000001 - 3126770 ns R r2 00000001 - 3126770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3126790 ns R r2 80000000 - 3126790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3126810 ns R psr 81000200 - 3126810 ns MR4_I 00000238 48054770 - 3126830 ns MR4_I 00000230 07d2684a - 3126850 ns IT 00000230 684a LDR r2,[r1,#4] - 3126870 ns MR4_I 00000234 6008d1fc - 3126930 ns MR4_D 40006004 00000001 - 3126930 ns R r2 00000001 - 3126930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3126950 ns R r2 80000000 - 3126950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3126970 ns R psr 81000200 - 3126970 ns MR4_I 00000238 48054770 - 3126990 ns MR4_I 00000230 07d2684a - 3127010 ns IT 00000230 684a LDR r2,[r1,#4] - 3127030 ns MR4_I 00000234 6008d1fc - 3127090 ns MR4_D 40006004 00000001 - 3127090 ns R r2 00000001 - 3127090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3127110 ns R r2 80000000 - 3127110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3127130 ns R psr 81000200 - 3127130 ns MR4_I 00000238 48054770 - 3127150 ns MR4_I 00000230 07d2684a - 3127170 ns IT 00000230 684a LDR r2,[r1,#4] - 3127190 ns MR4_I 00000234 6008d1fc - 3127250 ns MR4_D 40006004 00000001 - 3127250 ns R r2 00000001 - 3127250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3127270 ns R r2 80000000 - 3127270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3127290 ns R psr 81000200 - 3127290 ns MR4_I 00000238 48054770 - 3127310 ns MR4_I 00000230 07d2684a - 3127330 ns IT 00000230 684a LDR r2,[r1,#4] - 3127350 ns MR4_I 00000234 6008d1fc - 3127410 ns MR4_D 40006004 00000001 - 3127410 ns R r2 00000001 - 3127410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3127430 ns R r2 80000000 - 3127430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3127450 ns R psr 81000200 - 3127450 ns MR4_I 00000238 48054770 - 3127470 ns MR4_I 00000230 07d2684a - 3127490 ns IT 00000230 684a LDR r2,[r1,#4] - 3127510 ns MR4_I 00000234 6008d1fc - 3127570 ns MR4_D 40006004 00000001 - 3127570 ns R r2 00000001 - 3127570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3127590 ns R r2 80000000 - 3127590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3127610 ns R psr 81000200 - 3127610 ns MR4_I 00000238 48054770 - 3127630 ns MR4_I 00000230 07d2684a - 3127650 ns IT 00000230 684a LDR r2,[r1,#4] - 3127670 ns MR4_I 00000234 6008d1fc - 3127730 ns MR4_D 40006004 00000001 - 3127730 ns R r2 00000001 - 3127730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3127750 ns R r2 80000000 - 3127750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3127770 ns R psr 81000200 - 3127770 ns MR4_I 00000238 48054770 - 3127790 ns MR4_I 00000230 07d2684a - 3127810 ns IT 00000230 684a LDR r2,[r1,#4] - 3127830 ns MR4_I 00000234 6008d1fc - 3127890 ns MR4_D 40006004 00000001 - 3127890 ns R r2 00000001 - 3127890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3127910 ns R r2 80000000 - 3127910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3127930 ns R psr 81000200 - 3127930 ns MR4_I 00000238 48054770 - 3127950 ns MR4_I 00000230 07d2684a - 3127970 ns IT 00000230 684a LDR r2,[r1,#4] - 3127990 ns MR4_I 00000234 6008d1fc - 3128050 ns MR4_D 40006004 00000001 - 3128050 ns R r2 00000001 - 3128050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3128070 ns R r2 80000000 - 3128070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3128090 ns R psr 81000200 - 3128090 ns MR4_I 00000238 48054770 - 3128110 ns MR4_I 00000230 07d2684a - 3128130 ns IT 00000230 684a LDR r2,[r1,#4] - 3128150 ns MR4_I 00000234 6008d1fc - 3128210 ns MR4_D 40006004 00000001 - 3128210 ns R r2 00000001 - 3128210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3128230 ns R r2 80000000 - 3128230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3128250 ns R psr 81000200 - 3128250 ns MR4_I 00000238 48054770 - 3128270 ns MR4_I 00000230 07d2684a - 3128290 ns IT 00000230 684a LDR r2,[r1,#4] - 3128310 ns MR4_I 00000234 6008d1fc - 3128370 ns MR4_D 40006004 00000001 - 3128370 ns R r2 00000001 - 3128370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3128390 ns R r2 80000000 - 3128390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3128410 ns R psr 81000200 - 3128410 ns MR4_I 00000238 48054770 - 3128430 ns MR4_I 00000230 07d2684a - 3128450 ns IT 00000230 684a LDR r2,[r1,#4] - 3128470 ns MR4_I 00000234 6008d1fc - 3128530 ns MR4_D 40006004 00000001 - 3128530 ns R r2 00000001 - 3128530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3128550 ns R r2 80000000 - 3128550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3128570 ns R psr 81000200 - 3128570 ns MR4_I 00000238 48054770 - 3128590 ns MR4_I 00000230 07d2684a - 3128610 ns IT 00000230 684a LDR r2,[r1,#4] - 3128630 ns MR4_I 00000234 6008d1fc - 3128690 ns MR4_D 40006004 00000001 - 3128690 ns R r2 00000001 - 3128690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3128710 ns R r2 80000000 - 3128710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3128730 ns R psr 81000200 - 3128730 ns MR4_I 00000238 48054770 - 3128750 ns MR4_I 00000230 07d2684a - 3128770 ns IT 00000230 684a LDR r2,[r1,#4] - 3128790 ns MR4_I 00000234 6008d1fc - 3128850 ns MR4_D 40006004 00000001 - 3128850 ns R r2 00000001 - 3128850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3128870 ns R r2 80000000 - 3128870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3128890 ns R psr 81000200 - 3128890 ns MR4_I 00000238 48054770 - 3128910 ns MR4_I 00000230 07d2684a - 3128930 ns IT 00000230 684a LDR r2,[r1,#4] - 3128950 ns MR4_I 00000234 6008d1fc - 3129010 ns MR4_D 40006004 00000001 - 3129010 ns R r2 00000001 - 3129010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3129030 ns R r2 80000000 - 3129030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3129050 ns R psr 81000200 - 3129050 ns MR4_I 00000238 48054770 - 3129070 ns MR4_I 00000230 07d2684a - 3129090 ns IT 00000230 684a LDR r2,[r1,#4] - 3129110 ns MR4_I 00000234 6008d1fc - 3129170 ns MR4_D 40006004 00000001 - 3129170 ns R r2 00000001 - 3129170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3129190 ns R r2 80000000 - 3129190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3129210 ns R psr 81000200 - 3129210 ns MR4_I 00000238 48054770 - 3129230 ns MR4_I 00000230 07d2684a - 3129250 ns IT 00000230 684a LDR r2,[r1,#4] - 3129270 ns MR4_I 00000234 6008d1fc - 3129330 ns MR4_D 40006004 00000001 - 3129330 ns R r2 00000001 - 3129330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3129350 ns R r2 80000000 - 3129350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3129370 ns R psr 81000200 - 3129370 ns MR4_I 00000238 48054770 - 3129390 ns MR4_I 00000230 07d2684a - 3129410 ns IT 00000230 684a LDR r2,[r1,#4] - 3129430 ns MR4_I 00000234 6008d1fc - 3129490 ns MR4_D 40006004 00000001 - 3129490 ns R r2 00000001 - 3129490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3129510 ns R r2 80000000 - 3129510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3129530 ns R psr 81000200 - 3129530 ns MR4_I 00000238 48054770 - 3129550 ns MR4_I 00000230 07d2684a - 3129570 ns IT 00000230 684a LDR r2,[r1,#4] - 3129590 ns MR4_I 00000234 6008d1fc - 3129650 ns MR4_D 40006004 00000001 - 3129650 ns R r2 00000001 - 3129650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3129670 ns R r2 80000000 - 3129670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3129690 ns R psr 81000200 - 3129690 ns MR4_I 00000238 48054770 - 3129710 ns MR4_I 00000230 07d2684a - 3129730 ns IT 00000230 684a LDR r2,[r1,#4] - 3129750 ns MR4_I 00000234 6008d1fc - 3129810 ns MR4_D 40006004 00000001 - 3129810 ns R r2 00000001 - 3129810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3129830 ns R r2 80000000 - 3129830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3129850 ns R psr 81000200 - 3129850 ns MR4_I 00000238 48054770 - 3129870 ns MR4_I 00000230 07d2684a - 3129890 ns IT 00000230 684a LDR r2,[r1,#4] - 3129910 ns MR4_I 00000234 6008d1fc - 3129970 ns MR4_D 40006004 00000001 - 3129970 ns R r2 00000001 - 3129970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3129990 ns R r2 80000000 - 3129990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3130010 ns R psr 81000200 - 3130010 ns MR4_I 00000238 48054770 - 3130030 ns MR4_I 00000230 07d2684a - 3130050 ns IT 00000230 684a LDR r2,[r1,#4] - 3130070 ns MR4_I 00000234 6008d1fc - 3130130 ns MR4_D 40006004 00000001 - 3130130 ns R r2 00000001 - 3130130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3130150 ns R r2 80000000 - 3130150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3130170 ns R psr 81000200 - 3130170 ns MR4_I 00000238 48054770 - 3130190 ns MR4_I 00000230 07d2684a - 3130210 ns IT 00000230 684a LDR r2,[r1,#4] - 3130230 ns MR4_I 00000234 6008d1fc - 3130290 ns MR4_D 40006004 00000001 - 3130290 ns R r2 00000001 - 3130290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3130310 ns R r2 80000000 - 3130310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3130330 ns R psr 81000200 - 3130330 ns MR4_I 00000238 48054770 - 3130350 ns MR4_I 00000230 07d2684a - 3130370 ns IT 00000230 684a LDR r2,[r1,#4] - 3130390 ns MR4_I 00000234 6008d1fc - 3130450 ns MR4_D 40006004 00000001 - 3130450 ns R r2 00000001 - 3130450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3130470 ns R r2 80000000 - 3130470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3130490 ns R psr 81000200 - 3130490 ns MR4_I 00000238 48054770 - 3130510 ns MR4_I 00000230 07d2684a - 3130530 ns IT 00000230 684a LDR r2,[r1,#4] - 3130550 ns MR4_I 00000234 6008d1fc - 3130610 ns MR4_D 40006004 00000001 - 3130610 ns R r2 00000001 - 3130610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3130630 ns R r2 80000000 - 3130630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3130650 ns R psr 81000200 - 3130650 ns MR4_I 00000238 48054770 - 3130670 ns MR4_I 00000230 07d2684a - 3130690 ns IT 00000230 684a LDR r2,[r1,#4] - 3130710 ns MR4_I 00000234 6008d1fc - 3130770 ns MR4_D 40006004 00000001 - 3130770 ns R r2 00000001 - 3130770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3130790 ns R r2 80000000 - 3130790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3130810 ns R psr 81000200 - 3130810 ns MR4_I 00000238 48054770 - 3130830 ns MR4_I 00000230 07d2684a - 3130850 ns IT 00000230 684a LDR r2,[r1,#4] - 3130870 ns MR4_I 00000234 6008d1fc - 3130930 ns MR4_D 40006004 00000001 - 3130930 ns R r2 00000001 - 3130930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3130950 ns R r2 80000000 - 3130950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3130970 ns R psr 81000200 - 3130970 ns MR4_I 00000238 48054770 - 3130990 ns MR4_I 00000230 07d2684a - 3131010 ns IT 00000230 684a LDR r2,[r1,#4] - 3131030 ns MR4_I 00000234 6008d1fc - 3131090 ns MR4_D 40006004 00000001 - 3131090 ns R r2 00000001 - 3131090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3131110 ns R r2 80000000 - 3131110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3131130 ns R psr 81000200 - 3131130 ns MR4_I 00000238 48054770 - 3131150 ns MR4_I 00000230 07d2684a - 3131170 ns IT 00000230 684a LDR r2,[r1,#4] - 3131190 ns MR4_I 00000234 6008d1fc - 3131250 ns MR4_D 40006004 00000001 - 3131250 ns R r2 00000001 - 3131250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3131270 ns R r2 80000000 - 3131270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3131290 ns R psr 81000200 - 3131290 ns MR4_I 00000238 48054770 - 3131310 ns MR4_I 00000230 07d2684a - 3131330 ns IT 00000230 684a LDR r2,[r1,#4] - 3131350 ns MR4_I 00000234 6008d1fc - 3131410 ns MR4_D 40006004 00000001 - 3131410 ns R r2 00000001 - 3131410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3131430 ns R r2 80000000 - 3131430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3131450 ns R psr 81000200 - 3131450 ns MR4_I 00000238 48054770 - 3131470 ns MR4_I 00000230 07d2684a - 3131490 ns IT 00000230 684a LDR r2,[r1,#4] - 3131510 ns MR4_I 00000234 6008d1fc - 3131570 ns MR4_D 40006004 00000001 - 3131570 ns R r2 00000001 - 3131570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3131590 ns R r2 80000000 - 3131590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3131610 ns R psr 81000200 - 3131610 ns MR4_I 00000238 48054770 - 3131630 ns MR4_I 00000230 07d2684a - 3131650 ns IT 00000230 684a LDR r2,[r1,#4] - 3131670 ns MR4_I 00000234 6008d1fc - 3131730 ns MR4_D 40006004 00000001 - 3131730 ns R r2 00000001 - 3131730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3131750 ns R r2 80000000 - 3131750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3131770 ns R psr 81000200 - 3131770 ns MR4_I 00000238 48054770 - 3131790 ns MR4_I 00000230 07d2684a - 3131810 ns IT 00000230 684a LDR r2,[r1,#4] - 3131830 ns MR4_I 00000234 6008d1fc - 3131890 ns MR4_D 40006004 00000001 - 3131890 ns R r2 00000001 - 3131890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3131910 ns R r2 80000000 - 3131910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3131930 ns R psr 81000200 - 3131930 ns MR4_I 00000238 48054770 - 3131950 ns MR4_I 00000230 07d2684a - 3131970 ns IT 00000230 684a LDR r2,[r1,#4] - 3131990 ns MR4_I 00000234 6008d1fc - 3132050 ns MR4_D 40006004 00000001 - 3132050 ns R r2 00000001 - 3132050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3132070 ns R r2 80000000 - 3132070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3132090 ns R psr 81000200 - 3132090 ns MR4_I 00000238 48054770 - 3132110 ns MR4_I 00000230 07d2684a - 3132130 ns IT 00000230 684a LDR r2,[r1,#4] - 3132150 ns MR4_I 00000234 6008d1fc - 3132210 ns MR4_D 40006004 00000001 - 3132210 ns R r2 00000001 - 3132210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3132230 ns R r2 80000000 - 3132230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3132250 ns R psr 81000200 - 3132250 ns MR4_I 00000238 48054770 - 3132270 ns MR4_I 00000230 07d2684a - 3132290 ns IT 00000230 684a LDR r2,[r1,#4] - 3132310 ns MR4_I 00000234 6008d1fc - 3132370 ns MR4_D 40006004 00000001 - 3132370 ns R r2 00000001 - 3132370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3132390 ns R r2 80000000 - 3132390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3132410 ns R psr 81000200 - 3132410 ns MR4_I 00000238 48054770 - 3132430 ns MR4_I 00000230 07d2684a - 3132450 ns IT 00000230 684a LDR r2,[r1,#4] - 3132470 ns MR4_I 00000234 6008d1fc - 3132530 ns MR4_D 40006004 00000001 - 3132530 ns R r2 00000001 - 3132530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3132550 ns R r2 80000000 - 3132550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3132570 ns R psr 81000200 - 3132570 ns MR4_I 00000238 48054770 - 3132590 ns MR4_I 00000230 07d2684a - 3132610 ns IT 00000230 684a LDR r2,[r1,#4] - 3132630 ns MR4_I 00000234 6008d1fc - 3132690 ns MR4_D 40006004 00000001 - 3132690 ns R r2 00000001 - 3132690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3132710 ns R r2 80000000 - 3132710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3132730 ns R psr 81000200 - 3132730 ns MR4_I 00000238 48054770 - 3132750 ns MR4_I 00000230 07d2684a - 3132770 ns IT 00000230 684a LDR r2,[r1,#4] - 3132790 ns MR4_I 00000234 6008d1fc - 3132850 ns MR4_D 40006004 00000001 - 3132850 ns R r2 00000001 - 3132850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3132870 ns R r2 80000000 - 3132870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3132890 ns R psr 81000200 - 3132890 ns MR4_I 00000238 48054770 - 3132910 ns MR4_I 00000230 07d2684a - 3132930 ns IT 00000230 684a LDR r2,[r1,#4] - 3132950 ns MR4_I 00000234 6008d1fc - 3133010 ns MR4_D 40006004 00000001 - 3133010 ns R r2 00000001 - 3133010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3133030 ns R r2 80000000 - 3133030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3133050 ns R psr 81000200 - 3133050 ns MR4_I 00000238 48054770 - 3133070 ns MR4_I 00000230 07d2684a - 3133090 ns IT 00000230 684a LDR r2,[r1,#4] - 3133110 ns MR4_I 00000234 6008d1fc - 3133170 ns MR4_D 40006004 00000001 - 3133170 ns R r2 00000001 - 3133170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3133190 ns R r2 80000000 - 3133190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3133210 ns R psr 81000200 - 3133210 ns MR4_I 00000238 48054770 - 3133230 ns MR4_I 00000230 07d2684a - 3133250 ns IT 00000230 684a LDR r2,[r1,#4] - 3133270 ns MR4_I 00000234 6008d1fc - 3133330 ns MR4_D 40006004 00000001 - 3133330 ns R r2 00000001 - 3133330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3133350 ns R r2 80000000 - 3133350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3133370 ns R psr 81000200 - 3133370 ns MR4_I 00000238 48054770 - 3133390 ns MR4_I 00000230 07d2684a - 3133410 ns IT 00000230 684a LDR r2,[r1,#4] - 3133430 ns MR4_I 00000234 6008d1fc - 3133490 ns MR4_D 40006004 00000001 - 3133490 ns R r2 00000001 - 3133490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3133510 ns R r2 80000000 - 3133510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3133530 ns R psr 81000200 - 3133530 ns MR4_I 00000238 48054770 - 3133550 ns MR4_I 00000230 07d2684a - 3133570 ns IT 00000230 684a LDR r2,[r1,#4] - 3133590 ns MR4_I 00000234 6008d1fc - 3133650 ns MR4_D 40006004 00000001 - 3133650 ns R r2 00000001 - 3133650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3133670 ns R r2 80000000 - 3133670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3133690 ns R psr 81000200 - 3133690 ns MR4_I 00000238 48054770 - 3133710 ns MR4_I 00000230 07d2684a - 3133730 ns IT 00000230 684a LDR r2,[r1,#4] - 3133750 ns MR4_I 00000234 6008d1fc - 3133810 ns MR4_D 40006004 00000001 - 3133810 ns R r2 00000001 - 3133810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3133830 ns R r2 80000000 - 3133830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3133850 ns R psr 81000200 - 3133850 ns MR4_I 00000238 48054770 - 3133870 ns MR4_I 00000230 07d2684a - 3133890 ns IT 00000230 684a LDR r2,[r1,#4] - 3133910 ns MR4_I 00000234 6008d1fc - 3133970 ns MR4_D 40006004 00000001 - 3133970 ns R r2 00000001 - 3133970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3133990 ns R r2 80000000 - 3133990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3134010 ns R psr 81000200 - 3134010 ns MR4_I 00000238 48054770 - 3134030 ns MR4_I 00000230 07d2684a - 3134050 ns IT 00000230 684a LDR r2,[r1,#4] - 3134070 ns MR4_I 00000234 6008d1fc - 3134130 ns MR4_D 40006004 00000001 - 3134130 ns R r2 00000001 - 3134130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3134150 ns R r2 80000000 - 3134150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3134170 ns R psr 81000200 - 3134170 ns MR4_I 00000238 48054770 - 3134190 ns MR4_I 00000230 07d2684a - 3134210 ns IT 00000230 684a LDR r2,[r1,#4] - 3134230 ns MR4_I 00000234 6008d1fc - 3134290 ns MR4_D 40006004 00000001 - 3134290 ns R r2 00000001 - 3134290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3134310 ns R r2 80000000 - 3134310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3134330 ns R psr 81000200 - 3134330 ns MR4_I 00000238 48054770 - 3134350 ns MR4_I 00000230 07d2684a - 3134370 ns IT 00000230 684a LDR r2,[r1,#4] - 3134390 ns MR4_I 00000234 6008d1fc - 3134450 ns MR4_D 40006004 00000001 - 3134450 ns R r2 00000001 - 3134450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3134470 ns R r2 80000000 - 3134470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3134490 ns R psr 81000200 - 3134490 ns MR4_I 00000238 48054770 - 3134510 ns MR4_I 00000230 07d2684a - 3134530 ns IT 00000230 684a LDR r2,[r1,#4] - 3134550 ns MR4_I 00000234 6008d1fc - 3134610 ns MR4_D 40006004 00000001 - 3134610 ns R r2 00000001 - 3134610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3134630 ns R r2 80000000 - 3134630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3134650 ns R psr 81000200 - 3134650 ns MR4_I 00000238 48054770 - 3134670 ns MR4_I 00000230 07d2684a - 3134690 ns IT 00000230 684a LDR r2,[r1,#4] - 3134710 ns MR4_I 00000234 6008d1fc - 3134770 ns MR4_D 40006004 00000001 - 3134770 ns R r2 00000001 - 3134770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3134790 ns R r2 80000000 - 3134790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3134810 ns R psr 81000200 - 3134810 ns MR4_I 00000238 48054770 - 3134830 ns MR4_I 00000230 07d2684a - 3134850 ns IT 00000230 684a LDR r2,[r1,#4] - 3134870 ns MR4_I 00000234 6008d1fc - 3134930 ns MR4_D 40006004 00000001 - 3134930 ns R r2 00000001 - 3134930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3134950 ns R r2 80000000 - 3134950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3134970 ns R psr 81000200 - 3134970 ns MR4_I 00000238 48054770 - 3134990 ns MR4_I 00000230 07d2684a - 3135010 ns IT 00000230 684a LDR r2,[r1,#4] - 3135030 ns MR4_I 00000234 6008d1fc - 3135090 ns MR4_D 40006004 00000001 - 3135090 ns R r2 00000001 - 3135090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3135110 ns R r2 80000000 - 3135110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3135130 ns R psr 81000200 - 3135130 ns MR4_I 00000238 48054770 - 3135150 ns MR4_I 00000230 07d2684a - 3135170 ns IT 00000230 684a LDR r2,[r1,#4] - 3135190 ns MR4_I 00000234 6008d1fc - 3135250 ns MR4_D 40006004 00000001 - 3135250 ns R r2 00000001 - 3135250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3135270 ns R r2 80000000 - 3135270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3135290 ns R psr 81000200 - 3135290 ns MR4_I 00000238 48054770 - 3135310 ns MR4_I 00000230 07d2684a - 3135330 ns IT 00000230 684a LDR r2,[r1,#4] - 3135350 ns MR4_I 00000234 6008d1fc - 3135410 ns MR4_D 40006004 00000001 - 3135410 ns R r2 00000001 - 3135410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3135430 ns R r2 80000000 - 3135430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3135450 ns R psr 81000200 - 3135450 ns MR4_I 00000238 48054770 - 3135470 ns MR4_I 00000230 07d2684a - 3135490 ns IT 00000230 684a LDR r2,[r1,#4] - 3135510 ns MR4_I 00000234 6008d1fc - 3135570 ns MR4_D 40006004 00000001 - 3135570 ns R r2 00000001 - 3135570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3135590 ns R r2 80000000 - 3135590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3135610 ns R psr 81000200 - 3135610 ns MR4_I 00000238 48054770 - 3135630 ns MR4_I 00000230 07d2684a - 3135650 ns IT 00000230 684a LDR r2,[r1,#4] - 3135670 ns MR4_I 00000234 6008d1fc - 3135730 ns MR4_D 40006004 00000001 - 3135730 ns R r2 00000001 - 3135730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3135750 ns R r2 80000000 - 3135750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3135770 ns R psr 81000200 - 3135770 ns MR4_I 00000238 48054770 - 3135790 ns MR4_I 00000230 07d2684a - 3135810 ns IT 00000230 684a LDR r2,[r1,#4] - 3135830 ns MR4_I 00000234 6008d1fc - 3135890 ns MR4_D 40006004 00000001 - 3135890 ns R r2 00000001 - 3135890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3135910 ns R r2 80000000 - 3135910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3135930 ns R psr 81000200 - 3135930 ns MR4_I 00000238 48054770 - 3135950 ns MR4_I 00000230 07d2684a - 3135970 ns IT 00000230 684a LDR r2,[r1,#4] - 3135990 ns MR4_I 00000234 6008d1fc - 3136050 ns MR4_D 40006004 00000001 - 3136050 ns R r2 00000001 - 3136050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3136070 ns R r2 80000000 - 3136070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3136090 ns R psr 81000200 - 3136090 ns MR4_I 00000238 48054770 - 3136110 ns MR4_I 00000230 07d2684a - 3136130 ns IT 00000230 684a LDR r2,[r1,#4] - 3136150 ns MR4_I 00000234 6008d1fc - 3136210 ns MR4_D 40006004 00000001 - 3136210 ns R r2 00000001 - 3136210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3136230 ns R r2 80000000 - 3136230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3136250 ns R psr 81000200 - 3136250 ns MR4_I 00000238 48054770 - 3136270 ns MR4_I 00000230 07d2684a - 3136290 ns IT 00000230 684a LDR r2,[r1,#4] - 3136310 ns MR4_I 00000234 6008d1fc - 3136370 ns MR4_D 40006004 00000001 - 3136370 ns R r2 00000001 - 3136370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3136390 ns R r2 80000000 - 3136390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3136410 ns R psr 81000200 - 3136410 ns MR4_I 00000238 48054770 - 3136430 ns MR4_I 00000230 07d2684a - 3136450 ns IT 00000230 684a LDR r2,[r1,#4] - 3136470 ns MR4_I 00000234 6008d1fc - 3136530 ns MR4_D 40006004 00000001 - 3136530 ns R r2 00000001 - 3136530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3136550 ns R r2 80000000 - 3136550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3136570 ns R psr 81000200 - 3136570 ns MR4_I 00000238 48054770 - 3136590 ns MR4_I 00000230 07d2684a - 3136610 ns IT 00000230 684a LDR r2,[r1,#4] - 3136630 ns MR4_I 00000234 6008d1fc - 3136690 ns MR4_D 40006004 00000001 - 3136690 ns R r2 00000001 - 3136690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3136710 ns R r2 80000000 - 3136710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3136730 ns R psr 81000200 - 3136730 ns MR4_I 00000238 48054770 - 3136750 ns MR4_I 00000230 07d2684a - 3136770 ns IT 00000230 684a LDR r2,[r1,#4] - 3136790 ns MR4_I 00000234 6008d1fc - 3136850 ns MR4_D 40006004 00000000 - 3136850 ns R r2 00000000 - 3136850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3136870 ns R r2 00000000 - 3136870 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3136890 ns R psr 41000200 - 3136890 ns MR4_I 00000238 48054770 - 3136890 ns IT 00000236 6008 STR r0,[r1,#0] - 3136970 ns MW4_D 40006000 0000002a - 3136970 ns IT 00000238 4770 BX lr - 3136990 ns MR4_I 0000023c 07896841 - 3137010 ns R psr 41000200 - 3137010 ns MR4_I 000001fc b510bd10 - 3137030 ns IT 000001fc bd10 POP {r4,pc} - 3137050 ns MR4_I 00000200 f81bf000 - 3137050 ns R r13 200002e0 (MSP) - 3137070 ns MR4_D 200002d8 00000010 - 3137070 ns R r4 00000010 - 3137090 ns MR4_D 200002dc 0000032d - 3137110 ns R psr 41000200 - 3137130 ns MR4_I 0000032c 46301c64 - 3137150 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 3137170 ns MR4_I 00000330 280047a8 - 3137170 ns R r4 00000011 - 3137170 ns IT 0000032e 4630 MOV r0,r6 - 3137190 ns R psr 01000200 - 3137190 ns R r0 200002f8 - 3137190 ns IT 00000330 47a8 BLX r5 - 3137210 ns MR4_I 00000334 4620d1f8 - 3137230 ns R psr 01000200 - 3137230 ns MR4_I 000002a8 1c4a6901 - 3137230 ns R r14 00000333 - 3137250 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 3137270 ns MR4_I 000002ac 78086102 - 3137290 ns MR4_D 20000308 000001a1 - 3137290 ns R r1 000001a1 - 3137290 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 3137310 ns R r2 000001a2 - 3137310 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 3137330 ns R psr 01000200 - 3137330 ns MR4_I 000002b0 b5004770 - 3137350 ns MW4_D 20000308 000001a2 - 3137350 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 3137390 ns MR1_D 000001a1 00000a2a - 3137390 ns R r0 0000000a - 3137390 ns IT 000002b0 4770 BX lr - 3137410 ns MR4_I 000002b4 9102b08f - 3137430 ns R psr 01000200 - 3137430 ns MR4_I 00000330 280047a8 - 3137450 ns MR4_I 00000334 4620d1f8 - 3137450 ns IT 00000332 2800 CMP r0,#0 - 3137470 ns IT 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 3137490 ns R psr 21000200 - 3137490 ns MR4_I 00000338 b510bdf8 - 3137510 ns MR4_I 00000328 47b89900 - 3137530 ns IT 00000328 9900 LDR r1,[sp,#0] - 3137550 ns MR4_I 0000032c 46301c64 - 3137570 ns MR4_D 200002e0 20000004 - 3137570 ns R r1 20000004 - 3137570 ns IT 0000032a 47b8 BLX r7 - 3137610 ns R psr 21000200 - 3137610 ns MR4_I 000001f4 b2c0b510 - 3137610 ns R r14 0000032d - 3137630 ns IT 000001f4 b510 PUSH {r4,lr} - 3137650 ns MR4_I 000001f8 f819f000 - 3137670 ns MW4_D 200002d8 00000011 - 3137690 ns MW4_D 200002dc 0000032d - 3137690 ns R r13 200002d8 (MSP) - 3137690 ns IT 000001f6 b2c0 UXTB r0,r0 - 3137710 ns R r0 0000000a - 3137710 ns IT 000001f8 f000f819 BL {pc} + 0x36 ; 0x22e - 3137730 ns MR4_I 000001fc b510bd10 - 3137750 ns R r14 000001fd - 3137770 ns MR4_I 0000022c 49084770 - 3137790 ns MR4_I 00000230 07d2684a - 3137790 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 3137830 ns MR4_D 00000250 40006000 - 3137830 ns R r1 40006000 - 3137830 ns IT 00000230 684a LDR r2,[r1,#4] - 3137850 ns MR4_I 00000234 6008d1fc - 3137910 ns MR4_D 40006004 00000001 - 3137910 ns R r2 00000001 - 3137910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3137930 ns R r2 80000000 - 3137930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3137950 ns R psr 81000200 - 3137950 ns MR4_I 00000238 48054770 - 3137970 ns MR4_I 00000230 07d2684a - 3137990 ns IT 00000230 684a LDR r2,[r1,#4] - 3138010 ns MR4_I 00000234 6008d1fc - 3138070 ns MR4_D 40006004 00000001 - 3138070 ns R r2 00000001 - 3138070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3138090 ns R r2 80000000 - 3138090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3138110 ns R psr 81000200 - 3138110 ns MR4_I 00000238 48054770 - 3138130 ns MR4_I 00000230 07d2684a - 3138150 ns IT 00000230 684a LDR r2,[r1,#4] - 3138170 ns MR4_I 00000234 6008d1fc - 3138230 ns MR4_D 40006004 00000001 - 3138230 ns R r2 00000001 - 3138230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3138250 ns R r2 80000000 - 3138250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3138270 ns R psr 81000200 - 3138270 ns MR4_I 00000238 48054770 - 3138290 ns MR4_I 00000230 07d2684a - 3138310 ns IT 00000230 684a LDR r2,[r1,#4] - 3138330 ns MR4_I 00000234 6008d1fc - 3138390 ns MR4_D 40006004 00000001 - 3138390 ns R r2 00000001 - 3138390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3138410 ns R r2 80000000 - 3138410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3138430 ns R psr 81000200 - 3138430 ns MR4_I 00000238 48054770 - 3138450 ns MR4_I 00000230 07d2684a - 3138470 ns IT 00000230 684a LDR r2,[r1,#4] - 3138490 ns MR4_I 00000234 6008d1fc - 3138550 ns MR4_D 40006004 00000001 - 3138550 ns R r2 00000001 - 3138550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3138570 ns R r2 80000000 - 3138570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3138590 ns R psr 81000200 - 3138590 ns MR4_I 00000238 48054770 - 3138610 ns MR4_I 00000230 07d2684a - 3138630 ns IT 00000230 684a LDR r2,[r1,#4] - 3138650 ns MR4_I 00000234 6008d1fc - 3138710 ns MR4_D 40006004 00000001 - 3138710 ns R r2 00000001 - 3138710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3138730 ns R r2 80000000 - 3138730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3138750 ns R psr 81000200 - 3138750 ns MR4_I 00000238 48054770 - 3138770 ns MR4_I 00000230 07d2684a - 3138790 ns IT 00000230 684a LDR r2,[r1,#4] - 3138810 ns MR4_I 00000234 6008d1fc - 3138870 ns MR4_D 40006004 00000001 - 3138870 ns R r2 00000001 - 3138870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3138890 ns R r2 80000000 - 3138890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3138910 ns R psr 81000200 - 3138910 ns MR4_I 00000238 48054770 - 3138930 ns MR4_I 00000230 07d2684a - 3138950 ns IT 00000230 684a LDR r2,[r1,#4] - 3138970 ns MR4_I 00000234 6008d1fc - 3139030 ns MR4_D 40006004 00000001 - 3139030 ns R r2 00000001 - 3139030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3139050 ns R r2 80000000 - 3139050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3139070 ns R psr 81000200 - 3139070 ns MR4_I 00000238 48054770 - 3139090 ns MR4_I 00000230 07d2684a - 3139110 ns IT 00000230 684a LDR r2,[r1,#4] - 3139130 ns MR4_I 00000234 6008d1fc - 3139190 ns MR4_D 40006004 00000001 - 3139190 ns R r2 00000001 - 3139190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3139210 ns R r2 80000000 - 3139210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3139230 ns R psr 81000200 - 3139230 ns MR4_I 00000238 48054770 - 3139250 ns MR4_I 00000230 07d2684a - 3139270 ns IT 00000230 684a LDR r2,[r1,#4] - 3139290 ns MR4_I 00000234 6008d1fc - 3139350 ns MR4_D 40006004 00000001 - 3139350 ns R r2 00000001 - 3139350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3139370 ns R r2 80000000 - 3139370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3139390 ns R psr 81000200 - 3139390 ns MR4_I 00000238 48054770 - 3139410 ns MR4_I 00000230 07d2684a - 3139430 ns IT 00000230 684a LDR r2,[r1,#4] - 3139450 ns MR4_I 00000234 6008d1fc - 3139510 ns MR4_D 40006004 00000001 - 3139510 ns R r2 00000001 - 3139510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3139530 ns R r2 80000000 - 3139530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3139550 ns R psr 81000200 - 3139550 ns MR4_I 00000238 48054770 - 3139570 ns MR4_I 00000230 07d2684a - 3139590 ns IT 00000230 684a LDR r2,[r1,#4] - 3139610 ns MR4_I 00000234 6008d1fc - 3139670 ns MR4_D 40006004 00000001 - 3139670 ns R r2 00000001 - 3139670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3139690 ns R r2 80000000 - 3139690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3139710 ns R psr 81000200 - 3139710 ns MR4_I 00000238 48054770 - 3139730 ns MR4_I 00000230 07d2684a - 3139750 ns IT 00000230 684a LDR r2,[r1,#4] - 3139770 ns MR4_I 00000234 6008d1fc - 3139830 ns MR4_D 40006004 00000001 - 3139830 ns R r2 00000001 - 3139830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3139850 ns R r2 80000000 - 3139850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3139870 ns R psr 81000200 - 3139870 ns MR4_I 00000238 48054770 - 3139890 ns MR4_I 00000230 07d2684a - 3139910 ns IT 00000230 684a LDR r2,[r1,#4] - 3139930 ns MR4_I 00000234 6008d1fc - 3139990 ns MR4_D 40006004 00000001 - 3139990 ns R r2 00000001 - 3139990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3140010 ns R r2 80000000 - 3140010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3140030 ns R psr 81000200 - 3140030 ns MR4_I 00000238 48054770 - 3140050 ns MR4_I 00000230 07d2684a - 3140070 ns IT 00000230 684a LDR r2,[r1,#4] - 3140090 ns MR4_I 00000234 6008d1fc - 3140150 ns MR4_D 40006004 00000001 - 3140150 ns R r2 00000001 - 3140150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3140170 ns R r2 80000000 - 3140170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3140190 ns R psr 81000200 - 3140190 ns MR4_I 00000238 48054770 - 3140210 ns MR4_I 00000230 07d2684a - 3140230 ns IT 00000230 684a LDR r2,[r1,#4] - 3140250 ns MR4_I 00000234 6008d1fc - 3140310 ns MR4_D 40006004 00000001 - 3140310 ns R r2 00000001 - 3140310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3140330 ns R r2 80000000 - 3140330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3140350 ns R psr 81000200 - 3140350 ns MR4_I 00000238 48054770 - 3140370 ns MR4_I 00000230 07d2684a - 3140390 ns IT 00000230 684a LDR r2,[r1,#4] - 3140410 ns MR4_I 00000234 6008d1fc - 3140470 ns MR4_D 40006004 00000001 - 3140470 ns R r2 00000001 - 3140470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3140490 ns R r2 80000000 - 3140490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3140510 ns R psr 81000200 - 3140510 ns MR4_I 00000238 48054770 - 3140530 ns MR4_I 00000230 07d2684a - 3140550 ns IT 00000230 684a LDR r2,[r1,#4] - 3140570 ns MR4_I 00000234 6008d1fc - 3140630 ns MR4_D 40006004 00000001 - 3140630 ns R r2 00000001 - 3140630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3140650 ns R r2 80000000 - 3140650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3140670 ns R psr 81000200 - 3140670 ns MR4_I 00000238 48054770 - 3140690 ns MR4_I 00000230 07d2684a - 3140710 ns IT 00000230 684a LDR r2,[r1,#4] - 3140730 ns MR4_I 00000234 6008d1fc - 3140790 ns MR4_D 40006004 00000001 - 3140790 ns R r2 00000001 - 3140790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3140810 ns R r2 80000000 - 3140810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3140830 ns R psr 81000200 - 3140830 ns MR4_I 00000238 48054770 - 3140850 ns MR4_I 00000230 07d2684a - 3140870 ns IT 00000230 684a LDR r2,[r1,#4] - 3140890 ns MR4_I 00000234 6008d1fc - 3140950 ns MR4_D 40006004 00000001 - 3140950 ns R r2 00000001 - 3140950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3140970 ns R r2 80000000 - 3140970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3140990 ns R psr 81000200 - 3140990 ns MR4_I 00000238 48054770 - 3141010 ns MR4_I 00000230 07d2684a - 3141030 ns IT 00000230 684a LDR r2,[r1,#4] - 3141050 ns MR4_I 00000234 6008d1fc - 3141110 ns MR4_D 40006004 00000001 - 3141110 ns R r2 00000001 - 3141110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3141130 ns R r2 80000000 - 3141130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3141150 ns R psr 81000200 - 3141150 ns MR4_I 00000238 48054770 - 3141170 ns MR4_I 00000230 07d2684a - 3141190 ns IT 00000230 684a LDR r2,[r1,#4] - 3141210 ns MR4_I 00000234 6008d1fc - 3141270 ns MR4_D 40006004 00000001 - 3141270 ns R r2 00000001 - 3141270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3141290 ns R r2 80000000 - 3141290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3141310 ns R psr 81000200 - 3141310 ns MR4_I 00000238 48054770 - 3141330 ns MR4_I 00000230 07d2684a - 3141350 ns IT 00000230 684a LDR r2,[r1,#4] - 3141370 ns MR4_I 00000234 6008d1fc - 3141430 ns MR4_D 40006004 00000001 - 3141430 ns R r2 00000001 - 3141430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3141450 ns R r2 80000000 - 3141450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3141470 ns R psr 81000200 - 3141470 ns MR4_I 00000238 48054770 - 3141490 ns MR4_I 00000230 07d2684a - 3141510 ns IT 00000230 684a LDR r2,[r1,#4] - 3141530 ns MR4_I 00000234 6008d1fc - 3141590 ns MR4_D 40006004 00000001 - 3141590 ns R r2 00000001 - 3141590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3141610 ns R r2 80000000 - 3141610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3141630 ns R psr 81000200 - 3141630 ns MR4_I 00000238 48054770 - 3141650 ns MR4_I 00000230 07d2684a - 3141670 ns IT 00000230 684a LDR r2,[r1,#4] - 3141690 ns MR4_I 00000234 6008d1fc - 3141750 ns MR4_D 40006004 00000001 - 3141750 ns R r2 00000001 - 3141750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3141770 ns R r2 80000000 - 3141770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3141790 ns R psr 81000200 - 3141790 ns MR4_I 00000238 48054770 - 3141810 ns MR4_I 00000230 07d2684a - 3141830 ns IT 00000230 684a LDR r2,[r1,#4] - 3141850 ns MR4_I 00000234 6008d1fc - 3141910 ns MR4_D 40006004 00000001 - 3141910 ns R r2 00000001 - 3141910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3141930 ns R r2 80000000 - 3141930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3141950 ns R psr 81000200 - 3141950 ns MR4_I 00000238 48054770 - 3141970 ns MR4_I 00000230 07d2684a - 3141990 ns IT 00000230 684a LDR r2,[r1,#4] - 3142010 ns MR4_I 00000234 6008d1fc - 3142070 ns MR4_D 40006004 00000001 - 3142070 ns R r2 00000001 - 3142070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3142090 ns R r2 80000000 - 3142090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3142110 ns R psr 81000200 - 3142110 ns MR4_I 00000238 48054770 - 3142130 ns MR4_I 00000230 07d2684a - 3142150 ns IT 00000230 684a LDR r2,[r1,#4] - 3142170 ns MR4_I 00000234 6008d1fc - 3142230 ns MR4_D 40006004 00000001 - 3142230 ns R r2 00000001 - 3142230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3142250 ns R r2 80000000 - 3142250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3142270 ns R psr 81000200 - 3142270 ns MR4_I 00000238 48054770 - 3142290 ns MR4_I 00000230 07d2684a - 3142310 ns IT 00000230 684a LDR r2,[r1,#4] - 3142330 ns MR4_I 00000234 6008d1fc - 3142390 ns MR4_D 40006004 00000001 - 3142390 ns R r2 00000001 - 3142390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3142410 ns R r2 80000000 - 3142410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3142430 ns R psr 81000200 - 3142430 ns MR4_I 00000238 48054770 - 3142450 ns MR4_I 00000230 07d2684a - 3142470 ns IT 00000230 684a LDR r2,[r1,#4] - 3142490 ns MR4_I 00000234 6008d1fc - 3142550 ns MR4_D 40006004 00000001 - 3142550 ns R r2 00000001 - 3142550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3142570 ns R r2 80000000 - 3142570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3142590 ns R psr 81000200 - 3142590 ns MR4_I 00000238 48054770 - 3142610 ns MR4_I 00000230 07d2684a - 3142630 ns IT 00000230 684a LDR r2,[r1,#4] - 3142650 ns MR4_I 00000234 6008d1fc - 3142710 ns MR4_D 40006004 00000001 - 3142710 ns R r2 00000001 - 3142710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3142730 ns R r2 80000000 - 3142730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3142750 ns R psr 81000200 - 3142750 ns MR4_I 00000238 48054770 - 3142770 ns MR4_I 00000230 07d2684a - 3142790 ns IT 00000230 684a LDR r2,[r1,#4] - 3142810 ns MR4_I 00000234 6008d1fc - 3142870 ns MR4_D 40006004 00000001 - 3142870 ns R r2 00000001 - 3142870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3142890 ns R r2 80000000 - 3142890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3142910 ns R psr 81000200 - 3142910 ns MR4_I 00000238 48054770 - 3142930 ns MR4_I 00000230 07d2684a - 3142950 ns IT 00000230 684a LDR r2,[r1,#4] - 3142970 ns MR4_I 00000234 6008d1fc - 3143030 ns MR4_D 40006004 00000001 - 3143030 ns R r2 00000001 - 3143030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3143050 ns R r2 80000000 - 3143050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3143070 ns R psr 81000200 - 3143070 ns MR4_I 00000238 48054770 - 3143090 ns MR4_I 00000230 07d2684a - 3143110 ns IT 00000230 684a LDR r2,[r1,#4] - 3143130 ns MR4_I 00000234 6008d1fc - 3143190 ns MR4_D 40006004 00000001 - 3143190 ns R r2 00000001 - 3143190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3143210 ns R r2 80000000 - 3143210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3143230 ns R psr 81000200 - 3143230 ns MR4_I 00000238 48054770 - 3143250 ns MR4_I 00000230 07d2684a - 3143270 ns IT 00000230 684a LDR r2,[r1,#4] - 3143290 ns MR4_I 00000234 6008d1fc - 3143350 ns MR4_D 40006004 00000001 - 3143350 ns R r2 00000001 - 3143350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3143370 ns R r2 80000000 - 3143370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3143390 ns R psr 81000200 - 3143390 ns MR4_I 00000238 48054770 - 3143410 ns MR4_I 00000230 07d2684a - 3143430 ns IT 00000230 684a LDR r2,[r1,#4] - 3143450 ns MR4_I 00000234 6008d1fc - 3143510 ns MR4_D 40006004 00000001 - 3143510 ns R r2 00000001 - 3143510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3143530 ns R r2 80000000 - 3143530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3143550 ns R psr 81000200 - 3143550 ns MR4_I 00000238 48054770 - 3143570 ns MR4_I 00000230 07d2684a - 3143590 ns IT 00000230 684a LDR r2,[r1,#4] - 3143610 ns MR4_I 00000234 6008d1fc - 3143670 ns MR4_D 40006004 00000001 - 3143670 ns R r2 00000001 - 3143670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3143690 ns R r2 80000000 - 3143690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3143710 ns R psr 81000200 - 3143710 ns MR4_I 00000238 48054770 - 3143730 ns MR4_I 00000230 07d2684a - 3143750 ns IT 00000230 684a LDR r2,[r1,#4] - 3143770 ns MR4_I 00000234 6008d1fc - 3143830 ns MR4_D 40006004 00000001 - 3143830 ns R r2 00000001 - 3143830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3143850 ns R r2 80000000 - 3143850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3143870 ns R psr 81000200 - 3143870 ns MR4_I 00000238 48054770 - 3143890 ns MR4_I 00000230 07d2684a - 3143910 ns IT 00000230 684a LDR r2,[r1,#4] - 3143930 ns MR4_I 00000234 6008d1fc - 3143990 ns MR4_D 40006004 00000001 - 3143990 ns R r2 00000001 - 3143990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3144010 ns R r2 80000000 - 3144010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3144030 ns R psr 81000200 - 3144030 ns MR4_I 00000238 48054770 - 3144050 ns MR4_I 00000230 07d2684a - 3144070 ns IT 00000230 684a LDR r2,[r1,#4] - 3144090 ns MR4_I 00000234 6008d1fc - 3144150 ns MR4_D 40006004 00000001 - 3144150 ns R r2 00000001 - 3144150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3144170 ns R r2 80000000 - 3144170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3144190 ns R psr 81000200 - 3144190 ns MR4_I 00000238 48054770 - 3144210 ns MR4_I 00000230 07d2684a - 3144230 ns IT 00000230 684a LDR r2,[r1,#4] - 3144250 ns MR4_I 00000234 6008d1fc - 3144310 ns MR4_D 40006004 00000001 - 3144310 ns R r2 00000001 - 3144310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3144330 ns R r2 80000000 - 3144330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3144350 ns R psr 81000200 - 3144350 ns MR4_I 00000238 48054770 - 3144370 ns MR4_I 00000230 07d2684a - 3144390 ns IT 00000230 684a LDR r2,[r1,#4] - 3144410 ns MR4_I 00000234 6008d1fc - 3144470 ns MR4_D 40006004 00000001 - 3144470 ns R r2 00000001 - 3144470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3144490 ns R r2 80000000 - 3144490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3144510 ns R psr 81000200 - 3144510 ns MR4_I 00000238 48054770 - 3144530 ns MR4_I 00000230 07d2684a - 3144550 ns IT 00000230 684a LDR r2,[r1,#4] - 3144570 ns MR4_I 00000234 6008d1fc - 3144630 ns MR4_D 40006004 00000001 - 3144630 ns R r2 00000001 - 3144630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3144650 ns R r2 80000000 - 3144650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3144670 ns R psr 81000200 - 3144670 ns MR4_I 00000238 48054770 - 3144690 ns MR4_I 00000230 07d2684a - 3144710 ns IT 00000230 684a LDR r2,[r1,#4] - 3144730 ns MR4_I 00000234 6008d1fc - 3144790 ns MR4_D 40006004 00000001 - 3144790 ns R r2 00000001 - 3144790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3144810 ns R r2 80000000 - 3144810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3144830 ns R psr 81000200 - 3144830 ns MR4_I 00000238 48054770 - 3144850 ns MR4_I 00000230 07d2684a - 3144870 ns IT 00000230 684a LDR r2,[r1,#4] - 3144890 ns MR4_I 00000234 6008d1fc - 3144950 ns MR4_D 40006004 00000001 - 3144950 ns R r2 00000001 - 3144950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3144970 ns R r2 80000000 - 3144970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3144990 ns R psr 81000200 - 3144990 ns MR4_I 00000238 48054770 - 3145010 ns MR4_I 00000230 07d2684a - 3145030 ns IT 00000230 684a LDR r2,[r1,#4] - 3145050 ns MR4_I 00000234 6008d1fc - 3145110 ns MR4_D 40006004 00000001 - 3145110 ns R r2 00000001 - 3145110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3145130 ns R r2 80000000 - 3145130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3145150 ns R psr 81000200 - 3145150 ns MR4_I 00000238 48054770 - 3145170 ns MR4_I 00000230 07d2684a - 3145190 ns IT 00000230 684a LDR r2,[r1,#4] - 3145210 ns MR4_I 00000234 6008d1fc - 3145270 ns MR4_D 40006004 00000001 - 3145270 ns R r2 00000001 - 3145270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3145290 ns R r2 80000000 - 3145290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3145310 ns R psr 81000200 - 3145310 ns MR4_I 00000238 48054770 - 3145330 ns MR4_I 00000230 07d2684a - 3145350 ns IT 00000230 684a LDR r2,[r1,#4] - 3145370 ns MR4_I 00000234 6008d1fc - 3145430 ns MR4_D 40006004 00000001 - 3145430 ns R r2 00000001 - 3145430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3145450 ns R r2 80000000 - 3145450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3145470 ns R psr 81000200 - 3145470 ns MR4_I 00000238 48054770 - 3145490 ns MR4_I 00000230 07d2684a - 3145510 ns IT 00000230 684a LDR r2,[r1,#4] - 3145530 ns MR4_I 00000234 6008d1fc - 3145590 ns MR4_D 40006004 00000001 - 3145590 ns R r2 00000001 - 3145590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3145610 ns R r2 80000000 - 3145610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3145630 ns R psr 81000200 - 3145630 ns MR4_I 00000238 48054770 - 3145650 ns MR4_I 00000230 07d2684a - 3145670 ns IT 00000230 684a LDR r2,[r1,#4] - 3145690 ns MR4_I 00000234 6008d1fc - 3145750 ns MR4_D 40006004 00000001 - 3145750 ns R r2 00000001 - 3145750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3145770 ns R r2 80000000 - 3145770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3145790 ns R psr 81000200 - 3145790 ns MR4_I 00000238 48054770 - 3145810 ns MR4_I 00000230 07d2684a - 3145830 ns IT 00000230 684a LDR r2,[r1,#4] - 3145850 ns MR4_I 00000234 6008d1fc - 3145910 ns MR4_D 40006004 00000001 - 3145910 ns R r2 00000001 - 3145910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3145930 ns R r2 80000000 - 3145930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3145950 ns R psr 81000200 - 3145950 ns MR4_I 00000238 48054770 - 3145970 ns MR4_I 00000230 07d2684a - 3145990 ns IT 00000230 684a LDR r2,[r1,#4] - 3146010 ns MR4_I 00000234 6008d1fc - 3146070 ns MR4_D 40006004 00000001 - 3146070 ns R r2 00000001 - 3146070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3146090 ns R r2 80000000 - 3146090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3146110 ns R psr 81000200 - 3146110 ns MR4_I 00000238 48054770 - 3146130 ns MR4_I 00000230 07d2684a - 3146150 ns IT 00000230 684a LDR r2,[r1,#4] - 3146170 ns MR4_I 00000234 6008d1fc - 3146230 ns MR4_D 40006004 00000001 - 3146230 ns R r2 00000001 - 3146230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3146250 ns R r2 80000000 - 3146250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3146270 ns R psr 81000200 - 3146270 ns MR4_I 00000238 48054770 - 3146290 ns MR4_I 00000230 07d2684a - 3146310 ns IT 00000230 684a LDR r2,[r1,#4] - 3146330 ns MR4_I 00000234 6008d1fc - 3146390 ns MR4_D 40006004 00000001 - 3146390 ns R r2 00000001 - 3146390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3146410 ns R r2 80000000 - 3146410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3146430 ns R psr 81000200 - 3146430 ns MR4_I 00000238 48054770 - 3146450 ns MR4_I 00000230 07d2684a - 3146470 ns IT 00000230 684a LDR r2,[r1,#4] - 3146490 ns MR4_I 00000234 6008d1fc - 3146550 ns MR4_D 40006004 00000001 - 3146550 ns R r2 00000001 - 3146550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3146570 ns R r2 80000000 - 3146570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3146590 ns R psr 81000200 - 3146590 ns MR4_I 00000238 48054770 - 3146610 ns MR4_I 00000230 07d2684a - 3146630 ns IT 00000230 684a LDR r2,[r1,#4] - 3146650 ns MR4_I 00000234 6008d1fc - 3146710 ns MR4_D 40006004 00000001 - 3146710 ns R r2 00000001 - 3146710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3146730 ns R r2 80000000 - 3146730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3146750 ns R psr 81000200 - 3146750 ns MR4_I 00000238 48054770 - 3146770 ns MR4_I 00000230 07d2684a - 3146790 ns IT 00000230 684a LDR r2,[r1,#4] - 3146810 ns MR4_I 00000234 6008d1fc - 3146870 ns MR4_D 40006004 00000001 - 3146870 ns R r2 00000001 - 3146870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3146890 ns R r2 80000000 - 3146890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3146910 ns R psr 81000200 - 3146910 ns MR4_I 00000238 48054770 - 3146930 ns MR4_I 00000230 07d2684a - 3146950 ns IT 00000230 684a LDR r2,[r1,#4] - 3146970 ns MR4_I 00000234 6008d1fc - 3147030 ns MR4_D 40006004 00000001 - 3147030 ns R r2 00000001 - 3147030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3147050 ns R r2 80000000 - 3147050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3147070 ns R psr 81000200 - 3147070 ns MR4_I 00000238 48054770 - 3147090 ns MR4_I 00000230 07d2684a - 3147110 ns IT 00000230 684a LDR r2,[r1,#4] - 3147130 ns MR4_I 00000234 6008d1fc - 3147190 ns MR4_D 40006004 00000001 - 3147190 ns R r2 00000001 - 3147190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3147210 ns R r2 80000000 - 3147210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3147230 ns R psr 81000200 - 3147230 ns MR4_I 00000238 48054770 - 3147250 ns MR4_I 00000230 07d2684a - 3147270 ns IT 00000230 684a LDR r2,[r1,#4] - 3147290 ns MR4_I 00000234 6008d1fc - 3147350 ns MR4_D 40006004 00000001 - 3147350 ns R r2 00000001 - 3147350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3147370 ns R r2 80000000 - 3147370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3147390 ns R psr 81000200 - 3147390 ns MR4_I 00000238 48054770 - 3147410 ns MR4_I 00000230 07d2684a - 3147430 ns IT 00000230 684a LDR r2,[r1,#4] - 3147450 ns MR4_I 00000234 6008d1fc - 3147510 ns MR4_D 40006004 00000001 - 3147510 ns R r2 00000001 - 3147510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3147530 ns R r2 80000000 - 3147530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3147550 ns R psr 81000200 - 3147550 ns MR4_I 00000238 48054770 - 3147570 ns MR4_I 00000230 07d2684a - 3147590 ns IT 00000230 684a LDR r2,[r1,#4] - 3147610 ns MR4_I 00000234 6008d1fc - 3147670 ns MR4_D 40006004 00000001 - 3147670 ns R r2 00000001 - 3147670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3147690 ns R r2 80000000 - 3147690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3147710 ns R psr 81000200 - 3147710 ns MR4_I 00000238 48054770 - 3147730 ns MR4_I 00000230 07d2684a - 3147750 ns IT 00000230 684a LDR r2,[r1,#4] - 3147770 ns MR4_I 00000234 6008d1fc - 3147830 ns MR4_D 40006004 00000001 - 3147830 ns R r2 00000001 - 3147830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3147850 ns R r2 80000000 - 3147850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3147870 ns R psr 81000200 - 3147870 ns MR4_I 00000238 48054770 - 3147890 ns MR4_I 00000230 07d2684a - 3147910 ns IT 00000230 684a LDR r2,[r1,#4] - 3147930 ns MR4_I 00000234 6008d1fc - 3147990 ns MR4_D 40006004 00000001 - 3147990 ns R r2 00000001 - 3147990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3148010 ns R r2 80000000 - 3148010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3148030 ns R psr 81000200 - 3148030 ns MR4_I 00000238 48054770 - 3148050 ns MR4_I 00000230 07d2684a - 3148070 ns IT 00000230 684a LDR r2,[r1,#4] - 3148090 ns MR4_I 00000234 6008d1fc - 3148150 ns MR4_D 40006004 00000001 - 3148150 ns R r2 00000001 - 3148150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3148170 ns R r2 80000000 - 3148170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3148190 ns R psr 81000200 - 3148190 ns MR4_I 00000238 48054770 - 3148210 ns MR4_I 00000230 07d2684a - 3148230 ns IT 00000230 684a LDR r2,[r1,#4] - 3148250 ns MR4_I 00000234 6008d1fc - 3148310 ns MR4_D 40006004 00000001 - 3148310 ns R r2 00000001 - 3148310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3148330 ns R r2 80000000 - 3148330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3148350 ns R psr 81000200 - 3148350 ns MR4_I 00000238 48054770 - 3148370 ns MR4_I 00000230 07d2684a - 3148390 ns IT 00000230 684a LDR r2,[r1,#4] - 3148410 ns MR4_I 00000234 6008d1fc - 3148470 ns MR4_D 40006004 00000001 - 3148470 ns R r2 00000001 - 3148470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3148490 ns R r2 80000000 - 3148490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3148510 ns R psr 81000200 - 3148510 ns MR4_I 00000238 48054770 - 3148530 ns MR4_I 00000230 07d2684a - 3148550 ns IT 00000230 684a LDR r2,[r1,#4] - 3148570 ns MR4_I 00000234 6008d1fc - 3148630 ns MR4_D 40006004 00000001 - 3148630 ns R r2 00000001 - 3148630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3148650 ns R r2 80000000 - 3148650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3148670 ns R psr 81000200 - 3148670 ns MR4_I 00000238 48054770 - 3148690 ns MR4_I 00000230 07d2684a - 3148710 ns IT 00000230 684a LDR r2,[r1,#4] - 3148730 ns MR4_I 00000234 6008d1fc - 3148790 ns MR4_D 40006004 00000001 - 3148790 ns R r2 00000001 - 3148790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3148810 ns R r2 80000000 - 3148810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3148830 ns R psr 81000200 - 3148830 ns MR4_I 00000238 48054770 - 3148850 ns MR4_I 00000230 07d2684a - 3148870 ns IT 00000230 684a LDR r2,[r1,#4] - 3148890 ns MR4_I 00000234 6008d1fc - 3148950 ns MR4_D 40006004 00000001 - 3148950 ns R r2 00000001 - 3148950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3148970 ns R r2 80000000 - 3148970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3148990 ns R psr 81000200 - 3148990 ns MR4_I 00000238 48054770 - 3149010 ns MR4_I 00000230 07d2684a - 3149030 ns IT 00000230 684a LDR r2,[r1,#4] - 3149050 ns MR4_I 00000234 6008d1fc - 3149110 ns MR4_D 40006004 00000001 - 3149110 ns R r2 00000001 - 3149110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3149130 ns R r2 80000000 - 3149130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3149150 ns R psr 81000200 - 3149150 ns MR4_I 00000238 48054770 - 3149170 ns MR4_I 00000230 07d2684a - 3149190 ns IT 00000230 684a LDR r2,[r1,#4] - 3149210 ns MR4_I 00000234 6008d1fc - 3149270 ns MR4_D 40006004 00000001 - 3149270 ns R r2 00000001 - 3149270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3149290 ns R r2 80000000 - 3149290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3149310 ns R psr 81000200 - 3149310 ns MR4_I 00000238 48054770 - 3149330 ns MR4_I 00000230 07d2684a - 3149350 ns IT 00000230 684a LDR r2,[r1,#4] - 3149370 ns MR4_I 00000234 6008d1fc - 3149430 ns MR4_D 40006004 00000001 - 3149430 ns R r2 00000001 - 3149430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3149450 ns R r2 80000000 - 3149450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3149470 ns R psr 81000200 - 3149470 ns MR4_I 00000238 48054770 - 3149490 ns MR4_I 00000230 07d2684a - 3149510 ns IT 00000230 684a LDR r2,[r1,#4] - 3149530 ns MR4_I 00000234 6008d1fc - 3149590 ns MR4_D 40006004 00000001 - 3149590 ns R r2 00000001 - 3149590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3149610 ns R r2 80000000 - 3149610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3149630 ns R psr 81000200 - 3149630 ns MR4_I 00000238 48054770 - 3149650 ns MR4_I 00000230 07d2684a - 3149670 ns IT 00000230 684a LDR r2,[r1,#4] - 3149690 ns MR4_I 00000234 6008d1fc - 3149750 ns MR4_D 40006004 00000001 - 3149750 ns R r2 00000001 - 3149750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3149770 ns R r2 80000000 - 3149770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3149790 ns R psr 81000200 - 3149790 ns MR4_I 00000238 48054770 - 3149810 ns MR4_I 00000230 07d2684a - 3149830 ns IT 00000230 684a LDR r2,[r1,#4] - 3149850 ns MR4_I 00000234 6008d1fc - 3149910 ns MR4_D 40006004 00000001 - 3149910 ns R r2 00000001 - 3149910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3149930 ns R r2 80000000 - 3149930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3149950 ns R psr 81000200 - 3149950 ns MR4_I 00000238 48054770 - 3149970 ns MR4_I 00000230 07d2684a - 3149990 ns IT 00000230 684a LDR r2,[r1,#4] - 3150010 ns MR4_I 00000234 6008d1fc - 3150070 ns MR4_D 40006004 00000001 - 3150070 ns R r2 00000001 - 3150070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3150090 ns R r2 80000000 - 3150090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3150110 ns R psr 81000200 - 3150110 ns MR4_I 00000238 48054770 - 3150130 ns MR4_I 00000230 07d2684a - 3150150 ns IT 00000230 684a LDR r2,[r1,#4] - 3150170 ns MR4_I 00000234 6008d1fc - 3150230 ns MR4_D 40006004 00000001 - 3150230 ns R r2 00000001 - 3150230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3150250 ns R r2 80000000 - 3150250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3150270 ns R psr 81000200 - 3150270 ns MR4_I 00000238 48054770 - 3150290 ns MR4_I 00000230 07d2684a - 3150310 ns IT 00000230 684a LDR r2,[r1,#4] - 3150330 ns MR4_I 00000234 6008d1fc - 3150390 ns MR4_D 40006004 00000001 - 3150390 ns R r2 00000001 - 3150390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3150410 ns R r2 80000000 - 3150410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3150430 ns R psr 81000200 - 3150430 ns MR4_I 00000238 48054770 - 3150450 ns MR4_I 00000230 07d2684a - 3150470 ns IT 00000230 684a LDR r2,[r1,#4] - 3150490 ns MR4_I 00000234 6008d1fc - 3150550 ns MR4_D 40006004 00000001 - 3150550 ns R r2 00000001 - 3150550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3150570 ns R r2 80000000 - 3150570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3150590 ns R psr 81000200 - 3150590 ns MR4_I 00000238 48054770 - 3150610 ns MR4_I 00000230 07d2684a - 3150630 ns IT 00000230 684a LDR r2,[r1,#4] - 3150650 ns MR4_I 00000234 6008d1fc - 3150710 ns MR4_D 40006004 00000001 - 3150710 ns R r2 00000001 - 3150710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3150730 ns R r2 80000000 - 3150730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3150750 ns R psr 81000200 - 3150750 ns MR4_I 00000238 48054770 - 3150770 ns MR4_I 00000230 07d2684a - 3150790 ns IT 00000230 684a LDR r2,[r1,#4] - 3150810 ns MR4_I 00000234 6008d1fc - 3150870 ns MR4_D 40006004 00000001 - 3150870 ns R r2 00000001 - 3150870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3150890 ns R r2 80000000 - 3150890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3150910 ns R psr 81000200 - 3150910 ns MR4_I 00000238 48054770 - 3150930 ns MR4_I 00000230 07d2684a - 3150950 ns IT 00000230 684a LDR r2,[r1,#4] - 3150970 ns MR4_I 00000234 6008d1fc - 3151030 ns MR4_D 40006004 00000001 - 3151030 ns R r2 00000001 - 3151030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3151050 ns R r2 80000000 - 3151050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3151070 ns R psr 81000200 - 3151070 ns MR4_I 00000238 48054770 - 3151090 ns MR4_I 00000230 07d2684a - 3151110 ns IT 00000230 684a LDR r2,[r1,#4] - 3151130 ns MR4_I 00000234 6008d1fc - 3151190 ns MR4_D 40006004 00000001 - 3151190 ns R r2 00000001 - 3151190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3151210 ns R r2 80000000 - 3151210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3151230 ns R psr 81000200 - 3151230 ns MR4_I 00000238 48054770 - 3151250 ns MR4_I 00000230 07d2684a - 3151270 ns IT 00000230 684a LDR r2,[r1,#4] - 3151290 ns MR4_I 00000234 6008d1fc - 3151350 ns MR4_D 40006004 00000001 - 3151350 ns R r2 00000001 - 3151350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3151370 ns R r2 80000000 - 3151370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3151390 ns R psr 81000200 - 3151390 ns MR4_I 00000238 48054770 - 3151410 ns MR4_I 00000230 07d2684a - 3151430 ns IT 00000230 684a LDR r2,[r1,#4] - 3151450 ns MR4_I 00000234 6008d1fc - 3151510 ns MR4_D 40006004 00000001 - 3151510 ns R r2 00000001 - 3151510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3151530 ns R r2 80000000 - 3151530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3151550 ns R psr 81000200 - 3151550 ns MR4_I 00000238 48054770 - 3151570 ns MR4_I 00000230 07d2684a - 3151590 ns IT 00000230 684a LDR r2,[r1,#4] - 3151610 ns MR4_I 00000234 6008d1fc - 3151670 ns MR4_D 40006004 00000001 - 3151670 ns R r2 00000001 - 3151670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3151690 ns R r2 80000000 - 3151690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3151710 ns R psr 81000200 - 3151710 ns MR4_I 00000238 48054770 - 3151730 ns MR4_I 00000230 07d2684a - 3151750 ns IT 00000230 684a LDR r2,[r1,#4] - 3151770 ns MR4_I 00000234 6008d1fc - 3151830 ns MR4_D 40006004 00000001 - 3151830 ns R r2 00000001 - 3151830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3151850 ns R r2 80000000 - 3151850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3151870 ns R psr 81000200 - 3151870 ns MR4_I 00000238 48054770 - 3151890 ns MR4_I 00000230 07d2684a - 3151910 ns IT 00000230 684a LDR r2,[r1,#4] - 3151930 ns MR4_I 00000234 6008d1fc - 3151990 ns MR4_D 40006004 00000001 - 3151990 ns R r2 00000001 - 3151990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3152010 ns R r2 80000000 - 3152010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3152030 ns R psr 81000200 - 3152030 ns MR4_I 00000238 48054770 - 3152050 ns MR4_I 00000230 07d2684a - 3152070 ns IT 00000230 684a LDR r2,[r1,#4] - 3152090 ns MR4_I 00000234 6008d1fc - 3152150 ns MR4_D 40006004 00000001 - 3152150 ns R r2 00000001 - 3152150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3152170 ns R r2 80000000 - 3152170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3152190 ns R psr 81000200 - 3152190 ns MR4_I 00000238 48054770 - 3152210 ns MR4_I 00000230 07d2684a - 3152230 ns IT 00000230 684a LDR r2,[r1,#4] - 3152250 ns MR4_I 00000234 6008d1fc - 3152310 ns MR4_D 40006004 00000001 - 3152310 ns R r2 00000001 - 3152310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3152330 ns R r2 80000000 - 3152330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3152350 ns R psr 81000200 - 3152350 ns MR4_I 00000238 48054770 - 3152370 ns MR4_I 00000230 07d2684a - 3152390 ns IT 00000230 684a LDR r2,[r1,#4] - 3152410 ns MR4_I 00000234 6008d1fc - 3152470 ns MR4_D 40006004 00000001 - 3152470 ns R r2 00000001 - 3152470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3152490 ns R r2 80000000 - 3152490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3152510 ns R psr 81000200 - 3152510 ns MR4_I 00000238 48054770 - 3152530 ns MR4_I 00000230 07d2684a - 3152550 ns IT 00000230 684a LDR r2,[r1,#4] - 3152570 ns MR4_I 00000234 6008d1fc - 3152630 ns MR4_D 40006004 00000001 - 3152630 ns R r2 00000001 - 3152630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3152650 ns R r2 80000000 - 3152650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3152670 ns R psr 81000200 - 3152670 ns MR4_I 00000238 48054770 - 3152690 ns MR4_I 00000230 07d2684a - 3152710 ns IT 00000230 684a LDR r2,[r1,#4] - 3152730 ns MR4_I 00000234 6008d1fc - 3152790 ns MR4_D 40006004 00000001 - 3152790 ns R r2 00000001 - 3152790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3152810 ns R r2 80000000 - 3152810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3152830 ns R psr 81000200 - 3152830 ns MR4_I 00000238 48054770 - 3152850 ns MR4_I 00000230 07d2684a - 3152870 ns IT 00000230 684a LDR r2,[r1,#4] - 3152890 ns MR4_I 00000234 6008d1fc - 3152950 ns MR4_D 40006004 00000001 - 3152950 ns R r2 00000001 - 3152950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3152970 ns R r2 80000000 - 3152970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3152990 ns R psr 81000200 - 3152990 ns MR4_I 00000238 48054770 - 3153010 ns MR4_I 00000230 07d2684a - 3153030 ns IT 00000230 684a LDR r2,[r1,#4] - 3153050 ns MR4_I 00000234 6008d1fc - 3153110 ns MR4_D 40006004 00000001 - 3153110 ns R r2 00000001 - 3153110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3153130 ns R r2 80000000 - 3153130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3153150 ns R psr 81000200 - 3153150 ns MR4_I 00000238 48054770 - 3153170 ns MR4_I 00000230 07d2684a - 3153190 ns IT 00000230 684a LDR r2,[r1,#4] - 3153210 ns MR4_I 00000234 6008d1fc - 3153270 ns MR4_D 40006004 00000001 - 3153270 ns R r2 00000001 - 3153270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3153290 ns R r2 80000000 - 3153290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3153310 ns R psr 81000200 - 3153310 ns MR4_I 00000238 48054770 - 3153330 ns MR4_I 00000230 07d2684a - 3153350 ns IT 00000230 684a LDR r2,[r1,#4] - 3153370 ns MR4_I 00000234 6008d1fc - 3153430 ns MR4_D 40006004 00000001 - 3153430 ns R r2 00000001 - 3153430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3153450 ns R r2 80000000 - 3153450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3153470 ns R psr 81000200 - 3153470 ns MR4_I 00000238 48054770 - 3153490 ns MR4_I 00000230 07d2684a - 3153510 ns IT 00000230 684a LDR r2,[r1,#4] - 3153530 ns MR4_I 00000234 6008d1fc - 3153590 ns MR4_D 40006004 00000001 - 3153590 ns R r2 00000001 - 3153590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3153610 ns R r2 80000000 - 3153610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3153630 ns R psr 81000200 - 3153630 ns MR4_I 00000238 48054770 - 3153650 ns MR4_I 00000230 07d2684a - 3153670 ns IT 00000230 684a LDR r2,[r1,#4] - 3153690 ns MR4_I 00000234 6008d1fc - 3153750 ns MR4_D 40006004 00000001 - 3153750 ns R r2 00000001 - 3153750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3153770 ns R r2 80000000 - 3153770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3153790 ns R psr 81000200 - 3153790 ns MR4_I 00000238 48054770 - 3153810 ns MR4_I 00000230 07d2684a - 3153830 ns IT 00000230 684a LDR r2,[r1,#4] - 3153850 ns MR4_I 00000234 6008d1fc - 3153910 ns MR4_D 40006004 00000001 - 3153910 ns R r2 00000001 - 3153910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3153930 ns R r2 80000000 - 3153930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3153950 ns R psr 81000200 - 3153950 ns MR4_I 00000238 48054770 - 3153970 ns MR4_I 00000230 07d2684a - 3153990 ns IT 00000230 684a LDR r2,[r1,#4] - 3154010 ns MR4_I 00000234 6008d1fc - 3154070 ns MR4_D 40006004 00000001 - 3154070 ns R r2 00000001 - 3154070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3154090 ns R r2 80000000 - 3154090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3154110 ns R psr 81000200 - 3154110 ns MR4_I 00000238 48054770 - 3154130 ns MR4_I 00000230 07d2684a - 3154150 ns IT 00000230 684a LDR r2,[r1,#4] - 3154170 ns MR4_I 00000234 6008d1fc - 3154230 ns MR4_D 40006004 00000001 - 3154230 ns R r2 00000001 - 3154230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3154250 ns R r2 80000000 - 3154250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3154270 ns R psr 81000200 - 3154270 ns MR4_I 00000238 48054770 - 3154290 ns MR4_I 00000230 07d2684a - 3154310 ns IT 00000230 684a LDR r2,[r1,#4] - 3154330 ns MR4_I 00000234 6008d1fc - 3154390 ns MR4_D 40006004 00000001 - 3154390 ns R r2 00000001 - 3154390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3154410 ns R r2 80000000 - 3154410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3154430 ns R psr 81000200 - 3154430 ns MR4_I 00000238 48054770 - 3154450 ns MR4_I 00000230 07d2684a - 3154470 ns IT 00000230 684a LDR r2,[r1,#4] - 3154490 ns MR4_I 00000234 6008d1fc - 3154550 ns MR4_D 40006004 00000001 - 3154550 ns R r2 00000001 - 3154550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3154570 ns R r2 80000000 - 3154570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3154590 ns R psr 81000200 - 3154590 ns MR4_I 00000238 48054770 - 3154610 ns MR4_I 00000230 07d2684a - 3154630 ns IT 00000230 684a LDR r2,[r1,#4] - 3154650 ns MR4_I 00000234 6008d1fc - 3154710 ns MR4_D 40006004 00000001 - 3154710 ns R r2 00000001 - 3154710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3154730 ns R r2 80000000 - 3154730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3154750 ns R psr 81000200 - 3154750 ns MR4_I 00000238 48054770 - 3154770 ns MR4_I 00000230 07d2684a - 3154790 ns IT 00000230 684a LDR r2,[r1,#4] - 3154810 ns MR4_I 00000234 6008d1fc - 3154870 ns MR4_D 40006004 00000001 - 3154870 ns R r2 00000001 - 3154870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3154890 ns R r2 80000000 - 3154890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3154910 ns R psr 81000200 - 3154910 ns MR4_I 00000238 48054770 - 3154930 ns MR4_I 00000230 07d2684a - 3154950 ns IT 00000230 684a LDR r2,[r1,#4] - 3154970 ns MR4_I 00000234 6008d1fc - 3155030 ns MR4_D 40006004 00000001 - 3155030 ns R r2 00000001 - 3155030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3155050 ns R r2 80000000 - 3155050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3155070 ns R psr 81000200 - 3155070 ns MR4_I 00000238 48054770 - 3155090 ns MR4_I 00000230 07d2684a - 3155110 ns IT 00000230 684a LDR r2,[r1,#4] - 3155130 ns MR4_I 00000234 6008d1fc - 3155190 ns MR4_D 40006004 00000001 - 3155190 ns R r2 00000001 - 3155190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3155210 ns R r2 80000000 - 3155210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3155230 ns R psr 81000200 - 3155230 ns MR4_I 00000238 48054770 - 3155250 ns MR4_I 00000230 07d2684a - 3155270 ns IT 00000230 684a LDR r2,[r1,#4] - 3155290 ns MR4_I 00000234 6008d1fc - 3155350 ns MR4_D 40006004 00000001 - 3155350 ns R r2 00000001 - 3155350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3155370 ns R r2 80000000 - 3155370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3155390 ns R psr 81000200 - 3155390 ns MR4_I 00000238 48054770 - 3155410 ns MR4_I 00000230 07d2684a - 3155430 ns IT 00000230 684a LDR r2,[r1,#4] - 3155450 ns MR4_I 00000234 6008d1fc - 3155510 ns MR4_D 40006004 00000001 - 3155510 ns R r2 00000001 - 3155510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3155530 ns R r2 80000000 - 3155530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3155550 ns R psr 81000200 - 3155550 ns MR4_I 00000238 48054770 - 3155570 ns MR4_I 00000230 07d2684a - 3155590 ns IT 00000230 684a LDR r2,[r1,#4] - 3155610 ns MR4_I 00000234 6008d1fc - 3155670 ns MR4_D 40006004 00000001 - 3155670 ns R r2 00000001 - 3155670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3155690 ns R r2 80000000 - 3155690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3155710 ns R psr 81000200 - 3155710 ns MR4_I 00000238 48054770 - 3155730 ns MR4_I 00000230 07d2684a - 3155750 ns IT 00000230 684a LDR r2,[r1,#4] - 3155770 ns MR4_I 00000234 6008d1fc - 3155830 ns MR4_D 40006004 00000001 - 3155830 ns R r2 00000001 - 3155830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3155850 ns R r2 80000000 - 3155850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3155870 ns R psr 81000200 - 3155870 ns MR4_I 00000238 48054770 - 3155890 ns MR4_I 00000230 07d2684a - 3155910 ns IT 00000230 684a LDR r2,[r1,#4] - 3155930 ns MR4_I 00000234 6008d1fc - 3155990 ns MR4_D 40006004 00000001 - 3155990 ns R r2 00000001 - 3155990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3156010 ns R r2 80000000 - 3156010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3156030 ns R psr 81000200 - 3156030 ns MR4_I 00000238 48054770 - 3156050 ns MR4_I 00000230 07d2684a - 3156070 ns IT 00000230 684a LDR r2,[r1,#4] - 3156090 ns MR4_I 00000234 6008d1fc - 3156150 ns MR4_D 40006004 00000001 - 3156150 ns R r2 00000001 - 3156150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3156170 ns R r2 80000000 - 3156170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3156190 ns R psr 81000200 - 3156190 ns MR4_I 00000238 48054770 - 3156210 ns MR4_I 00000230 07d2684a - 3156230 ns IT 00000230 684a LDR r2,[r1,#4] - 3156250 ns MR4_I 00000234 6008d1fc - 3156310 ns MR4_D 40006004 00000001 - 3156310 ns R r2 00000001 - 3156310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3156330 ns R r2 80000000 - 3156330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3156350 ns R psr 81000200 - 3156350 ns MR4_I 00000238 48054770 - 3156370 ns MR4_I 00000230 07d2684a - 3156390 ns IT 00000230 684a LDR r2,[r1,#4] - 3156410 ns MR4_I 00000234 6008d1fc - 3156470 ns MR4_D 40006004 00000001 - 3156470 ns R r2 00000001 - 3156470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3156490 ns R r2 80000000 - 3156490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3156510 ns R psr 81000200 - 3156510 ns MR4_I 00000238 48054770 - 3156530 ns MR4_I 00000230 07d2684a - 3156550 ns IT 00000230 684a LDR r2,[r1,#4] - 3156570 ns MR4_I 00000234 6008d1fc - 3156630 ns MR4_D 40006004 00000001 - 3156630 ns R r2 00000001 - 3156630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3156650 ns R r2 80000000 - 3156650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3156670 ns R psr 81000200 - 3156670 ns MR4_I 00000238 48054770 - 3156690 ns MR4_I 00000230 07d2684a - 3156710 ns IT 00000230 684a LDR r2,[r1,#4] - 3156730 ns MR4_I 00000234 6008d1fc - 3156790 ns MR4_D 40006004 00000001 - 3156790 ns R r2 00000001 - 3156790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3156810 ns R r2 80000000 - 3156810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3156830 ns R psr 81000200 - 3156830 ns MR4_I 00000238 48054770 - 3156850 ns MR4_I 00000230 07d2684a - 3156870 ns IT 00000230 684a LDR r2,[r1,#4] - 3156890 ns MR4_I 00000234 6008d1fc - 3156950 ns MR4_D 40006004 00000001 - 3156950 ns R r2 00000001 - 3156950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3156970 ns R r2 80000000 - 3156970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3156990 ns R psr 81000200 - 3156990 ns MR4_I 00000238 48054770 - 3157010 ns MR4_I 00000230 07d2684a - 3157030 ns IT 00000230 684a LDR r2,[r1,#4] - 3157050 ns MR4_I 00000234 6008d1fc - 3157110 ns MR4_D 40006004 00000001 - 3157110 ns R r2 00000001 - 3157110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3157130 ns R r2 80000000 - 3157130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3157150 ns R psr 81000200 - 3157150 ns MR4_I 00000238 48054770 - 3157170 ns MR4_I 00000230 07d2684a - 3157190 ns IT 00000230 684a LDR r2,[r1,#4] - 3157210 ns MR4_I 00000234 6008d1fc - 3157270 ns MR4_D 40006004 00000001 - 3157270 ns R r2 00000001 - 3157270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3157290 ns R r2 80000000 - 3157290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3157310 ns R psr 81000200 - 3157310 ns MR4_I 00000238 48054770 - 3157330 ns MR4_I 00000230 07d2684a - 3157350 ns IT 00000230 684a LDR r2,[r1,#4] - 3157370 ns MR4_I 00000234 6008d1fc - 3157430 ns MR4_D 40006004 00000001 - 3157430 ns R r2 00000001 - 3157430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3157450 ns R r2 80000000 - 3157450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3157470 ns R psr 81000200 - 3157470 ns MR4_I 00000238 48054770 - 3157490 ns MR4_I 00000230 07d2684a - 3157510 ns IT 00000230 684a LDR r2,[r1,#4] - 3157530 ns MR4_I 00000234 6008d1fc - 3157590 ns MR4_D 40006004 00000001 - 3157590 ns R r2 00000001 - 3157590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3157610 ns R r2 80000000 - 3157610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3157630 ns R psr 81000200 - 3157630 ns MR4_I 00000238 48054770 - 3157650 ns MR4_I 00000230 07d2684a - 3157670 ns IT 00000230 684a LDR r2,[r1,#4] - 3157690 ns MR4_I 00000234 6008d1fc - 3157750 ns MR4_D 40006004 00000001 - 3157750 ns R r2 00000001 - 3157750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3157770 ns R r2 80000000 - 3157770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3157790 ns R psr 81000200 - 3157790 ns MR4_I 00000238 48054770 - 3157810 ns MR4_I 00000230 07d2684a - 3157830 ns IT 00000230 684a LDR r2,[r1,#4] - 3157850 ns MR4_I 00000234 6008d1fc - 3157910 ns MR4_D 40006004 00000001 - 3157910 ns R r2 00000001 - 3157910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3157930 ns R r2 80000000 - 3157930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3157950 ns R psr 81000200 - 3157950 ns MR4_I 00000238 48054770 - 3157970 ns MR4_I 00000230 07d2684a - 3157990 ns IT 00000230 684a LDR r2,[r1,#4] - 3158010 ns MR4_I 00000234 6008d1fc - 3158070 ns MR4_D 40006004 00000001 - 3158070 ns R r2 00000001 - 3158070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3158090 ns R r2 80000000 - 3158090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3158110 ns R psr 81000200 - 3158110 ns MR4_I 00000238 48054770 - 3158130 ns MR4_I 00000230 07d2684a - 3158150 ns IT 00000230 684a LDR r2,[r1,#4] - 3158170 ns MR4_I 00000234 6008d1fc - 3158230 ns MR4_D 40006004 00000001 - 3158230 ns R r2 00000001 - 3158230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3158250 ns R r2 80000000 - 3158250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3158270 ns R psr 81000200 - 3158270 ns MR4_I 00000238 48054770 - 3158290 ns MR4_I 00000230 07d2684a - 3158310 ns IT 00000230 684a LDR r2,[r1,#4] - 3158330 ns MR4_I 00000234 6008d1fc - 3158390 ns MR4_D 40006004 00000001 - 3158390 ns R r2 00000001 - 3158390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3158410 ns R r2 80000000 - 3158410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3158430 ns R psr 81000200 - 3158430 ns MR4_I 00000238 48054770 - 3158450 ns MR4_I 00000230 07d2684a - 3158470 ns IT 00000230 684a LDR r2,[r1,#4] - 3158490 ns MR4_I 00000234 6008d1fc - 3158550 ns MR4_D 40006004 00000001 - 3158550 ns R r2 00000001 - 3158550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3158570 ns R r2 80000000 - 3158570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3158590 ns R psr 81000200 - 3158590 ns MR4_I 00000238 48054770 - 3158610 ns MR4_I 00000230 07d2684a - 3158630 ns IT 00000230 684a LDR r2,[r1,#4] - 3158650 ns MR4_I 00000234 6008d1fc - 3158710 ns MR4_D 40006004 00000001 - 3158710 ns R r2 00000001 - 3158710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3158730 ns R r2 80000000 - 3158730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3158750 ns R psr 81000200 - 3158750 ns MR4_I 00000238 48054770 - 3158770 ns MR4_I 00000230 07d2684a - 3158790 ns IT 00000230 684a LDR r2,[r1,#4] - 3158810 ns MR4_I 00000234 6008d1fc - 3158870 ns MR4_D 40006004 00000001 - 3158870 ns R r2 00000001 - 3158870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3158890 ns R r2 80000000 - 3158890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3158910 ns R psr 81000200 - 3158910 ns MR4_I 00000238 48054770 - 3158930 ns MR4_I 00000230 07d2684a - 3158950 ns IT 00000230 684a LDR r2,[r1,#4] - 3158970 ns MR4_I 00000234 6008d1fc - 3159030 ns MR4_D 40006004 00000001 - 3159030 ns R r2 00000001 - 3159030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3159050 ns R r2 80000000 - 3159050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3159070 ns R psr 81000200 - 3159070 ns MR4_I 00000238 48054770 - 3159090 ns MR4_I 00000230 07d2684a - 3159110 ns IT 00000230 684a LDR r2,[r1,#4] - 3159130 ns MR4_I 00000234 6008d1fc - 3159190 ns MR4_D 40006004 00000001 - 3159190 ns R r2 00000001 - 3159190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3159210 ns R r2 80000000 - 3159210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3159230 ns R psr 81000200 - 3159230 ns MR4_I 00000238 48054770 - 3159250 ns MR4_I 00000230 07d2684a - 3159270 ns IT 00000230 684a LDR r2,[r1,#4] - 3159290 ns MR4_I 00000234 6008d1fc - 3159350 ns MR4_D 40006004 00000001 - 3159350 ns R r2 00000001 - 3159350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3159370 ns R r2 80000000 - 3159370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3159390 ns R psr 81000200 - 3159390 ns MR4_I 00000238 48054770 - 3159410 ns MR4_I 00000230 07d2684a - 3159430 ns IT 00000230 684a LDR r2,[r1,#4] - 3159450 ns MR4_I 00000234 6008d1fc - 3159510 ns MR4_D 40006004 00000001 - 3159510 ns R r2 00000001 - 3159510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3159530 ns R r2 80000000 - 3159530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3159550 ns R psr 81000200 - 3159550 ns MR4_I 00000238 48054770 - 3159570 ns MR4_I 00000230 07d2684a - 3159590 ns IT 00000230 684a LDR r2,[r1,#4] - 3159610 ns MR4_I 00000234 6008d1fc - 3159670 ns MR4_D 40006004 00000001 - 3159670 ns R r2 00000001 - 3159670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3159690 ns R r2 80000000 - 3159690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3159710 ns R psr 81000200 - 3159710 ns MR4_I 00000238 48054770 - 3159730 ns MR4_I 00000230 07d2684a - 3159750 ns IT 00000230 684a LDR r2,[r1,#4] - 3159770 ns MR4_I 00000234 6008d1fc - 3159830 ns MR4_D 40006004 00000001 - 3159830 ns R r2 00000001 - 3159830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3159850 ns R r2 80000000 - 3159850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3159870 ns R psr 81000200 - 3159870 ns MR4_I 00000238 48054770 - 3159890 ns MR4_I 00000230 07d2684a - 3159910 ns IT 00000230 684a LDR r2,[r1,#4] - 3159930 ns MR4_I 00000234 6008d1fc - 3159990 ns MR4_D 40006004 00000001 - 3159990 ns R r2 00000001 - 3159990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3160010 ns R r2 80000000 - 3160010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3160030 ns R psr 81000200 - 3160030 ns MR4_I 00000238 48054770 - 3160050 ns MR4_I 00000230 07d2684a - 3160070 ns IT 00000230 684a LDR r2,[r1,#4] - 3160090 ns MR4_I 00000234 6008d1fc - 3160150 ns MR4_D 40006004 00000001 - 3160150 ns R r2 00000001 - 3160150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3160170 ns R r2 80000000 - 3160170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3160190 ns R psr 81000200 - 3160190 ns MR4_I 00000238 48054770 - 3160210 ns MR4_I 00000230 07d2684a - 3160230 ns IT 00000230 684a LDR r2,[r1,#4] - 3160250 ns MR4_I 00000234 6008d1fc - 3160310 ns MR4_D 40006004 00000001 - 3160310 ns R r2 00000001 - 3160310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3160330 ns R r2 80000000 - 3160330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3160350 ns R psr 81000200 - 3160350 ns MR4_I 00000238 48054770 - 3160370 ns MR4_I 00000230 07d2684a - 3160390 ns IT 00000230 684a LDR r2,[r1,#4] - 3160410 ns MR4_I 00000234 6008d1fc - 3160470 ns MR4_D 40006004 00000001 - 3160470 ns R r2 00000001 - 3160470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3160490 ns R r2 80000000 - 3160490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3160510 ns R psr 81000200 - 3160510 ns MR4_I 00000238 48054770 - 3160530 ns MR4_I 00000230 07d2684a - 3160550 ns IT 00000230 684a LDR r2,[r1,#4] - 3160570 ns MR4_I 00000234 6008d1fc - 3160630 ns MR4_D 40006004 00000001 - 3160630 ns R r2 00000001 - 3160630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3160650 ns R r2 80000000 - 3160650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3160670 ns R psr 81000200 - 3160670 ns MR4_I 00000238 48054770 - 3160690 ns MR4_I 00000230 07d2684a - 3160710 ns IT 00000230 684a LDR r2,[r1,#4] - 3160730 ns MR4_I 00000234 6008d1fc - 3160790 ns MR4_D 40006004 00000001 - 3160790 ns R r2 00000001 - 3160790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3160810 ns R r2 80000000 - 3160810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3160830 ns R psr 81000200 - 3160830 ns MR4_I 00000238 48054770 - 3160850 ns MR4_I 00000230 07d2684a - 3160870 ns IT 00000230 684a LDR r2,[r1,#4] - 3160890 ns MR4_I 00000234 6008d1fc - 3160950 ns MR4_D 40006004 00000001 - 3160950 ns R r2 00000001 - 3160950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3160970 ns R r2 80000000 - 3160970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3160990 ns R psr 81000200 - 3160990 ns MR4_I 00000238 48054770 - 3161010 ns MR4_I 00000230 07d2684a - 3161030 ns IT 00000230 684a LDR r2,[r1,#4] - 3161050 ns MR4_I 00000234 6008d1fc - 3161110 ns MR4_D 40006004 00000001 - 3161110 ns R r2 00000001 - 3161110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3161130 ns R r2 80000000 - 3161130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3161150 ns R psr 81000200 - 3161150 ns MR4_I 00000238 48054770 - 3161170 ns MR4_I 00000230 07d2684a - 3161190 ns IT 00000230 684a LDR r2,[r1,#4] - 3161210 ns MR4_I 00000234 6008d1fc - 3161270 ns MR4_D 40006004 00000001 - 3161270 ns R r2 00000001 - 3161270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3161290 ns R r2 80000000 - 3161290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3161310 ns R psr 81000200 - 3161310 ns MR4_I 00000238 48054770 - 3161330 ns MR4_I 00000230 07d2684a - 3161350 ns IT 00000230 684a LDR r2,[r1,#4] - 3161370 ns MR4_I 00000234 6008d1fc - 3161430 ns MR4_D 40006004 00000001 - 3161430 ns R r2 00000001 - 3161430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3161450 ns R r2 80000000 - 3161450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3161470 ns R psr 81000200 - 3161470 ns MR4_I 00000238 48054770 - 3161490 ns MR4_I 00000230 07d2684a - 3161510 ns IT 00000230 684a LDR r2,[r1,#4] - 3161530 ns MR4_I 00000234 6008d1fc - 3161590 ns MR4_D 40006004 00000001 - 3161590 ns R r2 00000001 - 3161590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3161610 ns R r2 80000000 - 3161610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3161630 ns R psr 81000200 - 3161630 ns MR4_I 00000238 48054770 - 3161650 ns MR4_I 00000230 07d2684a - 3161670 ns IT 00000230 684a LDR r2,[r1,#4] - 3161690 ns MR4_I 00000234 6008d1fc - 3161750 ns MR4_D 40006004 00000001 - 3161750 ns R r2 00000001 - 3161750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3161770 ns R r2 80000000 - 3161770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3161790 ns R psr 81000200 - 3161790 ns MR4_I 00000238 48054770 - 3161810 ns MR4_I 00000230 07d2684a - 3161830 ns IT 00000230 684a LDR r2,[r1,#4] - 3161850 ns MR4_I 00000234 6008d1fc - 3161910 ns MR4_D 40006004 00000001 - 3161910 ns R r2 00000001 - 3161910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3161930 ns R r2 80000000 - 3161930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3161950 ns R psr 81000200 - 3161950 ns MR4_I 00000238 48054770 - 3161970 ns MR4_I 00000230 07d2684a - 3161990 ns IT 00000230 684a LDR r2,[r1,#4] - 3162010 ns MR4_I 00000234 6008d1fc - 3162070 ns MR4_D 40006004 00000001 - 3162070 ns R r2 00000001 - 3162070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3162090 ns R r2 80000000 - 3162090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3162110 ns R psr 81000200 - 3162110 ns MR4_I 00000238 48054770 - 3162130 ns MR4_I 00000230 07d2684a - 3162150 ns IT 00000230 684a LDR r2,[r1,#4] - 3162170 ns MR4_I 00000234 6008d1fc - 3162230 ns MR4_D 40006004 00000001 - 3162230 ns R r2 00000001 - 3162230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3162250 ns R r2 80000000 - 3162250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3162270 ns R psr 81000200 - 3162270 ns MR4_I 00000238 48054770 - 3162290 ns MR4_I 00000230 07d2684a - 3162310 ns IT 00000230 684a LDR r2,[r1,#4] - 3162330 ns MR4_I 00000234 6008d1fc - 3162390 ns MR4_D 40006004 00000001 - 3162390 ns R r2 00000001 - 3162390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3162410 ns R r2 80000000 - 3162410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3162430 ns R psr 81000200 - 3162430 ns MR4_I 00000238 48054770 - 3162450 ns MR4_I 00000230 07d2684a - 3162470 ns IT 00000230 684a LDR r2,[r1,#4] - 3162490 ns MR4_I 00000234 6008d1fc - 3162550 ns MR4_D 40006004 00000001 - 3162550 ns R r2 00000001 - 3162550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3162570 ns R r2 80000000 - 3162570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3162590 ns R psr 81000200 - 3162590 ns MR4_I 00000238 48054770 - 3162610 ns MR4_I 00000230 07d2684a - 3162630 ns IT 00000230 684a LDR r2,[r1,#4] - 3162650 ns MR4_I 00000234 6008d1fc - 3162710 ns MR4_D 40006004 00000001 - 3162710 ns R r2 00000001 - 3162710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3162730 ns R r2 80000000 - 3162730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3162750 ns R psr 81000200 - 3162750 ns MR4_I 00000238 48054770 - 3162770 ns MR4_I 00000230 07d2684a - 3162790 ns IT 00000230 684a LDR r2,[r1,#4] - 3162810 ns MR4_I 00000234 6008d1fc - 3162870 ns MR4_D 40006004 00000001 - 3162870 ns R r2 00000001 - 3162870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3162890 ns R r2 80000000 - 3162890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3162910 ns R psr 81000200 - 3162910 ns MR4_I 00000238 48054770 - 3162930 ns MR4_I 00000230 07d2684a - 3162950 ns IT 00000230 684a LDR r2,[r1,#4] - 3162970 ns MR4_I 00000234 6008d1fc - 3163030 ns MR4_D 40006004 00000001 - 3163030 ns R r2 00000001 - 3163030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3163050 ns R r2 80000000 - 3163050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3163070 ns R psr 81000200 - 3163070 ns MR4_I 00000238 48054770 - 3163090 ns MR4_I 00000230 07d2684a - 3163110 ns IT 00000230 684a LDR r2,[r1,#4] - 3163130 ns MR4_I 00000234 6008d1fc - 3163190 ns MR4_D 40006004 00000001 - 3163190 ns R r2 00000001 - 3163190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3163210 ns R r2 80000000 - 3163210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3163230 ns R psr 81000200 - 3163230 ns MR4_I 00000238 48054770 - 3163250 ns MR4_I 00000230 07d2684a - 3163270 ns IT 00000230 684a LDR r2,[r1,#4] - 3163290 ns MR4_I 00000234 6008d1fc - 3163350 ns MR4_D 40006004 00000001 - 3163350 ns R r2 00000001 - 3163350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3163370 ns R r2 80000000 - 3163370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3163390 ns R psr 81000200 - 3163390 ns MR4_I 00000238 48054770 - 3163410 ns MR4_I 00000230 07d2684a - 3163430 ns IT 00000230 684a LDR r2,[r1,#4] - 3163450 ns MR4_I 00000234 6008d1fc - 3163510 ns MR4_D 40006004 00000001 - 3163510 ns R r2 00000001 - 3163510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3163530 ns R r2 80000000 - 3163530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3163550 ns R psr 81000200 - 3163550 ns MR4_I 00000238 48054770 - 3163570 ns MR4_I 00000230 07d2684a - 3163590 ns IT 00000230 684a LDR r2,[r1,#4] - 3163610 ns MR4_I 00000234 6008d1fc - 3163670 ns MR4_D 40006004 00000001 - 3163670 ns R r2 00000001 - 3163670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3163690 ns R r2 80000000 - 3163690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3163710 ns R psr 81000200 - 3163710 ns MR4_I 00000238 48054770 - 3163730 ns MR4_I 00000230 07d2684a - 3163750 ns IT 00000230 684a LDR r2,[r1,#4] - 3163770 ns MR4_I 00000234 6008d1fc - 3163830 ns MR4_D 40006004 00000001 - 3163830 ns R r2 00000001 - 3163830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3163850 ns R r2 80000000 - 3163850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3163870 ns R psr 81000200 - 3163870 ns MR4_I 00000238 48054770 - 3163890 ns MR4_I 00000230 07d2684a - 3163910 ns IT 00000230 684a LDR r2,[r1,#4] - 3163930 ns MR4_I 00000234 6008d1fc - 3163990 ns MR4_D 40006004 00000001 - 3163990 ns R r2 00000001 - 3163990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3164010 ns R r2 80000000 - 3164010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3164030 ns R psr 81000200 - 3164030 ns MR4_I 00000238 48054770 - 3164050 ns MR4_I 00000230 07d2684a - 3164070 ns IT 00000230 684a LDR r2,[r1,#4] - 3164090 ns MR4_I 00000234 6008d1fc - 3164150 ns MR4_D 40006004 00000001 - 3164150 ns R r2 00000001 - 3164150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3164170 ns R r2 80000000 - 3164170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3164190 ns R psr 81000200 - 3164190 ns MR4_I 00000238 48054770 - 3164210 ns MR4_I 00000230 07d2684a - 3164230 ns IT 00000230 684a LDR r2,[r1,#4] - 3164250 ns MR4_I 00000234 6008d1fc - 3164310 ns MR4_D 40006004 00000001 - 3164310 ns R r2 00000001 - 3164310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3164330 ns R r2 80000000 - 3164330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3164350 ns R psr 81000200 - 3164350 ns MR4_I 00000238 48054770 - 3164370 ns MR4_I 00000230 07d2684a - 3164390 ns IT 00000230 684a LDR r2,[r1,#4] - 3164410 ns MR4_I 00000234 6008d1fc - 3164470 ns MR4_D 40006004 00000001 - 3164470 ns R r2 00000001 - 3164470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3164490 ns R r2 80000000 - 3164490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3164510 ns R psr 81000200 - 3164510 ns MR4_I 00000238 48054770 - 3164530 ns MR4_I 00000230 07d2684a - 3164550 ns IT 00000230 684a LDR r2,[r1,#4] - 3164570 ns MR4_I 00000234 6008d1fc - 3164630 ns MR4_D 40006004 00000001 - 3164630 ns R r2 00000001 - 3164630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3164650 ns R r2 80000000 - 3164650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3164670 ns R psr 81000200 - 3164670 ns MR4_I 00000238 48054770 - 3164690 ns MR4_I 00000230 07d2684a - 3164710 ns IT 00000230 684a LDR r2,[r1,#4] - 3164730 ns MR4_I 00000234 6008d1fc - 3164790 ns MR4_D 40006004 00000001 - 3164790 ns R r2 00000001 - 3164790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3164810 ns R r2 80000000 - 3164810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3164830 ns R psr 81000200 - 3164830 ns MR4_I 00000238 48054770 - 3164850 ns MR4_I 00000230 07d2684a - 3164870 ns IT 00000230 684a LDR r2,[r1,#4] - 3164890 ns MR4_I 00000234 6008d1fc - 3164950 ns MR4_D 40006004 00000001 - 3164950 ns R r2 00000001 - 3164950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3164970 ns R r2 80000000 - 3164970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3164990 ns R psr 81000200 - 3164990 ns MR4_I 00000238 48054770 - 3165010 ns MR4_I 00000230 07d2684a - 3165030 ns IT 00000230 684a LDR r2,[r1,#4] - 3165050 ns MR4_I 00000234 6008d1fc - 3165110 ns MR4_D 40006004 00000001 - 3165110 ns R r2 00000001 - 3165110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3165130 ns R r2 80000000 - 3165130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3165150 ns R psr 81000200 - 3165150 ns MR4_I 00000238 48054770 - 3165170 ns MR4_I 00000230 07d2684a - 3165190 ns IT 00000230 684a LDR r2,[r1,#4] - 3165210 ns MR4_I 00000234 6008d1fc - 3165270 ns MR4_D 40006004 00000001 - 3165270 ns R r2 00000001 - 3165270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3165290 ns R r2 80000000 - 3165290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3165310 ns R psr 81000200 - 3165310 ns MR4_I 00000238 48054770 - 3165330 ns MR4_I 00000230 07d2684a - 3165350 ns IT 00000230 684a LDR r2,[r1,#4] - 3165370 ns MR4_I 00000234 6008d1fc - 3165430 ns MR4_D 40006004 00000001 - 3165430 ns R r2 00000001 - 3165430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3165450 ns R r2 80000000 - 3165450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3165470 ns R psr 81000200 - 3165470 ns MR4_I 00000238 48054770 - 3165490 ns MR4_I 00000230 07d2684a - 3165510 ns IT 00000230 684a LDR r2,[r1,#4] - 3165530 ns MR4_I 00000234 6008d1fc - 3165590 ns MR4_D 40006004 00000001 - 3165590 ns R r2 00000001 - 3165590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3165610 ns R r2 80000000 - 3165610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3165630 ns R psr 81000200 - 3165630 ns MR4_I 00000238 48054770 - 3165650 ns MR4_I 00000230 07d2684a - 3165670 ns IT 00000230 684a LDR r2,[r1,#4] - 3165690 ns MR4_I 00000234 6008d1fc - 3165750 ns MR4_D 40006004 00000001 - 3165750 ns R r2 00000001 - 3165750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3165770 ns R r2 80000000 - 3165770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3165790 ns R psr 81000200 - 3165790 ns MR4_I 00000238 48054770 - 3165810 ns MR4_I 00000230 07d2684a - 3165830 ns IT 00000230 684a LDR r2,[r1,#4] - 3165850 ns MR4_I 00000234 6008d1fc - 3165910 ns MR4_D 40006004 00000001 - 3165910 ns R r2 00000001 - 3165910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3165930 ns R r2 80000000 - 3165930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3165950 ns R psr 81000200 - 3165950 ns MR4_I 00000238 48054770 - 3165970 ns MR4_I 00000230 07d2684a - 3165990 ns IT 00000230 684a LDR r2,[r1,#4] - 3166010 ns MR4_I 00000234 6008d1fc - 3166070 ns MR4_D 40006004 00000001 - 3166070 ns R r2 00000001 - 3166070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3166090 ns R r2 80000000 - 3166090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3166110 ns R psr 81000200 - 3166110 ns MR4_I 00000238 48054770 - 3166130 ns MR4_I 00000230 07d2684a - 3166150 ns IT 00000230 684a LDR r2,[r1,#4] - 3166170 ns MR4_I 00000234 6008d1fc - 3166230 ns MR4_D 40006004 00000001 - 3166230 ns R r2 00000001 - 3166230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3166250 ns R r2 80000000 - 3166250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3166270 ns R psr 81000200 - 3166270 ns MR4_I 00000238 48054770 - 3166290 ns MR4_I 00000230 07d2684a - 3166310 ns IT 00000230 684a LDR r2,[r1,#4] - 3166330 ns MR4_I 00000234 6008d1fc - 3166390 ns MR4_D 40006004 00000001 - 3166390 ns R r2 00000001 - 3166390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3166410 ns R r2 80000000 - 3166410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3166430 ns R psr 81000200 - 3166430 ns MR4_I 00000238 48054770 - 3166450 ns MR4_I 00000230 07d2684a - 3166470 ns IT 00000230 684a LDR r2,[r1,#4] - 3166490 ns MR4_I 00000234 6008d1fc - 3166550 ns MR4_D 40006004 00000001 - 3166550 ns R r2 00000001 - 3166550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3166570 ns R r2 80000000 - 3166570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3166590 ns R psr 81000200 - 3166590 ns MR4_I 00000238 48054770 - 3166610 ns MR4_I 00000230 07d2684a - 3166630 ns IT 00000230 684a LDR r2,[r1,#4] - 3166650 ns MR4_I 00000234 6008d1fc - 3166710 ns MR4_D 40006004 00000001 - 3166710 ns R r2 00000001 - 3166710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3166730 ns R r2 80000000 - 3166730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3166750 ns R psr 81000200 - 3166750 ns MR4_I 00000238 48054770 - 3166770 ns MR4_I 00000230 07d2684a - 3166790 ns IT 00000230 684a LDR r2,[r1,#4] - 3166810 ns MR4_I 00000234 6008d1fc - 3166870 ns MR4_D 40006004 00000001 - 3166870 ns R r2 00000001 - 3166870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3166890 ns R r2 80000000 - 3166890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3166910 ns R psr 81000200 - 3166910 ns MR4_I 00000238 48054770 - 3166930 ns MR4_I 00000230 07d2684a - 3166950 ns IT 00000230 684a LDR r2,[r1,#4] - 3166970 ns MR4_I 00000234 6008d1fc - 3167030 ns MR4_D 40006004 00000001 - 3167030 ns R r2 00000001 - 3167030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3167050 ns R r2 80000000 - 3167050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3167070 ns R psr 81000200 - 3167070 ns MR4_I 00000238 48054770 - 3167090 ns MR4_I 00000230 07d2684a - 3167110 ns IT 00000230 684a LDR r2,[r1,#4] - 3167130 ns MR4_I 00000234 6008d1fc - 3167190 ns MR4_D 40006004 00000001 - 3167190 ns R r2 00000001 - 3167190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3167210 ns R r2 80000000 - 3167210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3167230 ns R psr 81000200 - 3167230 ns MR4_I 00000238 48054770 - 3167250 ns MR4_I 00000230 07d2684a - 3167270 ns IT 00000230 684a LDR r2,[r1,#4] - 3167290 ns MR4_I 00000234 6008d1fc - 3167350 ns MR4_D 40006004 00000001 - 3167350 ns R r2 00000001 - 3167350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3167370 ns R r2 80000000 - 3167370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3167390 ns R psr 81000200 - 3167390 ns MR4_I 00000238 48054770 - 3167410 ns MR4_I 00000230 07d2684a - 3167430 ns IT 00000230 684a LDR r2,[r1,#4] - 3167450 ns MR4_I 00000234 6008d1fc - 3167510 ns MR4_D 40006004 00000001 - 3167510 ns R r2 00000001 - 3167510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3167530 ns R r2 80000000 - 3167530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3167550 ns R psr 81000200 - 3167550 ns MR4_I 00000238 48054770 - 3167570 ns MR4_I 00000230 07d2684a - 3167590 ns IT 00000230 684a LDR r2,[r1,#4] - 3167610 ns MR4_I 00000234 6008d1fc - 3167670 ns MR4_D 40006004 00000001 - 3167670 ns R r2 00000001 - 3167670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3167690 ns R r2 80000000 - 3167690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3167710 ns R psr 81000200 - 3167710 ns MR4_I 00000238 48054770 - 3167730 ns MR4_I 00000230 07d2684a - 3167750 ns IT 00000230 684a LDR r2,[r1,#4] - 3167770 ns MR4_I 00000234 6008d1fc - 3167830 ns MR4_D 40006004 00000001 - 3167830 ns R r2 00000001 - 3167830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3167850 ns R r2 80000000 - 3167850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3167870 ns R psr 81000200 - 3167870 ns MR4_I 00000238 48054770 - 3167890 ns MR4_I 00000230 07d2684a - 3167910 ns IT 00000230 684a LDR r2,[r1,#4] - 3167930 ns MR4_I 00000234 6008d1fc - 3167990 ns MR4_D 40006004 00000001 - 3167990 ns R r2 00000001 - 3167990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3168010 ns R r2 80000000 - 3168010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3168030 ns R psr 81000200 - 3168030 ns MR4_I 00000238 48054770 - 3168050 ns MR4_I 00000230 07d2684a - 3168070 ns IT 00000230 684a LDR r2,[r1,#4] - 3168090 ns MR4_I 00000234 6008d1fc - 3168150 ns MR4_D 40006004 00000001 - 3168150 ns R r2 00000001 - 3168150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3168170 ns R r2 80000000 - 3168170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3168190 ns R psr 81000200 - 3168190 ns MR4_I 00000238 48054770 - 3168210 ns MR4_I 00000230 07d2684a - 3168230 ns IT 00000230 684a LDR r2,[r1,#4] - 3168250 ns MR4_I 00000234 6008d1fc - 3168310 ns MR4_D 40006004 00000001 - 3168310 ns R r2 00000001 - 3168310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3168330 ns R r2 80000000 - 3168330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3168350 ns R psr 81000200 - 3168350 ns MR4_I 00000238 48054770 - 3168370 ns MR4_I 00000230 07d2684a - 3168390 ns IT 00000230 684a LDR r2,[r1,#4] - 3168410 ns MR4_I 00000234 6008d1fc - 3168470 ns MR4_D 40006004 00000001 - 3168470 ns R r2 00000001 - 3168470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3168490 ns R r2 80000000 - 3168490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3168510 ns R psr 81000200 - 3168510 ns MR4_I 00000238 48054770 - 3168530 ns MR4_I 00000230 07d2684a - 3168550 ns IT 00000230 684a LDR r2,[r1,#4] - 3168570 ns MR4_I 00000234 6008d1fc - 3168630 ns MR4_D 40006004 00000001 - 3168630 ns R r2 00000001 - 3168630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3168650 ns R r2 80000000 - 3168650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3168670 ns R psr 81000200 - 3168670 ns MR4_I 00000238 48054770 - 3168690 ns MR4_I 00000230 07d2684a - 3168710 ns IT 00000230 684a LDR r2,[r1,#4] - 3168730 ns MR4_I 00000234 6008d1fc - 3168790 ns MR4_D 40006004 00000001 - 3168790 ns R r2 00000001 - 3168790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3168810 ns R r2 80000000 - 3168810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3168830 ns R psr 81000200 - 3168830 ns MR4_I 00000238 48054770 - 3168850 ns MR4_I 00000230 07d2684a - 3168870 ns IT 00000230 684a LDR r2,[r1,#4] - 3168890 ns MR4_I 00000234 6008d1fc - 3168950 ns MR4_D 40006004 00000001 - 3168950 ns R r2 00000001 - 3168950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3168970 ns R r2 80000000 - 3168970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3168990 ns R psr 81000200 - 3168990 ns MR4_I 00000238 48054770 - 3169010 ns MR4_I 00000230 07d2684a - 3169030 ns IT 00000230 684a LDR r2,[r1,#4] - 3169050 ns MR4_I 00000234 6008d1fc - 3169110 ns MR4_D 40006004 00000001 - 3169110 ns R r2 00000001 - 3169110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3169130 ns R r2 80000000 - 3169130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3169150 ns R psr 81000200 - 3169150 ns MR4_I 00000238 48054770 - 3169170 ns MR4_I 00000230 07d2684a - 3169190 ns IT 00000230 684a LDR r2,[r1,#4] - 3169210 ns MR4_I 00000234 6008d1fc - 3169270 ns MR4_D 40006004 00000001 - 3169270 ns R r2 00000001 - 3169270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3169290 ns R r2 80000000 - 3169290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3169310 ns R psr 81000200 - 3169310 ns MR4_I 00000238 48054770 - 3169330 ns MR4_I 00000230 07d2684a - 3169350 ns IT 00000230 684a LDR r2,[r1,#4] - 3169370 ns MR4_I 00000234 6008d1fc - 3169430 ns MR4_D 40006004 00000001 - 3169430 ns R r2 00000001 - 3169430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3169450 ns R r2 80000000 - 3169450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3169470 ns R psr 81000200 - 3169470 ns MR4_I 00000238 48054770 - 3169490 ns MR4_I 00000230 07d2684a - 3169510 ns IT 00000230 684a LDR r2,[r1,#4] - 3169530 ns MR4_I 00000234 6008d1fc - 3169590 ns MR4_D 40006004 00000001 - 3169590 ns R r2 00000001 - 3169590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3169610 ns R r2 80000000 - 3169610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3169630 ns R psr 81000200 - 3169630 ns MR4_I 00000238 48054770 - 3169650 ns MR4_I 00000230 07d2684a - 3169670 ns IT 00000230 684a LDR r2,[r1,#4] - 3169690 ns MR4_I 00000234 6008d1fc - 3169750 ns MR4_D 40006004 00000001 - 3169750 ns R r2 00000001 - 3169750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3169770 ns R r2 80000000 - 3169770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3169790 ns R psr 81000200 - 3169790 ns MR4_I 00000238 48054770 - 3169810 ns MR4_I 00000230 07d2684a - 3169830 ns IT 00000230 684a LDR r2,[r1,#4] - 3169850 ns MR4_I 00000234 6008d1fc - 3169910 ns MR4_D 40006004 00000001 - 3169910 ns R r2 00000001 - 3169910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3169930 ns R r2 80000000 - 3169930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3169950 ns R psr 81000200 - 3169950 ns MR4_I 00000238 48054770 - 3169970 ns MR4_I 00000230 07d2684a - 3169990 ns IT 00000230 684a LDR r2,[r1,#4] - 3170010 ns MR4_I 00000234 6008d1fc - 3170070 ns MR4_D 40006004 00000001 - 3170070 ns R r2 00000001 - 3170070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3170090 ns R r2 80000000 - 3170090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3170110 ns R psr 81000200 - 3170110 ns MR4_I 00000238 48054770 - 3170130 ns MR4_I 00000230 07d2684a - 3170150 ns IT 00000230 684a LDR r2,[r1,#4] - 3170170 ns MR4_I 00000234 6008d1fc - 3170230 ns MR4_D 40006004 00000001 - 3170230 ns R r2 00000001 - 3170230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3170250 ns R r2 80000000 - 3170250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3170270 ns R psr 81000200 - 3170270 ns MR4_I 00000238 48054770 - 3170290 ns MR4_I 00000230 07d2684a - 3170310 ns IT 00000230 684a LDR r2,[r1,#4] - 3170330 ns MR4_I 00000234 6008d1fc - 3170390 ns MR4_D 40006004 00000001 - 3170390 ns R r2 00000001 - 3170390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3170410 ns R r2 80000000 - 3170410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3170430 ns R psr 81000200 - 3170430 ns MR4_I 00000238 48054770 - 3170450 ns MR4_I 00000230 07d2684a - 3170470 ns IT 00000230 684a LDR r2,[r1,#4] - 3170490 ns MR4_I 00000234 6008d1fc - 3170550 ns MR4_D 40006004 00000001 - 3170550 ns R r2 00000001 - 3170550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3170570 ns R r2 80000000 - 3170570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3170590 ns R psr 81000200 - 3170590 ns MR4_I 00000238 48054770 - 3170610 ns MR4_I 00000230 07d2684a - 3170630 ns IT 00000230 684a LDR r2,[r1,#4] - 3170650 ns MR4_I 00000234 6008d1fc - 3170710 ns MR4_D 40006004 00000001 - 3170710 ns R r2 00000001 - 3170710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3170730 ns R r2 80000000 - 3170730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3170750 ns R psr 81000200 - 3170750 ns MR4_I 00000238 48054770 - 3170770 ns MR4_I 00000230 07d2684a - 3170790 ns IT 00000230 684a LDR r2,[r1,#4] - 3170810 ns MR4_I 00000234 6008d1fc - 3170870 ns MR4_D 40006004 00000001 - 3170870 ns R r2 00000001 - 3170870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3170890 ns R r2 80000000 - 3170890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3170910 ns R psr 81000200 - 3170910 ns MR4_I 00000238 48054770 - 3170930 ns MR4_I 00000230 07d2684a - 3170950 ns IT 00000230 684a LDR r2,[r1,#4] - 3170970 ns MR4_I 00000234 6008d1fc - 3171030 ns MR4_D 40006004 00000001 - 3171030 ns R r2 00000001 - 3171030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3171050 ns R r2 80000000 - 3171050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3171070 ns R psr 81000200 - 3171070 ns MR4_I 00000238 48054770 - 3171090 ns MR4_I 00000230 07d2684a - 3171110 ns IT 00000230 684a LDR r2,[r1,#4] - 3171130 ns MR4_I 00000234 6008d1fc - 3171190 ns MR4_D 40006004 00000001 - 3171190 ns R r2 00000001 - 3171190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3171210 ns R r2 80000000 - 3171210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3171230 ns R psr 81000200 - 3171230 ns MR4_I 00000238 48054770 - 3171250 ns MR4_I 00000230 07d2684a - 3171270 ns IT 00000230 684a LDR r2,[r1,#4] - 3171290 ns MR4_I 00000234 6008d1fc - 3171350 ns MR4_D 40006004 00000001 - 3171350 ns R r2 00000001 - 3171350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3171370 ns R r2 80000000 - 3171370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3171390 ns R psr 81000200 - 3171390 ns MR4_I 00000238 48054770 - 3171410 ns MR4_I 00000230 07d2684a - 3171430 ns IT 00000230 684a LDR r2,[r1,#4] - 3171450 ns MR4_I 00000234 6008d1fc - 3171510 ns MR4_D 40006004 00000001 - 3171510 ns R r2 00000001 - 3171510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3171530 ns R r2 80000000 - 3171530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3171550 ns R psr 81000200 - 3171550 ns MR4_I 00000238 48054770 - 3171570 ns MR4_I 00000230 07d2684a - 3171590 ns IT 00000230 684a LDR r2,[r1,#4] - 3171610 ns MR4_I 00000234 6008d1fc - 3171670 ns MR4_D 40006004 00000001 - 3171670 ns R r2 00000001 - 3171670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3171690 ns R r2 80000000 - 3171690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3171710 ns R psr 81000200 - 3171710 ns MR4_I 00000238 48054770 - 3171730 ns MR4_I 00000230 07d2684a - 3171750 ns IT 00000230 684a LDR r2,[r1,#4] - 3171770 ns MR4_I 00000234 6008d1fc - 3171830 ns MR4_D 40006004 00000001 - 3171830 ns R r2 00000001 - 3171830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3171850 ns R r2 80000000 - 3171850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3171870 ns R psr 81000200 - 3171870 ns MR4_I 00000238 48054770 - 3171890 ns MR4_I 00000230 07d2684a - 3171910 ns IT 00000230 684a LDR r2,[r1,#4] - 3171930 ns MR4_I 00000234 6008d1fc - 3171990 ns MR4_D 40006004 00000001 - 3171990 ns R r2 00000001 - 3171990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3172010 ns R r2 80000000 - 3172010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3172030 ns R psr 81000200 - 3172030 ns MR4_I 00000238 48054770 - 3172050 ns MR4_I 00000230 07d2684a - 3172070 ns IT 00000230 684a LDR r2,[r1,#4] - 3172090 ns MR4_I 00000234 6008d1fc - 3172150 ns MR4_D 40006004 00000001 - 3172150 ns R r2 00000001 - 3172150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3172170 ns R r2 80000000 - 3172170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3172190 ns R psr 81000200 - 3172190 ns MR4_I 00000238 48054770 - 3172210 ns MR4_I 00000230 07d2684a - 3172230 ns IT 00000230 684a LDR r2,[r1,#4] - 3172250 ns MR4_I 00000234 6008d1fc - 3172310 ns MR4_D 40006004 00000001 - 3172310 ns R r2 00000001 - 3172310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3172330 ns R r2 80000000 - 3172330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3172350 ns R psr 81000200 - 3172350 ns MR4_I 00000238 48054770 - 3172370 ns MR4_I 00000230 07d2684a - 3172390 ns IT 00000230 684a LDR r2,[r1,#4] - 3172410 ns MR4_I 00000234 6008d1fc - 3172470 ns MR4_D 40006004 00000001 - 3172470 ns R r2 00000001 - 3172470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3172490 ns R r2 80000000 - 3172490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3172510 ns R psr 81000200 - 3172510 ns MR4_I 00000238 48054770 - 3172530 ns MR4_I 00000230 07d2684a - 3172550 ns IT 00000230 684a LDR r2,[r1,#4] - 3172570 ns MR4_I 00000234 6008d1fc - 3172630 ns MR4_D 40006004 00000001 - 3172630 ns R r2 00000001 - 3172630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3172650 ns R r2 80000000 - 3172650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3172670 ns R psr 81000200 - 3172670 ns MR4_I 00000238 48054770 - 3172690 ns MR4_I 00000230 07d2684a - 3172710 ns IT 00000230 684a LDR r2,[r1,#4] - 3172730 ns MR4_I 00000234 6008d1fc - 3172790 ns MR4_D 40006004 00000001 - 3172790 ns R r2 00000001 - 3172790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3172810 ns R r2 80000000 - 3172810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3172830 ns R psr 81000200 - 3172830 ns MR4_I 00000238 48054770 - 3172850 ns MR4_I 00000230 07d2684a - 3172870 ns IT 00000230 684a LDR r2,[r1,#4] - 3172890 ns MR4_I 00000234 6008d1fc - 3172950 ns MR4_D 40006004 00000001 - 3172950 ns R r2 00000001 - 3172950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3172970 ns R r2 80000000 - 3172970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3172990 ns R psr 81000200 - 3172990 ns MR4_I 00000238 48054770 - 3173010 ns MR4_I 00000230 07d2684a - 3173030 ns IT 00000230 684a LDR r2,[r1,#4] - 3173050 ns MR4_I 00000234 6008d1fc - 3173110 ns MR4_D 40006004 00000001 - 3173110 ns R r2 00000001 - 3173110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3173130 ns R r2 80000000 - 3173130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3173150 ns R psr 81000200 - 3173150 ns MR4_I 00000238 48054770 - 3173170 ns MR4_I 00000230 07d2684a - 3173190 ns IT 00000230 684a LDR r2,[r1,#4] - 3173210 ns MR4_I 00000234 6008d1fc - 3173270 ns MR4_D 40006004 00000001 - 3173270 ns R r2 00000001 - 3173270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3173290 ns R r2 80000000 - 3173290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3173310 ns R psr 81000200 - 3173310 ns MR4_I 00000238 48054770 - 3173330 ns MR4_I 00000230 07d2684a - 3173350 ns IT 00000230 684a LDR r2,[r1,#4] - 3173370 ns MR4_I 00000234 6008d1fc - 3173430 ns MR4_D 40006004 00000001 - 3173430 ns R r2 00000001 - 3173430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3173450 ns R r2 80000000 - 3173450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3173470 ns R psr 81000200 - 3173470 ns MR4_I 00000238 48054770 - 3173490 ns MR4_I 00000230 07d2684a - 3173510 ns IT 00000230 684a LDR r2,[r1,#4] - 3173530 ns MR4_I 00000234 6008d1fc - 3173590 ns MR4_D 40006004 00000001 - 3173590 ns R r2 00000001 - 3173590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3173610 ns R r2 80000000 - 3173610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3173630 ns R psr 81000200 - 3173630 ns MR4_I 00000238 48054770 - 3173650 ns MR4_I 00000230 07d2684a - 3173670 ns IT 00000230 684a LDR r2,[r1,#4] - 3173690 ns MR4_I 00000234 6008d1fc - 3173750 ns MR4_D 40006004 00000001 - 3173750 ns R r2 00000001 - 3173750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3173770 ns R r2 80000000 - 3173770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3173790 ns R psr 81000200 - 3173790 ns MR4_I 00000238 48054770 - 3173810 ns MR4_I 00000230 07d2684a - 3173830 ns IT 00000230 684a LDR r2,[r1,#4] - 3173850 ns MR4_I 00000234 6008d1fc - 3173910 ns MR4_D 40006004 00000001 - 3173910 ns R r2 00000001 - 3173910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3173930 ns R r2 80000000 - 3173930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3173950 ns R psr 81000200 - 3173950 ns MR4_I 00000238 48054770 - 3173970 ns MR4_I 00000230 07d2684a - 3173990 ns IT 00000230 684a LDR r2,[r1,#4] - 3174010 ns MR4_I 00000234 6008d1fc - 3174070 ns MR4_D 40006004 00000001 - 3174070 ns R r2 00000001 - 3174070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3174090 ns R r2 80000000 - 3174090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3174110 ns R psr 81000200 - 3174110 ns MR4_I 00000238 48054770 - 3174130 ns MR4_I 00000230 07d2684a - 3174150 ns IT 00000230 684a LDR r2,[r1,#4] - 3174170 ns MR4_I 00000234 6008d1fc - 3174230 ns MR4_D 40006004 00000001 - 3174230 ns R r2 00000001 - 3174230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3174250 ns R r2 80000000 - 3174250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3174270 ns R psr 81000200 - 3174270 ns MR4_I 00000238 48054770 - 3174290 ns MR4_I 00000230 07d2684a - 3174310 ns IT 00000230 684a LDR r2,[r1,#4] - 3174330 ns MR4_I 00000234 6008d1fc - 3174390 ns MR4_D 40006004 00000001 - 3174390 ns R r2 00000001 - 3174390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3174410 ns R r2 80000000 - 3174410 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3174430 ns R psr 81000200 - 3174430 ns MR4_I 00000238 48054770 - 3174450 ns MR4_I 00000230 07d2684a - 3174470 ns IT 00000230 684a LDR r2,[r1,#4] - 3174490 ns MR4_I 00000234 6008d1fc - 3174550 ns MR4_D 40006004 00000001 - 3174550 ns R r2 00000001 - 3174550 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3174570 ns R r2 80000000 - 3174570 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3174590 ns R psr 81000200 - 3174590 ns MR4_I 00000238 48054770 - 3174610 ns MR4_I 00000230 07d2684a - 3174630 ns IT 00000230 684a LDR r2,[r1,#4] - 3174650 ns MR4_I 00000234 6008d1fc - 3174710 ns MR4_D 40006004 00000001 - 3174710 ns R r2 00000001 - 3174710 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3174730 ns R r2 80000000 - 3174730 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3174750 ns R psr 81000200 - 3174750 ns MR4_I 00000238 48054770 - 3174770 ns MR4_I 00000230 07d2684a - 3174790 ns IT 00000230 684a LDR r2,[r1,#4] - 3174810 ns MR4_I 00000234 6008d1fc - 3174870 ns MR4_D 40006004 00000001 - 3174870 ns R r2 00000001 - 3174870 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3174890 ns R r2 80000000 - 3174890 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3174910 ns R psr 81000200 - 3174910 ns MR4_I 00000238 48054770 - 3174930 ns MR4_I 00000230 07d2684a - 3174950 ns IT 00000230 684a LDR r2,[r1,#4] - 3174970 ns MR4_I 00000234 6008d1fc - 3175030 ns MR4_D 40006004 00000001 - 3175030 ns R r2 00000001 - 3175030 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3175050 ns R r2 80000000 - 3175050 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3175070 ns R psr 81000200 - 3175070 ns MR4_I 00000238 48054770 - 3175090 ns MR4_I 00000230 07d2684a - 3175110 ns IT 00000230 684a LDR r2,[r1,#4] - 3175130 ns MR4_I 00000234 6008d1fc - 3175190 ns MR4_D 40006004 00000001 - 3175190 ns R r2 00000001 - 3175190 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3175210 ns R r2 80000000 - 3175210 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3175230 ns R psr 81000200 - 3175230 ns MR4_I 00000238 48054770 - 3175250 ns MR4_I 00000230 07d2684a - 3175270 ns IT 00000230 684a LDR r2,[r1,#4] - 3175290 ns MR4_I 00000234 6008d1fc - 3175350 ns MR4_D 40006004 00000001 - 3175350 ns R r2 00000001 - 3175350 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3175370 ns R r2 80000000 - 3175370 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3175390 ns R psr 81000200 - 3175390 ns MR4_I 00000238 48054770 - 3175410 ns MR4_I 00000230 07d2684a - 3175430 ns IT 00000230 684a LDR r2,[r1,#4] - 3175450 ns MR4_I 00000234 6008d1fc - 3175510 ns MR4_D 40006004 00000001 - 3175510 ns R r2 00000001 - 3175510 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3175530 ns R r2 80000000 - 3175530 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3175550 ns R psr 81000200 - 3175550 ns MR4_I 00000238 48054770 - 3175570 ns MR4_I 00000230 07d2684a - 3175590 ns IT 00000230 684a LDR r2,[r1,#4] - 3175610 ns MR4_I 00000234 6008d1fc - 3175670 ns MR4_D 40006004 00000001 - 3175670 ns R r2 00000001 - 3175670 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3175690 ns R r2 80000000 - 3175690 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3175710 ns R psr 81000200 - 3175710 ns MR4_I 00000238 48054770 - 3175730 ns MR4_I 00000230 07d2684a - 3175750 ns IT 00000230 684a LDR r2,[r1,#4] - 3175770 ns MR4_I 00000234 6008d1fc - 3175830 ns MR4_D 40006004 00000001 - 3175830 ns R r2 00000001 - 3175830 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3175850 ns R r2 80000000 - 3175850 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3175870 ns R psr 81000200 - 3175870 ns MR4_I 00000238 48054770 - 3175890 ns MR4_I 00000230 07d2684a - 3175910 ns IT 00000230 684a LDR r2,[r1,#4] - 3175930 ns MR4_I 00000234 6008d1fc - 3175990 ns MR4_D 40006004 00000001 - 3175990 ns R r2 00000001 - 3175990 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3176010 ns R r2 80000000 - 3176010 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3176030 ns R psr 81000200 - 3176030 ns MR4_I 00000238 48054770 - 3176050 ns MR4_I 00000230 07d2684a - 3176070 ns IT 00000230 684a LDR r2,[r1,#4] - 3176090 ns MR4_I 00000234 6008d1fc - 3176150 ns MR4_D 40006004 00000001 - 3176150 ns R r2 00000001 - 3176150 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3176170 ns R r2 80000000 - 3176170 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3176190 ns R psr 81000200 - 3176190 ns MR4_I 00000238 48054770 - 3176210 ns MR4_I 00000230 07d2684a - 3176230 ns IT 00000230 684a LDR r2,[r1,#4] - 3176250 ns MR4_I 00000234 6008d1fc - 3176310 ns MR4_D 40006004 00000001 - 3176310 ns R r2 00000001 - 3176310 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3176330 ns R r2 80000000 - 3176330 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3176350 ns R psr 81000200 - 3176350 ns MR4_I 00000238 48054770 - 3176370 ns MR4_I 00000230 07d2684a - 3176390 ns IT 00000230 684a LDR r2,[r1,#4] - 3176410 ns MR4_I 00000234 6008d1fc - 3176470 ns MR4_D 40006004 00000001 - 3176470 ns R r2 00000001 - 3176470 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3176490 ns R r2 80000000 - 3176490 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3176510 ns R psr 81000200 - 3176510 ns MR4_I 00000238 48054770 - 3176530 ns MR4_I 00000230 07d2684a - 3176550 ns IT 00000230 684a LDR r2,[r1,#4] - 3176570 ns MR4_I 00000234 6008d1fc - 3176630 ns MR4_D 40006004 00000001 - 3176630 ns R r2 00000001 - 3176630 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3176650 ns R r2 80000000 - 3176650 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3176670 ns R psr 81000200 - 3176670 ns MR4_I 00000238 48054770 - 3176690 ns MR4_I 00000230 07d2684a - 3176710 ns IT 00000230 684a LDR r2,[r1,#4] - 3176730 ns MR4_I 00000234 6008d1fc - 3176790 ns MR4_D 40006004 00000001 - 3176790 ns R r2 00000001 - 3176790 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3176810 ns R r2 80000000 - 3176810 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3176830 ns R psr 81000200 - 3176830 ns MR4_I 00000238 48054770 - 3176850 ns MR4_I 00000230 07d2684a - 3176870 ns IT 00000230 684a LDR r2,[r1,#4] - 3176890 ns MR4_I 00000234 6008d1fc - 3176950 ns MR4_D 40006004 00000001 - 3176950 ns R r2 00000001 - 3176950 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3176970 ns R r2 80000000 - 3176970 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3176990 ns R psr 81000200 - 3176990 ns MR4_I 00000238 48054770 - 3177010 ns MR4_I 00000230 07d2684a - 3177030 ns IT 00000230 684a LDR r2,[r1,#4] - 3177050 ns MR4_I 00000234 6008d1fc - 3177110 ns MR4_D 40006004 00000001 - 3177110 ns R r2 00000001 - 3177110 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3177130 ns R r2 80000000 - 3177130 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3177150 ns R psr 81000200 - 3177150 ns MR4_I 00000238 48054770 - 3177170 ns MR4_I 00000230 07d2684a - 3177190 ns IT 00000230 684a LDR r2,[r1,#4] - 3177210 ns MR4_I 00000234 6008d1fc - 3177270 ns MR4_D 40006004 00000001 - 3177270 ns R r2 00000001 - 3177270 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3177290 ns R r2 80000000 - 3177290 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3177310 ns R psr 81000200 - 3177310 ns MR4_I 00000238 48054770 - 3177330 ns MR4_I 00000230 07d2684a - 3177350 ns IT 00000230 684a LDR r2,[r1,#4] - 3177370 ns MR4_I 00000234 6008d1fc - 3177430 ns MR4_D 40006004 00000001 - 3177430 ns R r2 00000001 - 3177430 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3177450 ns R r2 80000000 - 3177450 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3177470 ns R psr 81000200 - 3177470 ns MR4_I 00000238 48054770 - 3177490 ns MR4_I 00000230 07d2684a - 3177510 ns IT 00000230 684a LDR r2,[r1,#4] - 3177530 ns MR4_I 00000234 6008d1fc - 3177590 ns MR4_D 40006004 00000001 - 3177590 ns R r2 00000001 - 3177590 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3177610 ns R r2 80000000 - 3177610 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3177630 ns R psr 81000200 - 3177630 ns MR4_I 00000238 48054770 - 3177650 ns MR4_I 00000230 07d2684a - 3177670 ns IT 00000230 684a LDR r2,[r1,#4] - 3177690 ns MR4_I 00000234 6008d1fc - 3177750 ns MR4_D 40006004 00000001 - 3177750 ns R r2 00000001 - 3177750 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3177770 ns R r2 80000000 - 3177770 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3177790 ns R psr 81000200 - 3177790 ns MR4_I 00000238 48054770 - 3177810 ns MR4_I 00000230 07d2684a - 3177830 ns IT 00000230 684a LDR r2,[r1,#4] - 3177850 ns MR4_I 00000234 6008d1fc - 3177910 ns MR4_D 40006004 00000001 - 3177910 ns R r2 00000001 - 3177910 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3177930 ns R r2 80000000 - 3177930 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3177950 ns R psr 81000200 - 3177950 ns MR4_I 00000238 48054770 - 3177970 ns MR4_I 00000230 07d2684a - 3177990 ns IT 00000230 684a LDR r2,[r1,#4] - 3178010 ns MR4_I 00000234 6008d1fc - 3178070 ns MR4_D 40006004 00000001 - 3178070 ns R r2 00000001 - 3178070 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3178090 ns R r2 80000000 - 3178090 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3178110 ns R psr 81000200 - 3178110 ns MR4_I 00000238 48054770 - 3178130 ns MR4_I 00000230 07d2684a - 3178150 ns IT 00000230 684a LDR r2,[r1,#4] - 3178170 ns MR4_I 00000234 6008d1fc - 3178230 ns MR4_D 40006004 00000001 - 3178230 ns R r2 00000001 - 3178230 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3178250 ns R r2 80000000 - 3178250 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3178270 ns R psr 81000200 - 3178270 ns MR4_I 00000238 48054770 - 3178290 ns MR4_I 00000230 07d2684a - 3178310 ns IT 00000230 684a LDR r2,[r1,#4] - 3178330 ns MR4_I 00000234 6008d1fc - 3178390 ns MR4_D 40006004 00000000 - 3178390 ns R r2 00000000 - 3178390 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3178410 ns R r2 00000000 - 3178410 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3178430 ns R psr 41000200 - 3178430 ns MR4_I 00000238 48054770 - 3178430 ns IT 00000236 6008 STR r0,[r1,#0] - 3178510 ns MW4_D 40006000 0000000a - 3178510 ns IT 00000238 4770 BX lr - 3178530 ns MR4_I 0000023c 07896841 - 3178550 ns R psr 41000200 - 3178550 ns MR4_I 000001fc b510bd10 - 3178570 ns IT 000001fc bd10 POP {r4,pc} - 3178590 ns MR4_I 00000200 f81bf000 - 3178590 ns R r13 200002e0 (MSP) - 3178610 ns MR4_D 200002d8 00000011 - 3178610 ns R r4 00000011 - 3178630 ns MR4_D 200002dc 0000032d - 3178650 ns R psr 41000200 - 3178670 ns MR4_I 0000032c 46301c64 - 3178690 ns IT 0000032c 1c64 ADDS r4,r4,#1 - 3178710 ns MR4_I 00000330 280047a8 - 3178710 ns R r4 00000012 - 3178710 ns IT 0000032e 4630 MOV r0,r6 - 3178730 ns R psr 01000200 - 3178730 ns R r0 200002f8 - 3178730 ns IT 00000330 47a8 BLX r5 - 3178750 ns MR4_I 00000334 4620d1f8 - 3178770 ns R psr 01000200 - 3178770 ns MR4_I 000002a8 1c4a6901 - 3178770 ns R r14 00000333 - 3178790 ns IT 000002a8 6901 LDR r1,[r0,#0x10] - 3178810 ns MR4_I 000002ac 78086102 - 3178830 ns MR4_D 20000308 000001a2 - 3178830 ns R r1 000001a2 - 3178830 ns IT 000002aa 1c4a ADDS r2,r1,#1 - 3178850 ns R r2 000001a3 - 3178850 ns IT 000002ac 6102 STR r2,[r0,#0x10] - 3178870 ns R psr 01000200 - 3178870 ns MR4_I 000002b0 b5004770 - 3178890 ns MW4_D 20000308 000001a3 - 3178890 ns IT 000002ae 7808 LDRB r0,[r1,#0] - 3178930 ns MR1_D 000001a2 00000a2a - 3178930 ns R r0 00000000 - 3178930 ns IT 000002b0 4770 BX lr - 3178950 ns MR4_I 000002b4 9102b08f - 3178970 ns R psr 01000200 - 3178970 ns MR4_I 00000330 280047a8 - 3178990 ns MR4_I 00000334 4620d1f8 - 3178990 ns IT 00000332 2800 CMP r0,#0 - 3179010 ns IS 00000334 d1f8 BNE {pc} - 0xc ; 0x328 - 3179030 ns R psr 61000200 - 3179030 ns MR4_I 00000338 b510bdf8 - 3179030 ns IT 00000336 4620 MOV r0,r4 - 3179050 ns R r0 00000012 - 3179050 ns IT 00000338 bdf8 POP {r3-r7,pc} - 3179070 ns MR4_I 0000033c 46c04604 - 3179070 ns R r13 200002f8 (MSP) - 3179090 ns MR4_D 200002e0 20000004 - 3179090 ns R r3 20000004 - 3179110 ns MR4_D 200002e4 00000374 - 3179110 ns R r4 00000374 - 3179130 ns MR4_D 200002e8 20000004 - 3179130 ns R r5 20000004 - 3179150 ns MR4_D 200002ec 00000000 - 3179150 ns R r6 00000000 - 3179170 ns MR4_D 200002f0 00000000 - 3179170 ns R r7 00000000 - 3179190 ns MR4_D 200002f4 000002cf - 3179210 ns R psr 61000200 - 3179230 ns MR4_I 000002cc b00ff824 - 3179250 ns MR4_I 000002d0 0000bd00 - 3179250 ns IT 000002ce b00f ADD sp,sp,#0x3c - 3179270 ns R r13 20000334 (MSP) - 3179270 ns IT 000002d0 bd00 POP {pc} - 3179290 ns MR4_I 000002d4 ffffffe5 - 3179290 ns R r13 20000338 (MSP) - 3179310 ns MR4_D 20000334 0000028d - 3179330 ns R psr 61000200 - 3179350 ns MR4_I 0000028c 46284604 - 3179370 ns IT 0000028c 4604 MOV r4,r0 - 3179390 ns MR4_I 00000290 ffbbf7ff - 3179390 ns R r4 00000012 - 3179390 ns IT 0000028e 4628 MOV r0,r5 - 3179410 ns R r0 20000004 - 3179410 ns IT 00000290 f7ffffbb BL {pc} - 0x86 ; 0x20a - 3179430 ns MR4_I 00000294 d0022800 - 3179450 ns R r14 00000295 - 3179470 ns MR4_I 00000208 2000bd10 - 3179490 ns MR4_I 0000020c 477043c0 - 3179490 ns IT 0000020a 2000 MOVS r0,#0 - 3179510 ns R r0 00000000 - 3179510 ns IT 0000020c 43c0 MVNS r0,r0 - 3179530 ns R psr 61000200 - 3179530 ns MR4_I 00000210 b2c0b510 - 3179530 ns R r0 ffffffff - 3179530 ns IT 0000020e 4770 BX lr - 3179550 ns R psr a1000200 - 3179570 ns R psr a1000200 - 3179570 ns MR4_I 00000294 d0022800 - 3179590 ns IT 00000294 2800 CMP r0,#0 - 3179610 ns MR4_I 00000298 43c02000 - 3179610 ns IS 00000296 d002 BEQ {pc} + 0x8 ; 0x29e - 3179630 ns R psr a1000200 - 3179630 ns IT 00000298 2000 MOVS r0,#0 - 3179650 ns MR4_I 0000029c 4620bd70 - 3179650 ns R r0 00000000 - 3179650 ns IT 0000029a 43c0 MVNS r0,r0 - 3179670 ns R psr 61000200 - 3179670 ns R r0 ffffffff - 3179670 ns IT 0000029c bd70 POP {r4-r6,pc} - 3179690 ns R psr a1000200 - 3179690 ns MR4_I 000002a0 0000bd70 - 3179690 ns R r13 20000348 (MSP) - 3179710 ns MR4_D 20000338 00000374 - 3179710 ns R r4 00000374 - 3179730 ns MR4_D 2000033c 20000008 - 3179730 ns R r5 20000008 - 3179750 ns MR4_D 20000340 00000000 - 3179750 ns R r6 00000000 - 3179770 ns MR4_D 20000344 0000026b - 3179790 ns R psr a1000200 - 3179810 ns MR4_I 00000268 bc10f80b - 3179830 ns MR4_I 0000026c b004bc08 - 3179830 ns IT 0000026a bc10 POP {r4} - 3179850 ns R r13 2000034c (MSP) - 3179870 ns MR4_D 20000348 00000374 - 3179870 ns R r4 00000374 - 3179870 ns IT 0000026c bc08 POP {r3} - 3179890 ns MR4_I 00000270 00004718 - 3179890 ns R r13 20000350 (MSP) - 3179910 ns MR4_D 2000034c 00000177 - 3179910 ns R r3 00000177 - 3179910 ns IT 0000026e b004 ADD sp,sp,#0x10 - 3179930 ns R r13 20000360 (MSP) - 3179930 ns IT 00000270 4718 BX r3 - 3179950 ns MR4_I 00000274 20000004 - 3179970 ns R psr a1000200 - 3179970 ns MR4_I 00000174 f000f873 - 3179990 ns MR4_I 00000178 2000f867 - 3179990 ns IT 00000176 f000f867 BL {pc} + 0xd2 ; 0x248 - 3180030 ns R r14 0000017b - 3180050 ns MR4_I 00000248 f7ff2004 - 3180070 ns IT 00000248 2004 MOVS r0,#4 - 3180090 ns MR4_I 0000024c e7fefff0 - 3180090 ns R r0 00000004 - 3180090 ns IT 0000024a f7fffff0 BL {pc} - 0x1c ; 0x22e - 3180110 ns R psr 21000200 - 3180130 ns R r14 0000024f - 3180150 ns MR4_I 0000022c 49084770 - 3180170 ns MR4_I 00000230 07d2684a - 3180170 ns IT 0000022e 4908 LDR r1,[pc,#32] ; [0x250] - 3180210 ns MR4_D 00000250 40006000 - 3180210 ns R r1 40006000 - 3180210 ns IT 00000230 684a LDR r2,[r1,#4] - 3180230 ns MR4_I 00000234 6008d1fc - 3180290 ns MR4_D 40006004 00000001 - 3180290 ns R r2 00000001 - 3180290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3180310 ns R r2 80000000 - 3180310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3180330 ns R psr 81000200 - 3180330 ns MR4_I 00000238 48054770 - 3180350 ns MR4_I 00000230 07d2684a - 3180370 ns IT 00000230 684a LDR r2,[r1,#4] - 3180390 ns MR4_I 00000234 6008d1fc - 3180450 ns MR4_D 40006004 00000001 - 3180450 ns R r2 00000001 - 3180450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3180470 ns R r2 80000000 - 3180470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3180490 ns R psr 81000200 - 3180490 ns MR4_I 00000238 48054770 - 3180510 ns MR4_I 00000230 07d2684a - 3180530 ns IT 00000230 684a LDR r2,[r1,#4] - 3180550 ns MR4_I 00000234 6008d1fc - 3180610 ns MR4_D 40006004 00000001 - 3180610 ns R r2 00000001 - 3180610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3180630 ns R r2 80000000 - 3180630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3180650 ns R psr 81000200 - 3180650 ns MR4_I 00000238 48054770 - 3180670 ns MR4_I 00000230 07d2684a - 3180690 ns IT 00000230 684a LDR r2,[r1,#4] - 3180710 ns MR4_I 00000234 6008d1fc - 3180770 ns MR4_D 40006004 00000001 - 3180770 ns R r2 00000001 - 3180770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3180790 ns R r2 80000000 - 3180790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3180810 ns R psr 81000200 - 3180810 ns MR4_I 00000238 48054770 - 3180830 ns MR4_I 00000230 07d2684a - 3180850 ns IT 00000230 684a LDR r2,[r1,#4] - 3180870 ns MR4_I 00000234 6008d1fc - 3180930 ns MR4_D 40006004 00000001 - 3180930 ns R r2 00000001 - 3180930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3180950 ns R r2 80000000 - 3180950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3180970 ns R psr 81000200 - 3180970 ns MR4_I 00000238 48054770 - 3180990 ns MR4_I 00000230 07d2684a - 3181010 ns IT 00000230 684a LDR r2,[r1,#4] - 3181030 ns MR4_I 00000234 6008d1fc - 3181090 ns MR4_D 40006004 00000001 - 3181090 ns R r2 00000001 - 3181090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3181110 ns R r2 80000000 - 3181110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3181130 ns R psr 81000200 - 3181130 ns MR4_I 00000238 48054770 - 3181150 ns MR4_I 00000230 07d2684a - 3181170 ns IT 00000230 684a LDR r2,[r1,#4] - 3181190 ns MR4_I 00000234 6008d1fc - 3181250 ns MR4_D 40006004 00000001 - 3181250 ns R r2 00000001 - 3181250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3181270 ns R r2 80000000 - 3181270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3181290 ns R psr 81000200 - 3181290 ns MR4_I 00000238 48054770 - 3181310 ns MR4_I 00000230 07d2684a - 3181330 ns IT 00000230 684a LDR r2,[r1,#4] - 3181350 ns MR4_I 00000234 6008d1fc - 3181410 ns MR4_D 40006004 00000001 - 3181410 ns R r2 00000001 - 3181410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3181430 ns R r2 80000000 - 3181430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3181450 ns R psr 81000200 - 3181450 ns MR4_I 00000238 48054770 - 3181470 ns MR4_I 00000230 07d2684a - 3181490 ns IT 00000230 684a LDR r2,[r1,#4] - 3181510 ns MR4_I 00000234 6008d1fc - 3181570 ns MR4_D 40006004 00000001 - 3181570 ns R r2 00000001 - 3181570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3181590 ns R r2 80000000 - 3181590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3181610 ns R psr 81000200 - 3181610 ns MR4_I 00000238 48054770 - 3181630 ns MR4_I 00000230 07d2684a - 3181650 ns IT 00000230 684a LDR r2,[r1,#4] - 3181670 ns MR4_I 00000234 6008d1fc - 3181730 ns MR4_D 40006004 00000001 - 3181730 ns R r2 00000001 - 3181730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3181750 ns R r2 80000000 - 3181750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3181770 ns R psr 81000200 - 3181770 ns MR4_I 00000238 48054770 - 3181790 ns MR4_I 00000230 07d2684a - 3181810 ns IT 00000230 684a LDR r2,[r1,#4] - 3181830 ns MR4_I 00000234 6008d1fc - 3181890 ns MR4_D 40006004 00000001 - 3181890 ns R r2 00000001 - 3181890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3181910 ns R r2 80000000 - 3181910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3181930 ns R psr 81000200 - 3181930 ns MR4_I 00000238 48054770 - 3181950 ns MR4_I 00000230 07d2684a - 3181970 ns IT 00000230 684a LDR r2,[r1,#4] - 3181990 ns MR4_I 00000234 6008d1fc - 3182050 ns MR4_D 40006004 00000001 - 3182050 ns R r2 00000001 - 3182050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3182070 ns R r2 80000000 - 3182070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3182090 ns R psr 81000200 - 3182090 ns MR4_I 00000238 48054770 - 3182110 ns MR4_I 00000230 07d2684a - 3182130 ns IT 00000230 684a LDR r2,[r1,#4] - 3182150 ns MR4_I 00000234 6008d1fc - 3182210 ns MR4_D 40006004 00000001 - 3182210 ns R r2 00000001 - 3182210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3182230 ns R r2 80000000 - 3182230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3182250 ns R psr 81000200 - 3182250 ns MR4_I 00000238 48054770 - 3182270 ns MR4_I 00000230 07d2684a - 3182290 ns IT 00000230 684a LDR r2,[r1,#4] - 3182310 ns MR4_I 00000234 6008d1fc - 3182370 ns MR4_D 40006004 00000001 - 3182370 ns R r2 00000001 - 3182370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3182390 ns R r2 80000000 - 3182390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3182410 ns R psr 81000200 - 3182410 ns MR4_I 00000238 48054770 - 3182430 ns MR4_I 00000230 07d2684a - 3182450 ns IT 00000230 684a LDR r2,[r1,#4] - 3182470 ns MR4_I 00000234 6008d1fc - 3182530 ns MR4_D 40006004 00000001 - 3182530 ns R r2 00000001 - 3182530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3182550 ns R r2 80000000 - 3182550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3182570 ns R psr 81000200 - 3182570 ns MR4_I 00000238 48054770 - 3182590 ns MR4_I 00000230 07d2684a - 3182610 ns IT 00000230 684a LDR r2,[r1,#4] - 3182630 ns MR4_I 00000234 6008d1fc - 3182690 ns MR4_D 40006004 00000001 - 3182690 ns R r2 00000001 - 3182690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3182710 ns R r2 80000000 - 3182710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3182730 ns R psr 81000200 - 3182730 ns MR4_I 00000238 48054770 - 3182750 ns MR4_I 00000230 07d2684a - 3182770 ns IT 00000230 684a LDR r2,[r1,#4] - 3182790 ns MR4_I 00000234 6008d1fc - 3182850 ns MR4_D 40006004 00000001 - 3182850 ns R r2 00000001 - 3182850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3182870 ns R r2 80000000 - 3182870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3182890 ns R psr 81000200 - 3182890 ns MR4_I 00000238 48054770 - 3182910 ns MR4_I 00000230 07d2684a - 3182930 ns IT 00000230 684a LDR r2,[r1,#4] - 3182950 ns MR4_I 00000234 6008d1fc - 3183010 ns MR4_D 40006004 00000001 - 3183010 ns R r2 00000001 - 3183010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3183030 ns R r2 80000000 - 3183030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3183050 ns R psr 81000200 - 3183050 ns MR4_I 00000238 48054770 - 3183070 ns MR4_I 00000230 07d2684a - 3183090 ns IT 00000230 684a LDR r2,[r1,#4] - 3183110 ns MR4_I 00000234 6008d1fc - 3183170 ns MR4_D 40006004 00000001 - 3183170 ns R r2 00000001 - 3183170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3183190 ns R r2 80000000 - 3183190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3183210 ns R psr 81000200 - 3183210 ns MR4_I 00000238 48054770 - 3183230 ns MR4_I 00000230 07d2684a - 3183250 ns IT 00000230 684a LDR r2,[r1,#4] - 3183270 ns MR4_I 00000234 6008d1fc - 3183330 ns MR4_D 40006004 00000001 - 3183330 ns R r2 00000001 - 3183330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3183350 ns R r2 80000000 - 3183350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3183370 ns R psr 81000200 - 3183370 ns MR4_I 00000238 48054770 - 3183390 ns MR4_I 00000230 07d2684a - 3183410 ns IT 00000230 684a LDR r2,[r1,#4] - 3183430 ns MR4_I 00000234 6008d1fc - 3183490 ns MR4_D 40006004 00000001 - 3183490 ns R r2 00000001 - 3183490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3183510 ns R r2 80000000 - 3183510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3183530 ns R psr 81000200 - 3183530 ns MR4_I 00000238 48054770 - 3183550 ns MR4_I 00000230 07d2684a - 3183570 ns IT 00000230 684a LDR r2,[r1,#4] - 3183590 ns MR4_I 00000234 6008d1fc - 3183650 ns MR4_D 40006004 00000001 - 3183650 ns R r2 00000001 - 3183650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3183670 ns R r2 80000000 - 3183670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3183690 ns R psr 81000200 - 3183690 ns MR4_I 00000238 48054770 - 3183710 ns MR4_I 00000230 07d2684a - 3183730 ns IT 00000230 684a LDR r2,[r1,#4] - 3183750 ns MR4_I 00000234 6008d1fc - 3183810 ns MR4_D 40006004 00000001 - 3183810 ns R r2 00000001 - 3183810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3183830 ns R r2 80000000 - 3183830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3183850 ns R psr 81000200 - 3183850 ns MR4_I 00000238 48054770 - 3183870 ns MR4_I 00000230 07d2684a - 3183890 ns IT 00000230 684a LDR r2,[r1,#4] - 3183910 ns MR4_I 00000234 6008d1fc - 3183970 ns MR4_D 40006004 00000001 - 3183970 ns R r2 00000001 - 3183970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3183990 ns R r2 80000000 - 3183990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3184010 ns R psr 81000200 - 3184010 ns MR4_I 00000238 48054770 - 3184030 ns MR4_I 00000230 07d2684a - 3184050 ns IT 00000230 684a LDR r2,[r1,#4] - 3184070 ns MR4_I 00000234 6008d1fc - 3184130 ns MR4_D 40006004 00000001 - 3184130 ns R r2 00000001 - 3184130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3184150 ns R r2 80000000 - 3184150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3184170 ns R psr 81000200 - 3184170 ns MR4_I 00000238 48054770 - 3184190 ns MR4_I 00000230 07d2684a - 3184210 ns IT 00000230 684a LDR r2,[r1,#4] - 3184230 ns MR4_I 00000234 6008d1fc - 3184290 ns MR4_D 40006004 00000001 - 3184290 ns R r2 00000001 - 3184290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3184310 ns R r2 80000000 - 3184310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3184330 ns R psr 81000200 - 3184330 ns MR4_I 00000238 48054770 - 3184350 ns MR4_I 00000230 07d2684a - 3184370 ns IT 00000230 684a LDR r2,[r1,#4] - 3184390 ns MR4_I 00000234 6008d1fc - 3184450 ns MR4_D 40006004 00000001 - 3184450 ns R r2 00000001 - 3184450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3184470 ns R r2 80000000 - 3184470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3184490 ns R psr 81000200 - 3184490 ns MR4_I 00000238 48054770 - 3184510 ns MR4_I 00000230 07d2684a - 3184530 ns IT 00000230 684a LDR r2,[r1,#4] - 3184550 ns MR4_I 00000234 6008d1fc - 3184610 ns MR4_D 40006004 00000001 - 3184610 ns R r2 00000001 - 3184610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3184630 ns R r2 80000000 - 3184630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3184650 ns R psr 81000200 - 3184650 ns MR4_I 00000238 48054770 - 3184670 ns MR4_I 00000230 07d2684a - 3184690 ns IT 00000230 684a LDR r2,[r1,#4] - 3184710 ns MR4_I 00000234 6008d1fc - 3184770 ns MR4_D 40006004 00000001 - 3184770 ns R r2 00000001 - 3184770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3184790 ns R r2 80000000 - 3184790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3184810 ns R psr 81000200 - 3184810 ns MR4_I 00000238 48054770 - 3184830 ns MR4_I 00000230 07d2684a - 3184850 ns IT 00000230 684a LDR r2,[r1,#4] - 3184870 ns MR4_I 00000234 6008d1fc - 3184930 ns MR4_D 40006004 00000001 - 3184930 ns R r2 00000001 - 3184930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3184950 ns R r2 80000000 - 3184950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3184970 ns R psr 81000200 - 3184970 ns MR4_I 00000238 48054770 - 3184990 ns MR4_I 00000230 07d2684a - 3185010 ns IT 00000230 684a LDR r2,[r1,#4] - 3185030 ns MR4_I 00000234 6008d1fc - 3185090 ns MR4_D 40006004 00000001 - 3185090 ns R r2 00000001 - 3185090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3185110 ns R r2 80000000 - 3185110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3185130 ns R psr 81000200 - 3185130 ns MR4_I 00000238 48054770 - 3185150 ns MR4_I 00000230 07d2684a - 3185170 ns IT 00000230 684a LDR r2,[r1,#4] - 3185190 ns MR4_I 00000234 6008d1fc - 3185250 ns MR4_D 40006004 00000001 - 3185250 ns R r2 00000001 - 3185250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3185270 ns R r2 80000000 - 3185270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3185290 ns R psr 81000200 - 3185290 ns MR4_I 00000238 48054770 - 3185310 ns MR4_I 00000230 07d2684a - 3185330 ns IT 00000230 684a LDR r2,[r1,#4] - 3185350 ns MR4_I 00000234 6008d1fc - 3185410 ns MR4_D 40006004 00000001 - 3185410 ns R r2 00000001 - 3185410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3185430 ns R r2 80000000 - 3185430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3185450 ns R psr 81000200 - 3185450 ns MR4_I 00000238 48054770 - 3185470 ns MR4_I 00000230 07d2684a - 3185490 ns IT 00000230 684a LDR r2,[r1,#4] - 3185510 ns MR4_I 00000234 6008d1fc - 3185570 ns MR4_D 40006004 00000001 - 3185570 ns R r2 00000001 - 3185570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3185590 ns R r2 80000000 - 3185590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3185610 ns R psr 81000200 - 3185610 ns MR4_I 00000238 48054770 - 3185630 ns MR4_I 00000230 07d2684a - 3185650 ns IT 00000230 684a LDR r2,[r1,#4] - 3185670 ns MR4_I 00000234 6008d1fc - 3185730 ns MR4_D 40006004 00000001 - 3185730 ns R r2 00000001 - 3185730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3185750 ns R r2 80000000 - 3185750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3185770 ns R psr 81000200 - 3185770 ns MR4_I 00000238 48054770 - 3185790 ns MR4_I 00000230 07d2684a - 3185810 ns IT 00000230 684a LDR r2,[r1,#4] - 3185830 ns MR4_I 00000234 6008d1fc - 3185890 ns MR4_D 40006004 00000001 - 3185890 ns R r2 00000001 - 3185890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3185910 ns R r2 80000000 - 3185910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3185930 ns R psr 81000200 - 3185930 ns MR4_I 00000238 48054770 - 3185950 ns MR4_I 00000230 07d2684a - 3185970 ns IT 00000230 684a LDR r2,[r1,#4] - 3185990 ns MR4_I 00000234 6008d1fc - 3186050 ns MR4_D 40006004 00000001 - 3186050 ns R r2 00000001 - 3186050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3186070 ns R r2 80000000 - 3186070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3186090 ns R psr 81000200 - 3186090 ns MR4_I 00000238 48054770 - 3186110 ns MR4_I 00000230 07d2684a - 3186130 ns IT 00000230 684a LDR r2,[r1,#4] - 3186150 ns MR4_I 00000234 6008d1fc - 3186210 ns MR4_D 40006004 00000001 - 3186210 ns R r2 00000001 - 3186210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3186230 ns R r2 80000000 - 3186230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3186250 ns R psr 81000200 - 3186250 ns MR4_I 00000238 48054770 - 3186270 ns MR4_I 00000230 07d2684a - 3186290 ns IT 00000230 684a LDR r2,[r1,#4] - 3186310 ns MR4_I 00000234 6008d1fc - 3186370 ns MR4_D 40006004 00000001 - 3186370 ns R r2 00000001 - 3186370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3186390 ns R r2 80000000 - 3186390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3186410 ns R psr 81000200 - 3186410 ns MR4_I 00000238 48054770 - 3186430 ns MR4_I 00000230 07d2684a - 3186450 ns IT 00000230 684a LDR r2,[r1,#4] - 3186470 ns MR4_I 00000234 6008d1fc - 3186530 ns MR4_D 40006004 00000001 - 3186530 ns R r2 00000001 - 3186530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3186550 ns R r2 80000000 - 3186550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3186570 ns R psr 81000200 - 3186570 ns MR4_I 00000238 48054770 - 3186590 ns MR4_I 00000230 07d2684a - 3186610 ns IT 00000230 684a LDR r2,[r1,#4] - 3186630 ns MR4_I 00000234 6008d1fc - 3186690 ns MR4_D 40006004 00000001 - 3186690 ns R r2 00000001 - 3186690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3186710 ns R r2 80000000 - 3186710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3186730 ns R psr 81000200 - 3186730 ns MR4_I 00000238 48054770 - 3186750 ns MR4_I 00000230 07d2684a - 3186770 ns IT 00000230 684a LDR r2,[r1,#4] - 3186790 ns MR4_I 00000234 6008d1fc - 3186850 ns MR4_D 40006004 00000001 - 3186850 ns R r2 00000001 - 3186850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3186870 ns R r2 80000000 - 3186870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3186890 ns R psr 81000200 - 3186890 ns MR4_I 00000238 48054770 - 3186910 ns MR4_I 00000230 07d2684a - 3186930 ns IT 00000230 684a LDR r2,[r1,#4] - 3186950 ns MR4_I 00000234 6008d1fc - 3187010 ns MR4_D 40006004 00000001 - 3187010 ns R r2 00000001 - 3187010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3187030 ns R r2 80000000 - 3187030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3187050 ns R psr 81000200 - 3187050 ns MR4_I 00000238 48054770 - 3187070 ns MR4_I 00000230 07d2684a - 3187090 ns IT 00000230 684a LDR r2,[r1,#4] - 3187110 ns MR4_I 00000234 6008d1fc - 3187170 ns MR4_D 40006004 00000001 - 3187170 ns R r2 00000001 - 3187170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3187190 ns R r2 80000000 - 3187190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3187210 ns R psr 81000200 - 3187210 ns MR4_I 00000238 48054770 - 3187230 ns MR4_I 00000230 07d2684a - 3187250 ns IT 00000230 684a LDR r2,[r1,#4] - 3187270 ns MR4_I 00000234 6008d1fc - 3187330 ns MR4_D 40006004 00000001 - 3187330 ns R r2 00000001 - 3187330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3187350 ns R r2 80000000 - 3187350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3187370 ns R psr 81000200 - 3187370 ns MR4_I 00000238 48054770 - 3187390 ns MR4_I 00000230 07d2684a - 3187410 ns IT 00000230 684a LDR r2,[r1,#4] - 3187430 ns MR4_I 00000234 6008d1fc - 3187490 ns MR4_D 40006004 00000001 - 3187490 ns R r2 00000001 - 3187490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3187510 ns R r2 80000000 - 3187510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3187530 ns R psr 81000200 - 3187530 ns MR4_I 00000238 48054770 - 3187550 ns MR4_I 00000230 07d2684a - 3187570 ns IT 00000230 684a LDR r2,[r1,#4] - 3187590 ns MR4_I 00000234 6008d1fc - 3187650 ns MR4_D 40006004 00000001 - 3187650 ns R r2 00000001 - 3187650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3187670 ns R r2 80000000 - 3187670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3187690 ns R psr 81000200 - 3187690 ns MR4_I 00000238 48054770 - 3187710 ns MR4_I 00000230 07d2684a - 3187730 ns IT 00000230 684a LDR r2,[r1,#4] - 3187750 ns MR4_I 00000234 6008d1fc - 3187810 ns MR4_D 40006004 00000001 - 3187810 ns R r2 00000001 - 3187810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3187830 ns R r2 80000000 - 3187830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3187850 ns R psr 81000200 - 3187850 ns MR4_I 00000238 48054770 - 3187870 ns MR4_I 00000230 07d2684a - 3187890 ns IT 00000230 684a LDR r2,[r1,#4] - 3187910 ns MR4_I 00000234 6008d1fc - 3187970 ns MR4_D 40006004 00000001 - 3187970 ns R r2 00000001 - 3187970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3187990 ns R r2 80000000 - 3187990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3188010 ns R psr 81000200 - 3188010 ns MR4_I 00000238 48054770 - 3188030 ns MR4_I 00000230 07d2684a - 3188050 ns IT 00000230 684a LDR r2,[r1,#4] - 3188070 ns MR4_I 00000234 6008d1fc - 3188130 ns MR4_D 40006004 00000001 - 3188130 ns R r2 00000001 - 3188130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3188150 ns R r2 80000000 - 3188150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3188170 ns R psr 81000200 - 3188170 ns MR4_I 00000238 48054770 - 3188190 ns MR4_I 00000230 07d2684a - 3188210 ns IT 00000230 684a LDR r2,[r1,#4] - 3188230 ns MR4_I 00000234 6008d1fc - 3188290 ns MR4_D 40006004 00000001 - 3188290 ns R r2 00000001 - 3188290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3188310 ns R r2 80000000 - 3188310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3188330 ns R psr 81000200 - 3188330 ns MR4_I 00000238 48054770 - 3188350 ns MR4_I 00000230 07d2684a - 3188370 ns IT 00000230 684a LDR r2,[r1,#4] - 3188390 ns MR4_I 00000234 6008d1fc - 3188450 ns MR4_D 40006004 00000001 - 3188450 ns R r2 00000001 - 3188450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3188470 ns R r2 80000000 - 3188470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3188490 ns R psr 81000200 - 3188490 ns MR4_I 00000238 48054770 - 3188510 ns MR4_I 00000230 07d2684a - 3188530 ns IT 00000230 684a LDR r2,[r1,#4] - 3188550 ns MR4_I 00000234 6008d1fc - 3188610 ns MR4_D 40006004 00000001 - 3188610 ns R r2 00000001 - 3188610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3188630 ns R r2 80000000 - 3188630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3188650 ns R psr 81000200 - 3188650 ns MR4_I 00000238 48054770 - 3188670 ns MR4_I 00000230 07d2684a - 3188690 ns IT 00000230 684a LDR r2,[r1,#4] - 3188710 ns MR4_I 00000234 6008d1fc - 3188770 ns MR4_D 40006004 00000001 - 3188770 ns R r2 00000001 - 3188770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3188790 ns R r2 80000000 - 3188790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3188810 ns R psr 81000200 - 3188810 ns MR4_I 00000238 48054770 - 3188830 ns MR4_I 00000230 07d2684a - 3188850 ns IT 00000230 684a LDR r2,[r1,#4] - 3188870 ns MR4_I 00000234 6008d1fc - 3188930 ns MR4_D 40006004 00000001 - 3188930 ns R r2 00000001 - 3188930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3188950 ns R r2 80000000 - 3188950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3188970 ns R psr 81000200 - 3188970 ns MR4_I 00000238 48054770 - 3188990 ns MR4_I 00000230 07d2684a - 3189010 ns IT 00000230 684a LDR r2,[r1,#4] - 3189030 ns MR4_I 00000234 6008d1fc - 3189090 ns MR4_D 40006004 00000001 - 3189090 ns R r2 00000001 - 3189090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3189110 ns R r2 80000000 - 3189110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3189130 ns R psr 81000200 - 3189130 ns MR4_I 00000238 48054770 - 3189150 ns MR4_I 00000230 07d2684a - 3189170 ns IT 00000230 684a LDR r2,[r1,#4] - 3189190 ns MR4_I 00000234 6008d1fc - 3189250 ns MR4_D 40006004 00000001 - 3189250 ns R r2 00000001 - 3189250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3189270 ns R r2 80000000 - 3189270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3189290 ns R psr 81000200 - 3189290 ns MR4_I 00000238 48054770 - 3189310 ns MR4_I 00000230 07d2684a - 3189330 ns IT 00000230 684a LDR r2,[r1,#4] - 3189350 ns MR4_I 00000234 6008d1fc - 3189410 ns MR4_D 40006004 00000001 - 3189410 ns R r2 00000001 - 3189410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3189430 ns R r2 80000000 - 3189430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3189450 ns R psr 81000200 - 3189450 ns MR4_I 00000238 48054770 - 3189470 ns MR4_I 00000230 07d2684a - 3189490 ns IT 00000230 684a LDR r2,[r1,#4] - 3189510 ns MR4_I 00000234 6008d1fc - 3189570 ns MR4_D 40006004 00000001 - 3189570 ns R r2 00000001 - 3189570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3189590 ns R r2 80000000 - 3189590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3189610 ns R psr 81000200 - 3189610 ns MR4_I 00000238 48054770 - 3189630 ns MR4_I 00000230 07d2684a - 3189650 ns IT 00000230 684a LDR r2,[r1,#4] - 3189670 ns MR4_I 00000234 6008d1fc - 3189730 ns MR4_D 40006004 00000001 - 3189730 ns R r2 00000001 - 3189730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3189750 ns R r2 80000000 - 3189750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3189770 ns R psr 81000200 - 3189770 ns MR4_I 00000238 48054770 - 3189790 ns MR4_I 00000230 07d2684a - 3189810 ns IT 00000230 684a LDR r2,[r1,#4] - 3189830 ns MR4_I 00000234 6008d1fc - 3189890 ns MR4_D 40006004 00000001 - 3189890 ns R r2 00000001 - 3189890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3189910 ns R r2 80000000 - 3189910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3189930 ns R psr 81000200 - 3189930 ns MR4_I 00000238 48054770 - 3189950 ns MR4_I 00000230 07d2684a - 3189970 ns IT 00000230 684a LDR r2,[r1,#4] - 3189990 ns MR4_I 00000234 6008d1fc - 3190050 ns MR4_D 40006004 00000001 - 3190050 ns R r2 00000001 - 3190050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3190070 ns R r2 80000000 - 3190070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3190090 ns R psr 81000200 - 3190090 ns MR4_I 00000238 48054770 - 3190110 ns MR4_I 00000230 07d2684a - 3190130 ns IT 00000230 684a LDR r2,[r1,#4] - 3190150 ns MR4_I 00000234 6008d1fc - 3190210 ns MR4_D 40006004 00000001 - 3190210 ns R r2 00000001 - 3190210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3190230 ns R r2 80000000 - 3190230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3190250 ns R psr 81000200 - 3190250 ns MR4_I 00000238 48054770 - 3190270 ns MR4_I 00000230 07d2684a - 3190290 ns IT 00000230 684a LDR r2,[r1,#4] - 3190310 ns MR4_I 00000234 6008d1fc - 3190370 ns MR4_D 40006004 00000001 - 3190370 ns R r2 00000001 - 3190370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3190390 ns R r2 80000000 - 3190390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3190410 ns R psr 81000200 - 3190410 ns MR4_I 00000238 48054770 - 3190430 ns MR4_I 00000230 07d2684a - 3190450 ns IT 00000230 684a LDR r2,[r1,#4] - 3190470 ns MR4_I 00000234 6008d1fc - 3190530 ns MR4_D 40006004 00000001 - 3190530 ns R r2 00000001 - 3190530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3190550 ns R r2 80000000 - 3190550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3190570 ns R psr 81000200 - 3190570 ns MR4_I 00000238 48054770 - 3190590 ns MR4_I 00000230 07d2684a - 3190610 ns IT 00000230 684a LDR r2,[r1,#4] - 3190630 ns MR4_I 00000234 6008d1fc - 3190690 ns MR4_D 40006004 00000001 - 3190690 ns R r2 00000001 - 3190690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3190710 ns R r2 80000000 - 3190710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3190730 ns R psr 81000200 - 3190730 ns MR4_I 00000238 48054770 - 3190750 ns MR4_I 00000230 07d2684a - 3190770 ns IT 00000230 684a LDR r2,[r1,#4] - 3190790 ns MR4_I 00000234 6008d1fc - 3190850 ns MR4_D 40006004 00000001 - 3190850 ns R r2 00000001 - 3190850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3190870 ns R r2 80000000 - 3190870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3190890 ns R psr 81000200 - 3190890 ns MR4_I 00000238 48054770 - 3190910 ns MR4_I 00000230 07d2684a - 3190930 ns IT 00000230 684a LDR r2,[r1,#4] - 3190950 ns MR4_I 00000234 6008d1fc - 3191010 ns MR4_D 40006004 00000001 - 3191010 ns R r2 00000001 - 3191010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3191030 ns R r2 80000000 - 3191030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3191050 ns R psr 81000200 - 3191050 ns MR4_I 00000238 48054770 - 3191070 ns MR4_I 00000230 07d2684a - 3191090 ns IT 00000230 684a LDR r2,[r1,#4] - 3191110 ns MR4_I 00000234 6008d1fc - 3191170 ns MR4_D 40006004 00000001 - 3191170 ns R r2 00000001 - 3191170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3191190 ns R r2 80000000 - 3191190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3191210 ns R psr 81000200 - 3191210 ns MR4_I 00000238 48054770 - 3191230 ns MR4_I 00000230 07d2684a - 3191250 ns IT 00000230 684a LDR r2,[r1,#4] - 3191270 ns MR4_I 00000234 6008d1fc - 3191330 ns MR4_D 40006004 00000001 - 3191330 ns R r2 00000001 - 3191330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3191350 ns R r2 80000000 - 3191350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3191370 ns R psr 81000200 - 3191370 ns MR4_I 00000238 48054770 - 3191390 ns MR4_I 00000230 07d2684a - 3191410 ns IT 00000230 684a LDR r2,[r1,#4] - 3191430 ns MR4_I 00000234 6008d1fc - 3191490 ns MR4_D 40006004 00000001 - 3191490 ns R r2 00000001 - 3191490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3191510 ns R r2 80000000 - 3191510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3191530 ns R psr 81000200 - 3191530 ns MR4_I 00000238 48054770 - 3191550 ns MR4_I 00000230 07d2684a - 3191570 ns IT 00000230 684a LDR r2,[r1,#4] - 3191590 ns MR4_I 00000234 6008d1fc - 3191650 ns MR4_D 40006004 00000001 - 3191650 ns R r2 00000001 - 3191650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3191670 ns R r2 80000000 - 3191670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3191690 ns R psr 81000200 - 3191690 ns MR4_I 00000238 48054770 - 3191710 ns MR4_I 00000230 07d2684a - 3191730 ns IT 00000230 684a LDR r2,[r1,#4] - 3191750 ns MR4_I 00000234 6008d1fc - 3191810 ns MR4_D 40006004 00000001 - 3191810 ns R r2 00000001 - 3191810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3191830 ns R r2 80000000 - 3191830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3191850 ns R psr 81000200 - 3191850 ns MR4_I 00000238 48054770 - 3191870 ns MR4_I 00000230 07d2684a - 3191890 ns IT 00000230 684a LDR r2,[r1,#4] - 3191910 ns MR4_I 00000234 6008d1fc - 3191970 ns MR4_D 40006004 00000001 - 3191970 ns R r2 00000001 - 3191970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3191990 ns R r2 80000000 - 3191990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3192010 ns R psr 81000200 - 3192010 ns MR4_I 00000238 48054770 - 3192030 ns MR4_I 00000230 07d2684a - 3192050 ns IT 00000230 684a LDR r2,[r1,#4] - 3192070 ns MR4_I 00000234 6008d1fc - 3192130 ns MR4_D 40006004 00000001 - 3192130 ns R r2 00000001 - 3192130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3192150 ns R r2 80000000 - 3192150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3192170 ns R psr 81000200 - 3192170 ns MR4_I 00000238 48054770 - 3192190 ns MR4_I 00000230 07d2684a - 3192210 ns IT 00000230 684a LDR r2,[r1,#4] - 3192230 ns MR4_I 00000234 6008d1fc - 3192290 ns MR4_D 40006004 00000001 - 3192290 ns R r2 00000001 - 3192290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3192310 ns R r2 80000000 - 3192310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3192330 ns R psr 81000200 - 3192330 ns MR4_I 00000238 48054770 - 3192350 ns MR4_I 00000230 07d2684a - 3192370 ns IT 00000230 684a LDR r2,[r1,#4] - 3192390 ns MR4_I 00000234 6008d1fc - 3192450 ns MR4_D 40006004 00000001 - 3192450 ns R r2 00000001 - 3192450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3192470 ns R r2 80000000 - 3192470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3192490 ns R psr 81000200 - 3192490 ns MR4_I 00000238 48054770 - 3192510 ns MR4_I 00000230 07d2684a - 3192530 ns IT 00000230 684a LDR r2,[r1,#4] - 3192550 ns MR4_I 00000234 6008d1fc - 3192610 ns MR4_D 40006004 00000001 - 3192610 ns R r2 00000001 - 3192610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3192630 ns R r2 80000000 - 3192630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3192650 ns R psr 81000200 - 3192650 ns MR4_I 00000238 48054770 - 3192670 ns MR4_I 00000230 07d2684a - 3192690 ns IT 00000230 684a LDR r2,[r1,#4] - 3192710 ns MR4_I 00000234 6008d1fc - 3192770 ns MR4_D 40006004 00000001 - 3192770 ns R r2 00000001 - 3192770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3192790 ns R r2 80000000 - 3192790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3192810 ns R psr 81000200 - 3192810 ns MR4_I 00000238 48054770 - 3192830 ns MR4_I 00000230 07d2684a - 3192850 ns IT 00000230 684a LDR r2,[r1,#4] - 3192870 ns MR4_I 00000234 6008d1fc - 3192930 ns MR4_D 40006004 00000001 - 3192930 ns R r2 00000001 - 3192930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3192950 ns R r2 80000000 - 3192950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3192970 ns R psr 81000200 - 3192970 ns MR4_I 00000238 48054770 - 3192990 ns MR4_I 00000230 07d2684a - 3193010 ns IT 00000230 684a LDR r2,[r1,#4] - 3193030 ns MR4_I 00000234 6008d1fc - 3193090 ns MR4_D 40006004 00000001 - 3193090 ns R r2 00000001 - 3193090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3193110 ns R r2 80000000 - 3193110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3193130 ns R psr 81000200 - 3193130 ns MR4_I 00000238 48054770 - 3193150 ns MR4_I 00000230 07d2684a - 3193170 ns IT 00000230 684a LDR r2,[r1,#4] - 3193190 ns MR4_I 00000234 6008d1fc - 3193250 ns MR4_D 40006004 00000001 - 3193250 ns R r2 00000001 - 3193250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3193270 ns R r2 80000000 - 3193270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3193290 ns R psr 81000200 - 3193290 ns MR4_I 00000238 48054770 - 3193310 ns MR4_I 00000230 07d2684a - 3193330 ns IT 00000230 684a LDR r2,[r1,#4] - 3193350 ns MR4_I 00000234 6008d1fc - 3193410 ns MR4_D 40006004 00000001 - 3193410 ns R r2 00000001 - 3193410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3193430 ns R r2 80000000 - 3193430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3193450 ns R psr 81000200 - 3193450 ns MR4_I 00000238 48054770 - 3193470 ns MR4_I 00000230 07d2684a - 3193490 ns IT 00000230 684a LDR r2,[r1,#4] - 3193510 ns MR4_I 00000234 6008d1fc - 3193570 ns MR4_D 40006004 00000001 - 3193570 ns R r2 00000001 - 3193570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3193590 ns R r2 80000000 - 3193590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3193610 ns R psr 81000200 - 3193610 ns MR4_I 00000238 48054770 - 3193630 ns MR4_I 00000230 07d2684a - 3193650 ns IT 00000230 684a LDR r2,[r1,#4] - 3193670 ns MR4_I 00000234 6008d1fc - 3193730 ns MR4_D 40006004 00000001 - 3193730 ns R r2 00000001 - 3193730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3193750 ns R r2 80000000 - 3193750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3193770 ns R psr 81000200 - 3193770 ns MR4_I 00000238 48054770 - 3193790 ns MR4_I 00000230 07d2684a - 3193810 ns IT 00000230 684a LDR r2,[r1,#4] - 3193830 ns MR4_I 00000234 6008d1fc - 3193890 ns MR4_D 40006004 00000001 - 3193890 ns R r2 00000001 - 3193890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3193910 ns R r2 80000000 - 3193910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3193930 ns R psr 81000200 - 3193930 ns MR4_I 00000238 48054770 - 3193950 ns MR4_I 00000230 07d2684a - 3193970 ns IT 00000230 684a LDR r2,[r1,#4] - 3193990 ns MR4_I 00000234 6008d1fc - 3194050 ns MR4_D 40006004 00000001 - 3194050 ns R r2 00000001 - 3194050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3194070 ns R r2 80000000 - 3194070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3194090 ns R psr 81000200 - 3194090 ns MR4_I 00000238 48054770 - 3194110 ns MR4_I 00000230 07d2684a - 3194130 ns IT 00000230 684a LDR r2,[r1,#4] - 3194150 ns MR4_I 00000234 6008d1fc - 3194210 ns MR4_D 40006004 00000001 - 3194210 ns R r2 00000001 - 3194210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3194230 ns R r2 80000000 - 3194230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3194250 ns R psr 81000200 - 3194250 ns MR4_I 00000238 48054770 - 3194270 ns MR4_I 00000230 07d2684a - 3194290 ns IT 00000230 684a LDR r2,[r1,#4] - 3194310 ns MR4_I 00000234 6008d1fc - 3194370 ns MR4_D 40006004 00000001 - 3194370 ns R r2 00000001 - 3194370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3194390 ns R r2 80000000 - 3194390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3194410 ns R psr 81000200 - 3194410 ns MR4_I 00000238 48054770 - 3194430 ns MR4_I 00000230 07d2684a - 3194450 ns IT 00000230 684a LDR r2,[r1,#4] - 3194470 ns MR4_I 00000234 6008d1fc - 3194530 ns MR4_D 40006004 00000001 - 3194530 ns R r2 00000001 - 3194530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3194550 ns R r2 80000000 - 3194550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3194570 ns R psr 81000200 - 3194570 ns MR4_I 00000238 48054770 - 3194590 ns MR4_I 00000230 07d2684a - 3194610 ns IT 00000230 684a LDR r2,[r1,#4] - 3194630 ns MR4_I 00000234 6008d1fc - 3194690 ns MR4_D 40006004 00000001 - 3194690 ns R r2 00000001 - 3194690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3194710 ns R r2 80000000 - 3194710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3194730 ns R psr 81000200 - 3194730 ns MR4_I 00000238 48054770 - 3194750 ns MR4_I 00000230 07d2684a - 3194770 ns IT 00000230 684a LDR r2,[r1,#4] - 3194790 ns MR4_I 00000234 6008d1fc - 3194850 ns MR4_D 40006004 00000001 - 3194850 ns R r2 00000001 - 3194850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3194870 ns R r2 80000000 - 3194870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3194890 ns R psr 81000200 - 3194890 ns MR4_I 00000238 48054770 - 3194910 ns MR4_I 00000230 07d2684a - 3194930 ns IT 00000230 684a LDR r2,[r1,#4] - 3194950 ns MR4_I 00000234 6008d1fc - 3195010 ns MR4_D 40006004 00000001 - 3195010 ns R r2 00000001 - 3195010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3195030 ns R r2 80000000 - 3195030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3195050 ns R psr 81000200 - 3195050 ns MR4_I 00000238 48054770 - 3195070 ns MR4_I 00000230 07d2684a - 3195090 ns IT 00000230 684a LDR r2,[r1,#4] - 3195110 ns MR4_I 00000234 6008d1fc - 3195170 ns MR4_D 40006004 00000001 - 3195170 ns R r2 00000001 - 3195170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3195190 ns R r2 80000000 - 3195190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3195210 ns R psr 81000200 - 3195210 ns MR4_I 00000238 48054770 - 3195230 ns MR4_I 00000230 07d2684a - 3195250 ns IT 00000230 684a LDR r2,[r1,#4] - 3195270 ns MR4_I 00000234 6008d1fc - 3195330 ns MR4_D 40006004 00000001 - 3195330 ns R r2 00000001 - 3195330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3195350 ns R r2 80000000 - 3195350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3195370 ns R psr 81000200 - 3195370 ns MR4_I 00000238 48054770 - 3195390 ns MR4_I 00000230 07d2684a - 3195410 ns IT 00000230 684a LDR r2,[r1,#4] - 3195430 ns MR4_I 00000234 6008d1fc - 3195490 ns MR4_D 40006004 00000001 - 3195490 ns R r2 00000001 - 3195490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3195510 ns R r2 80000000 - 3195510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3195530 ns R psr 81000200 - 3195530 ns MR4_I 00000238 48054770 - 3195550 ns MR4_I 00000230 07d2684a - 3195570 ns IT 00000230 684a LDR r2,[r1,#4] - 3195590 ns MR4_I 00000234 6008d1fc - 3195650 ns MR4_D 40006004 00000001 - 3195650 ns R r2 00000001 - 3195650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3195670 ns R r2 80000000 - 3195670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3195690 ns R psr 81000200 - 3195690 ns MR4_I 00000238 48054770 - 3195710 ns MR4_I 00000230 07d2684a - 3195730 ns IT 00000230 684a LDR r2,[r1,#4] - 3195750 ns MR4_I 00000234 6008d1fc - 3195810 ns MR4_D 40006004 00000001 - 3195810 ns R r2 00000001 - 3195810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3195830 ns R r2 80000000 - 3195830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3195850 ns R psr 81000200 - 3195850 ns MR4_I 00000238 48054770 - 3195870 ns MR4_I 00000230 07d2684a - 3195890 ns IT 00000230 684a LDR r2,[r1,#4] - 3195910 ns MR4_I 00000234 6008d1fc - 3195970 ns MR4_D 40006004 00000001 - 3195970 ns R r2 00000001 - 3195970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3195990 ns R r2 80000000 - 3195990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3196010 ns R psr 81000200 - 3196010 ns MR4_I 00000238 48054770 - 3196030 ns MR4_I 00000230 07d2684a - 3196050 ns IT 00000230 684a LDR r2,[r1,#4] - 3196070 ns MR4_I 00000234 6008d1fc - 3196130 ns MR4_D 40006004 00000001 - 3196130 ns R r2 00000001 - 3196130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3196150 ns R r2 80000000 - 3196150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3196170 ns R psr 81000200 - 3196170 ns MR4_I 00000238 48054770 - 3196190 ns MR4_I 00000230 07d2684a - 3196210 ns IT 00000230 684a LDR r2,[r1,#4] - 3196230 ns MR4_I 00000234 6008d1fc - 3196290 ns MR4_D 40006004 00000001 - 3196290 ns R r2 00000001 - 3196290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3196310 ns R r2 80000000 - 3196310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3196330 ns R psr 81000200 - 3196330 ns MR4_I 00000238 48054770 - 3196350 ns MR4_I 00000230 07d2684a - 3196370 ns IT 00000230 684a LDR r2,[r1,#4] - 3196390 ns MR4_I 00000234 6008d1fc - 3196450 ns MR4_D 40006004 00000001 - 3196450 ns R r2 00000001 - 3196450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3196470 ns R r2 80000000 - 3196470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3196490 ns R psr 81000200 - 3196490 ns MR4_I 00000238 48054770 - 3196510 ns MR4_I 00000230 07d2684a - 3196530 ns IT 00000230 684a LDR r2,[r1,#4] - 3196550 ns MR4_I 00000234 6008d1fc - 3196610 ns MR4_D 40006004 00000001 - 3196610 ns R r2 00000001 - 3196610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3196630 ns R r2 80000000 - 3196630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3196650 ns R psr 81000200 - 3196650 ns MR4_I 00000238 48054770 - 3196670 ns MR4_I 00000230 07d2684a - 3196690 ns IT 00000230 684a LDR r2,[r1,#4] - 3196710 ns MR4_I 00000234 6008d1fc - 3196770 ns MR4_D 40006004 00000001 - 3196770 ns R r2 00000001 - 3196770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3196790 ns R r2 80000000 - 3196790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3196810 ns R psr 81000200 - 3196810 ns MR4_I 00000238 48054770 - 3196830 ns MR4_I 00000230 07d2684a - 3196850 ns IT 00000230 684a LDR r2,[r1,#4] - 3196870 ns MR4_I 00000234 6008d1fc - 3196930 ns MR4_D 40006004 00000001 - 3196930 ns R r2 00000001 - 3196930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3196950 ns R r2 80000000 - 3196950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3196970 ns R psr 81000200 - 3196970 ns MR4_I 00000238 48054770 - 3196990 ns MR4_I 00000230 07d2684a - 3197010 ns IT 00000230 684a LDR r2,[r1,#4] - 3197030 ns MR4_I 00000234 6008d1fc - 3197090 ns MR4_D 40006004 00000001 - 3197090 ns R r2 00000001 - 3197090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3197110 ns R r2 80000000 - 3197110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3197130 ns R psr 81000200 - 3197130 ns MR4_I 00000238 48054770 - 3197150 ns MR4_I 00000230 07d2684a - 3197170 ns IT 00000230 684a LDR r2,[r1,#4] - 3197190 ns MR4_I 00000234 6008d1fc - 3197250 ns MR4_D 40006004 00000001 - 3197250 ns R r2 00000001 - 3197250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3197270 ns R r2 80000000 - 3197270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3197290 ns R psr 81000200 - 3197290 ns MR4_I 00000238 48054770 - 3197310 ns MR4_I 00000230 07d2684a - 3197330 ns IT 00000230 684a LDR r2,[r1,#4] - 3197350 ns MR4_I 00000234 6008d1fc - 3197410 ns MR4_D 40006004 00000001 - 3197410 ns R r2 00000001 - 3197410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3197430 ns R r2 80000000 - 3197430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3197450 ns R psr 81000200 - 3197450 ns MR4_I 00000238 48054770 - 3197470 ns MR4_I 00000230 07d2684a - 3197490 ns IT 00000230 684a LDR r2,[r1,#4] - 3197510 ns MR4_I 00000234 6008d1fc - 3197570 ns MR4_D 40006004 00000001 - 3197570 ns R r2 00000001 - 3197570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3197590 ns R r2 80000000 - 3197590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3197610 ns R psr 81000200 - 3197610 ns MR4_I 00000238 48054770 - 3197630 ns MR4_I 00000230 07d2684a - 3197650 ns IT 00000230 684a LDR r2,[r1,#4] - 3197670 ns MR4_I 00000234 6008d1fc - 3197730 ns MR4_D 40006004 00000001 - 3197730 ns R r2 00000001 - 3197730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3197750 ns R r2 80000000 - 3197750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3197770 ns R psr 81000200 - 3197770 ns MR4_I 00000238 48054770 - 3197790 ns MR4_I 00000230 07d2684a - 3197810 ns IT 00000230 684a LDR r2,[r1,#4] - 3197830 ns MR4_I 00000234 6008d1fc - 3197890 ns MR4_D 40006004 00000001 - 3197890 ns R r2 00000001 - 3197890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3197910 ns R r2 80000000 - 3197910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3197930 ns R psr 81000200 - 3197930 ns MR4_I 00000238 48054770 - 3197950 ns MR4_I 00000230 07d2684a - 3197970 ns IT 00000230 684a LDR r2,[r1,#4] - 3197990 ns MR4_I 00000234 6008d1fc - 3198050 ns MR4_D 40006004 00000001 - 3198050 ns R r2 00000001 - 3198050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3198070 ns R r2 80000000 - 3198070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3198090 ns R psr 81000200 - 3198090 ns MR4_I 00000238 48054770 - 3198110 ns MR4_I 00000230 07d2684a - 3198130 ns IT 00000230 684a LDR r2,[r1,#4] - 3198150 ns MR4_I 00000234 6008d1fc - 3198210 ns MR4_D 40006004 00000001 - 3198210 ns R r2 00000001 - 3198210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3198230 ns R r2 80000000 - 3198230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3198250 ns R psr 81000200 - 3198250 ns MR4_I 00000238 48054770 - 3198270 ns MR4_I 00000230 07d2684a - 3198290 ns IT 00000230 684a LDR r2,[r1,#4] - 3198310 ns MR4_I 00000234 6008d1fc - 3198370 ns MR4_D 40006004 00000001 - 3198370 ns R r2 00000001 - 3198370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3198390 ns R r2 80000000 - 3198390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3198410 ns R psr 81000200 - 3198410 ns MR4_I 00000238 48054770 - 3198430 ns MR4_I 00000230 07d2684a - 3198450 ns IT 00000230 684a LDR r2,[r1,#4] - 3198470 ns MR4_I 00000234 6008d1fc - 3198530 ns MR4_D 40006004 00000001 - 3198530 ns R r2 00000001 - 3198530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3198550 ns R r2 80000000 - 3198550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3198570 ns R psr 81000200 - 3198570 ns MR4_I 00000238 48054770 - 3198590 ns MR4_I 00000230 07d2684a - 3198610 ns IT 00000230 684a LDR r2,[r1,#4] - 3198630 ns MR4_I 00000234 6008d1fc - 3198690 ns MR4_D 40006004 00000001 - 3198690 ns R r2 00000001 - 3198690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3198710 ns R r2 80000000 - 3198710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3198730 ns R psr 81000200 - 3198730 ns MR4_I 00000238 48054770 - 3198750 ns MR4_I 00000230 07d2684a - 3198770 ns IT 00000230 684a LDR r2,[r1,#4] - 3198790 ns MR4_I 00000234 6008d1fc - 3198850 ns MR4_D 40006004 00000001 - 3198850 ns R r2 00000001 - 3198850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3198870 ns R r2 80000000 - 3198870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3198890 ns R psr 81000200 - 3198890 ns MR4_I 00000238 48054770 - 3198910 ns MR4_I 00000230 07d2684a - 3198930 ns IT 00000230 684a LDR r2,[r1,#4] - 3198950 ns MR4_I 00000234 6008d1fc - 3199010 ns MR4_D 40006004 00000001 - 3199010 ns R r2 00000001 - 3199010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3199030 ns R r2 80000000 - 3199030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3199050 ns R psr 81000200 - 3199050 ns MR4_I 00000238 48054770 - 3199070 ns MR4_I 00000230 07d2684a - 3199090 ns IT 00000230 684a LDR r2,[r1,#4] - 3199110 ns MR4_I 00000234 6008d1fc - 3199170 ns MR4_D 40006004 00000001 - 3199170 ns R r2 00000001 - 3199170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3199190 ns R r2 80000000 - 3199190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3199210 ns R psr 81000200 - 3199210 ns MR4_I 00000238 48054770 - 3199230 ns MR4_I 00000230 07d2684a - 3199250 ns IT 00000230 684a LDR r2,[r1,#4] - 3199270 ns MR4_I 00000234 6008d1fc - 3199330 ns MR4_D 40006004 00000001 - 3199330 ns R r2 00000001 - 3199330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3199350 ns R r2 80000000 - 3199350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3199370 ns R psr 81000200 - 3199370 ns MR4_I 00000238 48054770 - 3199390 ns MR4_I 00000230 07d2684a - 3199410 ns IT 00000230 684a LDR r2,[r1,#4] - 3199430 ns MR4_I 00000234 6008d1fc - 3199490 ns MR4_D 40006004 00000001 - 3199490 ns R r2 00000001 - 3199490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3199510 ns R r2 80000000 - 3199510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3199530 ns R psr 81000200 - 3199530 ns MR4_I 00000238 48054770 - 3199550 ns MR4_I 00000230 07d2684a - 3199570 ns IT 00000230 684a LDR r2,[r1,#4] - 3199590 ns MR4_I 00000234 6008d1fc - 3199650 ns MR4_D 40006004 00000001 - 3199650 ns R r2 00000001 - 3199650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3199670 ns R r2 80000000 - 3199670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3199690 ns R psr 81000200 - 3199690 ns MR4_I 00000238 48054770 - 3199710 ns MR4_I 00000230 07d2684a - 3199730 ns IT 00000230 684a LDR r2,[r1,#4] - 3199750 ns MR4_I 00000234 6008d1fc - 3199810 ns MR4_D 40006004 00000001 - 3199810 ns R r2 00000001 - 3199810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3199830 ns R r2 80000000 - 3199830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3199850 ns R psr 81000200 - 3199850 ns MR4_I 00000238 48054770 - 3199870 ns MR4_I 00000230 07d2684a - 3199890 ns IT 00000230 684a LDR r2,[r1,#4] - 3199910 ns MR4_I 00000234 6008d1fc - 3199970 ns MR4_D 40006004 00000001 - 3199970 ns R r2 00000001 - 3199970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3199990 ns R r2 80000000 - 3199990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3200010 ns R psr 81000200 - 3200010 ns MR4_I 00000238 48054770 - 3200030 ns MR4_I 00000230 07d2684a - 3200050 ns IT 00000230 684a LDR r2,[r1,#4] - 3200070 ns MR4_I 00000234 6008d1fc - 3200130 ns MR4_D 40006004 00000001 - 3200130 ns R r2 00000001 - 3200130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3200150 ns R r2 80000000 - 3200150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3200170 ns R psr 81000200 - 3200170 ns MR4_I 00000238 48054770 - 3200190 ns MR4_I 00000230 07d2684a - 3200210 ns IT 00000230 684a LDR r2,[r1,#4] - 3200230 ns MR4_I 00000234 6008d1fc - 3200290 ns MR4_D 40006004 00000001 - 3200290 ns R r2 00000001 - 3200290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3200310 ns R r2 80000000 - 3200310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3200330 ns R psr 81000200 - 3200330 ns MR4_I 00000238 48054770 - 3200350 ns MR4_I 00000230 07d2684a - 3200370 ns IT 00000230 684a LDR r2,[r1,#4] - 3200390 ns MR4_I 00000234 6008d1fc - 3200450 ns MR4_D 40006004 00000001 - 3200450 ns R r2 00000001 - 3200450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3200470 ns R r2 80000000 - 3200470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3200490 ns R psr 81000200 - 3200490 ns MR4_I 00000238 48054770 - 3200510 ns MR4_I 00000230 07d2684a - 3200530 ns IT 00000230 684a LDR r2,[r1,#4] - 3200550 ns MR4_I 00000234 6008d1fc - 3200610 ns MR4_D 40006004 00000001 - 3200610 ns R r2 00000001 - 3200610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3200630 ns R r2 80000000 - 3200630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3200650 ns R psr 81000200 - 3200650 ns MR4_I 00000238 48054770 - 3200670 ns MR4_I 00000230 07d2684a - 3200690 ns IT 00000230 684a LDR r2,[r1,#4] - 3200710 ns MR4_I 00000234 6008d1fc - 3200770 ns MR4_D 40006004 00000001 - 3200770 ns R r2 00000001 - 3200770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3200790 ns R r2 80000000 - 3200790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3200810 ns R psr 81000200 - 3200810 ns MR4_I 00000238 48054770 - 3200830 ns MR4_I 00000230 07d2684a - 3200850 ns IT 00000230 684a LDR r2,[r1,#4] - 3200870 ns MR4_I 00000234 6008d1fc - 3200930 ns MR4_D 40006004 00000001 - 3200930 ns R r2 00000001 - 3200930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3200950 ns R r2 80000000 - 3200950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3200970 ns R psr 81000200 - 3200970 ns MR4_I 00000238 48054770 - 3200990 ns MR4_I 00000230 07d2684a - 3201010 ns IT 00000230 684a LDR r2,[r1,#4] - 3201030 ns MR4_I 00000234 6008d1fc - 3201090 ns MR4_D 40006004 00000001 - 3201090 ns R r2 00000001 - 3201090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3201110 ns R r2 80000000 - 3201110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3201130 ns R psr 81000200 - 3201130 ns MR4_I 00000238 48054770 - 3201150 ns MR4_I 00000230 07d2684a - 3201170 ns IT 00000230 684a LDR r2,[r1,#4] - 3201190 ns MR4_I 00000234 6008d1fc - 3201250 ns MR4_D 40006004 00000001 - 3201250 ns R r2 00000001 - 3201250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3201270 ns R r2 80000000 - 3201270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3201290 ns R psr 81000200 - 3201290 ns MR4_I 00000238 48054770 - 3201310 ns MR4_I 00000230 07d2684a - 3201330 ns IT 00000230 684a LDR r2,[r1,#4] - 3201350 ns MR4_I 00000234 6008d1fc - 3201410 ns MR4_D 40006004 00000001 - 3201410 ns R r2 00000001 - 3201410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3201430 ns R r2 80000000 - 3201430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3201450 ns R psr 81000200 - 3201450 ns MR4_I 00000238 48054770 - 3201470 ns MR4_I 00000230 07d2684a - 3201490 ns IT 00000230 684a LDR r2,[r1,#4] - 3201510 ns MR4_I 00000234 6008d1fc - 3201570 ns MR4_D 40006004 00000001 - 3201570 ns R r2 00000001 - 3201570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3201590 ns R r2 80000000 - 3201590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3201610 ns R psr 81000200 - 3201610 ns MR4_I 00000238 48054770 - 3201630 ns MR4_I 00000230 07d2684a - 3201650 ns IT 00000230 684a LDR r2,[r1,#4] - 3201670 ns MR4_I 00000234 6008d1fc - 3201730 ns MR4_D 40006004 00000001 - 3201730 ns R r2 00000001 - 3201730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3201750 ns R r2 80000000 - 3201750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3201770 ns R psr 81000200 - 3201770 ns MR4_I 00000238 48054770 - 3201790 ns MR4_I 00000230 07d2684a - 3201810 ns IT 00000230 684a LDR r2,[r1,#4] - 3201830 ns MR4_I 00000234 6008d1fc - 3201890 ns MR4_D 40006004 00000001 - 3201890 ns R r2 00000001 - 3201890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3201910 ns R r2 80000000 - 3201910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3201930 ns R psr 81000200 - 3201930 ns MR4_I 00000238 48054770 - 3201950 ns MR4_I 00000230 07d2684a - 3201970 ns IT 00000230 684a LDR r2,[r1,#4] - 3201990 ns MR4_I 00000234 6008d1fc - 3202050 ns MR4_D 40006004 00000001 - 3202050 ns R r2 00000001 - 3202050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3202070 ns R r2 80000000 - 3202070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3202090 ns R psr 81000200 - 3202090 ns MR4_I 00000238 48054770 - 3202110 ns MR4_I 00000230 07d2684a - 3202130 ns IT 00000230 684a LDR r2,[r1,#4] - 3202150 ns MR4_I 00000234 6008d1fc - 3202210 ns MR4_D 40006004 00000001 - 3202210 ns R r2 00000001 - 3202210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3202230 ns R r2 80000000 - 3202230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3202250 ns R psr 81000200 - 3202250 ns MR4_I 00000238 48054770 - 3202270 ns MR4_I 00000230 07d2684a - 3202290 ns IT 00000230 684a LDR r2,[r1,#4] - 3202310 ns MR4_I 00000234 6008d1fc - 3202370 ns MR4_D 40006004 00000001 - 3202370 ns R r2 00000001 - 3202370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3202390 ns R r2 80000000 - 3202390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3202410 ns R psr 81000200 - 3202410 ns MR4_I 00000238 48054770 - 3202430 ns MR4_I 00000230 07d2684a - 3202450 ns IT 00000230 684a LDR r2,[r1,#4] - 3202470 ns MR4_I 00000234 6008d1fc - 3202530 ns MR4_D 40006004 00000001 - 3202530 ns R r2 00000001 - 3202530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3202550 ns R r2 80000000 - 3202550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3202570 ns R psr 81000200 - 3202570 ns MR4_I 00000238 48054770 - 3202590 ns MR4_I 00000230 07d2684a - 3202610 ns IT 00000230 684a LDR r2,[r1,#4] - 3202630 ns MR4_I 00000234 6008d1fc - 3202690 ns MR4_D 40006004 00000001 - 3202690 ns R r2 00000001 - 3202690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3202710 ns R r2 80000000 - 3202710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3202730 ns R psr 81000200 - 3202730 ns MR4_I 00000238 48054770 - 3202750 ns MR4_I 00000230 07d2684a - 3202770 ns IT 00000230 684a LDR r2,[r1,#4] - 3202790 ns MR4_I 00000234 6008d1fc - 3202850 ns MR4_D 40006004 00000001 - 3202850 ns R r2 00000001 - 3202850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3202870 ns R r2 80000000 - 3202870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3202890 ns R psr 81000200 - 3202890 ns MR4_I 00000238 48054770 - 3202910 ns MR4_I 00000230 07d2684a - 3202930 ns IT 00000230 684a LDR r2,[r1,#4] - 3202950 ns MR4_I 00000234 6008d1fc - 3203010 ns MR4_D 40006004 00000001 - 3203010 ns R r2 00000001 - 3203010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3203030 ns R r2 80000000 - 3203030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3203050 ns R psr 81000200 - 3203050 ns MR4_I 00000238 48054770 - 3203070 ns MR4_I 00000230 07d2684a - 3203090 ns IT 00000230 684a LDR r2,[r1,#4] - 3203110 ns MR4_I 00000234 6008d1fc - 3203170 ns MR4_D 40006004 00000001 - 3203170 ns R r2 00000001 - 3203170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3203190 ns R r2 80000000 - 3203190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3203210 ns R psr 81000200 - 3203210 ns MR4_I 00000238 48054770 - 3203230 ns MR4_I 00000230 07d2684a - 3203250 ns IT 00000230 684a LDR r2,[r1,#4] - 3203270 ns MR4_I 00000234 6008d1fc - 3203330 ns MR4_D 40006004 00000001 - 3203330 ns R r2 00000001 - 3203330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3203350 ns R r2 80000000 - 3203350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3203370 ns R psr 81000200 - 3203370 ns MR4_I 00000238 48054770 - 3203390 ns MR4_I 00000230 07d2684a - 3203410 ns IT 00000230 684a LDR r2,[r1,#4] - 3203430 ns MR4_I 00000234 6008d1fc - 3203490 ns MR4_D 40006004 00000001 - 3203490 ns R r2 00000001 - 3203490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3203510 ns R r2 80000000 - 3203510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3203530 ns R psr 81000200 - 3203530 ns MR4_I 00000238 48054770 - 3203550 ns MR4_I 00000230 07d2684a - 3203570 ns IT 00000230 684a LDR r2,[r1,#4] - 3203590 ns MR4_I 00000234 6008d1fc - 3203650 ns MR4_D 40006004 00000001 - 3203650 ns R r2 00000001 - 3203650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3203670 ns R r2 80000000 - 3203670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3203690 ns R psr 81000200 - 3203690 ns MR4_I 00000238 48054770 - 3203710 ns MR4_I 00000230 07d2684a - 3203730 ns IT 00000230 684a LDR r2,[r1,#4] - 3203750 ns MR4_I 00000234 6008d1fc - 3203810 ns MR4_D 40006004 00000001 - 3203810 ns R r2 00000001 - 3203810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3203830 ns R r2 80000000 - 3203830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3203850 ns R psr 81000200 - 3203850 ns MR4_I 00000238 48054770 - 3203870 ns MR4_I 00000230 07d2684a - 3203890 ns IT 00000230 684a LDR r2,[r1,#4] - 3203910 ns MR4_I 00000234 6008d1fc - 3203970 ns MR4_D 40006004 00000001 - 3203970 ns R r2 00000001 - 3203970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3203990 ns R r2 80000000 - 3203990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3204010 ns R psr 81000200 - 3204010 ns MR4_I 00000238 48054770 - 3204030 ns MR4_I 00000230 07d2684a - 3204050 ns IT 00000230 684a LDR r2,[r1,#4] - 3204070 ns MR4_I 00000234 6008d1fc - 3204130 ns MR4_D 40006004 00000001 - 3204130 ns R r2 00000001 - 3204130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3204150 ns R r2 80000000 - 3204150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3204170 ns R psr 81000200 - 3204170 ns MR4_I 00000238 48054770 - 3204190 ns MR4_I 00000230 07d2684a - 3204210 ns IT 00000230 684a LDR r2,[r1,#4] - 3204230 ns MR4_I 00000234 6008d1fc - 3204290 ns MR4_D 40006004 00000001 - 3204290 ns R r2 00000001 - 3204290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3204310 ns R r2 80000000 - 3204310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3204330 ns R psr 81000200 - 3204330 ns MR4_I 00000238 48054770 - 3204350 ns MR4_I 00000230 07d2684a - 3204370 ns IT 00000230 684a LDR r2,[r1,#4] - 3204390 ns MR4_I 00000234 6008d1fc - 3204450 ns MR4_D 40006004 00000001 - 3204450 ns R r2 00000001 - 3204450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3204470 ns R r2 80000000 - 3204470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3204490 ns R psr 81000200 - 3204490 ns MR4_I 00000238 48054770 - 3204510 ns MR4_I 00000230 07d2684a - 3204530 ns IT 00000230 684a LDR r2,[r1,#4] - 3204550 ns MR4_I 00000234 6008d1fc - 3204610 ns MR4_D 40006004 00000001 - 3204610 ns R r2 00000001 - 3204610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3204630 ns R r2 80000000 - 3204630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3204650 ns R psr 81000200 - 3204650 ns MR4_I 00000238 48054770 - 3204670 ns MR4_I 00000230 07d2684a - 3204690 ns IT 00000230 684a LDR r2,[r1,#4] - 3204710 ns MR4_I 00000234 6008d1fc - 3204770 ns MR4_D 40006004 00000001 - 3204770 ns R r2 00000001 - 3204770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3204790 ns R r2 80000000 - 3204790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3204810 ns R psr 81000200 - 3204810 ns MR4_I 00000238 48054770 - 3204830 ns MR4_I 00000230 07d2684a - 3204850 ns IT 00000230 684a LDR r2,[r1,#4] - 3204870 ns MR4_I 00000234 6008d1fc - 3204930 ns MR4_D 40006004 00000001 - 3204930 ns R r2 00000001 - 3204930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3204950 ns R r2 80000000 - 3204950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3204970 ns R psr 81000200 - 3204970 ns MR4_I 00000238 48054770 - 3204990 ns MR4_I 00000230 07d2684a - 3205010 ns IT 00000230 684a LDR r2,[r1,#4] - 3205030 ns MR4_I 00000234 6008d1fc - 3205090 ns MR4_D 40006004 00000001 - 3205090 ns R r2 00000001 - 3205090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3205110 ns R r2 80000000 - 3205110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3205130 ns R psr 81000200 - 3205130 ns MR4_I 00000238 48054770 - 3205150 ns MR4_I 00000230 07d2684a - 3205170 ns IT 00000230 684a LDR r2,[r1,#4] - 3205190 ns MR4_I 00000234 6008d1fc - 3205250 ns MR4_D 40006004 00000001 - 3205250 ns R r2 00000001 - 3205250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3205270 ns R r2 80000000 - 3205270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3205290 ns R psr 81000200 - 3205290 ns MR4_I 00000238 48054770 - 3205310 ns MR4_I 00000230 07d2684a - 3205330 ns IT 00000230 684a LDR r2,[r1,#4] - 3205350 ns MR4_I 00000234 6008d1fc - 3205410 ns MR4_D 40006004 00000001 - 3205410 ns R r2 00000001 - 3205410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3205430 ns R r2 80000000 - 3205430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3205450 ns R psr 81000200 - 3205450 ns MR4_I 00000238 48054770 - 3205470 ns MR4_I 00000230 07d2684a - 3205490 ns IT 00000230 684a LDR r2,[r1,#4] - 3205510 ns MR4_I 00000234 6008d1fc - 3205570 ns MR4_D 40006004 00000001 - 3205570 ns R r2 00000001 - 3205570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3205590 ns R r2 80000000 - 3205590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3205610 ns R psr 81000200 - 3205610 ns MR4_I 00000238 48054770 - 3205630 ns MR4_I 00000230 07d2684a - 3205650 ns IT 00000230 684a LDR r2,[r1,#4] - 3205670 ns MR4_I 00000234 6008d1fc - 3205730 ns MR4_D 40006004 00000001 - 3205730 ns R r2 00000001 - 3205730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3205750 ns R r2 80000000 - 3205750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3205770 ns R psr 81000200 - 3205770 ns MR4_I 00000238 48054770 - 3205790 ns MR4_I 00000230 07d2684a - 3205810 ns IT 00000230 684a LDR r2,[r1,#4] - 3205830 ns MR4_I 00000234 6008d1fc - 3205890 ns MR4_D 40006004 00000001 - 3205890 ns R r2 00000001 - 3205890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3205910 ns R r2 80000000 - 3205910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3205930 ns R psr 81000200 - 3205930 ns MR4_I 00000238 48054770 - 3205950 ns MR4_I 00000230 07d2684a - 3205970 ns IT 00000230 684a LDR r2,[r1,#4] - 3205990 ns MR4_I 00000234 6008d1fc - 3206050 ns MR4_D 40006004 00000001 - 3206050 ns R r2 00000001 - 3206050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3206070 ns R r2 80000000 - 3206070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3206090 ns R psr 81000200 - 3206090 ns MR4_I 00000238 48054770 - 3206110 ns MR4_I 00000230 07d2684a - 3206130 ns IT 00000230 684a LDR r2,[r1,#4] - 3206150 ns MR4_I 00000234 6008d1fc - 3206210 ns MR4_D 40006004 00000001 - 3206210 ns R r2 00000001 - 3206210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3206230 ns R r2 80000000 - 3206230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3206250 ns R psr 81000200 - 3206250 ns MR4_I 00000238 48054770 - 3206270 ns MR4_I 00000230 07d2684a - 3206290 ns IT 00000230 684a LDR r2,[r1,#4] - 3206310 ns MR4_I 00000234 6008d1fc - 3206370 ns MR4_D 40006004 00000001 - 3206370 ns R r2 00000001 - 3206370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3206390 ns R r2 80000000 - 3206390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3206410 ns R psr 81000200 - 3206410 ns MR4_I 00000238 48054770 - 3206430 ns MR4_I 00000230 07d2684a - 3206450 ns IT 00000230 684a LDR r2,[r1,#4] - 3206470 ns MR4_I 00000234 6008d1fc - 3206530 ns MR4_D 40006004 00000001 - 3206530 ns R r2 00000001 - 3206530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3206550 ns R r2 80000000 - 3206550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3206570 ns R psr 81000200 - 3206570 ns MR4_I 00000238 48054770 - 3206590 ns MR4_I 00000230 07d2684a - 3206610 ns IT 00000230 684a LDR r2,[r1,#4] - 3206630 ns MR4_I 00000234 6008d1fc - 3206690 ns MR4_D 40006004 00000001 - 3206690 ns R r2 00000001 - 3206690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3206710 ns R r2 80000000 - 3206710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3206730 ns R psr 81000200 - 3206730 ns MR4_I 00000238 48054770 - 3206750 ns MR4_I 00000230 07d2684a - 3206770 ns IT 00000230 684a LDR r2,[r1,#4] - 3206790 ns MR4_I 00000234 6008d1fc - 3206850 ns MR4_D 40006004 00000001 - 3206850 ns R r2 00000001 - 3206850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3206870 ns R r2 80000000 - 3206870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3206890 ns R psr 81000200 - 3206890 ns MR4_I 00000238 48054770 - 3206910 ns MR4_I 00000230 07d2684a - 3206930 ns IT 00000230 684a LDR r2,[r1,#4] - 3206950 ns MR4_I 00000234 6008d1fc - 3207010 ns MR4_D 40006004 00000001 - 3207010 ns R r2 00000001 - 3207010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3207030 ns R r2 80000000 - 3207030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3207050 ns R psr 81000200 - 3207050 ns MR4_I 00000238 48054770 - 3207070 ns MR4_I 00000230 07d2684a - 3207090 ns IT 00000230 684a LDR r2,[r1,#4] - 3207110 ns MR4_I 00000234 6008d1fc - 3207170 ns MR4_D 40006004 00000001 - 3207170 ns R r2 00000001 - 3207170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3207190 ns R r2 80000000 - 3207190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3207210 ns R psr 81000200 - 3207210 ns MR4_I 00000238 48054770 - 3207230 ns MR4_I 00000230 07d2684a - 3207250 ns IT 00000230 684a LDR r2,[r1,#4] - 3207270 ns MR4_I 00000234 6008d1fc - 3207330 ns MR4_D 40006004 00000001 - 3207330 ns R r2 00000001 - 3207330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3207350 ns R r2 80000000 - 3207350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3207370 ns R psr 81000200 - 3207370 ns MR4_I 00000238 48054770 - 3207390 ns MR4_I 00000230 07d2684a - 3207410 ns IT 00000230 684a LDR r2,[r1,#4] - 3207430 ns MR4_I 00000234 6008d1fc - 3207490 ns MR4_D 40006004 00000001 - 3207490 ns R r2 00000001 - 3207490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3207510 ns R r2 80000000 - 3207510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3207530 ns R psr 81000200 - 3207530 ns MR4_I 00000238 48054770 - 3207550 ns MR4_I 00000230 07d2684a - 3207570 ns IT 00000230 684a LDR r2,[r1,#4] - 3207590 ns MR4_I 00000234 6008d1fc - 3207650 ns MR4_D 40006004 00000001 - 3207650 ns R r2 00000001 - 3207650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3207670 ns R r2 80000000 - 3207670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3207690 ns R psr 81000200 - 3207690 ns MR4_I 00000238 48054770 - 3207710 ns MR4_I 00000230 07d2684a - 3207730 ns IT 00000230 684a LDR r2,[r1,#4] - 3207750 ns MR4_I 00000234 6008d1fc - 3207810 ns MR4_D 40006004 00000001 - 3207810 ns R r2 00000001 - 3207810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3207830 ns R r2 80000000 - 3207830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3207850 ns R psr 81000200 - 3207850 ns MR4_I 00000238 48054770 - 3207870 ns MR4_I 00000230 07d2684a - 3207890 ns IT 00000230 684a LDR r2,[r1,#4] - 3207910 ns MR4_I 00000234 6008d1fc - 3207970 ns MR4_D 40006004 00000001 - 3207970 ns R r2 00000001 - 3207970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3207990 ns R r2 80000000 - 3207990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3208010 ns R psr 81000200 - 3208010 ns MR4_I 00000238 48054770 - 3208030 ns MR4_I 00000230 07d2684a - 3208050 ns IT 00000230 684a LDR r2,[r1,#4] - 3208070 ns MR4_I 00000234 6008d1fc - 3208130 ns MR4_D 40006004 00000001 - 3208130 ns R r2 00000001 - 3208130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3208150 ns R r2 80000000 - 3208150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3208170 ns R psr 81000200 - 3208170 ns MR4_I 00000238 48054770 - 3208190 ns MR4_I 00000230 07d2684a - 3208210 ns IT 00000230 684a LDR r2,[r1,#4] - 3208230 ns MR4_I 00000234 6008d1fc - 3208290 ns MR4_D 40006004 00000001 - 3208290 ns R r2 00000001 - 3208290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3208310 ns R r2 80000000 - 3208310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3208330 ns R psr 81000200 - 3208330 ns MR4_I 00000238 48054770 - 3208350 ns MR4_I 00000230 07d2684a - 3208370 ns IT 00000230 684a LDR r2,[r1,#4] - 3208390 ns MR4_I 00000234 6008d1fc - 3208450 ns MR4_D 40006004 00000001 - 3208450 ns R r2 00000001 - 3208450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3208470 ns R r2 80000000 - 3208470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3208490 ns R psr 81000200 - 3208490 ns MR4_I 00000238 48054770 - 3208510 ns MR4_I 00000230 07d2684a - 3208530 ns IT 00000230 684a LDR r2,[r1,#4] - 3208550 ns MR4_I 00000234 6008d1fc - 3208610 ns MR4_D 40006004 00000001 - 3208610 ns R r2 00000001 - 3208610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3208630 ns R r2 80000000 - 3208630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3208650 ns R psr 81000200 - 3208650 ns MR4_I 00000238 48054770 - 3208670 ns MR4_I 00000230 07d2684a - 3208690 ns IT 00000230 684a LDR r2,[r1,#4] - 3208710 ns MR4_I 00000234 6008d1fc - 3208770 ns MR4_D 40006004 00000001 - 3208770 ns R r2 00000001 - 3208770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3208790 ns R r2 80000000 - 3208790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3208810 ns R psr 81000200 - 3208810 ns MR4_I 00000238 48054770 - 3208830 ns MR4_I 00000230 07d2684a - 3208850 ns IT 00000230 684a LDR r2,[r1,#4] - 3208870 ns MR4_I 00000234 6008d1fc - 3208930 ns MR4_D 40006004 00000001 - 3208930 ns R r2 00000001 - 3208930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3208950 ns R r2 80000000 - 3208950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3208970 ns R psr 81000200 - 3208970 ns MR4_I 00000238 48054770 - 3208990 ns MR4_I 00000230 07d2684a - 3209010 ns IT 00000230 684a LDR r2,[r1,#4] - 3209030 ns MR4_I 00000234 6008d1fc - 3209090 ns MR4_D 40006004 00000001 - 3209090 ns R r2 00000001 - 3209090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3209110 ns R r2 80000000 - 3209110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3209130 ns R psr 81000200 - 3209130 ns MR4_I 00000238 48054770 - 3209150 ns MR4_I 00000230 07d2684a - 3209170 ns IT 00000230 684a LDR r2,[r1,#4] - 3209190 ns MR4_I 00000234 6008d1fc - 3209250 ns MR4_D 40006004 00000001 - 3209250 ns R r2 00000001 - 3209250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3209270 ns R r2 80000000 - 3209270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3209290 ns R psr 81000200 - 3209290 ns MR4_I 00000238 48054770 - 3209310 ns MR4_I 00000230 07d2684a - 3209330 ns IT 00000230 684a LDR r2,[r1,#4] - 3209350 ns MR4_I 00000234 6008d1fc - 3209410 ns MR4_D 40006004 00000001 - 3209410 ns R r2 00000001 - 3209410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3209430 ns R r2 80000000 - 3209430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3209450 ns R psr 81000200 - 3209450 ns MR4_I 00000238 48054770 - 3209470 ns MR4_I 00000230 07d2684a - 3209490 ns IT 00000230 684a LDR r2,[r1,#4] - 3209510 ns MR4_I 00000234 6008d1fc - 3209570 ns MR4_D 40006004 00000001 - 3209570 ns R r2 00000001 - 3209570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3209590 ns R r2 80000000 - 3209590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3209610 ns R psr 81000200 - 3209610 ns MR4_I 00000238 48054770 - 3209630 ns MR4_I 00000230 07d2684a - 3209650 ns IT 00000230 684a LDR r2,[r1,#4] - 3209670 ns MR4_I 00000234 6008d1fc - 3209730 ns MR4_D 40006004 00000001 - 3209730 ns R r2 00000001 - 3209730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3209750 ns R r2 80000000 - 3209750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3209770 ns R psr 81000200 - 3209770 ns MR4_I 00000238 48054770 - 3209790 ns MR4_I 00000230 07d2684a - 3209810 ns IT 00000230 684a LDR r2,[r1,#4] - 3209830 ns MR4_I 00000234 6008d1fc - 3209890 ns MR4_D 40006004 00000001 - 3209890 ns R r2 00000001 - 3209890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3209910 ns R r2 80000000 - 3209910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3209930 ns R psr 81000200 - 3209930 ns MR4_I 00000238 48054770 - 3209950 ns MR4_I 00000230 07d2684a - 3209970 ns IT 00000230 684a LDR r2,[r1,#4] - 3209990 ns MR4_I 00000234 6008d1fc - 3210050 ns MR4_D 40006004 00000001 - 3210050 ns R r2 00000001 - 3210050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3210070 ns R r2 80000000 - 3210070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3210090 ns R psr 81000200 - 3210090 ns MR4_I 00000238 48054770 - 3210110 ns MR4_I 00000230 07d2684a - 3210130 ns IT 00000230 684a LDR r2,[r1,#4] - 3210150 ns MR4_I 00000234 6008d1fc - 3210210 ns MR4_D 40006004 00000001 - 3210210 ns R r2 00000001 - 3210210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3210230 ns R r2 80000000 - 3210230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3210250 ns R psr 81000200 - 3210250 ns MR4_I 00000238 48054770 - 3210270 ns MR4_I 00000230 07d2684a - 3210290 ns IT 00000230 684a LDR r2,[r1,#4] - 3210310 ns MR4_I 00000234 6008d1fc - 3210370 ns MR4_D 40006004 00000001 - 3210370 ns R r2 00000001 - 3210370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3210390 ns R r2 80000000 - 3210390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3210410 ns R psr 81000200 - 3210410 ns MR4_I 00000238 48054770 - 3210430 ns MR4_I 00000230 07d2684a - 3210450 ns IT 00000230 684a LDR r2,[r1,#4] - 3210470 ns MR4_I 00000234 6008d1fc - 3210530 ns MR4_D 40006004 00000001 - 3210530 ns R r2 00000001 - 3210530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3210550 ns R r2 80000000 - 3210550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3210570 ns R psr 81000200 - 3210570 ns MR4_I 00000238 48054770 - 3210590 ns MR4_I 00000230 07d2684a - 3210610 ns IT 00000230 684a LDR r2,[r1,#4] - 3210630 ns MR4_I 00000234 6008d1fc - 3210690 ns MR4_D 40006004 00000001 - 3210690 ns R r2 00000001 - 3210690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3210710 ns R r2 80000000 - 3210710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3210730 ns R psr 81000200 - 3210730 ns MR4_I 00000238 48054770 - 3210750 ns MR4_I 00000230 07d2684a - 3210770 ns IT 00000230 684a LDR r2,[r1,#4] - 3210790 ns MR4_I 00000234 6008d1fc - 3210850 ns MR4_D 40006004 00000001 - 3210850 ns R r2 00000001 - 3210850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3210870 ns R r2 80000000 - 3210870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3210890 ns R psr 81000200 - 3210890 ns MR4_I 00000238 48054770 - 3210910 ns MR4_I 00000230 07d2684a - 3210930 ns IT 00000230 684a LDR r2,[r1,#4] - 3210950 ns MR4_I 00000234 6008d1fc - 3211010 ns MR4_D 40006004 00000001 - 3211010 ns R r2 00000001 - 3211010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3211030 ns R r2 80000000 - 3211030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3211050 ns R psr 81000200 - 3211050 ns MR4_I 00000238 48054770 - 3211070 ns MR4_I 00000230 07d2684a - 3211090 ns IT 00000230 684a LDR r2,[r1,#4] - 3211110 ns MR4_I 00000234 6008d1fc - 3211170 ns MR4_D 40006004 00000001 - 3211170 ns R r2 00000001 - 3211170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3211190 ns R r2 80000000 - 3211190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3211210 ns R psr 81000200 - 3211210 ns MR4_I 00000238 48054770 - 3211230 ns MR4_I 00000230 07d2684a - 3211250 ns IT 00000230 684a LDR r2,[r1,#4] - 3211270 ns MR4_I 00000234 6008d1fc - 3211330 ns MR4_D 40006004 00000001 - 3211330 ns R r2 00000001 - 3211330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3211350 ns R r2 80000000 - 3211350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3211370 ns R psr 81000200 - 3211370 ns MR4_I 00000238 48054770 - 3211390 ns MR4_I 00000230 07d2684a - 3211410 ns IT 00000230 684a LDR r2,[r1,#4] - 3211430 ns MR4_I 00000234 6008d1fc - 3211490 ns MR4_D 40006004 00000001 - 3211490 ns R r2 00000001 - 3211490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3211510 ns R r2 80000000 - 3211510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3211530 ns R psr 81000200 - 3211530 ns MR4_I 00000238 48054770 - 3211550 ns MR4_I 00000230 07d2684a - 3211570 ns IT 00000230 684a LDR r2,[r1,#4] - 3211590 ns MR4_I 00000234 6008d1fc - 3211650 ns MR4_D 40006004 00000001 - 3211650 ns R r2 00000001 - 3211650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3211670 ns R r2 80000000 - 3211670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3211690 ns R psr 81000200 - 3211690 ns MR4_I 00000238 48054770 - 3211710 ns MR4_I 00000230 07d2684a - 3211730 ns IT 00000230 684a LDR r2,[r1,#4] - 3211750 ns MR4_I 00000234 6008d1fc - 3211810 ns MR4_D 40006004 00000001 - 3211810 ns R r2 00000001 - 3211810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3211830 ns R r2 80000000 - 3211830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3211850 ns R psr 81000200 - 3211850 ns MR4_I 00000238 48054770 - 3211870 ns MR4_I 00000230 07d2684a - 3211890 ns IT 00000230 684a LDR r2,[r1,#4] - 3211910 ns MR4_I 00000234 6008d1fc - 3211970 ns MR4_D 40006004 00000001 - 3211970 ns R r2 00000001 - 3211970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3211990 ns R r2 80000000 - 3211990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3212010 ns R psr 81000200 - 3212010 ns MR4_I 00000238 48054770 - 3212030 ns MR4_I 00000230 07d2684a - 3212050 ns IT 00000230 684a LDR r2,[r1,#4] - 3212070 ns MR4_I 00000234 6008d1fc - 3212130 ns MR4_D 40006004 00000001 - 3212130 ns R r2 00000001 - 3212130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3212150 ns R r2 80000000 - 3212150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3212170 ns R psr 81000200 - 3212170 ns MR4_I 00000238 48054770 - 3212190 ns MR4_I 00000230 07d2684a - 3212210 ns IT 00000230 684a LDR r2,[r1,#4] - 3212230 ns MR4_I 00000234 6008d1fc - 3212290 ns MR4_D 40006004 00000001 - 3212290 ns R r2 00000001 - 3212290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3212310 ns R r2 80000000 - 3212310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3212330 ns R psr 81000200 - 3212330 ns MR4_I 00000238 48054770 - 3212350 ns MR4_I 00000230 07d2684a - 3212370 ns IT 00000230 684a LDR r2,[r1,#4] - 3212390 ns MR4_I 00000234 6008d1fc - 3212450 ns MR4_D 40006004 00000001 - 3212450 ns R r2 00000001 - 3212450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3212470 ns R r2 80000000 - 3212470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3212490 ns R psr 81000200 - 3212490 ns MR4_I 00000238 48054770 - 3212510 ns MR4_I 00000230 07d2684a - 3212530 ns IT 00000230 684a LDR r2,[r1,#4] - 3212550 ns MR4_I 00000234 6008d1fc - 3212610 ns MR4_D 40006004 00000001 - 3212610 ns R r2 00000001 - 3212610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3212630 ns R r2 80000000 - 3212630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3212650 ns R psr 81000200 - 3212650 ns MR4_I 00000238 48054770 - 3212670 ns MR4_I 00000230 07d2684a - 3212690 ns IT 00000230 684a LDR r2,[r1,#4] - 3212710 ns MR4_I 00000234 6008d1fc - 3212770 ns MR4_D 40006004 00000001 - 3212770 ns R r2 00000001 - 3212770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3212790 ns R r2 80000000 - 3212790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3212810 ns R psr 81000200 - 3212810 ns MR4_I 00000238 48054770 - 3212830 ns MR4_I 00000230 07d2684a - 3212850 ns IT 00000230 684a LDR r2,[r1,#4] - 3212870 ns MR4_I 00000234 6008d1fc - 3212930 ns MR4_D 40006004 00000001 - 3212930 ns R r2 00000001 - 3212930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3212950 ns R r2 80000000 - 3212950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3212970 ns R psr 81000200 - 3212970 ns MR4_I 00000238 48054770 - 3212990 ns MR4_I 00000230 07d2684a - 3213010 ns IT 00000230 684a LDR r2,[r1,#4] - 3213030 ns MR4_I 00000234 6008d1fc - 3213090 ns MR4_D 40006004 00000001 - 3213090 ns R r2 00000001 - 3213090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3213110 ns R r2 80000000 - 3213110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3213130 ns R psr 81000200 - 3213130 ns MR4_I 00000238 48054770 - 3213150 ns MR4_I 00000230 07d2684a - 3213170 ns IT 00000230 684a LDR r2,[r1,#4] - 3213190 ns MR4_I 00000234 6008d1fc - 3213250 ns MR4_D 40006004 00000001 - 3213250 ns R r2 00000001 - 3213250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3213270 ns R r2 80000000 - 3213270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3213290 ns R psr 81000200 - 3213290 ns MR4_I 00000238 48054770 - 3213310 ns MR4_I 00000230 07d2684a - 3213330 ns IT 00000230 684a LDR r2,[r1,#4] - 3213350 ns MR4_I 00000234 6008d1fc - 3213410 ns MR4_D 40006004 00000001 - 3213410 ns R r2 00000001 - 3213410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3213430 ns R r2 80000000 - 3213430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3213450 ns R psr 81000200 - 3213450 ns MR4_I 00000238 48054770 - 3213470 ns MR4_I 00000230 07d2684a - 3213490 ns IT 00000230 684a LDR r2,[r1,#4] - 3213510 ns MR4_I 00000234 6008d1fc - 3213570 ns MR4_D 40006004 00000001 - 3213570 ns R r2 00000001 - 3213570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3213590 ns R r2 80000000 - 3213590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3213610 ns R psr 81000200 - 3213610 ns MR4_I 00000238 48054770 - 3213630 ns MR4_I 00000230 07d2684a - 3213650 ns IT 00000230 684a LDR r2,[r1,#4] - 3213670 ns MR4_I 00000234 6008d1fc - 3213730 ns MR4_D 40006004 00000001 - 3213730 ns R r2 00000001 - 3213730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3213750 ns R r2 80000000 - 3213750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3213770 ns R psr 81000200 - 3213770 ns MR4_I 00000238 48054770 - 3213790 ns MR4_I 00000230 07d2684a - 3213810 ns IT 00000230 684a LDR r2,[r1,#4] - 3213830 ns MR4_I 00000234 6008d1fc - 3213890 ns MR4_D 40006004 00000001 - 3213890 ns R r2 00000001 - 3213890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3213910 ns R r2 80000000 - 3213910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3213930 ns R psr 81000200 - 3213930 ns MR4_I 00000238 48054770 - 3213950 ns MR4_I 00000230 07d2684a - 3213970 ns IT 00000230 684a LDR r2,[r1,#4] - 3213990 ns MR4_I 00000234 6008d1fc - 3214050 ns MR4_D 40006004 00000001 - 3214050 ns R r2 00000001 - 3214050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3214070 ns R r2 80000000 - 3214070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3214090 ns R psr 81000200 - 3214090 ns MR4_I 00000238 48054770 - 3214110 ns MR4_I 00000230 07d2684a - 3214130 ns IT 00000230 684a LDR r2,[r1,#4] - 3214150 ns MR4_I 00000234 6008d1fc - 3214210 ns MR4_D 40006004 00000001 - 3214210 ns R r2 00000001 - 3214210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3214230 ns R r2 80000000 - 3214230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3214250 ns R psr 81000200 - 3214250 ns MR4_I 00000238 48054770 - 3214270 ns MR4_I 00000230 07d2684a - 3214290 ns IT 00000230 684a LDR r2,[r1,#4] - 3214310 ns MR4_I 00000234 6008d1fc - 3214370 ns MR4_D 40006004 00000001 - 3214370 ns R r2 00000001 - 3214370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3214390 ns R r2 80000000 - 3214390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3214410 ns R psr 81000200 - 3214410 ns MR4_I 00000238 48054770 - 3214430 ns MR4_I 00000230 07d2684a - 3214450 ns IT 00000230 684a LDR r2,[r1,#4] - 3214470 ns MR4_I 00000234 6008d1fc - 3214530 ns MR4_D 40006004 00000001 - 3214530 ns R r2 00000001 - 3214530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3214550 ns R r2 80000000 - 3214550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3214570 ns R psr 81000200 - 3214570 ns MR4_I 00000238 48054770 - 3214590 ns MR4_I 00000230 07d2684a - 3214610 ns IT 00000230 684a LDR r2,[r1,#4] - 3214630 ns MR4_I 00000234 6008d1fc - 3214690 ns MR4_D 40006004 00000001 - 3214690 ns R r2 00000001 - 3214690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3214710 ns R r2 80000000 - 3214710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3214730 ns R psr 81000200 - 3214730 ns MR4_I 00000238 48054770 - 3214750 ns MR4_I 00000230 07d2684a - 3214770 ns IT 00000230 684a LDR r2,[r1,#4] - 3214790 ns MR4_I 00000234 6008d1fc - 3214850 ns MR4_D 40006004 00000001 - 3214850 ns R r2 00000001 - 3214850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3214870 ns R r2 80000000 - 3214870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3214890 ns R psr 81000200 - 3214890 ns MR4_I 00000238 48054770 - 3214910 ns MR4_I 00000230 07d2684a - 3214930 ns IT 00000230 684a LDR r2,[r1,#4] - 3214950 ns MR4_I 00000234 6008d1fc - 3215010 ns MR4_D 40006004 00000001 - 3215010 ns R r2 00000001 - 3215010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3215030 ns R r2 80000000 - 3215030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3215050 ns R psr 81000200 - 3215050 ns MR4_I 00000238 48054770 - 3215070 ns MR4_I 00000230 07d2684a - 3215090 ns IT 00000230 684a LDR r2,[r1,#4] - 3215110 ns MR4_I 00000234 6008d1fc - 3215170 ns MR4_D 40006004 00000001 - 3215170 ns R r2 00000001 - 3215170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3215190 ns R r2 80000000 - 3215190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3215210 ns R psr 81000200 - 3215210 ns MR4_I 00000238 48054770 - 3215230 ns MR4_I 00000230 07d2684a - 3215250 ns IT 00000230 684a LDR r2,[r1,#4] - 3215270 ns MR4_I 00000234 6008d1fc - 3215330 ns MR4_D 40006004 00000001 - 3215330 ns R r2 00000001 - 3215330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3215350 ns R r2 80000000 - 3215350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3215370 ns R psr 81000200 - 3215370 ns MR4_I 00000238 48054770 - 3215390 ns MR4_I 00000230 07d2684a - 3215410 ns IT 00000230 684a LDR r2,[r1,#4] - 3215430 ns MR4_I 00000234 6008d1fc - 3215490 ns MR4_D 40006004 00000001 - 3215490 ns R r2 00000001 - 3215490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3215510 ns R r2 80000000 - 3215510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3215530 ns R psr 81000200 - 3215530 ns MR4_I 00000238 48054770 - 3215550 ns MR4_I 00000230 07d2684a - 3215570 ns IT 00000230 684a LDR r2,[r1,#4] - 3215590 ns MR4_I 00000234 6008d1fc - 3215650 ns MR4_D 40006004 00000001 - 3215650 ns R r2 00000001 - 3215650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3215670 ns R r2 80000000 - 3215670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3215690 ns R psr 81000200 - 3215690 ns MR4_I 00000238 48054770 - 3215710 ns MR4_I 00000230 07d2684a - 3215730 ns IT 00000230 684a LDR r2,[r1,#4] - 3215750 ns MR4_I 00000234 6008d1fc - 3215810 ns MR4_D 40006004 00000001 - 3215810 ns R r2 00000001 - 3215810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3215830 ns R r2 80000000 - 3215830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3215850 ns R psr 81000200 - 3215850 ns MR4_I 00000238 48054770 - 3215870 ns MR4_I 00000230 07d2684a - 3215890 ns IT 00000230 684a LDR r2,[r1,#4] - 3215910 ns MR4_I 00000234 6008d1fc - 3215970 ns MR4_D 40006004 00000001 - 3215970 ns R r2 00000001 - 3215970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3215990 ns R r2 80000000 - 3215990 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3216010 ns R psr 81000200 - 3216010 ns MR4_I 00000238 48054770 - 3216030 ns MR4_I 00000230 07d2684a - 3216050 ns IT 00000230 684a LDR r2,[r1,#4] - 3216070 ns MR4_I 00000234 6008d1fc - 3216130 ns MR4_D 40006004 00000001 - 3216130 ns R r2 00000001 - 3216130 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3216150 ns R r2 80000000 - 3216150 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3216170 ns R psr 81000200 - 3216170 ns MR4_I 00000238 48054770 - 3216190 ns MR4_I 00000230 07d2684a - 3216210 ns IT 00000230 684a LDR r2,[r1,#4] - 3216230 ns MR4_I 00000234 6008d1fc - 3216290 ns MR4_D 40006004 00000001 - 3216290 ns R r2 00000001 - 3216290 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3216310 ns R r2 80000000 - 3216310 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3216330 ns R psr 81000200 - 3216330 ns MR4_I 00000238 48054770 - 3216350 ns MR4_I 00000230 07d2684a - 3216370 ns IT 00000230 684a LDR r2,[r1,#4] - 3216390 ns MR4_I 00000234 6008d1fc - 3216450 ns MR4_D 40006004 00000001 - 3216450 ns R r2 00000001 - 3216450 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3216470 ns R r2 80000000 - 3216470 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3216490 ns R psr 81000200 - 3216490 ns MR4_I 00000238 48054770 - 3216510 ns MR4_I 00000230 07d2684a - 3216530 ns IT 00000230 684a LDR r2,[r1,#4] - 3216550 ns MR4_I 00000234 6008d1fc - 3216610 ns MR4_D 40006004 00000001 - 3216610 ns R r2 00000001 - 3216610 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3216630 ns R r2 80000000 - 3216630 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3216650 ns R psr 81000200 - 3216650 ns MR4_I 00000238 48054770 - 3216670 ns MR4_I 00000230 07d2684a - 3216690 ns IT 00000230 684a LDR r2,[r1,#4] - 3216710 ns MR4_I 00000234 6008d1fc - 3216770 ns MR4_D 40006004 00000001 - 3216770 ns R r2 00000001 - 3216770 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3216790 ns R r2 80000000 - 3216790 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3216810 ns R psr 81000200 - 3216810 ns MR4_I 00000238 48054770 - 3216830 ns MR4_I 00000230 07d2684a - 3216850 ns IT 00000230 684a LDR r2,[r1,#4] - 3216870 ns MR4_I 00000234 6008d1fc - 3216930 ns MR4_D 40006004 00000001 - 3216930 ns R r2 00000001 - 3216930 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3216950 ns R r2 80000000 - 3216950 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3216970 ns R psr 81000200 - 3216970 ns MR4_I 00000238 48054770 - 3216990 ns MR4_I 00000230 07d2684a - 3217010 ns IT 00000230 684a LDR r2,[r1,#4] - 3217030 ns MR4_I 00000234 6008d1fc - 3217090 ns MR4_D 40006004 00000001 - 3217090 ns R r2 00000001 - 3217090 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3217110 ns R r2 80000000 - 3217110 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3217130 ns R psr 81000200 - 3217130 ns MR4_I 00000238 48054770 - 3217150 ns MR4_I 00000230 07d2684a - 3217170 ns IT 00000230 684a LDR r2,[r1,#4] - 3217190 ns MR4_I 00000234 6008d1fc - 3217250 ns MR4_D 40006004 00000001 - 3217250 ns R r2 00000001 - 3217250 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3217270 ns R r2 80000000 - 3217270 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3217290 ns R psr 81000200 - 3217290 ns MR4_I 00000238 48054770 - 3217310 ns MR4_I 00000230 07d2684a - 3217330 ns IT 00000230 684a LDR r2,[r1,#4] - 3217350 ns MR4_I 00000234 6008d1fc - 3217410 ns MR4_D 40006004 00000001 - 3217410 ns R r2 00000001 - 3217410 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3217430 ns R r2 80000000 - 3217430 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3217450 ns R psr 81000200 - 3217450 ns MR4_I 00000238 48054770 - 3217470 ns MR4_I 00000230 07d2684a - 3217490 ns IT 00000230 684a LDR r2,[r1,#4] - 3217510 ns MR4_I 00000234 6008d1fc - 3217570 ns MR4_D 40006004 00000001 - 3217570 ns R r2 00000001 - 3217570 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3217590 ns R r2 80000000 - 3217590 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3217610 ns R psr 81000200 - 3217610 ns MR4_I 00000238 48054770 - 3217630 ns MR4_I 00000230 07d2684a - 3217650 ns IT 00000230 684a LDR r2,[r1,#4] - 3217670 ns MR4_I 00000234 6008d1fc - 3217730 ns MR4_D 40006004 00000001 - 3217730 ns R r2 00000001 - 3217730 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3217750 ns R r2 80000000 - 3217750 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3217770 ns R psr 81000200 - 3217770 ns MR4_I 00000238 48054770 - 3217790 ns MR4_I 00000230 07d2684a - 3217810 ns IT 00000230 684a LDR r2,[r1,#4] - 3217830 ns MR4_I 00000234 6008d1fc - 3217890 ns MR4_D 40006004 00000001 - 3217890 ns R r2 00000001 - 3217890 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3217910 ns R r2 80000000 - 3217910 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3217930 ns R psr 81000200 - 3217930 ns MR4_I 00000238 48054770 - 3217950 ns MR4_I 00000230 07d2684a - 3217970 ns IT 00000230 684a LDR r2,[r1,#4] - 3217990 ns MR4_I 00000234 6008d1fc - 3218050 ns MR4_D 40006004 00000001 - 3218050 ns R r2 00000001 - 3218050 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3218070 ns R r2 80000000 - 3218070 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3218090 ns R psr 81000200 - 3218090 ns MR4_I 00000238 48054770 - 3218110 ns MR4_I 00000230 07d2684a - 3218130 ns IT 00000230 684a LDR r2,[r1,#4] - 3218150 ns MR4_I 00000234 6008d1fc - 3218210 ns MR4_D 40006004 00000001 - 3218210 ns R r2 00000001 - 3218210 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3218230 ns R r2 80000000 - 3218230 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3218250 ns R psr 81000200 - 3218250 ns MR4_I 00000238 48054770 - 3218270 ns MR4_I 00000230 07d2684a - 3218290 ns IT 00000230 684a LDR r2,[r1,#4] - 3218310 ns MR4_I 00000234 6008d1fc - 3218370 ns MR4_D 40006004 00000001 - 3218370 ns R r2 00000001 - 3218370 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3218390 ns R r2 80000000 - 3218390 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3218410 ns R psr 81000200 - 3218410 ns MR4_I 00000238 48054770 - 3218430 ns MR4_I 00000230 07d2684a - 3218450 ns IT 00000230 684a LDR r2,[r1,#4] - 3218470 ns MR4_I 00000234 6008d1fc - 3218530 ns MR4_D 40006004 00000001 - 3218530 ns R r2 00000001 - 3218530 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3218550 ns R r2 80000000 - 3218550 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3218570 ns R psr 81000200 - 3218570 ns MR4_I 00000238 48054770 - 3218590 ns MR4_I 00000230 07d2684a - 3218610 ns IT 00000230 684a LDR r2,[r1,#4] - 3218630 ns MR4_I 00000234 6008d1fc - 3218690 ns MR4_D 40006004 00000001 - 3218690 ns R r2 00000001 - 3218690 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3218710 ns R r2 80000000 - 3218710 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3218730 ns R psr 81000200 - 3218730 ns MR4_I 00000238 48054770 - 3218750 ns MR4_I 00000230 07d2684a - 3218770 ns IT 00000230 684a LDR r2,[r1,#4] - 3218790 ns MR4_I 00000234 6008d1fc - 3218850 ns MR4_D 40006004 00000001 - 3218850 ns R r2 00000001 - 3218850 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3218870 ns R r2 80000000 - 3218870 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3218890 ns R psr 81000200 - 3218890 ns MR4_I 00000238 48054770 - 3218910 ns MR4_I 00000230 07d2684a - 3218930 ns IT 00000230 684a LDR r2,[r1,#4] - 3218950 ns MR4_I 00000234 6008d1fc - 3219010 ns MR4_D 40006004 00000001 - 3219010 ns R r2 00000001 - 3219010 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3219030 ns R r2 80000000 - 3219030 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3219050 ns R psr 81000200 - 3219050 ns MR4_I 00000238 48054770 - 3219070 ns MR4_I 00000230 07d2684a - 3219090 ns IT 00000230 684a LDR r2,[r1,#4] - 3219110 ns MR4_I 00000234 6008d1fc - 3219170 ns MR4_D 40006004 00000001 - 3219170 ns R r2 00000001 - 3219170 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3219190 ns R r2 80000000 - 3219190 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3219210 ns R psr 81000200 - 3219210 ns MR4_I 00000238 48054770 - 3219230 ns MR4_I 00000230 07d2684a - 3219250 ns IT 00000230 684a LDR r2,[r1,#4] - 3219270 ns MR4_I 00000234 6008d1fc - 3219330 ns MR4_D 40006004 00000001 - 3219330 ns R r2 00000001 - 3219330 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3219350 ns R r2 80000000 - 3219350 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3219370 ns R psr 81000200 - 3219370 ns MR4_I 00000238 48054770 - 3219390 ns MR4_I 00000230 07d2684a - 3219410 ns IT 00000230 684a LDR r2,[r1,#4] - 3219430 ns MR4_I 00000234 6008d1fc - 3219490 ns MR4_D 40006004 00000001 - 3219490 ns R r2 00000001 - 3219490 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3219510 ns R r2 80000000 - 3219510 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3219530 ns R psr 81000200 - 3219530 ns MR4_I 00000238 48054770 - 3219550 ns MR4_I 00000230 07d2684a - 3219570 ns IT 00000230 684a LDR r2,[r1,#4] - 3219590 ns MR4_I 00000234 6008d1fc - 3219650 ns MR4_D 40006004 00000001 - 3219650 ns R r2 00000001 - 3219650 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3219670 ns R r2 80000000 - 3219670 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3219690 ns R psr 81000200 - 3219690 ns MR4_I 00000238 48054770 - 3219710 ns MR4_I 00000230 07d2684a - 3219730 ns IT 00000230 684a LDR r2,[r1,#4] - 3219750 ns MR4_I 00000234 6008d1fc - 3219810 ns MR4_D 40006004 00000001 - 3219810 ns R r2 00000001 - 3219810 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3219830 ns R r2 80000000 - 3219830 ns IT 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3219850 ns R psr 81000200 - 3219850 ns MR4_I 00000238 48054770 - 3219870 ns MR4_I 00000230 07d2684a - 3219890 ns IT 00000230 684a LDR r2,[r1,#4] - 3219910 ns MR4_I 00000234 6008d1fc - 3219970 ns MR4_D 40006004 00000000 - 3219970 ns R r2 00000000 - 3219970 ns IT 00000232 07d2 LSLS r2,r2,#31 - 3219990 ns R r2 00000000 - 3219990 ns IS 00000234 d1fc BNE {pc} - 0x4 ; 0x230 - 3220010 ns R psr 41000200 - 3220010 ns MR4_I 00000238 48054770 - 3220010 ns IT 00000236 6008 STR r0,[r1,#0] - 3220090 ns MW4_D 40006000 00000004 - 3220090 ns IT 00000238 4770 BX lr - 3220110 ns MR4_I 0000023c 07896841 - 3220130 ns R psr 41000200 - 3220130 ns MR4_I 0000024c e7fefff0 - 3220150 ns MR4_I 00000250 40006000 - 3220150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3220190 ns MR4_I 0000024c e7fefff0 - 3220210 ns MR4_I 00000250 40006000 - 3220210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3220250 ns MR4_I 0000024c e7fefff0 - 3220270 ns MR4_I 00000250 40006000 - 3220270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3220310 ns MR4_I 0000024c e7fefff0 - 3220330 ns MR4_I 00000250 40006000 - 3220330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3220370 ns MR4_I 0000024c e7fefff0 - 3220390 ns MR4_I 00000250 40006000 - 3220390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3220430 ns MR4_I 0000024c e7fefff0 - 3220450 ns MR4_I 00000250 40006000 - 3220450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3220490 ns MR4_I 0000024c e7fefff0 - 3220510 ns MR4_I 00000250 40006000 - 3220510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3220550 ns MR4_I 0000024c e7fefff0 - 3220570 ns MR4_I 00000250 40006000 - 3220570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3220610 ns MR4_I 0000024c e7fefff0 - 3220630 ns MR4_I 00000250 40006000 - 3220630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3220670 ns MR4_I 0000024c e7fefff0 - 3220690 ns MR4_I 00000250 40006000 - 3220690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3220730 ns MR4_I 0000024c e7fefff0 - 3220750 ns MR4_I 00000250 40006000 - 3220750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3220790 ns MR4_I 0000024c e7fefff0 - 3220810 ns MR4_I 00000250 40006000 - 3220810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3220850 ns MR4_I 0000024c e7fefff0 - 3220870 ns MR4_I 00000250 40006000 - 3220870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3220910 ns MR4_I 0000024c e7fefff0 - 3220930 ns MR4_I 00000250 40006000 - 3220930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3220970 ns MR4_I 0000024c e7fefff0 - 3220990 ns MR4_I 00000250 40006000 - 3220990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3221030 ns MR4_I 0000024c e7fefff0 - 3221050 ns MR4_I 00000250 40006000 - 3221050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3221090 ns MR4_I 0000024c e7fefff0 - 3221110 ns MR4_I 00000250 40006000 - 3221110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3221150 ns MR4_I 0000024c e7fefff0 - 3221170 ns MR4_I 00000250 40006000 - 3221170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3221210 ns MR4_I 0000024c e7fefff0 - 3221230 ns MR4_I 00000250 40006000 - 3221230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3221270 ns MR4_I 0000024c e7fefff0 - 3221290 ns MR4_I 00000250 40006000 - 3221290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3221330 ns MR4_I 0000024c e7fefff0 - 3221350 ns MR4_I 00000250 40006000 - 3221350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3221390 ns MR4_I 0000024c e7fefff0 - 3221410 ns MR4_I 00000250 40006000 - 3221410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3221450 ns MR4_I 0000024c e7fefff0 - 3221470 ns MR4_I 00000250 40006000 - 3221470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3221510 ns MR4_I 0000024c e7fefff0 - 3221530 ns MR4_I 00000250 40006000 - 3221530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3221570 ns MR4_I 0000024c e7fefff0 - 3221590 ns MR4_I 00000250 40006000 - 3221590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3221630 ns MR4_I 0000024c e7fefff0 - 3221650 ns MR4_I 00000250 40006000 - 3221650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3221690 ns MR4_I 0000024c e7fefff0 - 3221710 ns MR4_I 00000250 40006000 - 3221710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3221750 ns MR4_I 0000024c e7fefff0 - 3221770 ns MR4_I 00000250 40006000 - 3221770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3221810 ns MR4_I 0000024c e7fefff0 - 3221830 ns MR4_I 00000250 40006000 - 3221830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3221870 ns MR4_I 0000024c e7fefff0 - 3221890 ns MR4_I 00000250 40006000 - 3221890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3221930 ns MR4_I 0000024c e7fefff0 - 3221950 ns MR4_I 00000250 40006000 - 3221950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3221990 ns MR4_I 0000024c e7fefff0 - 3222010 ns MR4_I 00000250 40006000 - 3222010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3222050 ns MR4_I 0000024c e7fefff0 - 3222070 ns MR4_I 00000250 40006000 - 3222070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3222110 ns MR4_I 0000024c e7fefff0 - 3222130 ns MR4_I 00000250 40006000 - 3222130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3222170 ns MR4_I 0000024c e7fefff0 - 3222190 ns MR4_I 00000250 40006000 - 3222190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3222230 ns MR4_I 0000024c e7fefff0 - 3222250 ns MR4_I 00000250 40006000 - 3222250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3222290 ns MR4_I 0000024c e7fefff0 - 3222310 ns MR4_I 00000250 40006000 - 3222310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3222350 ns MR4_I 0000024c e7fefff0 - 3222370 ns MR4_I 00000250 40006000 - 3222370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3222410 ns MR4_I 0000024c e7fefff0 - 3222430 ns MR4_I 00000250 40006000 - 3222430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3222470 ns MR4_I 0000024c e7fefff0 - 3222490 ns MR4_I 00000250 40006000 - 3222490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3222530 ns MR4_I 0000024c e7fefff0 - 3222550 ns MR4_I 00000250 40006000 - 3222550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3222590 ns MR4_I 0000024c e7fefff0 - 3222610 ns MR4_I 00000250 40006000 - 3222610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3222650 ns MR4_I 0000024c e7fefff0 - 3222670 ns MR4_I 00000250 40006000 - 3222670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3222710 ns MR4_I 0000024c e7fefff0 - 3222730 ns MR4_I 00000250 40006000 - 3222730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3222770 ns MR4_I 0000024c e7fefff0 - 3222790 ns MR4_I 00000250 40006000 - 3222790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3222830 ns MR4_I 0000024c e7fefff0 - 3222850 ns MR4_I 00000250 40006000 - 3222850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3222890 ns MR4_I 0000024c e7fefff0 - 3222910 ns MR4_I 00000250 40006000 - 3222910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3222950 ns MR4_I 0000024c e7fefff0 - 3222970 ns MR4_I 00000250 40006000 - 3222970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3223010 ns MR4_I 0000024c e7fefff0 - 3223030 ns MR4_I 00000250 40006000 - 3223030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3223070 ns MR4_I 0000024c e7fefff0 - 3223090 ns MR4_I 00000250 40006000 - 3223090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3223130 ns MR4_I 0000024c e7fefff0 - 3223150 ns MR4_I 00000250 40006000 - 3223150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3223190 ns MR4_I 0000024c e7fefff0 - 3223210 ns MR4_I 00000250 40006000 - 3223210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3223250 ns MR4_I 0000024c e7fefff0 - 3223270 ns MR4_I 00000250 40006000 - 3223270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3223310 ns MR4_I 0000024c e7fefff0 - 3223330 ns MR4_I 00000250 40006000 - 3223330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3223370 ns MR4_I 0000024c e7fefff0 - 3223390 ns MR4_I 00000250 40006000 - 3223390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3223430 ns MR4_I 0000024c e7fefff0 - 3223450 ns MR4_I 00000250 40006000 - 3223450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3223490 ns MR4_I 0000024c e7fefff0 - 3223510 ns MR4_I 00000250 40006000 - 3223510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3223550 ns MR4_I 0000024c e7fefff0 - 3223570 ns MR4_I 00000250 40006000 - 3223570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3223610 ns MR4_I 0000024c e7fefff0 - 3223630 ns MR4_I 00000250 40006000 - 3223630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3223670 ns MR4_I 0000024c e7fefff0 - 3223690 ns MR4_I 00000250 40006000 - 3223690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3223730 ns MR4_I 0000024c e7fefff0 - 3223750 ns MR4_I 00000250 40006000 - 3223750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3223790 ns MR4_I 0000024c e7fefff0 - 3223810 ns MR4_I 00000250 40006000 - 3223810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3223850 ns MR4_I 0000024c e7fefff0 - 3223870 ns MR4_I 00000250 40006000 - 3223870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3223910 ns MR4_I 0000024c e7fefff0 - 3223930 ns MR4_I 00000250 40006000 - 3223930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3223970 ns MR4_I 0000024c e7fefff0 - 3223990 ns MR4_I 00000250 40006000 - 3223990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3224030 ns MR4_I 0000024c e7fefff0 - 3224050 ns MR4_I 00000250 40006000 - 3224050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3224090 ns MR4_I 0000024c e7fefff0 - 3224110 ns MR4_I 00000250 40006000 - 3224110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3224150 ns MR4_I 0000024c e7fefff0 - 3224170 ns MR4_I 00000250 40006000 - 3224170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3224210 ns MR4_I 0000024c e7fefff0 - 3224230 ns MR4_I 00000250 40006000 - 3224230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3224270 ns MR4_I 0000024c e7fefff0 - 3224290 ns MR4_I 00000250 40006000 - 3224290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3224330 ns MR4_I 0000024c e7fefff0 - 3224350 ns MR4_I 00000250 40006000 - 3224350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3224390 ns MR4_I 0000024c e7fefff0 - 3224410 ns MR4_I 00000250 40006000 - 3224410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3224450 ns MR4_I 0000024c e7fefff0 - 3224470 ns MR4_I 00000250 40006000 - 3224470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3224510 ns MR4_I 0000024c e7fefff0 - 3224530 ns MR4_I 00000250 40006000 - 3224530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3224570 ns MR4_I 0000024c e7fefff0 - 3224590 ns MR4_I 00000250 40006000 - 3224590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3224630 ns MR4_I 0000024c e7fefff0 - 3224650 ns MR4_I 00000250 40006000 - 3224650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3224690 ns MR4_I 0000024c e7fefff0 - 3224710 ns MR4_I 00000250 40006000 - 3224710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3224750 ns MR4_I 0000024c e7fefff0 - 3224770 ns MR4_I 00000250 40006000 - 3224770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3224810 ns MR4_I 0000024c e7fefff0 - 3224830 ns MR4_I 00000250 40006000 - 3224830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3224870 ns MR4_I 0000024c e7fefff0 - 3224890 ns MR4_I 00000250 40006000 - 3224890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3224930 ns MR4_I 0000024c e7fefff0 - 3224950 ns MR4_I 00000250 40006000 - 3224950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3224990 ns MR4_I 0000024c e7fefff0 - 3225010 ns MR4_I 00000250 40006000 - 3225010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3225050 ns MR4_I 0000024c e7fefff0 - 3225070 ns MR4_I 00000250 40006000 - 3225070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3225110 ns MR4_I 0000024c e7fefff0 - 3225130 ns MR4_I 00000250 40006000 - 3225130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3225170 ns MR4_I 0000024c e7fefff0 - 3225190 ns MR4_I 00000250 40006000 - 3225190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3225230 ns MR4_I 0000024c e7fefff0 - 3225250 ns MR4_I 00000250 40006000 - 3225250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3225290 ns MR4_I 0000024c e7fefff0 - 3225310 ns MR4_I 00000250 40006000 - 3225310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3225350 ns MR4_I 0000024c e7fefff0 - 3225370 ns MR4_I 00000250 40006000 - 3225370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3225410 ns MR4_I 0000024c e7fefff0 - 3225430 ns MR4_I 00000250 40006000 - 3225430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3225470 ns MR4_I 0000024c e7fefff0 - 3225490 ns MR4_I 00000250 40006000 - 3225490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3225530 ns MR4_I 0000024c e7fefff0 - 3225550 ns MR4_I 00000250 40006000 - 3225550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3225590 ns MR4_I 0000024c e7fefff0 - 3225610 ns MR4_I 00000250 40006000 - 3225610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3225650 ns MR4_I 0000024c e7fefff0 - 3225670 ns MR4_I 00000250 40006000 - 3225670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3225710 ns MR4_I 0000024c e7fefff0 - 3225730 ns MR4_I 00000250 40006000 - 3225730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3225770 ns MR4_I 0000024c e7fefff0 - 3225790 ns MR4_I 00000250 40006000 - 3225790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3225830 ns MR4_I 0000024c e7fefff0 - 3225850 ns MR4_I 00000250 40006000 - 3225850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3225890 ns MR4_I 0000024c e7fefff0 - 3225910 ns MR4_I 00000250 40006000 - 3225910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3225950 ns MR4_I 0000024c e7fefff0 - 3225970 ns MR4_I 00000250 40006000 - 3225970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3226010 ns MR4_I 0000024c e7fefff0 - 3226030 ns MR4_I 00000250 40006000 - 3226030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3226070 ns MR4_I 0000024c e7fefff0 - 3226090 ns MR4_I 00000250 40006000 - 3226090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3226130 ns MR4_I 0000024c e7fefff0 - 3226150 ns MR4_I 00000250 40006000 - 3226150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3226190 ns MR4_I 0000024c e7fefff0 - 3226210 ns MR4_I 00000250 40006000 - 3226210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3226250 ns MR4_I 0000024c e7fefff0 - 3226270 ns MR4_I 00000250 40006000 - 3226270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3226310 ns MR4_I 0000024c e7fefff0 - 3226330 ns MR4_I 00000250 40006000 - 3226330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3226370 ns MR4_I 0000024c e7fefff0 - 3226390 ns MR4_I 00000250 40006000 - 3226390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3226430 ns MR4_I 0000024c e7fefff0 - 3226450 ns MR4_I 00000250 40006000 - 3226450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3226490 ns MR4_I 0000024c e7fefff0 - 3226510 ns MR4_I 00000250 40006000 - 3226510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3226550 ns MR4_I 0000024c e7fefff0 - 3226570 ns MR4_I 00000250 40006000 - 3226570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3226610 ns MR4_I 0000024c e7fefff0 - 3226630 ns MR4_I 00000250 40006000 - 3226630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3226670 ns MR4_I 0000024c e7fefff0 - 3226690 ns MR4_I 00000250 40006000 - 3226690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3226730 ns MR4_I 0000024c e7fefff0 - 3226750 ns MR4_I 00000250 40006000 - 3226750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3226790 ns MR4_I 0000024c e7fefff0 - 3226810 ns MR4_I 00000250 40006000 - 3226810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3226850 ns MR4_I 0000024c e7fefff0 - 3226870 ns MR4_I 00000250 40006000 - 3226870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3226910 ns MR4_I 0000024c e7fefff0 - 3226930 ns MR4_I 00000250 40006000 - 3226930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3226970 ns MR4_I 0000024c e7fefff0 - 3226990 ns MR4_I 00000250 40006000 - 3226990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3227030 ns MR4_I 0000024c e7fefff0 - 3227050 ns MR4_I 00000250 40006000 - 3227050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3227090 ns MR4_I 0000024c e7fefff0 - 3227110 ns MR4_I 00000250 40006000 - 3227110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3227150 ns MR4_I 0000024c e7fefff0 - 3227170 ns MR4_I 00000250 40006000 - 3227170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3227210 ns MR4_I 0000024c e7fefff0 - 3227230 ns MR4_I 00000250 40006000 - 3227230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3227270 ns MR4_I 0000024c e7fefff0 - 3227290 ns MR4_I 00000250 40006000 - 3227290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3227330 ns MR4_I 0000024c e7fefff0 - 3227350 ns MR4_I 00000250 40006000 - 3227350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3227390 ns MR4_I 0000024c e7fefff0 - 3227410 ns MR4_I 00000250 40006000 - 3227410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3227450 ns MR4_I 0000024c e7fefff0 - 3227470 ns MR4_I 00000250 40006000 - 3227470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3227510 ns MR4_I 0000024c e7fefff0 - 3227530 ns MR4_I 00000250 40006000 - 3227530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3227570 ns MR4_I 0000024c e7fefff0 - 3227590 ns MR4_I 00000250 40006000 - 3227590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3227630 ns MR4_I 0000024c e7fefff0 - 3227650 ns MR4_I 00000250 40006000 - 3227650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3227690 ns MR4_I 0000024c e7fefff0 - 3227710 ns MR4_I 00000250 40006000 - 3227710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3227750 ns MR4_I 0000024c e7fefff0 - 3227770 ns MR4_I 00000250 40006000 - 3227770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3227810 ns MR4_I 0000024c e7fefff0 - 3227830 ns MR4_I 00000250 40006000 - 3227830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3227870 ns MR4_I 0000024c e7fefff0 - 3227890 ns MR4_I 00000250 40006000 - 3227890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3227930 ns MR4_I 0000024c e7fefff0 - 3227950 ns MR4_I 00000250 40006000 - 3227950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3227990 ns MR4_I 0000024c e7fefff0 - 3228010 ns MR4_I 00000250 40006000 - 3228010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3228050 ns MR4_I 0000024c e7fefff0 - 3228070 ns MR4_I 00000250 40006000 - 3228070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3228110 ns MR4_I 0000024c e7fefff0 - 3228130 ns MR4_I 00000250 40006000 - 3228130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3228170 ns MR4_I 0000024c e7fefff0 - 3228190 ns MR4_I 00000250 40006000 - 3228190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3228230 ns MR4_I 0000024c e7fefff0 - 3228250 ns MR4_I 00000250 40006000 - 3228250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3228290 ns MR4_I 0000024c e7fefff0 - 3228310 ns MR4_I 00000250 40006000 - 3228310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3228350 ns MR4_I 0000024c e7fefff0 - 3228370 ns MR4_I 00000250 40006000 - 3228370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3228410 ns MR4_I 0000024c e7fefff0 - 3228430 ns MR4_I 00000250 40006000 - 3228430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3228470 ns MR4_I 0000024c e7fefff0 - 3228490 ns MR4_I 00000250 40006000 - 3228490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3228530 ns MR4_I 0000024c e7fefff0 - 3228550 ns MR4_I 00000250 40006000 - 3228550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3228590 ns MR4_I 0000024c e7fefff0 - 3228610 ns MR4_I 00000250 40006000 - 3228610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3228650 ns MR4_I 0000024c e7fefff0 - 3228670 ns MR4_I 00000250 40006000 - 3228670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3228710 ns MR4_I 0000024c e7fefff0 - 3228730 ns MR4_I 00000250 40006000 - 3228730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3228770 ns MR4_I 0000024c e7fefff0 - 3228790 ns MR4_I 00000250 40006000 - 3228790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3228830 ns MR4_I 0000024c e7fefff0 - 3228850 ns MR4_I 00000250 40006000 - 3228850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3228890 ns MR4_I 0000024c e7fefff0 - 3228910 ns MR4_I 00000250 40006000 - 3228910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3228950 ns MR4_I 0000024c e7fefff0 - 3228970 ns MR4_I 00000250 40006000 - 3228970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3229010 ns MR4_I 0000024c e7fefff0 - 3229030 ns MR4_I 00000250 40006000 - 3229030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3229070 ns MR4_I 0000024c e7fefff0 - 3229090 ns MR4_I 00000250 40006000 - 3229090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3229130 ns MR4_I 0000024c e7fefff0 - 3229150 ns MR4_I 00000250 40006000 - 3229150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3229190 ns MR4_I 0000024c e7fefff0 - 3229210 ns MR4_I 00000250 40006000 - 3229210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3229250 ns MR4_I 0000024c e7fefff0 - 3229270 ns MR4_I 00000250 40006000 - 3229270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3229310 ns MR4_I 0000024c e7fefff0 - 3229330 ns MR4_I 00000250 40006000 - 3229330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3229370 ns MR4_I 0000024c e7fefff0 - 3229390 ns MR4_I 00000250 40006000 - 3229390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3229430 ns MR4_I 0000024c e7fefff0 - 3229450 ns MR4_I 00000250 40006000 - 3229450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3229490 ns MR4_I 0000024c e7fefff0 - 3229510 ns MR4_I 00000250 40006000 - 3229510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3229550 ns MR4_I 0000024c e7fefff0 - 3229570 ns MR4_I 00000250 40006000 - 3229570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3229610 ns MR4_I 0000024c e7fefff0 - 3229630 ns MR4_I 00000250 40006000 - 3229630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3229670 ns MR4_I 0000024c e7fefff0 - 3229690 ns MR4_I 00000250 40006000 - 3229690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3229730 ns MR4_I 0000024c e7fefff0 - 3229750 ns MR4_I 00000250 40006000 - 3229750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3229790 ns MR4_I 0000024c e7fefff0 - 3229810 ns MR4_I 00000250 40006000 - 3229810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3229850 ns MR4_I 0000024c e7fefff0 - 3229870 ns MR4_I 00000250 40006000 - 3229870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3229910 ns MR4_I 0000024c e7fefff0 - 3229930 ns MR4_I 00000250 40006000 - 3229930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3229970 ns MR4_I 0000024c e7fefff0 - 3229990 ns MR4_I 00000250 40006000 - 3229990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3230030 ns MR4_I 0000024c e7fefff0 - 3230050 ns MR4_I 00000250 40006000 - 3230050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3230090 ns MR4_I 0000024c e7fefff0 - 3230110 ns MR4_I 00000250 40006000 - 3230110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3230150 ns MR4_I 0000024c e7fefff0 - 3230170 ns MR4_I 00000250 40006000 - 3230170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3230210 ns MR4_I 0000024c e7fefff0 - 3230230 ns MR4_I 00000250 40006000 - 3230230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3230270 ns MR4_I 0000024c e7fefff0 - 3230290 ns MR4_I 00000250 40006000 - 3230290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3230330 ns MR4_I 0000024c e7fefff0 - 3230350 ns MR4_I 00000250 40006000 - 3230350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3230390 ns MR4_I 0000024c e7fefff0 - 3230410 ns MR4_I 00000250 40006000 - 3230410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3230450 ns MR4_I 0000024c e7fefff0 - 3230470 ns MR4_I 00000250 40006000 - 3230470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3230510 ns MR4_I 0000024c e7fefff0 - 3230530 ns MR4_I 00000250 40006000 - 3230530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3230570 ns MR4_I 0000024c e7fefff0 - 3230590 ns MR4_I 00000250 40006000 - 3230590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3230630 ns MR4_I 0000024c e7fefff0 - 3230650 ns MR4_I 00000250 40006000 - 3230650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3230690 ns MR4_I 0000024c e7fefff0 - 3230710 ns MR4_I 00000250 40006000 - 3230710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3230750 ns MR4_I 0000024c e7fefff0 - 3230770 ns MR4_I 00000250 40006000 - 3230770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3230810 ns MR4_I 0000024c e7fefff0 - 3230830 ns MR4_I 00000250 40006000 - 3230830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3230870 ns MR4_I 0000024c e7fefff0 - 3230890 ns MR4_I 00000250 40006000 - 3230890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3230930 ns MR4_I 0000024c e7fefff0 - 3230950 ns MR4_I 00000250 40006000 - 3230950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3230990 ns MR4_I 0000024c e7fefff0 - 3231010 ns MR4_I 00000250 40006000 - 3231010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3231050 ns MR4_I 0000024c e7fefff0 - 3231070 ns MR4_I 00000250 40006000 - 3231070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3231110 ns MR4_I 0000024c e7fefff0 - 3231130 ns MR4_I 00000250 40006000 - 3231130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3231170 ns MR4_I 0000024c e7fefff0 - 3231190 ns MR4_I 00000250 40006000 - 3231190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3231230 ns MR4_I 0000024c e7fefff0 - 3231250 ns MR4_I 00000250 40006000 - 3231250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3231290 ns MR4_I 0000024c e7fefff0 - 3231310 ns MR4_I 00000250 40006000 - 3231310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3231350 ns MR4_I 0000024c e7fefff0 - 3231370 ns MR4_I 00000250 40006000 - 3231370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3231410 ns MR4_I 0000024c e7fefff0 - 3231430 ns MR4_I 00000250 40006000 - 3231430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3231470 ns MR4_I 0000024c e7fefff0 - 3231490 ns MR4_I 00000250 40006000 - 3231490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3231530 ns MR4_I 0000024c e7fefff0 - 3231550 ns MR4_I 00000250 40006000 - 3231550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3231590 ns MR4_I 0000024c e7fefff0 - 3231610 ns MR4_I 00000250 40006000 - 3231610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3231650 ns MR4_I 0000024c e7fefff0 - 3231670 ns MR4_I 00000250 40006000 - 3231670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3231710 ns MR4_I 0000024c e7fefff0 - 3231730 ns MR4_I 00000250 40006000 - 3231730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3231770 ns MR4_I 0000024c e7fefff0 - 3231790 ns MR4_I 00000250 40006000 - 3231790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3231830 ns MR4_I 0000024c e7fefff0 - 3231850 ns MR4_I 00000250 40006000 - 3231850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3231890 ns MR4_I 0000024c e7fefff0 - 3231910 ns MR4_I 00000250 40006000 - 3231910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3231950 ns MR4_I 0000024c e7fefff0 - 3231970 ns MR4_I 00000250 40006000 - 3231970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3232010 ns MR4_I 0000024c e7fefff0 - 3232030 ns MR4_I 00000250 40006000 - 3232030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3232070 ns MR4_I 0000024c e7fefff0 - 3232090 ns MR4_I 00000250 40006000 - 3232090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3232130 ns MR4_I 0000024c e7fefff0 - 3232150 ns MR4_I 00000250 40006000 - 3232150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3232190 ns MR4_I 0000024c e7fefff0 - 3232210 ns MR4_I 00000250 40006000 - 3232210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3232250 ns MR4_I 0000024c e7fefff0 - 3232270 ns MR4_I 00000250 40006000 - 3232270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3232310 ns MR4_I 0000024c e7fefff0 - 3232330 ns MR4_I 00000250 40006000 - 3232330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3232370 ns MR4_I 0000024c e7fefff0 - 3232390 ns MR4_I 00000250 40006000 - 3232390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3232430 ns MR4_I 0000024c e7fefff0 - 3232450 ns MR4_I 00000250 40006000 - 3232450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3232490 ns MR4_I 0000024c e7fefff0 - 3232510 ns MR4_I 00000250 40006000 - 3232510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3232550 ns MR4_I 0000024c e7fefff0 - 3232570 ns MR4_I 00000250 40006000 - 3232570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3232610 ns MR4_I 0000024c e7fefff0 - 3232630 ns MR4_I 00000250 40006000 - 3232630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3232670 ns MR4_I 0000024c e7fefff0 - 3232690 ns MR4_I 00000250 40006000 - 3232690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3232730 ns MR4_I 0000024c e7fefff0 - 3232750 ns MR4_I 00000250 40006000 - 3232750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3232790 ns MR4_I 0000024c e7fefff0 - 3232810 ns MR4_I 00000250 40006000 - 3232810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3232850 ns MR4_I 0000024c e7fefff0 - 3232870 ns MR4_I 00000250 40006000 - 3232870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3232910 ns MR4_I 0000024c e7fefff0 - 3232930 ns MR4_I 00000250 40006000 - 3232930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3232970 ns MR4_I 0000024c e7fefff0 - 3232990 ns MR4_I 00000250 40006000 - 3232990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3233030 ns MR4_I 0000024c e7fefff0 - 3233050 ns MR4_I 00000250 40006000 - 3233050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3233090 ns MR4_I 0000024c e7fefff0 - 3233110 ns MR4_I 00000250 40006000 - 3233110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3233150 ns MR4_I 0000024c e7fefff0 - 3233170 ns MR4_I 00000250 40006000 - 3233170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3233210 ns MR4_I 0000024c e7fefff0 - 3233230 ns MR4_I 00000250 40006000 - 3233230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3233270 ns MR4_I 0000024c e7fefff0 - 3233290 ns MR4_I 00000250 40006000 - 3233290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3233330 ns MR4_I 0000024c e7fefff0 - 3233350 ns MR4_I 00000250 40006000 - 3233350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3233390 ns MR4_I 0000024c e7fefff0 - 3233410 ns MR4_I 00000250 40006000 - 3233410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3233450 ns MR4_I 0000024c e7fefff0 - 3233470 ns MR4_I 00000250 40006000 - 3233470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3233510 ns MR4_I 0000024c e7fefff0 - 3233530 ns MR4_I 00000250 40006000 - 3233530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3233570 ns MR4_I 0000024c e7fefff0 - 3233590 ns MR4_I 00000250 40006000 - 3233590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3233630 ns MR4_I 0000024c e7fefff0 - 3233650 ns MR4_I 00000250 40006000 - 3233650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3233690 ns MR4_I 0000024c e7fefff0 - 3233710 ns MR4_I 00000250 40006000 - 3233710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3233750 ns MR4_I 0000024c e7fefff0 - 3233770 ns MR4_I 00000250 40006000 - 3233770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3233810 ns MR4_I 0000024c e7fefff0 - 3233830 ns MR4_I 00000250 40006000 - 3233830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3233870 ns MR4_I 0000024c e7fefff0 - 3233890 ns MR4_I 00000250 40006000 - 3233890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3233930 ns MR4_I 0000024c e7fefff0 - 3233950 ns MR4_I 00000250 40006000 - 3233950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3233990 ns MR4_I 0000024c e7fefff0 - 3234010 ns MR4_I 00000250 40006000 - 3234010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3234050 ns MR4_I 0000024c e7fefff0 - 3234070 ns MR4_I 00000250 40006000 - 3234070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3234110 ns MR4_I 0000024c e7fefff0 - 3234130 ns MR4_I 00000250 40006000 - 3234130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3234170 ns MR4_I 0000024c e7fefff0 - 3234190 ns MR4_I 00000250 40006000 - 3234190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3234230 ns MR4_I 0000024c e7fefff0 - 3234250 ns MR4_I 00000250 40006000 - 3234250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3234290 ns MR4_I 0000024c e7fefff0 - 3234310 ns MR4_I 00000250 40006000 - 3234310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3234350 ns MR4_I 0000024c e7fefff0 - 3234370 ns MR4_I 00000250 40006000 - 3234370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3234410 ns MR4_I 0000024c e7fefff0 - 3234430 ns MR4_I 00000250 40006000 - 3234430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3234470 ns MR4_I 0000024c e7fefff0 - 3234490 ns MR4_I 00000250 40006000 - 3234490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3234530 ns MR4_I 0000024c e7fefff0 - 3234550 ns MR4_I 00000250 40006000 - 3234550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3234590 ns MR4_I 0000024c e7fefff0 - 3234610 ns MR4_I 00000250 40006000 - 3234610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3234650 ns MR4_I 0000024c e7fefff0 - 3234670 ns MR4_I 00000250 40006000 - 3234670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3234710 ns MR4_I 0000024c e7fefff0 - 3234730 ns MR4_I 00000250 40006000 - 3234730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3234770 ns MR4_I 0000024c e7fefff0 - 3234790 ns MR4_I 00000250 40006000 - 3234790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3234830 ns MR4_I 0000024c e7fefff0 - 3234850 ns MR4_I 00000250 40006000 - 3234850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3234890 ns MR4_I 0000024c e7fefff0 - 3234910 ns MR4_I 00000250 40006000 - 3234910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3234950 ns MR4_I 0000024c e7fefff0 - 3234970 ns MR4_I 00000250 40006000 - 3234970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3235010 ns MR4_I 0000024c e7fefff0 - 3235030 ns MR4_I 00000250 40006000 - 3235030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3235070 ns MR4_I 0000024c e7fefff0 - 3235090 ns MR4_I 00000250 40006000 - 3235090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3235130 ns MR4_I 0000024c e7fefff0 - 3235150 ns MR4_I 00000250 40006000 - 3235150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3235190 ns MR4_I 0000024c e7fefff0 - 3235210 ns MR4_I 00000250 40006000 - 3235210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3235250 ns MR4_I 0000024c e7fefff0 - 3235270 ns MR4_I 00000250 40006000 - 3235270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3235310 ns MR4_I 0000024c e7fefff0 - 3235330 ns MR4_I 00000250 40006000 - 3235330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3235370 ns MR4_I 0000024c e7fefff0 - 3235390 ns MR4_I 00000250 40006000 - 3235390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3235430 ns MR4_I 0000024c e7fefff0 - 3235450 ns MR4_I 00000250 40006000 - 3235450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3235490 ns MR4_I 0000024c e7fefff0 - 3235510 ns MR4_I 00000250 40006000 - 3235510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3235550 ns MR4_I 0000024c e7fefff0 - 3235570 ns MR4_I 00000250 40006000 - 3235570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3235610 ns MR4_I 0000024c e7fefff0 - 3235630 ns MR4_I 00000250 40006000 - 3235630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3235670 ns MR4_I 0000024c e7fefff0 - 3235690 ns MR4_I 00000250 40006000 - 3235690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3235730 ns MR4_I 0000024c e7fefff0 - 3235750 ns MR4_I 00000250 40006000 - 3235750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3235790 ns MR4_I 0000024c e7fefff0 - 3235810 ns MR4_I 00000250 40006000 - 3235810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3235850 ns MR4_I 0000024c e7fefff0 - 3235870 ns MR4_I 00000250 40006000 - 3235870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3235910 ns MR4_I 0000024c e7fefff0 - 3235930 ns MR4_I 00000250 40006000 - 3235930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3235970 ns MR4_I 0000024c e7fefff0 - 3235990 ns MR4_I 00000250 40006000 - 3235990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3236030 ns MR4_I 0000024c e7fefff0 - 3236050 ns MR4_I 00000250 40006000 - 3236050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3236090 ns MR4_I 0000024c e7fefff0 - 3236110 ns MR4_I 00000250 40006000 - 3236110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3236150 ns MR4_I 0000024c e7fefff0 - 3236170 ns MR4_I 00000250 40006000 - 3236170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3236210 ns MR4_I 0000024c e7fefff0 - 3236230 ns MR4_I 00000250 40006000 - 3236230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3236270 ns MR4_I 0000024c e7fefff0 - 3236290 ns MR4_I 00000250 40006000 - 3236290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3236330 ns MR4_I 0000024c e7fefff0 - 3236350 ns MR4_I 00000250 40006000 - 3236350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3236390 ns MR4_I 0000024c e7fefff0 - 3236410 ns MR4_I 00000250 40006000 - 3236410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3236450 ns MR4_I 0000024c e7fefff0 - 3236470 ns MR4_I 00000250 40006000 - 3236470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3236510 ns MR4_I 0000024c e7fefff0 - 3236530 ns MR4_I 00000250 40006000 - 3236530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3236570 ns MR4_I 0000024c e7fefff0 - 3236590 ns MR4_I 00000250 40006000 - 3236590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3236630 ns MR4_I 0000024c e7fefff0 - 3236650 ns MR4_I 00000250 40006000 - 3236650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3236690 ns MR4_I 0000024c e7fefff0 - 3236710 ns MR4_I 00000250 40006000 - 3236710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3236750 ns MR4_I 0000024c e7fefff0 - 3236770 ns MR4_I 00000250 40006000 - 3236770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3236810 ns MR4_I 0000024c e7fefff0 - 3236830 ns MR4_I 00000250 40006000 - 3236830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3236870 ns MR4_I 0000024c e7fefff0 - 3236890 ns MR4_I 00000250 40006000 - 3236890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3236930 ns MR4_I 0000024c e7fefff0 - 3236950 ns MR4_I 00000250 40006000 - 3236950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3236990 ns MR4_I 0000024c e7fefff0 - 3237010 ns MR4_I 00000250 40006000 - 3237010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3237050 ns MR4_I 0000024c e7fefff0 - 3237070 ns MR4_I 00000250 40006000 - 3237070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3237110 ns MR4_I 0000024c e7fefff0 - 3237130 ns MR4_I 00000250 40006000 - 3237130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3237170 ns MR4_I 0000024c e7fefff0 - 3237190 ns MR4_I 00000250 40006000 - 3237190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3237230 ns MR4_I 0000024c e7fefff0 - 3237250 ns MR4_I 00000250 40006000 - 3237250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3237290 ns MR4_I 0000024c e7fefff0 - 3237310 ns MR4_I 00000250 40006000 - 3237310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3237350 ns MR4_I 0000024c e7fefff0 - 3237370 ns MR4_I 00000250 40006000 - 3237370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3237410 ns MR4_I 0000024c e7fefff0 - 3237430 ns MR4_I 00000250 40006000 - 3237430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3237470 ns MR4_I 0000024c e7fefff0 - 3237490 ns MR4_I 00000250 40006000 - 3237490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3237530 ns MR4_I 0000024c e7fefff0 - 3237550 ns MR4_I 00000250 40006000 - 3237550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3237590 ns MR4_I 0000024c e7fefff0 - 3237610 ns MR4_I 00000250 40006000 - 3237610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3237650 ns MR4_I 0000024c e7fefff0 - 3237670 ns MR4_I 00000250 40006000 - 3237670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3237710 ns MR4_I 0000024c e7fefff0 - 3237730 ns MR4_I 00000250 40006000 - 3237730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3237770 ns MR4_I 0000024c e7fefff0 - 3237790 ns MR4_I 00000250 40006000 - 3237790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3237830 ns MR4_I 0000024c e7fefff0 - 3237850 ns MR4_I 00000250 40006000 - 3237850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3237890 ns MR4_I 0000024c e7fefff0 - 3237910 ns MR4_I 00000250 40006000 - 3237910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3237950 ns MR4_I 0000024c e7fefff0 - 3237970 ns MR4_I 00000250 40006000 - 3237970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3238010 ns MR4_I 0000024c e7fefff0 - 3238030 ns MR4_I 00000250 40006000 - 3238030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3238070 ns MR4_I 0000024c e7fefff0 - 3238090 ns MR4_I 00000250 40006000 - 3238090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3238130 ns MR4_I 0000024c e7fefff0 - 3238150 ns MR4_I 00000250 40006000 - 3238150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3238190 ns MR4_I 0000024c e7fefff0 - 3238210 ns MR4_I 00000250 40006000 - 3238210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3238250 ns MR4_I 0000024c e7fefff0 - 3238270 ns MR4_I 00000250 40006000 - 3238270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3238310 ns MR4_I 0000024c e7fefff0 - 3238330 ns MR4_I 00000250 40006000 - 3238330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3238370 ns MR4_I 0000024c e7fefff0 - 3238390 ns MR4_I 00000250 40006000 - 3238390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3238430 ns MR4_I 0000024c e7fefff0 - 3238450 ns MR4_I 00000250 40006000 - 3238450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3238490 ns MR4_I 0000024c e7fefff0 - 3238510 ns MR4_I 00000250 40006000 - 3238510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3238550 ns MR4_I 0000024c e7fefff0 - 3238570 ns MR4_I 00000250 40006000 - 3238570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3238610 ns MR4_I 0000024c e7fefff0 - 3238630 ns MR4_I 00000250 40006000 - 3238630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3238670 ns MR4_I 0000024c e7fefff0 - 3238690 ns MR4_I 00000250 40006000 - 3238690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3238730 ns MR4_I 0000024c e7fefff0 - 3238750 ns MR4_I 00000250 40006000 - 3238750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3238790 ns MR4_I 0000024c e7fefff0 - 3238810 ns MR4_I 00000250 40006000 - 3238810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3238850 ns MR4_I 0000024c e7fefff0 - 3238870 ns MR4_I 00000250 40006000 - 3238870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3238910 ns MR4_I 0000024c e7fefff0 - 3238930 ns MR4_I 00000250 40006000 - 3238930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3238970 ns MR4_I 0000024c e7fefff0 - 3238990 ns MR4_I 00000250 40006000 - 3238990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3239030 ns MR4_I 0000024c e7fefff0 - 3239050 ns MR4_I 00000250 40006000 - 3239050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3239090 ns MR4_I 0000024c e7fefff0 - 3239110 ns MR4_I 00000250 40006000 - 3239110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3239150 ns MR4_I 0000024c e7fefff0 - 3239170 ns MR4_I 00000250 40006000 - 3239170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3239210 ns MR4_I 0000024c e7fefff0 - 3239230 ns MR4_I 00000250 40006000 - 3239230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3239270 ns MR4_I 0000024c e7fefff0 - 3239290 ns MR4_I 00000250 40006000 - 3239290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3239330 ns MR4_I 0000024c e7fefff0 - 3239350 ns MR4_I 00000250 40006000 - 3239350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3239390 ns MR4_I 0000024c e7fefff0 - 3239410 ns MR4_I 00000250 40006000 - 3239410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3239450 ns MR4_I 0000024c e7fefff0 - 3239470 ns MR4_I 00000250 40006000 - 3239470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3239510 ns MR4_I 0000024c e7fefff0 - 3239530 ns MR4_I 00000250 40006000 - 3239530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3239570 ns MR4_I 0000024c e7fefff0 - 3239590 ns MR4_I 00000250 40006000 - 3239590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3239630 ns MR4_I 0000024c e7fefff0 - 3239650 ns MR4_I 00000250 40006000 - 3239650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3239690 ns MR4_I 0000024c e7fefff0 - 3239710 ns MR4_I 00000250 40006000 - 3239710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3239750 ns MR4_I 0000024c e7fefff0 - 3239770 ns MR4_I 00000250 40006000 - 3239770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3239810 ns MR4_I 0000024c e7fefff0 - 3239830 ns MR4_I 00000250 40006000 - 3239830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3239870 ns MR4_I 0000024c e7fefff0 - 3239890 ns MR4_I 00000250 40006000 - 3239890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3239930 ns MR4_I 0000024c e7fefff0 - 3239950 ns MR4_I 00000250 40006000 - 3239950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3239990 ns MR4_I 0000024c e7fefff0 - 3240010 ns MR4_I 00000250 40006000 - 3240010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3240050 ns MR4_I 0000024c e7fefff0 - 3240070 ns MR4_I 00000250 40006000 - 3240070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3240110 ns MR4_I 0000024c e7fefff0 - 3240130 ns MR4_I 00000250 40006000 - 3240130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3240170 ns MR4_I 0000024c e7fefff0 - 3240190 ns MR4_I 00000250 40006000 - 3240190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3240230 ns MR4_I 0000024c e7fefff0 - 3240250 ns MR4_I 00000250 40006000 - 3240250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3240290 ns MR4_I 0000024c e7fefff0 - 3240310 ns MR4_I 00000250 40006000 - 3240310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3240350 ns MR4_I 0000024c e7fefff0 - 3240370 ns MR4_I 00000250 40006000 - 3240370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3240410 ns MR4_I 0000024c e7fefff0 - 3240430 ns MR4_I 00000250 40006000 - 3240430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3240470 ns MR4_I 0000024c e7fefff0 - 3240490 ns MR4_I 00000250 40006000 - 3240490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3240530 ns MR4_I 0000024c e7fefff0 - 3240550 ns MR4_I 00000250 40006000 - 3240550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3240590 ns MR4_I 0000024c e7fefff0 - 3240610 ns MR4_I 00000250 40006000 - 3240610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3240650 ns MR4_I 0000024c e7fefff0 - 3240670 ns MR4_I 00000250 40006000 - 3240670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3240710 ns MR4_I 0000024c e7fefff0 - 3240730 ns MR4_I 00000250 40006000 - 3240730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3240770 ns MR4_I 0000024c e7fefff0 - 3240790 ns MR4_I 00000250 40006000 - 3240790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3240830 ns MR4_I 0000024c e7fefff0 - 3240850 ns MR4_I 00000250 40006000 - 3240850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3240890 ns MR4_I 0000024c e7fefff0 - 3240910 ns MR4_I 00000250 40006000 - 3240910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3240950 ns MR4_I 0000024c e7fefff0 - 3240970 ns MR4_I 00000250 40006000 - 3240970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3241010 ns MR4_I 0000024c e7fefff0 - 3241030 ns MR4_I 00000250 40006000 - 3241030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3241070 ns MR4_I 0000024c e7fefff0 - 3241090 ns MR4_I 00000250 40006000 - 3241090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3241130 ns MR4_I 0000024c e7fefff0 - 3241150 ns MR4_I 00000250 40006000 - 3241150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3241190 ns MR4_I 0000024c e7fefff0 - 3241210 ns MR4_I 00000250 40006000 - 3241210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3241250 ns MR4_I 0000024c e7fefff0 - 3241270 ns MR4_I 00000250 40006000 - 3241270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3241310 ns MR4_I 0000024c e7fefff0 - 3241330 ns MR4_I 00000250 40006000 - 3241330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3241370 ns MR4_I 0000024c e7fefff0 - 3241390 ns MR4_I 00000250 40006000 - 3241390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3241430 ns MR4_I 0000024c e7fefff0 - 3241450 ns MR4_I 00000250 40006000 - 3241450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3241490 ns MR4_I 0000024c e7fefff0 - 3241510 ns MR4_I 00000250 40006000 - 3241510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3241550 ns MR4_I 0000024c e7fefff0 - 3241570 ns MR4_I 00000250 40006000 - 3241570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3241610 ns MR4_I 0000024c e7fefff0 - 3241630 ns MR4_I 00000250 40006000 - 3241630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3241670 ns MR4_I 0000024c e7fefff0 - 3241690 ns MR4_I 00000250 40006000 - 3241690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3241730 ns MR4_I 0000024c e7fefff0 - 3241750 ns MR4_I 00000250 40006000 - 3241750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3241790 ns MR4_I 0000024c e7fefff0 - 3241810 ns MR4_I 00000250 40006000 - 3241810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3241850 ns MR4_I 0000024c e7fefff0 - 3241870 ns MR4_I 00000250 40006000 - 3241870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3241910 ns MR4_I 0000024c e7fefff0 - 3241930 ns MR4_I 00000250 40006000 - 3241930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3241970 ns MR4_I 0000024c e7fefff0 - 3241990 ns MR4_I 00000250 40006000 - 3241990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3242030 ns MR4_I 0000024c e7fefff0 - 3242050 ns MR4_I 00000250 40006000 - 3242050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3242090 ns MR4_I 0000024c e7fefff0 - 3242110 ns MR4_I 00000250 40006000 - 3242110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3242150 ns MR4_I 0000024c e7fefff0 - 3242170 ns MR4_I 00000250 40006000 - 3242170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3242210 ns MR4_I 0000024c e7fefff0 - 3242230 ns MR4_I 00000250 40006000 - 3242230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3242270 ns MR4_I 0000024c e7fefff0 - 3242290 ns MR4_I 00000250 40006000 - 3242290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3242330 ns MR4_I 0000024c e7fefff0 - 3242350 ns MR4_I 00000250 40006000 - 3242350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3242390 ns MR4_I 0000024c e7fefff0 - 3242410 ns MR4_I 00000250 40006000 - 3242410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3242450 ns MR4_I 0000024c e7fefff0 - 3242470 ns MR4_I 00000250 40006000 - 3242470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3242510 ns MR4_I 0000024c e7fefff0 - 3242530 ns MR4_I 00000250 40006000 - 3242530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3242570 ns MR4_I 0000024c e7fefff0 - 3242590 ns MR4_I 00000250 40006000 - 3242590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3242630 ns MR4_I 0000024c e7fefff0 - 3242650 ns MR4_I 00000250 40006000 - 3242650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3242690 ns MR4_I 0000024c e7fefff0 - 3242710 ns MR4_I 00000250 40006000 - 3242710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3242750 ns MR4_I 0000024c e7fefff0 - 3242770 ns MR4_I 00000250 40006000 - 3242770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3242810 ns MR4_I 0000024c e7fefff0 - 3242830 ns MR4_I 00000250 40006000 - 3242830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3242870 ns MR4_I 0000024c e7fefff0 - 3242890 ns MR4_I 00000250 40006000 - 3242890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3242930 ns MR4_I 0000024c e7fefff0 - 3242950 ns MR4_I 00000250 40006000 - 3242950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3242990 ns MR4_I 0000024c e7fefff0 - 3243010 ns MR4_I 00000250 40006000 - 3243010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3243050 ns MR4_I 0000024c e7fefff0 - 3243070 ns MR4_I 00000250 40006000 - 3243070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3243110 ns MR4_I 0000024c e7fefff0 - 3243130 ns MR4_I 00000250 40006000 - 3243130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3243170 ns MR4_I 0000024c e7fefff0 - 3243190 ns MR4_I 00000250 40006000 - 3243190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3243230 ns MR4_I 0000024c e7fefff0 - 3243250 ns MR4_I 00000250 40006000 - 3243250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3243290 ns MR4_I 0000024c e7fefff0 - 3243310 ns MR4_I 00000250 40006000 - 3243310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3243350 ns MR4_I 0000024c e7fefff0 - 3243370 ns MR4_I 00000250 40006000 - 3243370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3243410 ns MR4_I 0000024c e7fefff0 - 3243430 ns MR4_I 00000250 40006000 - 3243430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3243470 ns MR4_I 0000024c e7fefff0 - 3243490 ns MR4_I 00000250 40006000 - 3243490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3243530 ns MR4_I 0000024c e7fefff0 - 3243550 ns MR4_I 00000250 40006000 - 3243550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3243590 ns MR4_I 0000024c e7fefff0 - 3243610 ns MR4_I 00000250 40006000 - 3243610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3243650 ns MR4_I 0000024c e7fefff0 - 3243670 ns MR4_I 00000250 40006000 - 3243670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3243710 ns MR4_I 0000024c e7fefff0 - 3243730 ns MR4_I 00000250 40006000 - 3243730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3243770 ns MR4_I 0000024c e7fefff0 - 3243790 ns MR4_I 00000250 40006000 - 3243790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3243830 ns MR4_I 0000024c e7fefff0 - 3243850 ns MR4_I 00000250 40006000 - 3243850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3243890 ns MR4_I 0000024c e7fefff0 - 3243910 ns MR4_I 00000250 40006000 - 3243910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3243950 ns MR4_I 0000024c e7fefff0 - 3243970 ns MR4_I 00000250 40006000 - 3243970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3244010 ns MR4_I 0000024c e7fefff0 - 3244030 ns MR4_I 00000250 40006000 - 3244030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3244070 ns MR4_I 0000024c e7fefff0 - 3244090 ns MR4_I 00000250 40006000 - 3244090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3244130 ns MR4_I 0000024c e7fefff0 - 3244150 ns MR4_I 00000250 40006000 - 3244150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3244190 ns MR4_I 0000024c e7fefff0 - 3244210 ns MR4_I 00000250 40006000 - 3244210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3244250 ns MR4_I 0000024c e7fefff0 - 3244270 ns MR4_I 00000250 40006000 - 3244270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3244310 ns MR4_I 0000024c e7fefff0 - 3244330 ns MR4_I 00000250 40006000 - 3244330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3244370 ns MR4_I 0000024c e7fefff0 - 3244390 ns MR4_I 00000250 40006000 - 3244390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3244430 ns MR4_I 0000024c e7fefff0 - 3244450 ns MR4_I 00000250 40006000 - 3244450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3244490 ns MR4_I 0000024c e7fefff0 - 3244510 ns MR4_I 00000250 40006000 - 3244510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3244550 ns MR4_I 0000024c e7fefff0 - 3244570 ns MR4_I 00000250 40006000 - 3244570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3244610 ns MR4_I 0000024c e7fefff0 - 3244630 ns MR4_I 00000250 40006000 - 3244630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3244670 ns MR4_I 0000024c e7fefff0 - 3244690 ns MR4_I 00000250 40006000 - 3244690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3244730 ns MR4_I 0000024c e7fefff0 - 3244750 ns MR4_I 00000250 40006000 - 3244750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3244790 ns MR4_I 0000024c e7fefff0 - 3244810 ns MR4_I 00000250 40006000 - 3244810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3244850 ns MR4_I 0000024c e7fefff0 - 3244870 ns MR4_I 00000250 40006000 - 3244870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3244910 ns MR4_I 0000024c e7fefff0 - 3244930 ns MR4_I 00000250 40006000 - 3244930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3244970 ns MR4_I 0000024c e7fefff0 - 3244990 ns MR4_I 00000250 40006000 - 3244990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3245030 ns MR4_I 0000024c e7fefff0 - 3245050 ns MR4_I 00000250 40006000 - 3245050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3245090 ns MR4_I 0000024c e7fefff0 - 3245110 ns MR4_I 00000250 40006000 - 3245110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3245150 ns MR4_I 0000024c e7fefff0 - 3245170 ns MR4_I 00000250 40006000 - 3245170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3245210 ns MR4_I 0000024c e7fefff0 - 3245230 ns MR4_I 00000250 40006000 - 3245230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3245270 ns MR4_I 0000024c e7fefff0 - 3245290 ns MR4_I 00000250 40006000 - 3245290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3245330 ns MR4_I 0000024c e7fefff0 - 3245350 ns MR4_I 00000250 40006000 - 3245350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3245390 ns MR4_I 0000024c e7fefff0 - 3245410 ns MR4_I 00000250 40006000 - 3245410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3245450 ns MR4_I 0000024c e7fefff0 - 3245470 ns MR4_I 00000250 40006000 - 3245470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3245510 ns MR4_I 0000024c e7fefff0 - 3245530 ns MR4_I 00000250 40006000 - 3245530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3245570 ns MR4_I 0000024c e7fefff0 - 3245590 ns MR4_I 00000250 40006000 - 3245590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3245630 ns MR4_I 0000024c e7fefff0 - 3245650 ns MR4_I 00000250 40006000 - 3245650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3245690 ns MR4_I 0000024c e7fefff0 - 3245710 ns MR4_I 00000250 40006000 - 3245710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3245750 ns MR4_I 0000024c e7fefff0 - 3245770 ns MR4_I 00000250 40006000 - 3245770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3245810 ns MR4_I 0000024c e7fefff0 - 3245830 ns MR4_I 00000250 40006000 - 3245830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3245870 ns MR4_I 0000024c e7fefff0 - 3245890 ns MR4_I 00000250 40006000 - 3245890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3245930 ns MR4_I 0000024c e7fefff0 - 3245950 ns MR4_I 00000250 40006000 - 3245950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3245990 ns MR4_I 0000024c e7fefff0 - 3246010 ns MR4_I 00000250 40006000 - 3246010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3246050 ns MR4_I 0000024c e7fefff0 - 3246070 ns MR4_I 00000250 40006000 - 3246070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3246110 ns MR4_I 0000024c e7fefff0 - 3246130 ns MR4_I 00000250 40006000 - 3246130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3246170 ns MR4_I 0000024c e7fefff0 - 3246190 ns MR4_I 00000250 40006000 - 3246190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3246230 ns MR4_I 0000024c e7fefff0 - 3246250 ns MR4_I 00000250 40006000 - 3246250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3246290 ns MR4_I 0000024c e7fefff0 - 3246310 ns MR4_I 00000250 40006000 - 3246310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3246350 ns MR4_I 0000024c e7fefff0 - 3246370 ns MR4_I 00000250 40006000 - 3246370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3246410 ns MR4_I 0000024c e7fefff0 - 3246430 ns MR4_I 00000250 40006000 - 3246430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3246470 ns MR4_I 0000024c e7fefff0 - 3246490 ns MR4_I 00000250 40006000 - 3246490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3246530 ns MR4_I 0000024c e7fefff0 - 3246550 ns MR4_I 00000250 40006000 - 3246550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3246590 ns MR4_I 0000024c e7fefff0 - 3246610 ns MR4_I 00000250 40006000 - 3246610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3246650 ns MR4_I 0000024c e7fefff0 - 3246670 ns MR4_I 00000250 40006000 - 3246670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3246710 ns MR4_I 0000024c e7fefff0 - 3246730 ns MR4_I 00000250 40006000 - 3246730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3246770 ns MR4_I 0000024c e7fefff0 - 3246790 ns MR4_I 00000250 40006000 - 3246790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3246830 ns MR4_I 0000024c e7fefff0 - 3246850 ns MR4_I 00000250 40006000 - 3246850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3246890 ns MR4_I 0000024c e7fefff0 - 3246910 ns MR4_I 00000250 40006000 - 3246910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3246950 ns MR4_I 0000024c e7fefff0 - 3246970 ns MR4_I 00000250 40006000 - 3246970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3247010 ns MR4_I 0000024c e7fefff0 - 3247030 ns MR4_I 00000250 40006000 - 3247030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3247070 ns MR4_I 0000024c e7fefff0 - 3247090 ns MR4_I 00000250 40006000 - 3247090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3247130 ns MR4_I 0000024c e7fefff0 - 3247150 ns MR4_I 00000250 40006000 - 3247150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3247190 ns MR4_I 0000024c e7fefff0 - 3247210 ns MR4_I 00000250 40006000 - 3247210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3247250 ns MR4_I 0000024c e7fefff0 - 3247270 ns MR4_I 00000250 40006000 - 3247270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3247310 ns MR4_I 0000024c e7fefff0 - 3247330 ns MR4_I 00000250 40006000 - 3247330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3247370 ns MR4_I 0000024c e7fefff0 - 3247390 ns MR4_I 00000250 40006000 - 3247390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3247430 ns MR4_I 0000024c e7fefff0 - 3247450 ns MR4_I 00000250 40006000 - 3247450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3247490 ns MR4_I 0000024c e7fefff0 - 3247510 ns MR4_I 00000250 40006000 - 3247510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3247550 ns MR4_I 0000024c e7fefff0 - 3247570 ns MR4_I 00000250 40006000 - 3247570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3247610 ns MR4_I 0000024c e7fefff0 - 3247630 ns MR4_I 00000250 40006000 - 3247630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3247670 ns MR4_I 0000024c e7fefff0 - 3247690 ns MR4_I 00000250 40006000 - 3247690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3247730 ns MR4_I 0000024c e7fefff0 - 3247750 ns MR4_I 00000250 40006000 - 3247750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3247790 ns MR4_I 0000024c e7fefff0 - 3247810 ns MR4_I 00000250 40006000 - 3247810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3247850 ns MR4_I 0000024c e7fefff0 - 3247870 ns MR4_I 00000250 40006000 - 3247870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3247910 ns MR4_I 0000024c e7fefff0 - 3247930 ns MR4_I 00000250 40006000 - 3247930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3247970 ns MR4_I 0000024c e7fefff0 - 3247990 ns MR4_I 00000250 40006000 - 3247990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3248030 ns MR4_I 0000024c e7fefff0 - 3248050 ns MR4_I 00000250 40006000 - 3248050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3248090 ns MR4_I 0000024c e7fefff0 - 3248110 ns MR4_I 00000250 40006000 - 3248110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3248150 ns MR4_I 0000024c e7fefff0 - 3248170 ns MR4_I 00000250 40006000 - 3248170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3248210 ns MR4_I 0000024c e7fefff0 - 3248230 ns MR4_I 00000250 40006000 - 3248230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3248270 ns MR4_I 0000024c e7fefff0 - 3248290 ns MR4_I 00000250 40006000 - 3248290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3248330 ns MR4_I 0000024c e7fefff0 - 3248350 ns MR4_I 00000250 40006000 - 3248350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3248390 ns MR4_I 0000024c e7fefff0 - 3248410 ns MR4_I 00000250 40006000 - 3248410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3248450 ns MR4_I 0000024c e7fefff0 - 3248470 ns MR4_I 00000250 40006000 - 3248470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3248510 ns MR4_I 0000024c e7fefff0 - 3248530 ns MR4_I 00000250 40006000 - 3248530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3248570 ns MR4_I 0000024c e7fefff0 - 3248590 ns MR4_I 00000250 40006000 - 3248590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3248630 ns MR4_I 0000024c e7fefff0 - 3248650 ns MR4_I 00000250 40006000 - 3248650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3248690 ns MR4_I 0000024c e7fefff0 - 3248710 ns MR4_I 00000250 40006000 - 3248710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3248750 ns MR4_I 0000024c e7fefff0 - 3248770 ns MR4_I 00000250 40006000 - 3248770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3248810 ns MR4_I 0000024c e7fefff0 - 3248830 ns MR4_I 00000250 40006000 - 3248830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3248870 ns MR4_I 0000024c e7fefff0 - 3248890 ns MR4_I 00000250 40006000 - 3248890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3248930 ns MR4_I 0000024c e7fefff0 - 3248950 ns MR4_I 00000250 40006000 - 3248950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3248990 ns MR4_I 0000024c e7fefff0 - 3249010 ns MR4_I 00000250 40006000 - 3249010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3249050 ns MR4_I 0000024c e7fefff0 - 3249070 ns MR4_I 00000250 40006000 - 3249070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3249110 ns MR4_I 0000024c e7fefff0 - 3249130 ns MR4_I 00000250 40006000 - 3249130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3249170 ns MR4_I 0000024c e7fefff0 - 3249190 ns MR4_I 00000250 40006000 - 3249190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3249230 ns MR4_I 0000024c e7fefff0 - 3249250 ns MR4_I 00000250 40006000 - 3249250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3249290 ns MR4_I 0000024c e7fefff0 - 3249310 ns MR4_I 00000250 40006000 - 3249310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3249350 ns MR4_I 0000024c e7fefff0 - 3249370 ns MR4_I 00000250 40006000 - 3249370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3249410 ns MR4_I 0000024c e7fefff0 - 3249430 ns MR4_I 00000250 40006000 - 3249430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3249470 ns MR4_I 0000024c e7fefff0 - 3249490 ns MR4_I 00000250 40006000 - 3249490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3249530 ns MR4_I 0000024c e7fefff0 - 3249550 ns MR4_I 00000250 40006000 - 3249550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3249590 ns MR4_I 0000024c e7fefff0 - 3249610 ns MR4_I 00000250 40006000 - 3249610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3249650 ns MR4_I 0000024c e7fefff0 - 3249670 ns MR4_I 00000250 40006000 - 3249670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3249710 ns MR4_I 0000024c e7fefff0 - 3249730 ns MR4_I 00000250 40006000 - 3249730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3249770 ns MR4_I 0000024c e7fefff0 - 3249790 ns MR4_I 00000250 40006000 - 3249790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3249830 ns MR4_I 0000024c e7fefff0 - 3249850 ns MR4_I 00000250 40006000 - 3249850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3249890 ns MR4_I 0000024c e7fefff0 - 3249910 ns MR4_I 00000250 40006000 - 3249910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3249950 ns MR4_I 0000024c e7fefff0 - 3249970 ns MR4_I 00000250 40006000 - 3249970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3250010 ns MR4_I 0000024c e7fefff0 - 3250030 ns MR4_I 00000250 40006000 - 3250030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3250070 ns MR4_I 0000024c e7fefff0 - 3250090 ns MR4_I 00000250 40006000 - 3250090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3250130 ns MR4_I 0000024c e7fefff0 - 3250150 ns MR4_I 00000250 40006000 - 3250150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3250190 ns MR4_I 0000024c e7fefff0 - 3250210 ns MR4_I 00000250 40006000 - 3250210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3250250 ns MR4_I 0000024c e7fefff0 - 3250270 ns MR4_I 00000250 40006000 - 3250270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3250310 ns MR4_I 0000024c e7fefff0 - 3250330 ns MR4_I 00000250 40006000 - 3250330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3250370 ns MR4_I 0000024c e7fefff0 - 3250390 ns MR4_I 00000250 40006000 - 3250390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3250430 ns MR4_I 0000024c e7fefff0 - 3250450 ns MR4_I 00000250 40006000 - 3250450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3250490 ns MR4_I 0000024c e7fefff0 - 3250510 ns MR4_I 00000250 40006000 - 3250510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3250550 ns MR4_I 0000024c e7fefff0 - 3250570 ns MR4_I 00000250 40006000 - 3250570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3250610 ns MR4_I 0000024c e7fefff0 - 3250630 ns MR4_I 00000250 40006000 - 3250630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3250670 ns MR4_I 0000024c e7fefff0 - 3250690 ns MR4_I 00000250 40006000 - 3250690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3250730 ns MR4_I 0000024c e7fefff0 - 3250750 ns MR4_I 00000250 40006000 - 3250750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3250790 ns MR4_I 0000024c e7fefff0 - 3250810 ns MR4_I 00000250 40006000 - 3250810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3250850 ns MR4_I 0000024c e7fefff0 - 3250870 ns MR4_I 00000250 40006000 - 3250870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3250910 ns MR4_I 0000024c e7fefff0 - 3250930 ns MR4_I 00000250 40006000 - 3250930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3250970 ns MR4_I 0000024c e7fefff0 - 3250990 ns MR4_I 00000250 40006000 - 3250990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3251030 ns MR4_I 0000024c e7fefff0 - 3251050 ns MR4_I 00000250 40006000 - 3251050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3251090 ns MR4_I 0000024c e7fefff0 - 3251110 ns MR4_I 00000250 40006000 - 3251110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3251150 ns MR4_I 0000024c e7fefff0 - 3251170 ns MR4_I 00000250 40006000 - 3251170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3251210 ns MR4_I 0000024c e7fefff0 - 3251230 ns MR4_I 00000250 40006000 - 3251230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3251270 ns MR4_I 0000024c e7fefff0 - 3251290 ns MR4_I 00000250 40006000 - 3251290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3251330 ns MR4_I 0000024c e7fefff0 - 3251350 ns MR4_I 00000250 40006000 - 3251350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3251390 ns MR4_I 0000024c e7fefff0 - 3251410 ns MR4_I 00000250 40006000 - 3251410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3251450 ns MR4_I 0000024c e7fefff0 - 3251470 ns MR4_I 00000250 40006000 - 3251470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3251510 ns MR4_I 0000024c e7fefff0 - 3251530 ns MR4_I 00000250 40006000 - 3251530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3251570 ns MR4_I 0000024c e7fefff0 - 3251590 ns MR4_I 00000250 40006000 - 3251590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3251630 ns MR4_I 0000024c e7fefff0 - 3251650 ns MR4_I 00000250 40006000 - 3251650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3251690 ns MR4_I 0000024c e7fefff0 - 3251710 ns MR4_I 00000250 40006000 - 3251710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3251750 ns MR4_I 0000024c e7fefff0 - 3251770 ns MR4_I 00000250 40006000 - 3251770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3251810 ns MR4_I 0000024c e7fefff0 - 3251830 ns MR4_I 00000250 40006000 - 3251830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3251870 ns MR4_I 0000024c e7fefff0 - 3251890 ns MR4_I 00000250 40006000 - 3251890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3251930 ns MR4_I 0000024c e7fefff0 - 3251950 ns MR4_I 00000250 40006000 - 3251950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3251990 ns MR4_I 0000024c e7fefff0 - 3252010 ns MR4_I 00000250 40006000 - 3252010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3252050 ns MR4_I 0000024c e7fefff0 - 3252070 ns MR4_I 00000250 40006000 - 3252070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3252110 ns MR4_I 0000024c e7fefff0 - 3252130 ns MR4_I 00000250 40006000 - 3252130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3252170 ns MR4_I 0000024c e7fefff0 - 3252190 ns MR4_I 00000250 40006000 - 3252190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3252230 ns MR4_I 0000024c e7fefff0 - 3252250 ns MR4_I 00000250 40006000 - 3252250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3252290 ns MR4_I 0000024c e7fefff0 - 3252310 ns MR4_I 00000250 40006000 - 3252310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3252350 ns MR4_I 0000024c e7fefff0 - 3252370 ns MR4_I 00000250 40006000 - 3252370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3252410 ns MR4_I 0000024c e7fefff0 - 3252430 ns MR4_I 00000250 40006000 - 3252430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3252470 ns MR4_I 0000024c e7fefff0 - 3252490 ns MR4_I 00000250 40006000 - 3252490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3252530 ns MR4_I 0000024c e7fefff0 - 3252550 ns MR4_I 00000250 40006000 - 3252550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3252590 ns MR4_I 0000024c e7fefff0 - 3252610 ns MR4_I 00000250 40006000 - 3252610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3252650 ns MR4_I 0000024c e7fefff0 - 3252670 ns MR4_I 00000250 40006000 - 3252670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3252710 ns MR4_I 0000024c e7fefff0 - 3252730 ns MR4_I 00000250 40006000 - 3252730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3252770 ns MR4_I 0000024c e7fefff0 - 3252790 ns MR4_I 00000250 40006000 - 3252790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3252830 ns MR4_I 0000024c e7fefff0 - 3252850 ns MR4_I 00000250 40006000 - 3252850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3252890 ns MR4_I 0000024c e7fefff0 - 3252910 ns MR4_I 00000250 40006000 - 3252910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3252950 ns MR4_I 0000024c e7fefff0 - 3252970 ns MR4_I 00000250 40006000 - 3252970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3253010 ns MR4_I 0000024c e7fefff0 - 3253030 ns MR4_I 00000250 40006000 - 3253030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3253070 ns MR4_I 0000024c e7fefff0 - 3253090 ns MR4_I 00000250 40006000 - 3253090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3253130 ns MR4_I 0000024c e7fefff0 - 3253150 ns MR4_I 00000250 40006000 - 3253150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3253190 ns MR4_I 0000024c e7fefff0 - 3253210 ns MR4_I 00000250 40006000 - 3253210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3253250 ns MR4_I 0000024c e7fefff0 - 3253270 ns MR4_I 00000250 40006000 - 3253270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3253310 ns MR4_I 0000024c e7fefff0 - 3253330 ns MR4_I 00000250 40006000 - 3253330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3253370 ns MR4_I 0000024c e7fefff0 - 3253390 ns MR4_I 00000250 40006000 - 3253390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3253430 ns MR4_I 0000024c e7fefff0 - 3253450 ns MR4_I 00000250 40006000 - 3253450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3253490 ns MR4_I 0000024c e7fefff0 - 3253510 ns MR4_I 00000250 40006000 - 3253510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3253550 ns MR4_I 0000024c e7fefff0 - 3253570 ns MR4_I 00000250 40006000 - 3253570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3253610 ns MR4_I 0000024c e7fefff0 - 3253630 ns MR4_I 00000250 40006000 - 3253630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3253670 ns MR4_I 0000024c e7fefff0 - 3253690 ns MR4_I 00000250 40006000 - 3253690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3253730 ns MR4_I 0000024c e7fefff0 - 3253750 ns MR4_I 00000250 40006000 - 3253750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3253790 ns MR4_I 0000024c e7fefff0 - 3253810 ns MR4_I 00000250 40006000 - 3253810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3253850 ns MR4_I 0000024c e7fefff0 - 3253870 ns MR4_I 00000250 40006000 - 3253870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3253910 ns MR4_I 0000024c e7fefff0 - 3253930 ns MR4_I 00000250 40006000 - 3253930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3253970 ns MR4_I 0000024c e7fefff0 - 3253990 ns MR4_I 00000250 40006000 - 3253990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3254030 ns MR4_I 0000024c e7fefff0 - 3254050 ns MR4_I 00000250 40006000 - 3254050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3254090 ns MR4_I 0000024c e7fefff0 - 3254110 ns MR4_I 00000250 40006000 - 3254110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3254150 ns MR4_I 0000024c e7fefff0 - 3254170 ns MR4_I 00000250 40006000 - 3254170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3254210 ns MR4_I 0000024c e7fefff0 - 3254230 ns MR4_I 00000250 40006000 - 3254230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3254270 ns MR4_I 0000024c e7fefff0 - 3254290 ns MR4_I 00000250 40006000 - 3254290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3254330 ns MR4_I 0000024c e7fefff0 - 3254350 ns MR4_I 00000250 40006000 - 3254350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3254390 ns MR4_I 0000024c e7fefff0 - 3254410 ns MR4_I 00000250 40006000 - 3254410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3254450 ns MR4_I 0000024c e7fefff0 - 3254470 ns MR4_I 00000250 40006000 - 3254470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3254510 ns MR4_I 0000024c e7fefff0 - 3254530 ns MR4_I 00000250 40006000 - 3254530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3254570 ns MR4_I 0000024c e7fefff0 - 3254590 ns MR4_I 00000250 40006000 - 3254590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3254630 ns MR4_I 0000024c e7fefff0 - 3254650 ns MR4_I 00000250 40006000 - 3254650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3254690 ns MR4_I 0000024c e7fefff0 - 3254710 ns MR4_I 00000250 40006000 - 3254710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3254750 ns MR4_I 0000024c e7fefff0 - 3254770 ns MR4_I 00000250 40006000 - 3254770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3254810 ns MR4_I 0000024c e7fefff0 - 3254830 ns MR4_I 00000250 40006000 - 3254830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3254870 ns MR4_I 0000024c e7fefff0 - 3254890 ns MR4_I 00000250 40006000 - 3254890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3254930 ns MR4_I 0000024c e7fefff0 - 3254950 ns MR4_I 00000250 40006000 - 3254950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3254990 ns MR4_I 0000024c e7fefff0 - 3255010 ns MR4_I 00000250 40006000 - 3255010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3255050 ns MR4_I 0000024c e7fefff0 - 3255070 ns MR4_I 00000250 40006000 - 3255070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3255110 ns MR4_I 0000024c e7fefff0 - 3255130 ns MR4_I 00000250 40006000 - 3255130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3255170 ns MR4_I 0000024c e7fefff0 - 3255190 ns MR4_I 00000250 40006000 - 3255190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3255230 ns MR4_I 0000024c e7fefff0 - 3255250 ns MR4_I 00000250 40006000 - 3255250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3255290 ns MR4_I 0000024c e7fefff0 - 3255310 ns MR4_I 00000250 40006000 - 3255310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3255350 ns MR4_I 0000024c e7fefff0 - 3255370 ns MR4_I 00000250 40006000 - 3255370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3255410 ns MR4_I 0000024c e7fefff0 - 3255430 ns MR4_I 00000250 40006000 - 3255430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3255470 ns MR4_I 0000024c e7fefff0 - 3255490 ns MR4_I 00000250 40006000 - 3255490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3255530 ns MR4_I 0000024c e7fefff0 - 3255550 ns MR4_I 00000250 40006000 - 3255550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3255590 ns MR4_I 0000024c e7fefff0 - 3255610 ns MR4_I 00000250 40006000 - 3255610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3255650 ns MR4_I 0000024c e7fefff0 - 3255670 ns MR4_I 00000250 40006000 - 3255670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3255710 ns MR4_I 0000024c e7fefff0 - 3255730 ns MR4_I 00000250 40006000 - 3255730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3255770 ns MR4_I 0000024c e7fefff0 - 3255790 ns MR4_I 00000250 40006000 - 3255790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3255830 ns MR4_I 0000024c e7fefff0 - 3255850 ns MR4_I 00000250 40006000 - 3255850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3255890 ns MR4_I 0000024c e7fefff0 - 3255910 ns MR4_I 00000250 40006000 - 3255910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3255950 ns MR4_I 0000024c e7fefff0 - 3255970 ns MR4_I 00000250 40006000 - 3255970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3256010 ns MR4_I 0000024c e7fefff0 - 3256030 ns MR4_I 00000250 40006000 - 3256030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3256070 ns MR4_I 0000024c e7fefff0 - 3256090 ns MR4_I 00000250 40006000 - 3256090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3256130 ns MR4_I 0000024c e7fefff0 - 3256150 ns MR4_I 00000250 40006000 - 3256150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3256190 ns MR4_I 0000024c e7fefff0 - 3256210 ns MR4_I 00000250 40006000 - 3256210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3256250 ns MR4_I 0000024c e7fefff0 - 3256270 ns MR4_I 00000250 40006000 - 3256270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3256310 ns MR4_I 0000024c e7fefff0 - 3256330 ns MR4_I 00000250 40006000 - 3256330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3256370 ns MR4_I 0000024c e7fefff0 - 3256390 ns MR4_I 00000250 40006000 - 3256390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3256430 ns MR4_I 0000024c e7fefff0 - 3256450 ns MR4_I 00000250 40006000 - 3256450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3256490 ns MR4_I 0000024c e7fefff0 - 3256510 ns MR4_I 00000250 40006000 - 3256510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3256550 ns MR4_I 0000024c e7fefff0 - 3256570 ns MR4_I 00000250 40006000 - 3256570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3256610 ns MR4_I 0000024c e7fefff0 - 3256630 ns MR4_I 00000250 40006000 - 3256630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3256670 ns MR4_I 0000024c e7fefff0 - 3256690 ns MR4_I 00000250 40006000 - 3256690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3256730 ns MR4_I 0000024c e7fefff0 - 3256750 ns MR4_I 00000250 40006000 - 3256750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3256790 ns MR4_I 0000024c e7fefff0 - 3256810 ns MR4_I 00000250 40006000 - 3256810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3256850 ns MR4_I 0000024c e7fefff0 - 3256870 ns MR4_I 00000250 40006000 - 3256870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3256910 ns MR4_I 0000024c e7fefff0 - 3256930 ns MR4_I 00000250 40006000 - 3256930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3256970 ns MR4_I 0000024c e7fefff0 - 3256990 ns MR4_I 00000250 40006000 - 3256990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3257030 ns MR4_I 0000024c e7fefff0 - 3257050 ns MR4_I 00000250 40006000 - 3257050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3257090 ns MR4_I 0000024c e7fefff0 - 3257110 ns MR4_I 00000250 40006000 - 3257110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3257150 ns MR4_I 0000024c e7fefff0 - 3257170 ns MR4_I 00000250 40006000 - 3257170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3257210 ns MR4_I 0000024c e7fefff0 - 3257230 ns MR4_I 00000250 40006000 - 3257230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3257270 ns MR4_I 0000024c e7fefff0 - 3257290 ns MR4_I 00000250 40006000 - 3257290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3257330 ns MR4_I 0000024c e7fefff0 - 3257350 ns MR4_I 00000250 40006000 - 3257350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3257390 ns MR4_I 0000024c e7fefff0 - 3257410 ns MR4_I 00000250 40006000 - 3257410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3257450 ns MR4_I 0000024c e7fefff0 - 3257470 ns MR4_I 00000250 40006000 - 3257470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3257510 ns MR4_I 0000024c e7fefff0 - 3257530 ns MR4_I 00000250 40006000 - 3257530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3257570 ns MR4_I 0000024c e7fefff0 - 3257590 ns MR4_I 00000250 40006000 - 3257590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3257630 ns MR4_I 0000024c e7fefff0 - 3257650 ns MR4_I 00000250 40006000 - 3257650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3257690 ns MR4_I 0000024c e7fefff0 - 3257710 ns MR4_I 00000250 40006000 - 3257710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3257750 ns MR4_I 0000024c e7fefff0 - 3257770 ns MR4_I 00000250 40006000 - 3257770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3257810 ns MR4_I 0000024c e7fefff0 - 3257830 ns MR4_I 00000250 40006000 - 3257830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3257870 ns MR4_I 0000024c e7fefff0 - 3257890 ns MR4_I 00000250 40006000 - 3257890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3257930 ns MR4_I 0000024c e7fefff0 - 3257950 ns MR4_I 00000250 40006000 - 3257950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3257990 ns MR4_I 0000024c e7fefff0 - 3258010 ns MR4_I 00000250 40006000 - 3258010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3258050 ns MR4_I 0000024c e7fefff0 - 3258070 ns MR4_I 00000250 40006000 - 3258070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3258110 ns MR4_I 0000024c e7fefff0 - 3258130 ns MR4_I 00000250 40006000 - 3258130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3258170 ns MR4_I 0000024c e7fefff0 - 3258190 ns MR4_I 00000250 40006000 - 3258190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3258230 ns MR4_I 0000024c e7fefff0 - 3258250 ns MR4_I 00000250 40006000 - 3258250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3258290 ns MR4_I 0000024c e7fefff0 - 3258310 ns MR4_I 00000250 40006000 - 3258310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3258350 ns MR4_I 0000024c e7fefff0 - 3258370 ns MR4_I 00000250 40006000 - 3258370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3258410 ns MR4_I 0000024c e7fefff0 - 3258430 ns MR4_I 00000250 40006000 - 3258430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3258470 ns MR4_I 0000024c e7fefff0 - 3258490 ns MR4_I 00000250 40006000 - 3258490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3258530 ns MR4_I 0000024c e7fefff0 - 3258550 ns MR4_I 00000250 40006000 - 3258550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3258590 ns MR4_I 0000024c e7fefff0 - 3258610 ns MR4_I 00000250 40006000 - 3258610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3258650 ns MR4_I 0000024c e7fefff0 - 3258670 ns MR4_I 00000250 40006000 - 3258670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3258710 ns MR4_I 0000024c e7fefff0 - 3258730 ns MR4_I 00000250 40006000 - 3258730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3258770 ns MR4_I 0000024c e7fefff0 - 3258790 ns MR4_I 00000250 40006000 - 3258790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3258830 ns MR4_I 0000024c e7fefff0 - 3258850 ns MR4_I 00000250 40006000 - 3258850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3258890 ns MR4_I 0000024c e7fefff0 - 3258910 ns MR4_I 00000250 40006000 - 3258910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3258950 ns MR4_I 0000024c e7fefff0 - 3258970 ns MR4_I 00000250 40006000 - 3258970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3259010 ns MR4_I 0000024c e7fefff0 - 3259030 ns MR4_I 00000250 40006000 - 3259030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3259070 ns MR4_I 0000024c e7fefff0 - 3259090 ns MR4_I 00000250 40006000 - 3259090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3259130 ns MR4_I 0000024c e7fefff0 - 3259150 ns MR4_I 00000250 40006000 - 3259150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3259190 ns MR4_I 0000024c e7fefff0 - 3259210 ns MR4_I 00000250 40006000 - 3259210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3259250 ns MR4_I 0000024c e7fefff0 - 3259270 ns MR4_I 00000250 40006000 - 3259270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3259310 ns MR4_I 0000024c e7fefff0 - 3259330 ns MR4_I 00000250 40006000 - 3259330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3259370 ns MR4_I 0000024c e7fefff0 - 3259390 ns MR4_I 00000250 40006000 - 3259390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3259430 ns MR4_I 0000024c e7fefff0 - 3259450 ns MR4_I 00000250 40006000 - 3259450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3259490 ns MR4_I 0000024c e7fefff0 - 3259510 ns MR4_I 00000250 40006000 - 3259510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3259550 ns MR4_I 0000024c e7fefff0 - 3259570 ns MR4_I 00000250 40006000 - 3259570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3259610 ns MR4_I 0000024c e7fefff0 - 3259630 ns MR4_I 00000250 40006000 - 3259630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3259670 ns MR4_I 0000024c e7fefff0 - 3259690 ns MR4_I 00000250 40006000 - 3259690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3259730 ns MR4_I 0000024c e7fefff0 - 3259750 ns MR4_I 00000250 40006000 - 3259750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3259790 ns MR4_I 0000024c e7fefff0 - 3259810 ns MR4_I 00000250 40006000 - 3259810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3259850 ns MR4_I 0000024c e7fefff0 - 3259870 ns MR4_I 00000250 40006000 - 3259870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3259910 ns MR4_I 0000024c e7fefff0 - 3259930 ns MR4_I 00000250 40006000 - 3259930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3259970 ns MR4_I 0000024c e7fefff0 - 3259990 ns MR4_I 00000250 40006000 - 3259990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3260030 ns MR4_I 0000024c e7fefff0 - 3260050 ns MR4_I 00000250 40006000 - 3260050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3260090 ns MR4_I 0000024c e7fefff0 - 3260110 ns MR4_I 00000250 40006000 - 3260110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3260150 ns MR4_I 0000024c e7fefff0 - 3260170 ns MR4_I 00000250 40006000 - 3260170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3260210 ns MR4_I 0000024c e7fefff0 - 3260230 ns MR4_I 00000250 40006000 - 3260230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3260270 ns MR4_I 0000024c e7fefff0 - 3260290 ns MR4_I 00000250 40006000 - 3260290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3260330 ns MR4_I 0000024c e7fefff0 - 3260350 ns MR4_I 00000250 40006000 - 3260350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3260390 ns MR4_I 0000024c e7fefff0 - 3260410 ns MR4_I 00000250 40006000 - 3260410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3260450 ns MR4_I 0000024c e7fefff0 - 3260470 ns MR4_I 00000250 40006000 - 3260470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3260510 ns MR4_I 0000024c e7fefff0 - 3260530 ns MR4_I 00000250 40006000 - 3260530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3260570 ns MR4_I 0000024c e7fefff0 - 3260590 ns MR4_I 00000250 40006000 - 3260590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3260630 ns MR4_I 0000024c e7fefff0 - 3260650 ns MR4_I 00000250 40006000 - 3260650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3260690 ns MR4_I 0000024c e7fefff0 - 3260710 ns MR4_I 00000250 40006000 - 3260710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3260750 ns MR4_I 0000024c e7fefff0 - 3260770 ns MR4_I 00000250 40006000 - 3260770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3260810 ns MR4_I 0000024c e7fefff0 - 3260830 ns MR4_I 00000250 40006000 - 3260830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3260870 ns MR4_I 0000024c e7fefff0 - 3260890 ns MR4_I 00000250 40006000 - 3260890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3260930 ns MR4_I 0000024c e7fefff0 - 3260950 ns MR4_I 00000250 40006000 - 3260950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3260990 ns MR4_I 0000024c e7fefff0 - 3261010 ns MR4_I 00000250 40006000 - 3261010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3261050 ns MR4_I 0000024c e7fefff0 - 3261070 ns MR4_I 00000250 40006000 - 3261070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3261110 ns MR4_I 0000024c e7fefff0 - 3261130 ns MR4_I 00000250 40006000 - 3261130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3261170 ns MR4_I 0000024c e7fefff0 - 3261190 ns MR4_I 00000250 40006000 - 3261190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3261230 ns MR4_I 0000024c e7fefff0 - 3261250 ns MR4_I 00000250 40006000 - 3261250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3261290 ns MR4_I 0000024c e7fefff0 - 3261310 ns MR4_I 00000250 40006000 - 3261310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3261350 ns MR4_I 0000024c e7fefff0 - 3261370 ns MR4_I 00000250 40006000 - 3261370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3261410 ns MR4_I 0000024c e7fefff0 - 3261430 ns MR4_I 00000250 40006000 - 3261430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3261470 ns MR4_I 0000024c e7fefff0 - 3261490 ns MR4_I 00000250 40006000 - 3261490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3261530 ns MR4_I 0000024c e7fefff0 - 3261550 ns MR4_I 00000250 40006000 - 3261550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3261590 ns MR4_I 0000024c e7fefff0 - 3261610 ns MR4_I 00000250 40006000 - 3261610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3261650 ns MR4_I 0000024c e7fefff0 - 3261670 ns MR4_I 00000250 40006000 - 3261670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3261710 ns MR4_I 0000024c e7fefff0 - 3261730 ns MR4_I 00000250 40006000 - 3261730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3261770 ns MR4_I 0000024c e7fefff0 - 3261790 ns MR4_I 00000250 40006000 - 3261790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3261830 ns MR4_I 0000024c e7fefff0 - 3261850 ns MR4_I 00000250 40006000 - 3261850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3261890 ns MR4_I 0000024c e7fefff0 - 3261910 ns MR4_I 00000250 40006000 - 3261910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3261950 ns MR4_I 0000024c e7fefff0 - 3261970 ns MR4_I 00000250 40006000 - 3261970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3262010 ns MR4_I 0000024c e7fefff0 - 3262030 ns MR4_I 00000250 40006000 - 3262030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3262070 ns MR4_I 0000024c e7fefff0 - 3262090 ns MR4_I 00000250 40006000 - 3262090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3262130 ns MR4_I 0000024c e7fefff0 - 3262150 ns MR4_I 00000250 40006000 - 3262150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3262190 ns MR4_I 0000024c e7fefff0 - 3262210 ns MR4_I 00000250 40006000 - 3262210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3262250 ns MR4_I 0000024c e7fefff0 - 3262270 ns MR4_I 00000250 40006000 - 3262270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3262310 ns MR4_I 0000024c e7fefff0 - 3262330 ns MR4_I 00000250 40006000 - 3262330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3262370 ns MR4_I 0000024c e7fefff0 - 3262390 ns MR4_I 00000250 40006000 - 3262390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3262430 ns MR4_I 0000024c e7fefff0 - 3262450 ns MR4_I 00000250 40006000 - 3262450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3262490 ns MR4_I 0000024c e7fefff0 - 3262510 ns MR4_I 00000250 40006000 - 3262510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3262550 ns MR4_I 0000024c e7fefff0 - 3262570 ns MR4_I 00000250 40006000 - 3262570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3262610 ns MR4_I 0000024c e7fefff0 - 3262630 ns MR4_I 00000250 40006000 - 3262630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3262670 ns MR4_I 0000024c e7fefff0 - 3262690 ns MR4_I 00000250 40006000 - 3262690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3262730 ns MR4_I 0000024c e7fefff0 - 3262750 ns MR4_I 00000250 40006000 - 3262750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3262790 ns MR4_I 0000024c e7fefff0 - 3262810 ns MR4_I 00000250 40006000 - 3262810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3262850 ns MR4_I 0000024c e7fefff0 - 3262870 ns MR4_I 00000250 40006000 - 3262870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3262910 ns MR4_I 0000024c e7fefff0 - 3262930 ns MR4_I 00000250 40006000 - 3262930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3262970 ns MR4_I 0000024c e7fefff0 - 3262990 ns MR4_I 00000250 40006000 - 3262990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3263030 ns MR4_I 0000024c e7fefff0 - 3263050 ns MR4_I 00000250 40006000 - 3263050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3263090 ns MR4_I 0000024c e7fefff0 - 3263110 ns MR4_I 00000250 40006000 - 3263110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3263150 ns MR4_I 0000024c e7fefff0 - 3263170 ns MR4_I 00000250 40006000 - 3263170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3263210 ns MR4_I 0000024c e7fefff0 - 3263230 ns MR4_I 00000250 40006000 - 3263230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3263270 ns MR4_I 0000024c e7fefff0 - 3263290 ns MR4_I 00000250 40006000 - 3263290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3263330 ns MR4_I 0000024c e7fefff0 - 3263350 ns MR4_I 00000250 40006000 - 3263350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3263390 ns MR4_I 0000024c e7fefff0 - 3263410 ns MR4_I 00000250 40006000 - 3263410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3263450 ns MR4_I 0000024c e7fefff0 - 3263470 ns MR4_I 00000250 40006000 - 3263470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3263510 ns MR4_I 0000024c e7fefff0 - 3263530 ns MR4_I 00000250 40006000 - 3263530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3263570 ns MR4_I 0000024c e7fefff0 - 3263590 ns MR4_I 00000250 40006000 - 3263590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3263630 ns MR4_I 0000024c e7fefff0 - 3263650 ns MR4_I 00000250 40006000 - 3263650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3263690 ns MR4_I 0000024c e7fefff0 - 3263710 ns MR4_I 00000250 40006000 - 3263710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3263750 ns MR4_I 0000024c e7fefff0 - 3263770 ns MR4_I 00000250 40006000 - 3263770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3263810 ns MR4_I 0000024c e7fefff0 - 3263830 ns MR4_I 00000250 40006000 - 3263830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3263870 ns MR4_I 0000024c e7fefff0 - 3263890 ns MR4_I 00000250 40006000 - 3263890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3263930 ns MR4_I 0000024c e7fefff0 - 3263950 ns MR4_I 00000250 40006000 - 3263950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3263990 ns MR4_I 0000024c e7fefff0 - 3264010 ns MR4_I 00000250 40006000 - 3264010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3264050 ns MR4_I 0000024c e7fefff0 - 3264070 ns MR4_I 00000250 40006000 - 3264070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3264110 ns MR4_I 0000024c e7fefff0 - 3264130 ns MR4_I 00000250 40006000 - 3264130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3264170 ns MR4_I 0000024c e7fefff0 - 3264190 ns MR4_I 00000250 40006000 - 3264190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3264230 ns MR4_I 0000024c e7fefff0 - 3264250 ns MR4_I 00000250 40006000 - 3264250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3264290 ns MR4_I 0000024c e7fefff0 - 3264310 ns MR4_I 00000250 40006000 - 3264310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3264350 ns MR4_I 0000024c e7fefff0 - 3264370 ns MR4_I 00000250 40006000 - 3264370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3264410 ns MR4_I 0000024c e7fefff0 - 3264430 ns MR4_I 00000250 40006000 - 3264430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3264470 ns MR4_I 0000024c e7fefff0 - 3264490 ns MR4_I 00000250 40006000 - 3264490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3264530 ns MR4_I 0000024c e7fefff0 - 3264550 ns MR4_I 00000250 40006000 - 3264550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3264590 ns MR4_I 0000024c e7fefff0 - 3264610 ns MR4_I 00000250 40006000 - 3264610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3264650 ns MR4_I 0000024c e7fefff0 - 3264670 ns MR4_I 00000250 40006000 - 3264670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3264710 ns MR4_I 0000024c e7fefff0 - 3264730 ns MR4_I 00000250 40006000 - 3264730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3264770 ns MR4_I 0000024c e7fefff0 - 3264790 ns MR4_I 00000250 40006000 - 3264790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3264830 ns MR4_I 0000024c e7fefff0 - 3264850 ns MR4_I 00000250 40006000 - 3264850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3264890 ns MR4_I 0000024c e7fefff0 - 3264910 ns MR4_I 00000250 40006000 - 3264910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3264950 ns MR4_I 0000024c e7fefff0 - 3264970 ns MR4_I 00000250 40006000 - 3264970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3265010 ns MR4_I 0000024c e7fefff0 - 3265030 ns MR4_I 00000250 40006000 - 3265030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3265070 ns MR4_I 0000024c e7fefff0 - 3265090 ns MR4_I 00000250 40006000 - 3265090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3265130 ns MR4_I 0000024c e7fefff0 - 3265150 ns MR4_I 00000250 40006000 - 3265150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3265190 ns MR4_I 0000024c e7fefff0 - 3265210 ns MR4_I 00000250 40006000 - 3265210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3265250 ns MR4_I 0000024c e7fefff0 - 3265270 ns MR4_I 00000250 40006000 - 3265270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3265310 ns MR4_I 0000024c e7fefff0 - 3265330 ns MR4_I 00000250 40006000 - 3265330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3265370 ns MR4_I 0000024c e7fefff0 - 3265390 ns MR4_I 00000250 40006000 - 3265390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3265430 ns MR4_I 0000024c e7fefff0 - 3265450 ns MR4_I 00000250 40006000 - 3265450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3265490 ns MR4_I 0000024c e7fefff0 - 3265510 ns MR4_I 00000250 40006000 - 3265510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3265550 ns MR4_I 0000024c e7fefff0 - 3265570 ns MR4_I 00000250 40006000 - 3265570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3265610 ns MR4_I 0000024c e7fefff0 - 3265630 ns MR4_I 00000250 40006000 - 3265630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3265670 ns MR4_I 0000024c e7fefff0 - 3265690 ns MR4_I 00000250 40006000 - 3265690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3265730 ns MR4_I 0000024c e7fefff0 - 3265750 ns MR4_I 00000250 40006000 - 3265750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3265790 ns MR4_I 0000024c e7fefff0 - 3265810 ns MR4_I 00000250 40006000 - 3265810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3265850 ns MR4_I 0000024c e7fefff0 - 3265870 ns MR4_I 00000250 40006000 - 3265870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3265910 ns MR4_I 0000024c e7fefff0 - 3265930 ns MR4_I 00000250 40006000 - 3265930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3265970 ns MR4_I 0000024c e7fefff0 - 3265990 ns MR4_I 00000250 40006000 - 3265990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3266030 ns MR4_I 0000024c e7fefff0 - 3266050 ns MR4_I 00000250 40006000 - 3266050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3266090 ns MR4_I 0000024c e7fefff0 - 3266110 ns MR4_I 00000250 40006000 - 3266110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3266150 ns MR4_I 0000024c e7fefff0 - 3266170 ns MR4_I 00000250 40006000 - 3266170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3266210 ns MR4_I 0000024c e7fefff0 - 3266230 ns MR4_I 00000250 40006000 - 3266230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3266270 ns MR4_I 0000024c e7fefff0 - 3266290 ns MR4_I 00000250 40006000 - 3266290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3266330 ns MR4_I 0000024c e7fefff0 - 3266350 ns MR4_I 00000250 40006000 - 3266350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3266390 ns MR4_I 0000024c e7fefff0 - 3266410 ns MR4_I 00000250 40006000 - 3266410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3266450 ns MR4_I 0000024c e7fefff0 - 3266470 ns MR4_I 00000250 40006000 - 3266470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3266510 ns MR4_I 0000024c e7fefff0 - 3266530 ns MR4_I 00000250 40006000 - 3266530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3266570 ns MR4_I 0000024c e7fefff0 - 3266590 ns MR4_I 00000250 40006000 - 3266590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3266630 ns MR4_I 0000024c e7fefff0 - 3266650 ns MR4_I 00000250 40006000 - 3266650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3266690 ns MR4_I 0000024c e7fefff0 - 3266710 ns MR4_I 00000250 40006000 - 3266710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3266750 ns MR4_I 0000024c e7fefff0 - 3266770 ns MR4_I 00000250 40006000 - 3266770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3266810 ns MR4_I 0000024c e7fefff0 - 3266830 ns MR4_I 00000250 40006000 - 3266830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3266870 ns MR4_I 0000024c e7fefff0 - 3266890 ns MR4_I 00000250 40006000 - 3266890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3266930 ns MR4_I 0000024c e7fefff0 - 3266950 ns MR4_I 00000250 40006000 - 3266950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3266990 ns MR4_I 0000024c e7fefff0 - 3267010 ns MR4_I 00000250 40006000 - 3267010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3267050 ns MR4_I 0000024c e7fefff0 - 3267070 ns MR4_I 00000250 40006000 - 3267070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3267110 ns MR4_I 0000024c e7fefff0 - 3267130 ns MR4_I 00000250 40006000 - 3267130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3267170 ns MR4_I 0000024c e7fefff0 - 3267190 ns MR4_I 00000250 40006000 - 3267190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3267230 ns MR4_I 0000024c e7fefff0 - 3267250 ns MR4_I 00000250 40006000 - 3267250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3267290 ns MR4_I 0000024c e7fefff0 - 3267310 ns MR4_I 00000250 40006000 - 3267310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3267350 ns MR4_I 0000024c e7fefff0 - 3267370 ns MR4_I 00000250 40006000 - 3267370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3267410 ns MR4_I 0000024c e7fefff0 - 3267430 ns MR4_I 00000250 40006000 - 3267430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3267470 ns MR4_I 0000024c e7fefff0 - 3267490 ns MR4_I 00000250 40006000 - 3267490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3267530 ns MR4_I 0000024c e7fefff0 - 3267550 ns MR4_I 00000250 40006000 - 3267550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3267590 ns MR4_I 0000024c e7fefff0 - 3267610 ns MR4_I 00000250 40006000 - 3267610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3267650 ns MR4_I 0000024c e7fefff0 - 3267670 ns MR4_I 00000250 40006000 - 3267670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3267710 ns MR4_I 0000024c e7fefff0 - 3267730 ns MR4_I 00000250 40006000 - 3267730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3267770 ns MR4_I 0000024c e7fefff0 - 3267790 ns MR4_I 00000250 40006000 - 3267790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3267830 ns MR4_I 0000024c e7fefff0 - 3267850 ns MR4_I 00000250 40006000 - 3267850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3267890 ns MR4_I 0000024c e7fefff0 - 3267910 ns MR4_I 00000250 40006000 - 3267910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3267950 ns MR4_I 0000024c e7fefff0 - 3267970 ns MR4_I 00000250 40006000 - 3267970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3268010 ns MR4_I 0000024c e7fefff0 - 3268030 ns MR4_I 00000250 40006000 - 3268030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3268070 ns MR4_I 0000024c e7fefff0 - 3268090 ns MR4_I 00000250 40006000 - 3268090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3268130 ns MR4_I 0000024c e7fefff0 - 3268150 ns MR4_I 00000250 40006000 - 3268150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3268190 ns MR4_I 0000024c e7fefff0 - 3268210 ns MR4_I 00000250 40006000 - 3268210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3268250 ns MR4_I 0000024c e7fefff0 - 3268270 ns MR4_I 00000250 40006000 - 3268270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3268310 ns MR4_I 0000024c e7fefff0 - 3268330 ns MR4_I 00000250 40006000 - 3268330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3268370 ns MR4_I 0000024c e7fefff0 - 3268390 ns MR4_I 00000250 40006000 - 3268390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3268430 ns MR4_I 0000024c e7fefff0 - 3268450 ns MR4_I 00000250 40006000 - 3268450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3268490 ns MR4_I 0000024c e7fefff0 - 3268510 ns MR4_I 00000250 40006000 - 3268510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3268550 ns MR4_I 0000024c e7fefff0 - 3268570 ns MR4_I 00000250 40006000 - 3268570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3268610 ns MR4_I 0000024c e7fefff0 - 3268630 ns MR4_I 00000250 40006000 - 3268630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3268670 ns MR4_I 0000024c e7fefff0 - 3268690 ns MR4_I 00000250 40006000 - 3268690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3268730 ns MR4_I 0000024c e7fefff0 - 3268750 ns MR4_I 00000250 40006000 - 3268750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3268790 ns MR4_I 0000024c e7fefff0 - 3268810 ns MR4_I 00000250 40006000 - 3268810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3268850 ns MR4_I 0000024c e7fefff0 - 3268870 ns MR4_I 00000250 40006000 - 3268870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3268910 ns MR4_I 0000024c e7fefff0 - 3268930 ns MR4_I 00000250 40006000 - 3268930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3268970 ns MR4_I 0000024c e7fefff0 - 3268990 ns MR4_I 00000250 40006000 - 3268990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3269030 ns MR4_I 0000024c e7fefff0 - 3269050 ns MR4_I 00000250 40006000 - 3269050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3269090 ns MR4_I 0000024c e7fefff0 - 3269110 ns MR4_I 00000250 40006000 - 3269110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3269150 ns MR4_I 0000024c e7fefff0 - 3269170 ns MR4_I 00000250 40006000 - 3269170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3269210 ns MR4_I 0000024c e7fefff0 - 3269230 ns MR4_I 00000250 40006000 - 3269230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3269270 ns MR4_I 0000024c e7fefff0 - 3269290 ns MR4_I 00000250 40006000 - 3269290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3269330 ns MR4_I 0000024c e7fefff0 - 3269350 ns MR4_I 00000250 40006000 - 3269350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3269390 ns MR4_I 0000024c e7fefff0 - 3269410 ns MR4_I 00000250 40006000 - 3269410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3269450 ns MR4_I 0000024c e7fefff0 - 3269470 ns MR4_I 00000250 40006000 - 3269470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3269510 ns MR4_I 0000024c e7fefff0 - 3269530 ns MR4_I 00000250 40006000 - 3269530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3269570 ns MR4_I 0000024c e7fefff0 - 3269590 ns MR4_I 00000250 40006000 - 3269590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3269630 ns MR4_I 0000024c e7fefff0 - 3269650 ns MR4_I 00000250 40006000 - 3269650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3269690 ns MR4_I 0000024c e7fefff0 - 3269710 ns MR4_I 00000250 40006000 - 3269710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3269750 ns MR4_I 0000024c e7fefff0 - 3269770 ns MR4_I 00000250 40006000 - 3269770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3269810 ns MR4_I 0000024c e7fefff0 - 3269830 ns MR4_I 00000250 40006000 - 3269830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3269870 ns MR4_I 0000024c e7fefff0 - 3269890 ns MR4_I 00000250 40006000 - 3269890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3269930 ns MR4_I 0000024c e7fefff0 - 3269950 ns MR4_I 00000250 40006000 - 3269950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3269990 ns MR4_I 0000024c e7fefff0 - 3270010 ns MR4_I 00000250 40006000 - 3270010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3270050 ns MR4_I 0000024c e7fefff0 - 3270070 ns MR4_I 00000250 40006000 - 3270070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3270110 ns MR4_I 0000024c e7fefff0 - 3270130 ns MR4_I 00000250 40006000 - 3270130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3270170 ns MR4_I 0000024c e7fefff0 - 3270190 ns MR4_I 00000250 40006000 - 3270190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3270230 ns MR4_I 0000024c e7fefff0 - 3270250 ns MR4_I 00000250 40006000 - 3270250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3270290 ns MR4_I 0000024c e7fefff0 - 3270310 ns MR4_I 00000250 40006000 - 3270310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3270350 ns MR4_I 0000024c e7fefff0 - 3270370 ns MR4_I 00000250 40006000 - 3270370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3270410 ns MR4_I 0000024c e7fefff0 - 3270430 ns MR4_I 00000250 40006000 - 3270430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3270470 ns MR4_I 0000024c e7fefff0 - 3270490 ns MR4_I 00000250 40006000 - 3270490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3270530 ns MR4_I 0000024c e7fefff0 - 3270550 ns MR4_I 00000250 40006000 - 3270550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3270590 ns MR4_I 0000024c e7fefff0 - 3270610 ns MR4_I 00000250 40006000 - 3270610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3270650 ns MR4_I 0000024c e7fefff0 - 3270670 ns MR4_I 00000250 40006000 - 3270670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3270710 ns MR4_I 0000024c e7fefff0 - 3270730 ns MR4_I 00000250 40006000 - 3270730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3270770 ns MR4_I 0000024c e7fefff0 - 3270790 ns MR4_I 00000250 40006000 - 3270790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3270830 ns MR4_I 0000024c e7fefff0 - 3270850 ns MR4_I 00000250 40006000 - 3270850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3270890 ns MR4_I 0000024c e7fefff0 - 3270910 ns MR4_I 00000250 40006000 - 3270910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3270950 ns MR4_I 0000024c e7fefff0 - 3270970 ns MR4_I 00000250 40006000 - 3270970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3271010 ns MR4_I 0000024c e7fefff0 - 3271030 ns MR4_I 00000250 40006000 - 3271030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3271070 ns MR4_I 0000024c e7fefff0 - 3271090 ns MR4_I 00000250 40006000 - 3271090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3271130 ns MR4_I 0000024c e7fefff0 - 3271150 ns MR4_I 00000250 40006000 - 3271150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3271190 ns MR4_I 0000024c e7fefff0 - 3271210 ns MR4_I 00000250 40006000 - 3271210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3271250 ns MR4_I 0000024c e7fefff0 - 3271270 ns MR4_I 00000250 40006000 - 3271270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3271310 ns MR4_I 0000024c e7fefff0 - 3271330 ns MR4_I 00000250 40006000 - 3271330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3271370 ns MR4_I 0000024c e7fefff0 - 3271390 ns MR4_I 00000250 40006000 - 3271390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3271430 ns MR4_I 0000024c e7fefff0 - 3271450 ns MR4_I 00000250 40006000 - 3271450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3271490 ns MR4_I 0000024c e7fefff0 - 3271510 ns MR4_I 00000250 40006000 - 3271510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3271550 ns MR4_I 0000024c e7fefff0 - 3271570 ns MR4_I 00000250 40006000 - 3271570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3271610 ns MR4_I 0000024c e7fefff0 - 3271630 ns MR4_I 00000250 40006000 - 3271630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3271670 ns MR4_I 0000024c e7fefff0 - 3271690 ns MR4_I 00000250 40006000 - 3271690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3271730 ns MR4_I 0000024c e7fefff0 - 3271750 ns MR4_I 00000250 40006000 - 3271750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3271790 ns MR4_I 0000024c e7fefff0 - 3271810 ns MR4_I 00000250 40006000 - 3271810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3271850 ns MR4_I 0000024c e7fefff0 - 3271870 ns MR4_I 00000250 40006000 - 3271870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3271910 ns MR4_I 0000024c e7fefff0 - 3271930 ns MR4_I 00000250 40006000 - 3271930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3271970 ns MR4_I 0000024c e7fefff0 - 3271990 ns MR4_I 00000250 40006000 - 3271990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3272030 ns MR4_I 0000024c e7fefff0 - 3272050 ns MR4_I 00000250 40006000 - 3272050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3272090 ns MR4_I 0000024c e7fefff0 - 3272110 ns MR4_I 00000250 40006000 - 3272110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3272150 ns MR4_I 0000024c e7fefff0 - 3272170 ns MR4_I 00000250 40006000 - 3272170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3272210 ns MR4_I 0000024c e7fefff0 - 3272230 ns MR4_I 00000250 40006000 - 3272230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3272270 ns MR4_I 0000024c e7fefff0 - 3272290 ns MR4_I 00000250 40006000 - 3272290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3272330 ns MR4_I 0000024c e7fefff0 - 3272350 ns MR4_I 00000250 40006000 - 3272350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3272390 ns MR4_I 0000024c e7fefff0 - 3272410 ns MR4_I 00000250 40006000 - 3272410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3272450 ns MR4_I 0000024c e7fefff0 - 3272470 ns MR4_I 00000250 40006000 - 3272470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3272510 ns MR4_I 0000024c e7fefff0 - 3272530 ns MR4_I 00000250 40006000 - 3272530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3272570 ns MR4_I 0000024c e7fefff0 - 3272590 ns MR4_I 00000250 40006000 - 3272590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3272630 ns MR4_I 0000024c e7fefff0 - 3272650 ns MR4_I 00000250 40006000 - 3272650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3272690 ns MR4_I 0000024c e7fefff0 - 3272710 ns MR4_I 00000250 40006000 - 3272710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3272750 ns MR4_I 0000024c e7fefff0 - 3272770 ns MR4_I 00000250 40006000 - 3272770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3272810 ns MR4_I 0000024c e7fefff0 - 3272830 ns MR4_I 00000250 40006000 - 3272830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3272870 ns MR4_I 0000024c e7fefff0 - 3272890 ns MR4_I 00000250 40006000 - 3272890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3272930 ns MR4_I 0000024c e7fefff0 - 3272950 ns MR4_I 00000250 40006000 - 3272950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3272990 ns MR4_I 0000024c e7fefff0 - 3273010 ns MR4_I 00000250 40006000 - 3273010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3273050 ns MR4_I 0000024c e7fefff0 - 3273070 ns MR4_I 00000250 40006000 - 3273070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3273110 ns MR4_I 0000024c e7fefff0 - 3273130 ns MR4_I 00000250 40006000 - 3273130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3273170 ns MR4_I 0000024c e7fefff0 - 3273190 ns MR4_I 00000250 40006000 - 3273190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3273230 ns MR4_I 0000024c e7fefff0 - 3273250 ns MR4_I 00000250 40006000 - 3273250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3273290 ns MR4_I 0000024c e7fefff0 - 3273310 ns MR4_I 00000250 40006000 - 3273310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3273350 ns MR4_I 0000024c e7fefff0 - 3273370 ns MR4_I 00000250 40006000 - 3273370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3273410 ns MR4_I 0000024c e7fefff0 - 3273430 ns MR4_I 00000250 40006000 - 3273430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3273470 ns MR4_I 0000024c e7fefff0 - 3273490 ns MR4_I 00000250 40006000 - 3273490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3273530 ns MR4_I 0000024c e7fefff0 - 3273550 ns MR4_I 00000250 40006000 - 3273550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3273590 ns MR4_I 0000024c e7fefff0 - 3273610 ns MR4_I 00000250 40006000 - 3273610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3273650 ns MR4_I 0000024c e7fefff0 - 3273670 ns MR4_I 00000250 40006000 - 3273670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3273710 ns MR4_I 0000024c e7fefff0 - 3273730 ns MR4_I 00000250 40006000 - 3273730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3273770 ns MR4_I 0000024c e7fefff0 - 3273790 ns MR4_I 00000250 40006000 - 3273790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3273830 ns MR4_I 0000024c e7fefff0 - 3273850 ns MR4_I 00000250 40006000 - 3273850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3273890 ns MR4_I 0000024c e7fefff0 - 3273910 ns MR4_I 00000250 40006000 - 3273910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3273950 ns MR4_I 0000024c e7fefff0 - 3273970 ns MR4_I 00000250 40006000 - 3273970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3274010 ns MR4_I 0000024c e7fefff0 - 3274030 ns MR4_I 00000250 40006000 - 3274030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3274070 ns MR4_I 0000024c e7fefff0 - 3274090 ns MR4_I 00000250 40006000 - 3274090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3274130 ns MR4_I 0000024c e7fefff0 - 3274150 ns MR4_I 00000250 40006000 - 3274150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3274190 ns MR4_I 0000024c e7fefff0 - 3274210 ns MR4_I 00000250 40006000 - 3274210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3274250 ns MR4_I 0000024c e7fefff0 - 3274270 ns MR4_I 00000250 40006000 - 3274270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3274310 ns MR4_I 0000024c e7fefff0 - 3274330 ns MR4_I 00000250 40006000 - 3274330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3274370 ns MR4_I 0000024c e7fefff0 - 3274390 ns MR4_I 00000250 40006000 - 3274390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3274430 ns MR4_I 0000024c e7fefff0 - 3274450 ns MR4_I 00000250 40006000 - 3274450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3274490 ns MR4_I 0000024c e7fefff0 - 3274510 ns MR4_I 00000250 40006000 - 3274510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3274550 ns MR4_I 0000024c e7fefff0 - 3274570 ns MR4_I 00000250 40006000 - 3274570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3274610 ns MR4_I 0000024c e7fefff0 - 3274630 ns MR4_I 00000250 40006000 - 3274630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3274670 ns MR4_I 0000024c e7fefff0 - 3274690 ns MR4_I 00000250 40006000 - 3274690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3274730 ns MR4_I 0000024c e7fefff0 - 3274750 ns MR4_I 00000250 40006000 - 3274750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3274790 ns MR4_I 0000024c e7fefff0 - 3274810 ns MR4_I 00000250 40006000 - 3274810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3274850 ns MR4_I 0000024c e7fefff0 - 3274870 ns MR4_I 00000250 40006000 - 3274870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3274910 ns MR4_I 0000024c e7fefff0 - 3274930 ns MR4_I 00000250 40006000 - 3274930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3274970 ns MR4_I 0000024c e7fefff0 - 3274990 ns MR4_I 00000250 40006000 - 3274990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3275030 ns MR4_I 0000024c e7fefff0 - 3275050 ns MR4_I 00000250 40006000 - 3275050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3275090 ns MR4_I 0000024c e7fefff0 - 3275110 ns MR4_I 00000250 40006000 - 3275110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3275150 ns MR4_I 0000024c e7fefff0 - 3275170 ns MR4_I 00000250 40006000 - 3275170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3275210 ns MR4_I 0000024c e7fefff0 - 3275230 ns MR4_I 00000250 40006000 - 3275230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3275270 ns MR4_I 0000024c e7fefff0 - 3275290 ns MR4_I 00000250 40006000 - 3275290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3275330 ns MR4_I 0000024c e7fefff0 - 3275350 ns MR4_I 00000250 40006000 - 3275350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3275390 ns MR4_I 0000024c e7fefff0 - 3275410 ns MR4_I 00000250 40006000 - 3275410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3275450 ns MR4_I 0000024c e7fefff0 - 3275470 ns MR4_I 00000250 40006000 - 3275470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3275510 ns MR4_I 0000024c e7fefff0 - 3275530 ns MR4_I 00000250 40006000 - 3275530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3275570 ns MR4_I 0000024c e7fefff0 - 3275590 ns MR4_I 00000250 40006000 - 3275590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3275630 ns MR4_I 0000024c e7fefff0 - 3275650 ns MR4_I 00000250 40006000 - 3275650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3275690 ns MR4_I 0000024c e7fefff0 - 3275710 ns MR4_I 00000250 40006000 - 3275710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3275750 ns MR4_I 0000024c e7fefff0 - 3275770 ns MR4_I 00000250 40006000 - 3275770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3275810 ns MR4_I 0000024c e7fefff0 - 3275830 ns MR4_I 00000250 40006000 - 3275830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3275870 ns MR4_I 0000024c e7fefff0 - 3275890 ns MR4_I 00000250 40006000 - 3275890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3275930 ns MR4_I 0000024c e7fefff0 - 3275950 ns MR4_I 00000250 40006000 - 3275950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3275990 ns MR4_I 0000024c e7fefff0 - 3276010 ns MR4_I 00000250 40006000 - 3276010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3276050 ns MR4_I 0000024c e7fefff0 - 3276070 ns MR4_I 00000250 40006000 - 3276070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3276110 ns MR4_I 0000024c e7fefff0 - 3276130 ns MR4_I 00000250 40006000 - 3276130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3276170 ns MR4_I 0000024c e7fefff0 - 3276190 ns MR4_I 00000250 40006000 - 3276190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3276230 ns MR4_I 0000024c e7fefff0 - 3276250 ns MR4_I 00000250 40006000 - 3276250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3276290 ns MR4_I 0000024c e7fefff0 - 3276310 ns MR4_I 00000250 40006000 - 3276310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3276350 ns MR4_I 0000024c e7fefff0 - 3276370 ns MR4_I 00000250 40006000 - 3276370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3276410 ns MR4_I 0000024c e7fefff0 - 3276430 ns MR4_I 00000250 40006000 - 3276430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3276470 ns MR4_I 0000024c e7fefff0 - 3276490 ns MR4_I 00000250 40006000 - 3276490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3276530 ns MR4_I 0000024c e7fefff0 - 3276550 ns MR4_I 00000250 40006000 - 3276550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3276590 ns MR4_I 0000024c e7fefff0 - 3276610 ns MR4_I 00000250 40006000 - 3276610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3276650 ns MR4_I 0000024c e7fefff0 - 3276670 ns MR4_I 00000250 40006000 - 3276670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3276710 ns MR4_I 0000024c e7fefff0 - 3276730 ns MR4_I 00000250 40006000 - 3276730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3276770 ns MR4_I 0000024c e7fefff0 - 3276790 ns MR4_I 00000250 40006000 - 3276790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3276830 ns MR4_I 0000024c e7fefff0 - 3276850 ns MR4_I 00000250 40006000 - 3276850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3276890 ns MR4_I 0000024c e7fefff0 - 3276910 ns MR4_I 00000250 40006000 - 3276910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3276950 ns MR4_I 0000024c e7fefff0 - 3276970 ns MR4_I 00000250 40006000 - 3276970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3277010 ns MR4_I 0000024c e7fefff0 - 3277030 ns MR4_I 00000250 40006000 - 3277030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3277070 ns MR4_I 0000024c e7fefff0 - 3277090 ns MR4_I 00000250 40006000 - 3277090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3277130 ns MR4_I 0000024c e7fefff0 - 3277150 ns MR4_I 00000250 40006000 - 3277150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3277190 ns MR4_I 0000024c e7fefff0 - 3277210 ns MR4_I 00000250 40006000 - 3277210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3277250 ns MR4_I 0000024c e7fefff0 - 3277270 ns MR4_I 00000250 40006000 - 3277270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3277310 ns MR4_I 0000024c e7fefff0 - 3277330 ns MR4_I 00000250 40006000 - 3277330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3277370 ns MR4_I 0000024c e7fefff0 - 3277390 ns MR4_I 00000250 40006000 - 3277390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3277430 ns MR4_I 0000024c e7fefff0 - 3277450 ns MR4_I 00000250 40006000 - 3277450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3277490 ns MR4_I 0000024c e7fefff0 - 3277510 ns MR4_I 00000250 40006000 - 3277510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3277550 ns MR4_I 0000024c e7fefff0 - 3277570 ns MR4_I 00000250 40006000 - 3277570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3277610 ns MR4_I 0000024c e7fefff0 - 3277630 ns MR4_I 00000250 40006000 - 3277630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3277670 ns MR4_I 0000024c e7fefff0 - 3277690 ns MR4_I 00000250 40006000 - 3277690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3277730 ns MR4_I 0000024c e7fefff0 - 3277750 ns MR4_I 00000250 40006000 - 3277750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3277790 ns MR4_I 0000024c e7fefff0 - 3277810 ns MR4_I 00000250 40006000 - 3277810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3277850 ns MR4_I 0000024c e7fefff0 - 3277870 ns MR4_I 00000250 40006000 - 3277870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3277910 ns MR4_I 0000024c e7fefff0 - 3277930 ns MR4_I 00000250 40006000 - 3277930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3277970 ns MR4_I 0000024c e7fefff0 - 3277990 ns MR4_I 00000250 40006000 - 3277990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3278030 ns MR4_I 0000024c e7fefff0 - 3278050 ns MR4_I 00000250 40006000 - 3278050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3278090 ns MR4_I 0000024c e7fefff0 - 3278110 ns MR4_I 00000250 40006000 - 3278110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3278150 ns MR4_I 0000024c e7fefff0 - 3278170 ns MR4_I 00000250 40006000 - 3278170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3278210 ns MR4_I 0000024c e7fefff0 - 3278230 ns MR4_I 00000250 40006000 - 3278230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3278270 ns MR4_I 0000024c e7fefff0 - 3278290 ns MR4_I 00000250 40006000 - 3278290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3278330 ns MR4_I 0000024c e7fefff0 - 3278350 ns MR4_I 00000250 40006000 - 3278350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3278390 ns MR4_I 0000024c e7fefff0 - 3278410 ns MR4_I 00000250 40006000 - 3278410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3278450 ns MR4_I 0000024c e7fefff0 - 3278470 ns MR4_I 00000250 40006000 - 3278470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3278510 ns MR4_I 0000024c e7fefff0 - 3278530 ns MR4_I 00000250 40006000 - 3278530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3278570 ns MR4_I 0000024c e7fefff0 - 3278590 ns MR4_I 00000250 40006000 - 3278590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3278630 ns MR4_I 0000024c e7fefff0 - 3278650 ns MR4_I 00000250 40006000 - 3278650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3278690 ns MR4_I 0000024c e7fefff0 - 3278710 ns MR4_I 00000250 40006000 - 3278710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3278750 ns MR4_I 0000024c e7fefff0 - 3278770 ns MR4_I 00000250 40006000 - 3278770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3278810 ns MR4_I 0000024c e7fefff0 - 3278830 ns MR4_I 00000250 40006000 - 3278830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3278870 ns MR4_I 0000024c e7fefff0 - 3278890 ns MR4_I 00000250 40006000 - 3278890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3278930 ns MR4_I 0000024c e7fefff0 - 3278950 ns MR4_I 00000250 40006000 - 3278950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3278990 ns MR4_I 0000024c e7fefff0 - 3279010 ns MR4_I 00000250 40006000 - 3279010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3279050 ns MR4_I 0000024c e7fefff0 - 3279070 ns MR4_I 00000250 40006000 - 3279070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3279110 ns MR4_I 0000024c e7fefff0 - 3279130 ns MR4_I 00000250 40006000 - 3279130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3279170 ns MR4_I 0000024c e7fefff0 - 3279190 ns MR4_I 00000250 40006000 - 3279190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3279230 ns MR4_I 0000024c e7fefff0 - 3279250 ns MR4_I 00000250 40006000 - 3279250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3279290 ns MR4_I 0000024c e7fefff0 - 3279310 ns MR4_I 00000250 40006000 - 3279310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3279350 ns MR4_I 0000024c e7fefff0 - 3279370 ns MR4_I 00000250 40006000 - 3279370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3279410 ns MR4_I 0000024c e7fefff0 - 3279430 ns MR4_I 00000250 40006000 - 3279430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3279470 ns MR4_I 0000024c e7fefff0 - 3279490 ns MR4_I 00000250 40006000 - 3279490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3279530 ns MR4_I 0000024c e7fefff0 - 3279550 ns MR4_I 00000250 40006000 - 3279550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3279590 ns MR4_I 0000024c e7fefff0 - 3279610 ns MR4_I 00000250 40006000 - 3279610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3279650 ns MR4_I 0000024c e7fefff0 - 3279670 ns MR4_I 00000250 40006000 - 3279670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3279710 ns MR4_I 0000024c e7fefff0 - 3279730 ns MR4_I 00000250 40006000 - 3279730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3279770 ns MR4_I 0000024c e7fefff0 - 3279790 ns MR4_I 00000250 40006000 - 3279790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3279830 ns MR4_I 0000024c e7fefff0 - 3279850 ns MR4_I 00000250 40006000 - 3279850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3279890 ns MR4_I 0000024c e7fefff0 - 3279910 ns MR4_I 00000250 40006000 - 3279910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3279950 ns MR4_I 0000024c e7fefff0 - 3279970 ns MR4_I 00000250 40006000 - 3279970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3280010 ns MR4_I 0000024c e7fefff0 - 3280030 ns MR4_I 00000250 40006000 - 3280030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3280070 ns MR4_I 0000024c e7fefff0 - 3280090 ns MR4_I 00000250 40006000 - 3280090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3280130 ns MR4_I 0000024c e7fefff0 - 3280150 ns MR4_I 00000250 40006000 - 3280150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3280190 ns MR4_I 0000024c e7fefff0 - 3280210 ns MR4_I 00000250 40006000 - 3280210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3280250 ns MR4_I 0000024c e7fefff0 - 3280270 ns MR4_I 00000250 40006000 - 3280270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3280310 ns MR4_I 0000024c e7fefff0 - 3280330 ns MR4_I 00000250 40006000 - 3280330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3280370 ns MR4_I 0000024c e7fefff0 - 3280390 ns MR4_I 00000250 40006000 - 3280390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3280430 ns MR4_I 0000024c e7fefff0 - 3280450 ns MR4_I 00000250 40006000 - 3280450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3280490 ns MR4_I 0000024c e7fefff0 - 3280510 ns MR4_I 00000250 40006000 - 3280510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3280550 ns MR4_I 0000024c e7fefff0 - 3280570 ns MR4_I 00000250 40006000 - 3280570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3280610 ns MR4_I 0000024c e7fefff0 - 3280630 ns MR4_I 00000250 40006000 - 3280630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3280670 ns MR4_I 0000024c e7fefff0 - 3280690 ns MR4_I 00000250 40006000 - 3280690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3280730 ns MR4_I 0000024c e7fefff0 - 3280750 ns MR4_I 00000250 40006000 - 3280750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3280790 ns MR4_I 0000024c e7fefff0 - 3280810 ns MR4_I 00000250 40006000 - 3280810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3280850 ns MR4_I 0000024c e7fefff0 - 3280870 ns MR4_I 00000250 40006000 - 3280870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3280910 ns MR4_I 0000024c e7fefff0 - 3280930 ns MR4_I 00000250 40006000 - 3280930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3280970 ns MR4_I 0000024c e7fefff0 - 3280990 ns MR4_I 00000250 40006000 - 3280990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3281030 ns MR4_I 0000024c e7fefff0 - 3281050 ns MR4_I 00000250 40006000 - 3281050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3281090 ns MR4_I 0000024c e7fefff0 - 3281110 ns MR4_I 00000250 40006000 - 3281110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3281150 ns MR4_I 0000024c e7fefff0 - 3281170 ns MR4_I 00000250 40006000 - 3281170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3281210 ns MR4_I 0000024c e7fefff0 - 3281230 ns MR4_I 00000250 40006000 - 3281230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3281270 ns MR4_I 0000024c e7fefff0 - 3281290 ns MR4_I 00000250 40006000 - 3281290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3281330 ns MR4_I 0000024c e7fefff0 - 3281350 ns MR4_I 00000250 40006000 - 3281350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3281390 ns MR4_I 0000024c e7fefff0 - 3281410 ns MR4_I 00000250 40006000 - 3281410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3281450 ns MR4_I 0000024c e7fefff0 - 3281470 ns MR4_I 00000250 40006000 - 3281470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3281510 ns MR4_I 0000024c e7fefff0 - 3281530 ns MR4_I 00000250 40006000 - 3281530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3281570 ns MR4_I 0000024c e7fefff0 - 3281590 ns MR4_I 00000250 40006000 - 3281590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3281630 ns MR4_I 0000024c e7fefff0 - 3281650 ns MR4_I 00000250 40006000 - 3281650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3281690 ns MR4_I 0000024c e7fefff0 - 3281710 ns MR4_I 00000250 40006000 - 3281710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3281750 ns MR4_I 0000024c e7fefff0 - 3281770 ns MR4_I 00000250 40006000 - 3281770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3281810 ns MR4_I 0000024c e7fefff0 - 3281830 ns MR4_I 00000250 40006000 - 3281830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3281870 ns MR4_I 0000024c e7fefff0 - 3281890 ns MR4_I 00000250 40006000 - 3281890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3281930 ns MR4_I 0000024c e7fefff0 - 3281950 ns MR4_I 00000250 40006000 - 3281950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3281990 ns MR4_I 0000024c e7fefff0 - 3282010 ns MR4_I 00000250 40006000 - 3282010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3282050 ns MR4_I 0000024c e7fefff0 - 3282070 ns MR4_I 00000250 40006000 - 3282070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3282110 ns MR4_I 0000024c e7fefff0 - 3282130 ns MR4_I 00000250 40006000 - 3282130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3282170 ns MR4_I 0000024c e7fefff0 - 3282190 ns MR4_I 00000250 40006000 - 3282190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3282230 ns MR4_I 0000024c e7fefff0 - 3282250 ns MR4_I 00000250 40006000 - 3282250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3282290 ns MR4_I 0000024c e7fefff0 - 3282310 ns MR4_I 00000250 40006000 - 3282310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3282350 ns MR4_I 0000024c e7fefff0 - 3282370 ns MR4_I 00000250 40006000 - 3282370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3282410 ns MR4_I 0000024c e7fefff0 - 3282430 ns MR4_I 00000250 40006000 - 3282430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3282470 ns MR4_I 0000024c e7fefff0 - 3282490 ns MR4_I 00000250 40006000 - 3282490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3282530 ns MR4_I 0000024c e7fefff0 - 3282550 ns MR4_I 00000250 40006000 - 3282550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3282590 ns MR4_I 0000024c e7fefff0 - 3282610 ns MR4_I 00000250 40006000 - 3282610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3282650 ns MR4_I 0000024c e7fefff0 - 3282670 ns MR4_I 00000250 40006000 - 3282670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3282710 ns MR4_I 0000024c e7fefff0 - 3282730 ns MR4_I 00000250 40006000 - 3282730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3282770 ns MR4_I 0000024c e7fefff0 - 3282790 ns MR4_I 00000250 40006000 - 3282790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3282830 ns MR4_I 0000024c e7fefff0 - 3282850 ns MR4_I 00000250 40006000 - 3282850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3282890 ns MR4_I 0000024c e7fefff0 - 3282910 ns MR4_I 00000250 40006000 - 3282910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3282950 ns MR4_I 0000024c e7fefff0 - 3282970 ns MR4_I 00000250 40006000 - 3282970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3283010 ns MR4_I 0000024c e7fefff0 - 3283030 ns MR4_I 00000250 40006000 - 3283030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3283070 ns MR4_I 0000024c e7fefff0 - 3283090 ns MR4_I 00000250 40006000 - 3283090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3283130 ns MR4_I 0000024c e7fefff0 - 3283150 ns MR4_I 00000250 40006000 - 3283150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3283190 ns MR4_I 0000024c e7fefff0 - 3283210 ns MR4_I 00000250 40006000 - 3283210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3283250 ns MR4_I 0000024c e7fefff0 - 3283270 ns MR4_I 00000250 40006000 - 3283270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3283310 ns MR4_I 0000024c e7fefff0 - 3283330 ns MR4_I 00000250 40006000 - 3283330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3283370 ns MR4_I 0000024c e7fefff0 - 3283390 ns MR4_I 00000250 40006000 - 3283390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3283430 ns MR4_I 0000024c e7fefff0 - 3283450 ns MR4_I 00000250 40006000 - 3283450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3283490 ns MR4_I 0000024c e7fefff0 - 3283510 ns MR4_I 00000250 40006000 - 3283510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3283550 ns MR4_I 0000024c e7fefff0 - 3283570 ns MR4_I 00000250 40006000 - 3283570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3283610 ns MR4_I 0000024c e7fefff0 - 3283630 ns MR4_I 00000250 40006000 - 3283630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3283670 ns MR4_I 0000024c e7fefff0 - 3283690 ns MR4_I 00000250 40006000 - 3283690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3283730 ns MR4_I 0000024c e7fefff0 - 3283750 ns MR4_I 00000250 40006000 - 3283750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3283790 ns MR4_I 0000024c e7fefff0 - 3283810 ns MR4_I 00000250 40006000 - 3283810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3283850 ns MR4_I 0000024c e7fefff0 - 3283870 ns MR4_I 00000250 40006000 - 3283870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3283910 ns MR4_I 0000024c e7fefff0 - 3283930 ns MR4_I 00000250 40006000 - 3283930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3283970 ns MR4_I 0000024c e7fefff0 - 3283990 ns MR4_I 00000250 40006000 - 3283990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3284030 ns MR4_I 0000024c e7fefff0 - 3284050 ns MR4_I 00000250 40006000 - 3284050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3284090 ns MR4_I 0000024c e7fefff0 - 3284110 ns MR4_I 00000250 40006000 - 3284110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3284150 ns MR4_I 0000024c e7fefff0 - 3284170 ns MR4_I 00000250 40006000 - 3284170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3284210 ns MR4_I 0000024c e7fefff0 - 3284230 ns MR4_I 00000250 40006000 - 3284230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3284270 ns MR4_I 0000024c e7fefff0 - 3284290 ns MR4_I 00000250 40006000 - 3284290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3284330 ns MR4_I 0000024c e7fefff0 - 3284350 ns MR4_I 00000250 40006000 - 3284350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3284390 ns MR4_I 0000024c e7fefff0 - 3284410 ns MR4_I 00000250 40006000 - 3284410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3284450 ns MR4_I 0000024c e7fefff0 - 3284470 ns MR4_I 00000250 40006000 - 3284470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3284510 ns MR4_I 0000024c e7fefff0 - 3284530 ns MR4_I 00000250 40006000 - 3284530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3284570 ns MR4_I 0000024c e7fefff0 - 3284590 ns MR4_I 00000250 40006000 - 3284590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3284630 ns MR4_I 0000024c e7fefff0 - 3284650 ns MR4_I 00000250 40006000 - 3284650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3284690 ns MR4_I 0000024c e7fefff0 - 3284710 ns MR4_I 00000250 40006000 - 3284710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3284750 ns MR4_I 0000024c e7fefff0 - 3284770 ns MR4_I 00000250 40006000 - 3284770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3284810 ns MR4_I 0000024c e7fefff0 - 3284830 ns MR4_I 00000250 40006000 - 3284830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3284870 ns MR4_I 0000024c e7fefff0 - 3284890 ns MR4_I 00000250 40006000 - 3284890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3284930 ns MR4_I 0000024c e7fefff0 - 3284950 ns MR4_I 00000250 40006000 - 3284950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3284990 ns MR4_I 0000024c e7fefff0 - 3285010 ns MR4_I 00000250 40006000 - 3285010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3285050 ns MR4_I 0000024c e7fefff0 - 3285070 ns MR4_I 00000250 40006000 - 3285070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3285110 ns MR4_I 0000024c e7fefff0 - 3285130 ns MR4_I 00000250 40006000 - 3285130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3285170 ns MR4_I 0000024c e7fefff0 - 3285190 ns MR4_I 00000250 40006000 - 3285190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3285230 ns MR4_I 0000024c e7fefff0 - 3285250 ns MR4_I 00000250 40006000 - 3285250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3285290 ns MR4_I 0000024c e7fefff0 - 3285310 ns MR4_I 00000250 40006000 - 3285310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3285350 ns MR4_I 0000024c e7fefff0 - 3285370 ns MR4_I 00000250 40006000 - 3285370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3285410 ns MR4_I 0000024c e7fefff0 - 3285430 ns MR4_I 00000250 40006000 - 3285430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3285470 ns MR4_I 0000024c e7fefff0 - 3285490 ns MR4_I 00000250 40006000 - 3285490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3285530 ns MR4_I 0000024c e7fefff0 - 3285550 ns MR4_I 00000250 40006000 - 3285550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3285590 ns MR4_I 0000024c e7fefff0 - 3285610 ns MR4_I 00000250 40006000 - 3285610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3285650 ns MR4_I 0000024c e7fefff0 - 3285670 ns MR4_I 00000250 40006000 - 3285670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3285710 ns MR4_I 0000024c e7fefff0 - 3285730 ns MR4_I 00000250 40006000 - 3285730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3285770 ns MR4_I 0000024c e7fefff0 - 3285790 ns MR4_I 00000250 40006000 - 3285790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3285830 ns MR4_I 0000024c e7fefff0 - 3285850 ns MR4_I 00000250 40006000 - 3285850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3285890 ns MR4_I 0000024c e7fefff0 - 3285910 ns MR4_I 00000250 40006000 - 3285910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3285950 ns MR4_I 0000024c e7fefff0 - 3285970 ns MR4_I 00000250 40006000 - 3285970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3286010 ns MR4_I 0000024c e7fefff0 - 3286030 ns MR4_I 00000250 40006000 - 3286030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3286070 ns MR4_I 0000024c e7fefff0 - 3286090 ns MR4_I 00000250 40006000 - 3286090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3286130 ns MR4_I 0000024c e7fefff0 - 3286150 ns MR4_I 00000250 40006000 - 3286150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3286190 ns MR4_I 0000024c e7fefff0 - 3286210 ns MR4_I 00000250 40006000 - 3286210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3286250 ns MR4_I 0000024c e7fefff0 - 3286270 ns MR4_I 00000250 40006000 - 3286270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3286310 ns MR4_I 0000024c e7fefff0 - 3286330 ns MR4_I 00000250 40006000 - 3286330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3286370 ns MR4_I 0000024c e7fefff0 - 3286390 ns MR4_I 00000250 40006000 - 3286390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3286430 ns MR4_I 0000024c e7fefff0 - 3286450 ns MR4_I 00000250 40006000 - 3286450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3286490 ns MR4_I 0000024c e7fefff0 - 3286510 ns MR4_I 00000250 40006000 - 3286510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3286550 ns MR4_I 0000024c e7fefff0 - 3286570 ns MR4_I 00000250 40006000 - 3286570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3286610 ns MR4_I 0000024c e7fefff0 - 3286630 ns MR4_I 00000250 40006000 - 3286630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3286670 ns MR4_I 0000024c e7fefff0 - 3286690 ns MR4_I 00000250 40006000 - 3286690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3286730 ns MR4_I 0000024c e7fefff0 - 3286750 ns MR4_I 00000250 40006000 - 3286750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3286790 ns MR4_I 0000024c e7fefff0 - 3286810 ns MR4_I 00000250 40006000 - 3286810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3286850 ns MR4_I 0000024c e7fefff0 - 3286870 ns MR4_I 00000250 40006000 - 3286870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3286910 ns MR4_I 0000024c e7fefff0 - 3286930 ns MR4_I 00000250 40006000 - 3286930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3286970 ns MR4_I 0000024c e7fefff0 - 3286990 ns MR4_I 00000250 40006000 - 3286990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3287030 ns MR4_I 0000024c e7fefff0 - 3287050 ns MR4_I 00000250 40006000 - 3287050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3287090 ns MR4_I 0000024c e7fefff0 - 3287110 ns MR4_I 00000250 40006000 - 3287110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3287150 ns MR4_I 0000024c e7fefff0 - 3287170 ns MR4_I 00000250 40006000 - 3287170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3287210 ns MR4_I 0000024c e7fefff0 - 3287230 ns MR4_I 00000250 40006000 - 3287230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3287270 ns MR4_I 0000024c e7fefff0 - 3287290 ns MR4_I 00000250 40006000 - 3287290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3287330 ns MR4_I 0000024c e7fefff0 - 3287350 ns MR4_I 00000250 40006000 - 3287350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3287390 ns MR4_I 0000024c e7fefff0 - 3287410 ns MR4_I 00000250 40006000 - 3287410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3287450 ns MR4_I 0000024c e7fefff0 - 3287470 ns MR4_I 00000250 40006000 - 3287470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3287510 ns MR4_I 0000024c e7fefff0 - 3287530 ns MR4_I 00000250 40006000 - 3287530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3287570 ns MR4_I 0000024c e7fefff0 - 3287590 ns MR4_I 00000250 40006000 - 3287590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3287630 ns MR4_I 0000024c e7fefff0 - 3287650 ns MR4_I 00000250 40006000 - 3287650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3287690 ns MR4_I 0000024c e7fefff0 - 3287710 ns MR4_I 00000250 40006000 - 3287710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3287750 ns MR4_I 0000024c e7fefff0 - 3287770 ns MR4_I 00000250 40006000 - 3287770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3287810 ns MR4_I 0000024c e7fefff0 - 3287830 ns MR4_I 00000250 40006000 - 3287830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3287870 ns MR4_I 0000024c e7fefff0 - 3287890 ns MR4_I 00000250 40006000 - 3287890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3287930 ns MR4_I 0000024c e7fefff0 - 3287950 ns MR4_I 00000250 40006000 - 3287950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3287990 ns MR4_I 0000024c e7fefff0 - 3288010 ns MR4_I 00000250 40006000 - 3288010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3288050 ns MR4_I 0000024c e7fefff0 - 3288070 ns MR4_I 00000250 40006000 - 3288070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3288110 ns MR4_I 0000024c e7fefff0 - 3288130 ns MR4_I 00000250 40006000 - 3288130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3288170 ns MR4_I 0000024c e7fefff0 - 3288190 ns MR4_I 00000250 40006000 - 3288190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3288230 ns MR4_I 0000024c e7fefff0 - 3288250 ns MR4_I 00000250 40006000 - 3288250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3288290 ns MR4_I 0000024c e7fefff0 - 3288310 ns MR4_I 00000250 40006000 - 3288310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3288350 ns MR4_I 0000024c e7fefff0 - 3288370 ns MR4_I 00000250 40006000 - 3288370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3288410 ns MR4_I 0000024c e7fefff0 - 3288430 ns MR4_I 00000250 40006000 - 3288430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3288470 ns MR4_I 0000024c e7fefff0 - 3288490 ns MR4_I 00000250 40006000 - 3288490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3288530 ns MR4_I 0000024c e7fefff0 - 3288550 ns MR4_I 00000250 40006000 - 3288550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3288590 ns MR4_I 0000024c e7fefff0 - 3288610 ns MR4_I 00000250 40006000 - 3288610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3288650 ns MR4_I 0000024c e7fefff0 - 3288670 ns MR4_I 00000250 40006000 - 3288670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3288710 ns MR4_I 0000024c e7fefff0 - 3288730 ns MR4_I 00000250 40006000 - 3288730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3288770 ns MR4_I 0000024c e7fefff0 - 3288790 ns MR4_I 00000250 40006000 - 3288790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3288830 ns MR4_I 0000024c e7fefff0 - 3288850 ns MR4_I 00000250 40006000 - 3288850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3288890 ns MR4_I 0000024c e7fefff0 - 3288910 ns MR4_I 00000250 40006000 - 3288910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3288950 ns MR4_I 0000024c e7fefff0 - 3288970 ns MR4_I 00000250 40006000 - 3288970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3289010 ns MR4_I 0000024c e7fefff0 - 3289030 ns MR4_I 00000250 40006000 - 3289030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3289070 ns MR4_I 0000024c e7fefff0 - 3289090 ns MR4_I 00000250 40006000 - 3289090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3289130 ns MR4_I 0000024c e7fefff0 - 3289150 ns MR4_I 00000250 40006000 - 3289150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3289190 ns MR4_I 0000024c e7fefff0 - 3289210 ns MR4_I 00000250 40006000 - 3289210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3289250 ns MR4_I 0000024c e7fefff0 - 3289270 ns MR4_I 00000250 40006000 - 3289270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3289310 ns MR4_I 0000024c e7fefff0 - 3289330 ns MR4_I 00000250 40006000 - 3289330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3289370 ns MR4_I 0000024c e7fefff0 - 3289390 ns MR4_I 00000250 40006000 - 3289390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3289430 ns MR4_I 0000024c e7fefff0 - 3289450 ns MR4_I 00000250 40006000 - 3289450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3289490 ns MR4_I 0000024c e7fefff0 - 3289510 ns MR4_I 00000250 40006000 - 3289510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3289550 ns MR4_I 0000024c e7fefff0 - 3289570 ns MR4_I 00000250 40006000 - 3289570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3289610 ns MR4_I 0000024c e7fefff0 - 3289630 ns MR4_I 00000250 40006000 - 3289630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3289670 ns MR4_I 0000024c e7fefff0 - 3289690 ns MR4_I 00000250 40006000 - 3289690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3289730 ns MR4_I 0000024c e7fefff0 - 3289750 ns MR4_I 00000250 40006000 - 3289750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3289790 ns MR4_I 0000024c e7fefff0 - 3289810 ns MR4_I 00000250 40006000 - 3289810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3289850 ns MR4_I 0000024c e7fefff0 - 3289870 ns MR4_I 00000250 40006000 - 3289870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3289910 ns MR4_I 0000024c e7fefff0 - 3289930 ns MR4_I 00000250 40006000 - 3289930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3289970 ns MR4_I 0000024c e7fefff0 - 3289990 ns MR4_I 00000250 40006000 - 3289990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3290030 ns MR4_I 0000024c e7fefff0 - 3290050 ns MR4_I 00000250 40006000 - 3290050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3290090 ns MR4_I 0000024c e7fefff0 - 3290110 ns MR4_I 00000250 40006000 - 3290110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3290150 ns MR4_I 0000024c e7fefff0 - 3290170 ns MR4_I 00000250 40006000 - 3290170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3290210 ns MR4_I 0000024c e7fefff0 - 3290230 ns MR4_I 00000250 40006000 - 3290230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3290270 ns MR4_I 0000024c e7fefff0 - 3290290 ns MR4_I 00000250 40006000 - 3290290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3290330 ns MR4_I 0000024c e7fefff0 - 3290350 ns MR4_I 00000250 40006000 - 3290350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3290390 ns MR4_I 0000024c e7fefff0 - 3290410 ns MR4_I 00000250 40006000 - 3290410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3290450 ns MR4_I 0000024c e7fefff0 - 3290470 ns MR4_I 00000250 40006000 - 3290470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3290510 ns MR4_I 0000024c e7fefff0 - 3290530 ns MR4_I 00000250 40006000 - 3290530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3290570 ns MR4_I 0000024c e7fefff0 - 3290590 ns MR4_I 00000250 40006000 - 3290590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3290630 ns MR4_I 0000024c e7fefff0 - 3290650 ns MR4_I 00000250 40006000 - 3290650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3290690 ns MR4_I 0000024c e7fefff0 - 3290710 ns MR4_I 00000250 40006000 - 3290710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3290750 ns MR4_I 0000024c e7fefff0 - 3290770 ns MR4_I 00000250 40006000 - 3290770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3290810 ns MR4_I 0000024c e7fefff0 - 3290830 ns MR4_I 00000250 40006000 - 3290830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3290870 ns MR4_I 0000024c e7fefff0 - 3290890 ns MR4_I 00000250 40006000 - 3290890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3290930 ns MR4_I 0000024c e7fefff0 - 3290950 ns MR4_I 00000250 40006000 - 3290950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3290990 ns MR4_I 0000024c e7fefff0 - 3291010 ns MR4_I 00000250 40006000 - 3291010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3291050 ns MR4_I 0000024c e7fefff0 - 3291070 ns MR4_I 00000250 40006000 - 3291070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3291110 ns MR4_I 0000024c e7fefff0 - 3291130 ns MR4_I 00000250 40006000 - 3291130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3291170 ns MR4_I 0000024c e7fefff0 - 3291190 ns MR4_I 00000250 40006000 - 3291190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3291230 ns MR4_I 0000024c e7fefff0 - 3291250 ns MR4_I 00000250 40006000 - 3291250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3291290 ns MR4_I 0000024c e7fefff0 - 3291310 ns MR4_I 00000250 40006000 - 3291310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3291350 ns MR4_I 0000024c e7fefff0 - 3291370 ns MR4_I 00000250 40006000 - 3291370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3291410 ns MR4_I 0000024c e7fefff0 - 3291430 ns MR4_I 00000250 40006000 - 3291430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3291470 ns MR4_I 0000024c e7fefff0 - 3291490 ns MR4_I 00000250 40006000 - 3291490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3291530 ns MR4_I 0000024c e7fefff0 - 3291550 ns MR4_I 00000250 40006000 - 3291550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3291590 ns MR4_I 0000024c e7fefff0 - 3291610 ns MR4_I 00000250 40006000 - 3291610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3291650 ns MR4_I 0000024c e7fefff0 - 3291670 ns MR4_I 00000250 40006000 - 3291670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3291710 ns MR4_I 0000024c e7fefff0 - 3291730 ns MR4_I 00000250 40006000 - 3291730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3291770 ns MR4_I 0000024c e7fefff0 - 3291790 ns MR4_I 00000250 40006000 - 3291790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3291830 ns MR4_I 0000024c e7fefff0 - 3291850 ns MR4_I 00000250 40006000 - 3291850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3291890 ns MR4_I 0000024c e7fefff0 - 3291910 ns MR4_I 00000250 40006000 - 3291910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3291950 ns MR4_I 0000024c e7fefff0 - 3291970 ns MR4_I 00000250 40006000 - 3291970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3292010 ns MR4_I 0000024c e7fefff0 - 3292030 ns MR4_I 00000250 40006000 - 3292030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3292070 ns MR4_I 0000024c e7fefff0 - 3292090 ns MR4_I 00000250 40006000 - 3292090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3292130 ns MR4_I 0000024c e7fefff0 - 3292150 ns MR4_I 00000250 40006000 - 3292150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3292190 ns MR4_I 0000024c e7fefff0 - 3292210 ns MR4_I 00000250 40006000 - 3292210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3292250 ns MR4_I 0000024c e7fefff0 - 3292270 ns MR4_I 00000250 40006000 - 3292270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3292310 ns MR4_I 0000024c e7fefff0 - 3292330 ns MR4_I 00000250 40006000 - 3292330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3292370 ns MR4_I 0000024c e7fefff0 - 3292390 ns MR4_I 00000250 40006000 - 3292390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3292430 ns MR4_I 0000024c e7fefff0 - 3292450 ns MR4_I 00000250 40006000 - 3292450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3292490 ns MR4_I 0000024c e7fefff0 - 3292510 ns MR4_I 00000250 40006000 - 3292510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3292550 ns MR4_I 0000024c e7fefff0 - 3292570 ns MR4_I 00000250 40006000 - 3292570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3292610 ns MR4_I 0000024c e7fefff0 - 3292630 ns MR4_I 00000250 40006000 - 3292630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3292670 ns MR4_I 0000024c e7fefff0 - 3292690 ns MR4_I 00000250 40006000 - 3292690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3292730 ns MR4_I 0000024c e7fefff0 - 3292750 ns MR4_I 00000250 40006000 - 3292750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3292790 ns MR4_I 0000024c e7fefff0 - 3292810 ns MR4_I 00000250 40006000 - 3292810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3292850 ns MR4_I 0000024c e7fefff0 - 3292870 ns MR4_I 00000250 40006000 - 3292870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3292910 ns MR4_I 0000024c e7fefff0 - 3292930 ns MR4_I 00000250 40006000 - 3292930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3292970 ns MR4_I 0000024c e7fefff0 - 3292990 ns MR4_I 00000250 40006000 - 3292990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3293030 ns MR4_I 0000024c e7fefff0 - 3293050 ns MR4_I 00000250 40006000 - 3293050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3293090 ns MR4_I 0000024c e7fefff0 - 3293110 ns MR4_I 00000250 40006000 - 3293110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3293150 ns MR4_I 0000024c e7fefff0 - 3293170 ns MR4_I 00000250 40006000 - 3293170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3293210 ns MR4_I 0000024c e7fefff0 - 3293230 ns MR4_I 00000250 40006000 - 3293230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3293270 ns MR4_I 0000024c e7fefff0 - 3293290 ns MR4_I 00000250 40006000 - 3293290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3293330 ns MR4_I 0000024c e7fefff0 - 3293350 ns MR4_I 00000250 40006000 - 3293350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3293390 ns MR4_I 0000024c e7fefff0 - 3293410 ns MR4_I 00000250 40006000 - 3293410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3293450 ns MR4_I 0000024c e7fefff0 - 3293470 ns MR4_I 00000250 40006000 - 3293470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3293510 ns MR4_I 0000024c e7fefff0 - 3293530 ns MR4_I 00000250 40006000 - 3293530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3293570 ns MR4_I 0000024c e7fefff0 - 3293590 ns MR4_I 00000250 40006000 - 3293590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3293630 ns MR4_I 0000024c e7fefff0 - 3293650 ns MR4_I 00000250 40006000 - 3293650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3293690 ns MR4_I 0000024c e7fefff0 - 3293710 ns MR4_I 00000250 40006000 - 3293710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3293750 ns MR4_I 0000024c e7fefff0 - 3293770 ns MR4_I 00000250 40006000 - 3293770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3293810 ns MR4_I 0000024c e7fefff0 - 3293830 ns MR4_I 00000250 40006000 - 3293830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3293870 ns MR4_I 0000024c e7fefff0 - 3293890 ns MR4_I 00000250 40006000 - 3293890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3293930 ns MR4_I 0000024c e7fefff0 - 3293950 ns MR4_I 00000250 40006000 - 3293950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3293990 ns MR4_I 0000024c e7fefff0 - 3294010 ns MR4_I 00000250 40006000 - 3294010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3294050 ns MR4_I 0000024c e7fefff0 - 3294070 ns MR4_I 00000250 40006000 - 3294070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3294110 ns MR4_I 0000024c e7fefff0 - 3294130 ns MR4_I 00000250 40006000 - 3294130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3294170 ns MR4_I 0000024c e7fefff0 - 3294190 ns MR4_I 00000250 40006000 - 3294190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3294230 ns MR4_I 0000024c e7fefff0 - 3294250 ns MR4_I 00000250 40006000 - 3294250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3294290 ns MR4_I 0000024c e7fefff0 - 3294310 ns MR4_I 00000250 40006000 - 3294310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3294350 ns MR4_I 0000024c e7fefff0 - 3294370 ns MR4_I 00000250 40006000 - 3294370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3294410 ns MR4_I 0000024c e7fefff0 - 3294430 ns MR4_I 00000250 40006000 - 3294430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3294470 ns MR4_I 0000024c e7fefff0 - 3294490 ns MR4_I 00000250 40006000 - 3294490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3294530 ns MR4_I 0000024c e7fefff0 - 3294550 ns MR4_I 00000250 40006000 - 3294550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3294590 ns MR4_I 0000024c e7fefff0 - 3294610 ns MR4_I 00000250 40006000 - 3294610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3294650 ns MR4_I 0000024c e7fefff0 - 3294670 ns MR4_I 00000250 40006000 - 3294670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3294710 ns MR4_I 0000024c e7fefff0 - 3294730 ns MR4_I 00000250 40006000 - 3294730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3294770 ns MR4_I 0000024c e7fefff0 - 3294790 ns MR4_I 00000250 40006000 - 3294790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3294830 ns MR4_I 0000024c e7fefff0 - 3294850 ns MR4_I 00000250 40006000 - 3294850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3294890 ns MR4_I 0000024c e7fefff0 - 3294910 ns MR4_I 00000250 40006000 - 3294910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3294950 ns MR4_I 0000024c e7fefff0 - 3294970 ns MR4_I 00000250 40006000 - 3294970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3295010 ns MR4_I 0000024c e7fefff0 - 3295030 ns MR4_I 00000250 40006000 - 3295030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3295070 ns MR4_I 0000024c e7fefff0 - 3295090 ns MR4_I 00000250 40006000 - 3295090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3295130 ns MR4_I 0000024c e7fefff0 - 3295150 ns MR4_I 00000250 40006000 - 3295150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3295190 ns MR4_I 0000024c e7fefff0 - 3295210 ns MR4_I 00000250 40006000 - 3295210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3295250 ns MR4_I 0000024c e7fefff0 - 3295270 ns MR4_I 00000250 40006000 - 3295270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3295310 ns MR4_I 0000024c e7fefff0 - 3295330 ns MR4_I 00000250 40006000 - 3295330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3295370 ns MR4_I 0000024c e7fefff0 - 3295390 ns MR4_I 00000250 40006000 - 3295390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3295430 ns MR4_I 0000024c e7fefff0 - 3295450 ns MR4_I 00000250 40006000 - 3295450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3295490 ns MR4_I 0000024c e7fefff0 - 3295510 ns MR4_I 00000250 40006000 - 3295510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3295550 ns MR4_I 0000024c e7fefff0 - 3295570 ns MR4_I 00000250 40006000 - 3295570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3295610 ns MR4_I 0000024c e7fefff0 - 3295630 ns MR4_I 00000250 40006000 - 3295630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3295670 ns MR4_I 0000024c e7fefff0 - 3295690 ns MR4_I 00000250 40006000 - 3295690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3295730 ns MR4_I 0000024c e7fefff0 - 3295750 ns MR4_I 00000250 40006000 - 3295750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3295790 ns MR4_I 0000024c e7fefff0 - 3295810 ns MR4_I 00000250 40006000 - 3295810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3295850 ns MR4_I 0000024c e7fefff0 - 3295870 ns MR4_I 00000250 40006000 - 3295870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3295910 ns MR4_I 0000024c e7fefff0 - 3295930 ns MR4_I 00000250 40006000 - 3295930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3295970 ns MR4_I 0000024c e7fefff0 - 3295990 ns MR4_I 00000250 40006000 - 3295990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3296030 ns MR4_I 0000024c e7fefff0 - 3296050 ns MR4_I 00000250 40006000 - 3296050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3296090 ns MR4_I 0000024c e7fefff0 - 3296110 ns MR4_I 00000250 40006000 - 3296110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3296150 ns MR4_I 0000024c e7fefff0 - 3296170 ns MR4_I 00000250 40006000 - 3296170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3296210 ns MR4_I 0000024c e7fefff0 - 3296230 ns MR4_I 00000250 40006000 - 3296230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3296270 ns MR4_I 0000024c e7fefff0 - 3296290 ns MR4_I 00000250 40006000 - 3296290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3296330 ns MR4_I 0000024c e7fefff0 - 3296350 ns MR4_I 00000250 40006000 - 3296350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3296390 ns MR4_I 0000024c e7fefff0 - 3296410 ns MR4_I 00000250 40006000 - 3296410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3296450 ns MR4_I 0000024c e7fefff0 - 3296470 ns MR4_I 00000250 40006000 - 3296470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3296510 ns MR4_I 0000024c e7fefff0 - 3296530 ns MR4_I 00000250 40006000 - 3296530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3296570 ns MR4_I 0000024c e7fefff0 - 3296590 ns MR4_I 00000250 40006000 - 3296590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3296630 ns MR4_I 0000024c e7fefff0 - 3296650 ns MR4_I 00000250 40006000 - 3296650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3296690 ns MR4_I 0000024c e7fefff0 - 3296710 ns MR4_I 00000250 40006000 - 3296710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3296750 ns MR4_I 0000024c e7fefff0 - 3296770 ns MR4_I 00000250 40006000 - 3296770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3296810 ns MR4_I 0000024c e7fefff0 - 3296830 ns MR4_I 00000250 40006000 - 3296830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3296870 ns MR4_I 0000024c e7fefff0 - 3296890 ns MR4_I 00000250 40006000 - 3296890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3296930 ns MR4_I 0000024c e7fefff0 - 3296950 ns MR4_I 00000250 40006000 - 3296950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3296990 ns MR4_I 0000024c e7fefff0 - 3297010 ns MR4_I 00000250 40006000 - 3297010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3297050 ns MR4_I 0000024c e7fefff0 - 3297070 ns MR4_I 00000250 40006000 - 3297070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3297110 ns MR4_I 0000024c e7fefff0 - 3297130 ns MR4_I 00000250 40006000 - 3297130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3297170 ns MR4_I 0000024c e7fefff0 - 3297190 ns MR4_I 00000250 40006000 - 3297190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3297230 ns MR4_I 0000024c e7fefff0 - 3297250 ns MR4_I 00000250 40006000 - 3297250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3297290 ns MR4_I 0000024c e7fefff0 - 3297310 ns MR4_I 00000250 40006000 - 3297310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3297350 ns MR4_I 0000024c e7fefff0 - 3297370 ns MR4_I 00000250 40006000 - 3297370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3297410 ns MR4_I 0000024c e7fefff0 - 3297430 ns MR4_I 00000250 40006000 - 3297430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3297470 ns MR4_I 0000024c e7fefff0 - 3297490 ns MR4_I 00000250 40006000 - 3297490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3297530 ns MR4_I 0000024c e7fefff0 - 3297550 ns MR4_I 00000250 40006000 - 3297550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3297590 ns MR4_I 0000024c e7fefff0 - 3297610 ns MR4_I 00000250 40006000 - 3297610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3297650 ns MR4_I 0000024c e7fefff0 - 3297670 ns MR4_I 00000250 40006000 - 3297670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3297710 ns MR4_I 0000024c e7fefff0 - 3297730 ns MR4_I 00000250 40006000 - 3297730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3297770 ns MR4_I 0000024c e7fefff0 - 3297790 ns MR4_I 00000250 40006000 - 3297790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3297830 ns MR4_I 0000024c e7fefff0 - 3297850 ns MR4_I 00000250 40006000 - 3297850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3297890 ns MR4_I 0000024c e7fefff0 - 3297910 ns MR4_I 00000250 40006000 - 3297910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3297950 ns MR4_I 0000024c e7fefff0 - 3297970 ns MR4_I 00000250 40006000 - 3297970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3298010 ns MR4_I 0000024c e7fefff0 - 3298030 ns MR4_I 00000250 40006000 - 3298030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3298070 ns MR4_I 0000024c e7fefff0 - 3298090 ns MR4_I 00000250 40006000 - 3298090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3298130 ns MR4_I 0000024c e7fefff0 - 3298150 ns MR4_I 00000250 40006000 - 3298150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3298190 ns MR4_I 0000024c e7fefff0 - 3298210 ns MR4_I 00000250 40006000 - 3298210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3298250 ns MR4_I 0000024c e7fefff0 - 3298270 ns MR4_I 00000250 40006000 - 3298270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3298310 ns MR4_I 0000024c e7fefff0 - 3298330 ns MR4_I 00000250 40006000 - 3298330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3298370 ns MR4_I 0000024c e7fefff0 - 3298390 ns MR4_I 00000250 40006000 - 3298390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3298430 ns MR4_I 0000024c e7fefff0 - 3298450 ns MR4_I 00000250 40006000 - 3298450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3298490 ns MR4_I 0000024c e7fefff0 - 3298510 ns MR4_I 00000250 40006000 - 3298510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3298550 ns MR4_I 0000024c e7fefff0 - 3298570 ns MR4_I 00000250 40006000 - 3298570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3298610 ns MR4_I 0000024c e7fefff0 - 3298630 ns MR4_I 00000250 40006000 - 3298630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3298670 ns MR4_I 0000024c e7fefff0 - 3298690 ns MR4_I 00000250 40006000 - 3298690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3298730 ns MR4_I 0000024c e7fefff0 - 3298750 ns MR4_I 00000250 40006000 - 3298750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3298790 ns MR4_I 0000024c e7fefff0 - 3298810 ns MR4_I 00000250 40006000 - 3298810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3298850 ns MR4_I 0000024c e7fefff0 - 3298870 ns MR4_I 00000250 40006000 - 3298870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3298910 ns MR4_I 0000024c e7fefff0 - 3298930 ns MR4_I 00000250 40006000 - 3298930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3298970 ns MR4_I 0000024c e7fefff0 - 3298990 ns MR4_I 00000250 40006000 - 3298990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3299030 ns MR4_I 0000024c e7fefff0 - 3299050 ns MR4_I 00000250 40006000 - 3299050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3299090 ns MR4_I 0000024c e7fefff0 - 3299110 ns MR4_I 00000250 40006000 - 3299110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3299150 ns MR4_I 0000024c e7fefff0 - 3299170 ns MR4_I 00000250 40006000 - 3299170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3299210 ns MR4_I 0000024c e7fefff0 - 3299230 ns MR4_I 00000250 40006000 - 3299230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3299270 ns MR4_I 0000024c e7fefff0 - 3299290 ns MR4_I 00000250 40006000 - 3299290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3299330 ns MR4_I 0000024c e7fefff0 - 3299350 ns MR4_I 00000250 40006000 - 3299350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3299390 ns MR4_I 0000024c e7fefff0 - 3299410 ns MR4_I 00000250 40006000 - 3299410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3299450 ns MR4_I 0000024c e7fefff0 - 3299470 ns MR4_I 00000250 40006000 - 3299470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3299510 ns MR4_I 0000024c e7fefff0 - 3299530 ns MR4_I 00000250 40006000 - 3299530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3299570 ns MR4_I 0000024c e7fefff0 - 3299590 ns MR4_I 00000250 40006000 - 3299590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3299630 ns MR4_I 0000024c e7fefff0 - 3299650 ns MR4_I 00000250 40006000 - 3299650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3299690 ns MR4_I 0000024c e7fefff0 - 3299710 ns MR4_I 00000250 40006000 - 3299710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3299750 ns MR4_I 0000024c e7fefff0 - 3299770 ns MR4_I 00000250 40006000 - 3299770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3299810 ns MR4_I 0000024c e7fefff0 - 3299830 ns MR4_I 00000250 40006000 - 3299830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3299870 ns MR4_I 0000024c e7fefff0 - 3299890 ns MR4_I 00000250 40006000 - 3299890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3299930 ns MR4_I 0000024c e7fefff0 - 3299950 ns MR4_I 00000250 40006000 - 3299950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3299990 ns MR4_I 0000024c e7fefff0 - 3300010 ns MR4_I 00000250 40006000 - 3300010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3300050 ns MR4_I 0000024c e7fefff0 - 3300070 ns MR4_I 00000250 40006000 - 3300070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3300110 ns MR4_I 0000024c e7fefff0 - 3300130 ns MR4_I 00000250 40006000 - 3300130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3300170 ns MR4_I 0000024c e7fefff0 - 3300190 ns MR4_I 00000250 40006000 - 3300190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3300230 ns MR4_I 0000024c e7fefff0 - 3300250 ns MR4_I 00000250 40006000 - 3300250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3300290 ns MR4_I 0000024c e7fefff0 - 3300310 ns MR4_I 00000250 40006000 - 3300310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3300350 ns MR4_I 0000024c e7fefff0 - 3300370 ns MR4_I 00000250 40006000 - 3300370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3300410 ns MR4_I 0000024c e7fefff0 - 3300430 ns MR4_I 00000250 40006000 - 3300430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3300470 ns MR4_I 0000024c e7fefff0 - 3300490 ns MR4_I 00000250 40006000 - 3300490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3300530 ns MR4_I 0000024c e7fefff0 - 3300550 ns MR4_I 00000250 40006000 - 3300550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3300590 ns MR4_I 0000024c e7fefff0 - 3300610 ns MR4_I 00000250 40006000 - 3300610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3300650 ns MR4_I 0000024c e7fefff0 - 3300670 ns MR4_I 00000250 40006000 - 3300670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3300710 ns MR4_I 0000024c e7fefff0 - 3300730 ns MR4_I 00000250 40006000 - 3300730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3300770 ns MR4_I 0000024c e7fefff0 - 3300790 ns MR4_I 00000250 40006000 - 3300790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3300830 ns MR4_I 0000024c e7fefff0 - 3300850 ns MR4_I 00000250 40006000 - 3300850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3300890 ns MR4_I 0000024c e7fefff0 - 3300910 ns MR4_I 00000250 40006000 - 3300910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3300950 ns MR4_I 0000024c e7fefff0 - 3300970 ns MR4_I 00000250 40006000 - 3300970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3301010 ns MR4_I 0000024c e7fefff0 - 3301030 ns MR4_I 00000250 40006000 - 3301030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3301070 ns MR4_I 0000024c e7fefff0 - 3301090 ns MR4_I 00000250 40006000 - 3301090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3301130 ns MR4_I 0000024c e7fefff0 - 3301150 ns MR4_I 00000250 40006000 - 3301150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3301190 ns MR4_I 0000024c e7fefff0 - 3301210 ns MR4_I 00000250 40006000 - 3301210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3301250 ns MR4_I 0000024c e7fefff0 - 3301270 ns MR4_I 00000250 40006000 - 3301270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3301310 ns MR4_I 0000024c e7fefff0 - 3301330 ns MR4_I 00000250 40006000 - 3301330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3301370 ns MR4_I 0000024c e7fefff0 - 3301390 ns MR4_I 00000250 40006000 - 3301390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3301430 ns MR4_I 0000024c e7fefff0 - 3301450 ns MR4_I 00000250 40006000 - 3301450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3301490 ns MR4_I 0000024c e7fefff0 - 3301510 ns MR4_I 00000250 40006000 - 3301510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3301550 ns MR4_I 0000024c e7fefff0 - 3301570 ns MR4_I 00000250 40006000 - 3301570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3301610 ns MR4_I 0000024c e7fefff0 - 3301630 ns MR4_I 00000250 40006000 - 3301630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3301670 ns MR4_I 0000024c e7fefff0 - 3301690 ns MR4_I 00000250 40006000 - 3301690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3301730 ns MR4_I 0000024c e7fefff0 - 3301750 ns MR4_I 00000250 40006000 - 3301750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3301790 ns MR4_I 0000024c e7fefff0 - 3301810 ns MR4_I 00000250 40006000 - 3301810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3301850 ns MR4_I 0000024c e7fefff0 - 3301870 ns MR4_I 00000250 40006000 - 3301870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3301910 ns MR4_I 0000024c e7fefff0 - 3301930 ns MR4_I 00000250 40006000 - 3301930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3301970 ns MR4_I 0000024c e7fefff0 - 3301990 ns MR4_I 00000250 40006000 - 3301990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3302030 ns MR4_I 0000024c e7fefff0 - 3302050 ns MR4_I 00000250 40006000 - 3302050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3302090 ns MR4_I 0000024c e7fefff0 - 3302110 ns MR4_I 00000250 40006000 - 3302110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3302150 ns MR4_I 0000024c e7fefff0 - 3302170 ns MR4_I 00000250 40006000 - 3302170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3302210 ns MR4_I 0000024c e7fefff0 - 3302230 ns MR4_I 00000250 40006000 - 3302230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3302270 ns MR4_I 0000024c e7fefff0 - 3302290 ns MR4_I 00000250 40006000 - 3302290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3302330 ns MR4_I 0000024c e7fefff0 - 3302350 ns MR4_I 00000250 40006000 - 3302350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3302390 ns MR4_I 0000024c e7fefff0 - 3302410 ns MR4_I 00000250 40006000 - 3302410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3302450 ns MR4_I 0000024c e7fefff0 - 3302470 ns MR4_I 00000250 40006000 - 3302470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3302510 ns MR4_I 0000024c e7fefff0 - 3302530 ns MR4_I 00000250 40006000 - 3302530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3302570 ns MR4_I 0000024c e7fefff0 - 3302590 ns MR4_I 00000250 40006000 - 3302590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3302630 ns MR4_I 0000024c e7fefff0 - 3302650 ns MR4_I 00000250 40006000 - 3302650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3302690 ns MR4_I 0000024c e7fefff0 - 3302710 ns MR4_I 00000250 40006000 - 3302710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3302750 ns MR4_I 0000024c e7fefff0 - 3302770 ns MR4_I 00000250 40006000 - 3302770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3302810 ns MR4_I 0000024c e7fefff0 - 3302830 ns MR4_I 00000250 40006000 - 3302830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3302870 ns MR4_I 0000024c e7fefff0 - 3302890 ns MR4_I 00000250 40006000 - 3302890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3302930 ns MR4_I 0000024c e7fefff0 - 3302950 ns MR4_I 00000250 40006000 - 3302950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3302990 ns MR4_I 0000024c e7fefff0 - 3303010 ns MR4_I 00000250 40006000 - 3303010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3303050 ns MR4_I 0000024c e7fefff0 - 3303070 ns MR4_I 00000250 40006000 - 3303070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3303110 ns MR4_I 0000024c e7fefff0 - 3303130 ns MR4_I 00000250 40006000 - 3303130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3303170 ns MR4_I 0000024c e7fefff0 - 3303190 ns MR4_I 00000250 40006000 - 3303190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3303230 ns MR4_I 0000024c e7fefff0 - 3303250 ns MR4_I 00000250 40006000 - 3303250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3303290 ns MR4_I 0000024c e7fefff0 - 3303310 ns MR4_I 00000250 40006000 - 3303310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3303350 ns MR4_I 0000024c e7fefff0 - 3303370 ns MR4_I 00000250 40006000 - 3303370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3303410 ns MR4_I 0000024c e7fefff0 - 3303430 ns MR4_I 00000250 40006000 - 3303430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3303470 ns MR4_I 0000024c e7fefff0 - 3303490 ns MR4_I 00000250 40006000 - 3303490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3303530 ns MR4_I 0000024c e7fefff0 - 3303550 ns MR4_I 00000250 40006000 - 3303550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3303590 ns MR4_I 0000024c e7fefff0 - 3303610 ns MR4_I 00000250 40006000 - 3303610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3303650 ns MR4_I 0000024c e7fefff0 - 3303670 ns MR4_I 00000250 40006000 - 3303670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3303710 ns MR4_I 0000024c e7fefff0 - 3303730 ns MR4_I 00000250 40006000 - 3303730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3303770 ns MR4_I 0000024c e7fefff0 - 3303790 ns MR4_I 00000250 40006000 - 3303790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3303830 ns MR4_I 0000024c e7fefff0 - 3303850 ns MR4_I 00000250 40006000 - 3303850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3303890 ns MR4_I 0000024c e7fefff0 - 3303910 ns MR4_I 00000250 40006000 - 3303910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3303950 ns MR4_I 0000024c e7fefff0 - 3303970 ns MR4_I 00000250 40006000 - 3303970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3304010 ns MR4_I 0000024c e7fefff0 - 3304030 ns MR4_I 00000250 40006000 - 3304030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3304070 ns MR4_I 0000024c e7fefff0 - 3304090 ns MR4_I 00000250 40006000 - 3304090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3304130 ns MR4_I 0000024c e7fefff0 - 3304150 ns MR4_I 00000250 40006000 - 3304150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3304190 ns MR4_I 0000024c e7fefff0 - 3304210 ns MR4_I 00000250 40006000 - 3304210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3304250 ns MR4_I 0000024c e7fefff0 - 3304270 ns MR4_I 00000250 40006000 - 3304270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3304310 ns MR4_I 0000024c e7fefff0 - 3304330 ns MR4_I 00000250 40006000 - 3304330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3304370 ns MR4_I 0000024c e7fefff0 - 3304390 ns MR4_I 00000250 40006000 - 3304390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3304430 ns MR4_I 0000024c e7fefff0 - 3304450 ns MR4_I 00000250 40006000 - 3304450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3304490 ns MR4_I 0000024c e7fefff0 - 3304510 ns MR4_I 00000250 40006000 - 3304510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3304550 ns MR4_I 0000024c e7fefff0 - 3304570 ns MR4_I 00000250 40006000 - 3304570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3304610 ns MR4_I 0000024c e7fefff0 - 3304630 ns MR4_I 00000250 40006000 - 3304630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3304670 ns MR4_I 0000024c e7fefff0 - 3304690 ns MR4_I 00000250 40006000 - 3304690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3304730 ns MR4_I 0000024c e7fefff0 - 3304750 ns MR4_I 00000250 40006000 - 3304750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3304790 ns MR4_I 0000024c e7fefff0 - 3304810 ns MR4_I 00000250 40006000 - 3304810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3304850 ns MR4_I 0000024c e7fefff0 - 3304870 ns MR4_I 00000250 40006000 - 3304870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3304910 ns MR4_I 0000024c e7fefff0 - 3304930 ns MR4_I 00000250 40006000 - 3304930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3304970 ns MR4_I 0000024c e7fefff0 - 3304990 ns MR4_I 00000250 40006000 - 3304990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3305030 ns MR4_I 0000024c e7fefff0 - 3305050 ns MR4_I 00000250 40006000 - 3305050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3305090 ns MR4_I 0000024c e7fefff0 - 3305110 ns MR4_I 00000250 40006000 - 3305110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3305150 ns MR4_I 0000024c e7fefff0 - 3305170 ns MR4_I 00000250 40006000 - 3305170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3305210 ns MR4_I 0000024c e7fefff0 - 3305230 ns MR4_I 00000250 40006000 - 3305230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3305270 ns MR4_I 0000024c e7fefff0 - 3305290 ns MR4_I 00000250 40006000 - 3305290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3305330 ns MR4_I 0000024c e7fefff0 - 3305350 ns MR4_I 00000250 40006000 - 3305350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3305390 ns MR4_I 0000024c e7fefff0 - 3305410 ns MR4_I 00000250 40006000 - 3305410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3305450 ns MR4_I 0000024c e7fefff0 - 3305470 ns MR4_I 00000250 40006000 - 3305470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3305510 ns MR4_I 0000024c e7fefff0 - 3305530 ns MR4_I 00000250 40006000 - 3305530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3305570 ns MR4_I 0000024c e7fefff0 - 3305590 ns MR4_I 00000250 40006000 - 3305590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3305630 ns MR4_I 0000024c e7fefff0 - 3305650 ns MR4_I 00000250 40006000 - 3305650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3305690 ns MR4_I 0000024c e7fefff0 - 3305710 ns MR4_I 00000250 40006000 - 3305710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3305750 ns MR4_I 0000024c e7fefff0 - 3305770 ns MR4_I 00000250 40006000 - 3305770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3305810 ns MR4_I 0000024c e7fefff0 - 3305830 ns MR4_I 00000250 40006000 - 3305830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3305870 ns MR4_I 0000024c e7fefff0 - 3305890 ns MR4_I 00000250 40006000 - 3305890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3305930 ns MR4_I 0000024c e7fefff0 - 3305950 ns MR4_I 00000250 40006000 - 3305950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3305990 ns MR4_I 0000024c e7fefff0 - 3306010 ns MR4_I 00000250 40006000 - 3306010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3306050 ns MR4_I 0000024c e7fefff0 - 3306070 ns MR4_I 00000250 40006000 - 3306070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3306110 ns MR4_I 0000024c e7fefff0 - 3306130 ns MR4_I 00000250 40006000 - 3306130 ns IT 0000024e e7fe B {pc} ; 0x24e - 3306170 ns MR4_I 0000024c e7fefff0 - 3306190 ns MR4_I 00000250 40006000 - 3306190 ns IT 0000024e e7fe B {pc} ; 0x24e - 3306230 ns MR4_I 0000024c e7fefff0 - 3306250 ns MR4_I 00000250 40006000 - 3306250 ns IT 0000024e e7fe B {pc} ; 0x24e - 3306290 ns MR4_I 0000024c e7fefff0 - 3306310 ns MR4_I 00000250 40006000 - 3306310 ns IT 0000024e e7fe B {pc} ; 0x24e - 3306350 ns MR4_I 0000024c e7fefff0 - 3306370 ns MR4_I 00000250 40006000 - 3306370 ns IT 0000024e e7fe B {pc} ; 0x24e - 3306410 ns MR4_I 0000024c e7fefff0 - 3306430 ns MR4_I 00000250 40006000 - 3306430 ns IT 0000024e e7fe B {pc} ; 0x24e - 3306470 ns MR4_I 0000024c e7fefff0 - 3306490 ns MR4_I 00000250 40006000 - 3306490 ns IT 0000024e e7fe B {pc} ; 0x24e - 3306530 ns MR4_I 0000024c e7fefff0 - 3306550 ns MR4_I 00000250 40006000 - 3306550 ns IT 0000024e e7fe B {pc} ; 0x24e - 3306590 ns MR4_I 0000024c e7fefff0 - 3306610 ns MR4_I 00000250 40006000 - 3306610 ns IT 0000024e e7fe B {pc} ; 0x24e - 3306650 ns MR4_I 0000024c e7fefff0 - 3306670 ns MR4_I 00000250 40006000 - 3306670 ns IT 0000024e e7fe B {pc} ; 0x24e - 3306710 ns MR4_I 0000024c e7fefff0 - 3306730 ns MR4_I 00000250 40006000 - 3306730 ns IT 0000024e e7fe B {pc} ; 0x24e - 3306770 ns MR4_I 0000024c e7fefff0 - 3306790 ns MR4_I 00000250 40006000 - 3306790 ns IT 0000024e e7fe B {pc} ; 0x24e - 3306830 ns MR4_I 0000024c e7fefff0 - 3306850 ns MR4_I 00000250 40006000 - 3306850 ns IT 0000024e e7fe B {pc} ; 0x24e - 3306890 ns MR4_I 0000024c e7fefff0 - 3306910 ns MR4_I 00000250 40006000 - 3306910 ns IT 0000024e e7fe B {pc} ; 0x24e - 3306950 ns MR4_I 0000024c e7fefff0 - 3306970 ns MR4_I 00000250 40006000 - 3306970 ns IT 0000024e e7fe B {pc} ; 0x24e - 3307010 ns MR4_I 0000024c e7fefff0 - 3307030 ns MR4_I 00000250 40006000 - 3307030 ns IT 0000024e e7fe B {pc} ; 0x24e - 3307070 ns MR4_I 0000024c e7fefff0 - 3307090 ns MR4_I 00000250 40006000 - 3307090 ns IT 0000024e e7fe B {pc} ; 0x24e - 3307130 ns MR4_I 0000024c e7fefff0 - 3307150 ns MR4_I 00000250 40006000 - 3307150 ns IT 0000024e e7fe B {pc} ; 0x24e - 3307190 ns MR4_I 0000024c e7fefff0 - 3307210 ns MR4_I 00000250 40006000 - 3307210 ns IT 0000024e e7fe B {pc} ; 0x24e - 3307250 ns MR4_I 0000024c e7fefff0 - 3307270 ns MR4_I 00000250 40006000 - 3307270 ns IT 0000024e e7fe B {pc} ; 0x24e - 3307310 ns MR4_I 0000024c e7fefff0 - 3307330 ns MR4_I 00000250 40006000 - 3307330 ns IT 0000024e e7fe B {pc} ; 0x24e - 3307370 ns MR4_I 0000024c e7fefff0 - 3307390 ns MR4_I 00000250 40006000 - 3307390 ns IT 0000024e e7fe B {pc} ; 0x24e - 3307430 ns MR4_I 0000024c e7fefff0 - 3307450 ns MR4_I 00000250 40006000 - 3307450 ns IT 0000024e e7fe B {pc} ; 0x24e - 3307490 ns MR4_I 0000024c e7fefff0 - 3307510 ns MR4_I 00000250 40006000 - 3307510 ns IT 0000024e e7fe B {pc} ; 0x24e - 3307550 ns MR4_I 0000024c e7fefff0 - 3307570 ns MR4_I 00000250 40006000 - 3307570 ns IT 0000024e e7fe B {pc} ; 0x24e - 3307610 ns MR4_I 0000024c e7fefff0 - 3307630 ns MR4_I 00000250 40006000 - 3307630 ns IT 0000024e e7fe B {pc} ; 0x24e - 3307670 ns MR4_I 0000024c e7fefff0 - 3307690 ns MR4_I 00000250 40006000 - 3307690 ns IT 0000024e e7fe B {pc} ; 0x24e - 3307730 ns MR4_I 0000024c e7fefff0 - 3307750 ns MR4_I 00000250 40006000 - 3307750 ns IT 0000024e e7fe B {pc} ; 0x24e - 3307790 ns MR4_I 0000024c e7fefff0 - 3307810 ns MR4_I 00000250 40006000 - 3307810 ns IT 0000024e e7fe B {pc} ; 0x24e - 3307850 ns MR4_I 0000024c e7fefff0 - 3307870 ns MR4_I 00000250 40006000 - 3307870 ns IT 0000024e e7fe B {pc} ; 0x24e - 3307910 ns MR4_I 0000024c e7fefff0 - 3307930 ns MR4_I 00000250 40006000 - 3307930 ns IT 0000024e e7fe B {pc} ; 0x24e - 3307970 ns MR4_I 0000024c e7fefff0 - 3307990 ns MR4_I 00000250 40006000 - 3307990 ns IT 0000024e e7fe B {pc} ; 0x24e - 3308030 ns MR4_I 0000024c e7fefff0 - 3308050 ns MR4_I 00000250 40006000 - 3308050 ns IT 0000024e e7fe B {pc} ; 0x24e - 3308090 ns MR4_I 0000024c e7fefff0 - 3308110 ns MR4_I 00000250 40006000 - 3308110 ns IT 0000024e e7fe B {pc} ; 0x24e - 3308150 ns MR4_I 0000024c e7fefff0 - 3308170 ns MR4_I 00000250 40006000 - 3308170 ns IT 0000024e e7fe B {pc} ; 0x24e - 3308210 ns MR4_I 0000024c e7fefff0 - 3308230 ns MR4_I 00000250 40006000 - 3308230 ns IT 0000024e e7fe B {pc} ; 0x24e - 3308270 ns MR4_I 0000024c e7fefff0 - 3308290 ns MR4_I 00000250 40006000 - 3308290 ns IT 0000024e e7fe B {pc} ; 0x24e - 3308330 ns MR4_I 0000024c e7fefff0 - 3308350 ns MR4_I 00000250 40006000 - 3308350 ns IT 0000024e e7fe B {pc} ; 0x24e - 3308390 ns MR4_I 0000024c e7fefff0 - 3308410 ns MR4_I 00000250 40006000 - 3308410 ns IT 0000024e e7fe B {pc} ; 0x24e - 3308450 ns MR4_I 0000024c e7fefff0 - 3308470 ns MR4_I 00000250 40006000 - 3308470 ns IT 0000024e e7fe B {pc} ; 0x24e - 3308510 ns MR4_I 0000024c e7fefff0 - 3308530 ns MR4_I 00000250 40006000 - 3308530 ns IT 0000024e e7fe B {pc} ; 0x24e - 3308570 ns MR4_I 0000024c e7fefff0 - 3308590 ns MR4_I 00000250 40006000 - 3308590 ns IT 0000024e e7fe B {pc} ; 0x24e - 3308630 ns MR4_I 0000024c e7fefff0 - 3308650 ns MR4_I 00000250 40006000 - 3308650 ns IT 0000024e e7fe B {pc} ; 0x24e - 3308690 ns MR4_I 0000024c e7fefff0 - 3308710 ns MR4_I 00000250 40006000 - 3308710 ns IT 0000024e e7fe B {pc} ; 0x24e - 3308750 ns MR4_I 0000024c e7fefff0 - 3308770 ns MR4_I 00000250 40006000 - 3308770 ns IT 0000024e e7fe B {pc} ; 0x24e - 3308810 ns MR4_I 0000024c e7fefff0 - 3308830 ns MR4_I 00000250 40006000 - 3308830 ns IT 0000024e e7fe B {pc} ; 0x24e - 3308870 ns MR4_I 0000024c e7fefff0 - 3308890 ns MR4_I 00000250 40006000 - 3308890 ns IT 0000024e e7fe B {pc} ; 0x24e - 3308930 ns MR4_I 0000024c e7fefff0 - 3308950 ns MR4_I 00000250 40006000 - 3308950 ns IT 0000024e e7fe B {pc} ; 0x24e - 3308990 ns MR4_I 0000024c e7fefff0 - 3309010 ns MR4_I 00000250 40006000 - 3309010 ns IT 0000024e e7fe B {pc} ; 0x24e - 3309050 ns MR4_I 0000024c e7fefff0 - 3309070 ns MR4_I 00000250 40006000 - 3309070 ns IT 0000024e e7fe B {pc} ; 0x24e - 3309110 ns MR4_I 0000024c e7fefff0 - 3309130 ns MR4_I 00000250 40006000 - 3309130 ns IT 0000024e e7fe B {pc} ; 0x24e diff --git a/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/rtl_sim/uart2.log_ref b/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/rtl_sim/uart2.log_ref deleted file mode 100644 index 0983d07..0000000 --- a/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/rtl_sim/uart2.log_ref +++ /dev/null @@ -1,8 +0,0 @@ -uartcapture: Generating output file uart2.log using MCD 00000003 @ tb_cmsdk_mcu.u_cmsdk_uart_capture - -GTRI-soclabs: ARM Cortex-M0 SDK - - load flash - -Hello world -** TEST PASSED ** -Test Ended diff --git a/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/v2html_doc.tgz b/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/v2html_doc.tgz deleted file mode 100644 index 24dc3e3ac15cf8feeb253135f75e3a0fe8ad11c8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 499478 zcmZ6wQ;?`j&?VZoZQHhO+qP}nwr!icyLa2RZCkU?KQj??Z$v#*X0DZ}dib#Lqagr_ zr(KKzfUkHh-8UrKzBBa|)69Wl){j_iX-*AfHvFzhNB3qXoqaF}p%jQnT1jLUx8HAX zcd8bG(6l)?k~z1zc;O^e-FH=Acx>c*D(CUFw7$dByRaN^udWCBKOL?cZ@CZj9lr@~ zZ?3M!yFWj>x%pX4JnglA0<U^8L=&w~ysCu9;D_EN@+LMjFU4Nk3r@22;R}CSE4jb6 z)X9VUish`~S$!JNa4Pn`x3^usC!2cw9T4V!+0Oj!-O-<RHK1R}>-sx>;@RZtH+$i4 zxj>d>cee9%a@r$RYFBq}TP~j$cPKe8b@dXqwSu2;mR(%s+hvw3R=L#|9QPsIaq(p< zxI*ajZZMxZ)T&2+lyfaxm#tJ?yLZ}fR-0wCQn8(WYgw7YserEX`>XSNHq)?|lQ*fF zqpOHFnS5L-<}0vQG*$u5m#~zEYUR(DoLnwOWM3*~C@F9-yWTVZsEJ;9K?ipsOYufF zlt=xw&Fe@cSLRw$)u`c2$wJEHa5<{4wycZJSpiM1J2`6;hgrs%U1ALQ#D5mNZpscY zG#tW>|2bLaAF%CH<Ko+>P=kgyFuzz+mU$M$ug*JOGNW_VGPd$!o$YEkS+cCAqfN~Y zsa@XYqahU`EJeDiK0itLapR>zEnm6qGOQSW2vbU(JX)?Ed~jZEt3h;)G9~@)G?TSW z`1n&W%%q5t)HT*rS!@=Ru^9MDkSBJQTa`}(4$-DMT6$Wh4&|w8`-y(hQE+Mc<7Uq0 zM3Le99OZlD5Qo}?9a^UxgkBT3KqRZ1D`na=fDu_IHV4FJ=ZnHdu<M<Y#H%rl2Jc!f zy*|O2U@&bRR?3K77pOp9##LIF!RJTw5t7Ib(w`)(RD&+r%#f9dbwfn=48{!aGYA@S z;-E+7?@+|SwW@GOXVgi2h5Ywo9VOPxgj4yo&QZS@Y#95h8Cstu9&R=f%n3ds;3uh$ zCF>*6dI`oVu2)idcSDHhqv8n5{lk|NOfx^owEM2$geyzwC{6))thJGkiVb=%SO@jJ z%{JOr9{`{7*l@5(k>v)LvS!8+wiiBBuLdn~)CCE0e2>=mJuRp43mRR_j%BmD5K{ED zRG~gu8(DS|#4OSZ4l*NwHTU5*PgLhQ5NUNJU)4xs#k?q61cbW<D0L0)nJ1U)D6qST z!9qP$gF)qpOnFXhFQbog0sK#4jLIGg&k1z5c}3moC<Aobunb{O^?<xOqj?x$H8A(@ zGVOenI^Z#fl|H+;T7IXb!A)5i3l*?4Td4oj1i4(ayf$V~C%d!EVB}t2Vf<&`DaBEo zM7c{zHVwaIiBJjjopf*x7Z*b`JJdpLtPvOcbtZZzeJ`kx#A+5Nmg#OLq2y~$5QEhB zyE%ed$E|=4-Ta16ku|dDI$d)@BcMBh^f_@t(`Qw1P_^sq%<juadhN~Zu@mMW6rxQH z2T~GK_0NwgcV}P3D`E;F0~NHN^tfNgtIDzbl8nPzs7Hfcl!ZUFj;2lE1UO0v7Y{j@ z88QaU26Vhna->LKy9n{!SA+uvc1qysEWxn&9#in_06Vb~V<X?tI>Dc{^AHD?vrPj- z9xh=IGufR98<iIp$lExpR;-cEN>H{Lrkn~6ln#<T*E^upH|!|zA_K*iOE1B*;*;9n z64*SW5tFuD(4bPYz@q42aH{;_3p){z%ceCcK9*`3DO7+tP*;@#WH3g^k&{4g@z!-( zwoVs=;O_UVuL!o92G?lFTxeK%!DS#!6a-UBjT)40&x|NBw=|zCVfN0xXR;~RV#Q2_ zA07vko7~>ROy+39U_=s;Z-JwxWV-Hb4!qzfI#w!7=!FS0qHZUVI50lKlqn~yn>t{D z>`Lj)B}$7h(aTn$r_C{fzHm5J%;~7Ufe<M)h<60kIu#hSeWIRPF`%?<;gCRV87^{K zam92}PQZ|(Q<3eA3g`*?NKHuyx_@&tTFgak2vK{+c+GyYf=<tjb!*-%fIKTd0}^yD z14Kd#QYw>$3}FV-Az}hqbrJ?NnqejtNg(|3QV%>7W=7|^LvZdlf;7t50=@91yaRb? zHbMl`vK>7dveOwym#;1>=vEqfX*zs@3LehSMo-9LFf8ZNUPaWGI6ECjE+A*(c&4gE zio*b(gTT=0S=QLKapBh6Ap~i-n?LU7_XvRSR`!azp5|pcDx?xaU|ESsj*Mhdq0l=2 z3^_@<`>Ss)v`4*88<hq;0|LZTt%lPP@o*}(&N?q+b}4}bLu5j5SI{Cyh+Jz>Ce;cx zD&9vzA6Ti@1@NL%10rgY^t9p#4tPNl$Ro{-RFJ5W><{}TBqeG8p~*lbi2>CG9fBM| zd!a#!(g>iY-_GLRlP2Bj_u-F;=d}I~tJoSC`kq1?sUQzvkz8^=0&~aPVe#$Khmu4j zMnTga0TOM#0>x-De>RjaW|3d1Ow_{X1sZe9C!0Er3pZ_(GytWN#K^rRl21FcMI(}L zYtwkA<?0#>zHn3K7elXAo4>z<v?o(2g8H547xBr0jxJvHeT|iZBXqcbkKV(M3Ivo{ zR_9}+TYd;R9?+mgbb%dgl8odGo3LXt7ULTxgYnIC_Bj&7QW?GyBNDwMoXpW;P7k8a zhzeoXa5|b+bBul$Np#g|t-J{IUP=F`^Wt1_LpaH>{7j7(oNNo$=10qxMwz;@57Up^ zUxm^A>|wjo0XBgax_nSR$6&-cUu4A8m`UJDP)uO3jHH7kiiY|HR%O%^F!zBgmmr>e zsv>r+!j2YJXWg!n#`Rqyx%$aLl?w-+#E9aq`{CwIalhULV0MT)X;d`{*bhHc2MENa z#U_(%mSL!n=u0NtJ(h6yt2@M7=;&XpX7ZXUHf@>du_)~XV>_+3wP1msrz-6sflG|b zP^K-|9!VQ01T)zOzi>Yy<7pYtpmG*(T@OEwBbJXwodKR8S$P#0GlZ`za8Vv~1#m4A zwpB_34n5O`ngjokxNc~`KN^Uq9n!`0HA@1RcPGOa`Iz(JwLl6vlT3yu;-$J30=6pr z9^#qEa*9LCDg?FXK*H6jnK9oLM*X;C9c7A124!KvF+klD1^2LtK-b-D{%T!I0!a=t zcu<sJ`pcdd?EGD{=m}}eaRb|J(yJK(6Nc9qYzFl-ClO_Sy0<hLOfZGpSJ-r3TiMt- zf^F94+oMYrjAawf7h!K;I9QO*9^*0^du4#~$*o~cHfLkt_Wme;AUtsE=Y3JH_{Lk8 z3OmgN_?FU%9U>Q*kp`}4V+Lm}k`i;Fu^dP_nuTOpeWd&>W+WmRj-*7K+D;NQw<~e% zBm)O%luv~stDycV5BQ1=Nl{Q&w$bvp7S^tBFq^aq;1OXYpqgKYS(<bo$z>=6C}FsM z4`H{TFnkGzD0nOzp{yQDw-QX4p44|j=}l<uk;7KgG}N$iNWbtBE}E0{jNH?vhCv^M zRV`xDk1hqwXX&0CiLA`9L3yr#B9_4L!?<394-!4PMGy#MPM?dmzNC2-l)%Qp0v-CH z)Lgb7U|2VX$IR?%aSBDs75N8tRVeV!#Nh~fVUxpeQo>zgbfHAm#8k*OOlGiL2EpAf zeW(e{0OF8SmZN4KCHtXP<*H<Bl>M5>tKQyqmuXR2N>P2a*?nZYeSEJEGz&f?$e)}7 zkRzd@6~rz-AK4@|*wb4L--ooU(2i<k3Jw@`PJ+=QCeo_H_(F)|YSKY-p`@Nq`A8bo z${i7R>l%`(NUo}i?7dKpInk)`6w{R{<Vy*KXHdifj`_;q35|x=j|M1fQ-@wgP~uzf zwCnz79X$%%{P*49iR*5oa;}N#1Pv<O{!pNyZ@Kl%6LWK_wa%8X=G$~ac7EYHgNS(f ze)X`PFBs3D!n_N=AH8+VOlppVbMFL*)Ll&FJahb&%g6T~whT^$I6_$%>nv8(Tw7lu z*lz#1T}uYG9iEZLr~(4H!B_>Xwcrorw&Jca#8BcfzKp*%%P5&ezB={%O!#`=gG(TL z@rM!;_CV{9lPo@gHPv9=!8Y7!*Jmgs4Fqe2T*|5~lV#w?Shxq&CQHC7qY2w(7evT> zC6}O>3PD<A!JvJ%WpU6AOPTDAIJox0yb`!!Ys~;O!)%xp1F6c}!7HrDT)+{x<}Rzj zaLEA|mFEZKu2%{xz>gbE$1>xgaB~2-D*9cgNUk7%FRR42wa~s~dJ?Ob=#pQayW!bS z`E#Gg+=T5^_D5%!8I#tQyE+J9oYJ>kx^lDixyq@LH-$wD-EgBoIU*ut%<ICn94RWi zI2IGc6e11xL`2Hz8pR6zm;sANlvav)LA>&-gu6=ID@e96QGR~Z*^zw;{8*w3MRlY# zpt2x1W>N}e=lNk<^C7>6Yl=Q6Q90p#Pdo)SkgI&bie{$gP~gV-<pS%3TN;C@GBURa z9(MM3cJ^nin?T)G2}*Zj)F8I{S9scLWPa{>fb7nK<HQAv+8{vQ+Tq7%0(+Wi`SE)M zRp*pN6ae~cITgy(=_TFwy<DW^DxoujziPbajs2^QzQ`P(vIz)jeGqG{IC3s7l(BVc zUEPH2w9-Rx1CN@)FTTtpP4>Yok(LZJ8c*l~!_>oZX5fUGW`|k#))7buroE}k@Z(7% zW#;IZdI_#-sk=T+Pn%}tDmSW%<tYD#Ea$de_D`1bL?|*fB^U(sGt~s(@jy67Oq}oP z=)ct0)pKQfR~;eE*3zxnfItDhCRU_^H(n9j>AuKwnSW1SF3t=SuZ0rAo{9L*(SlO} z*h!ZX;#M*bQTxL(ZXz1(^nF$?-yW2gOGwQDMLCA{y3cO9hKO__Hm~+VK8BEA@xu>u zBSvxmZW@D3e(DQ1_2}cC;Z<AWoarNlfWwz<!MV^tiC3j%x<58FW)F^B*6$xYvl|>d zyzO$O+%^-U!b7I)?`7KR%|}N<=VAOkv_Pfdpo(Qe`S$TvM>*fWx0jR2&xgMa7VJJi z$0#{e@1LQme}7Zz1FL=&0;CvNBvCv=aQYifUBDFOB>~>-h%W^JBZ0GT_8{p`MzA-@ zxEvsNc(cMsG9DU2+mkLr%-X;MZ<W5ZYRSy~z(p&ztdjlQ8fizbe6$N{C6u>7@qnIW zus?x9+seU@FTWl@q~N-QKo_6>_i9t>kD|{;Bjzg9DL`cK4Q)vb<1oAvonmFUNYb=Y z#nm?cuxyizSAu<>X~nHpP^@gotvBru@O`&XQrvWI6?i7{*GCGtSsu4=xT!T%VFd@c zcWnAGz<&FVGt2=_Uyr_|8r=|K30pe*uO!hiIvLWQv3by1NJeo)7ZKLm&y0hr5cS%o zpWy?*&!^olRRU28Jf+$ln*IlZ>S6|gD#Ym9PCQv@=i}hf4TDp+qLXCO7F~<!(<)}$ zUM5SjQ=rI}tv!FP6Yi|pr?%1T$=nK9{MV)B%#p+nhd+}S{#9RE-0TKAKd~!n7~zcF zW<$%Yq%MvRb6lJH5rohz<_wA#nK{+KJnT}DAZ6Adqnb_(Jo~tt*p?4qo4cAZ_TjQ- zyK`dgnDUt;tJGU#B#8MZ<~<9&xw}5DdG&+gAz!$Gn>q^2-?8SE^Yd8x%o>b56iKnC z8Cs+f1v=B)+A(C1mF6AU%PQ&&DYojj$!Ow=Mu(Pj4Y8!on6>=u&g-qI>-w=RIIV~G zUsonnG=}i<qNE(tl1TKs`CwGeP0*R0-JDl0O)KyCQR!yaw$D_qO+>VJ7V~=bBO7>5 zZrf+AcxN^hUe@uI9d_llc<QFsStrTMr=es&GkJ#__7<5B5wp%b&&o<ue&S*+8(%H< z`!6iQ4IRDDL=4EiDZSknCZ+N*LO9j%@g$$$=T*msAkPUWDsYXdEgrK_b^#7%p}|P0 zhlIpEeIe-0N}h;^V_c;`^VVk9y$!TJWQxiW9o>$jkJFWKg9=p%JDpVnmhHNA5isze zxiM4tA1KGpxce!7l$rCSW$~Zyg$=CvW3=<9x7|cC9`>NcFJjD<5>e2<DA>j>0;64+ z6BKl$Z;-V9KQCnE!N&61IAhbU22=Y9J*6MU=AZC?Hr^ggX+84Yi2A+3bEZSS6`eEe zyzU6hvO|Ak<UyNn?vBS6nXmgx@@>QY&>(2Tn@LIhk)qgfz{9h&u*RPS(E*H`Rjhmc z>#hdTDs&9#6d%pGX2USDq2C=GF&=F)5)I`*^j_ERh!)eBfW!IC6$g@##DjsM64jgy zq_YETnxy2WIDIaLQwYe{S{VPH><lb45C*`+diVt`a(&C@u)KZyaMq?s%29Gy*|<6v z%UzbTJE9=COaV&QY)?V6*XD2k_2atI64n%~PS4(J(Q`ufUN10)*=rJ`rr&CjvqR<{ zZ_tMZG!~kK=BQ2F*xHS4lScFo*~&q8(4uaRtW{ZS0NA528T)w0q0oO;kUNJrnvNCd zi|TnmK~e<wOXsIMs%Qtad+%?Qi0BO_3y;4FwAQ6B`b{z&k<&2y`}Zfu2sm3j{ks>7 z?Dp^Hu{b!sHAdHs!DMU&;~u#z|H@_*8t!WIUF$?xwhp#|ctD}^^OKX`{)1b4=85l= z9dC5B``+1Jqh)K3`Liws_lnwhswgG#9*|+FNH=nVdtTD$Z=L7Q|ExUS$D_>NA6@KP zC2Yq5GX3z!7F5hKrZ2(2;9mpgayncQEcb7okq`uL>*fq&f(PrL2!S4JokTxkZ#ce7 zXmZp1%{R?Cc|1+v0L0!kb^N9v3W;S(Z7oVnVi^o8S&V~gSxbT#s7fw(KVj4aqToV5 zinuivnCy>RkB}zn>ScZ;?}{D0h{ojKLGQR0VsIaYD>qDU7)x)RV^jF5Y8NtAMPn z<0`Ivhxf;rpR%bOyj9%+tZ}9XhL$9CFC0O4T<rI;$N~+v4HkY?c<?Od4mKDbFB@2~ z5P6s0S^fPc=*`$}jE;w&U2JBW*wxR>*5?*)(tl@;IWH}gEUry*&q*&HBooRlQeS;k zYFafRQN1BGvCftW0hZtNGwJx@@81_~m2ALOC_TBW4WvhPOeeiH^3dkq83C-CcJb)< z^H)6!{x+O=YQ`6I%m@rD4tqJYFgvu||GCp*(8(z=Ht;9NhCw5sU3IP*SUu>R{^LC9 zmwHUeBfpW(0lVb)iJUfY4SyXyvnYmXX9PPs(e2gFu3npcxmle9@eSQ(bedA}c2JN1 z9Xj88kZP%vz=LT@Ne0Q0pbX#vOK+A$BtZmc`W=fny3|Vd*Ycbqrg^>#oLOn)3VcN% zBJEYvcLM6e-2Bx-boS5mfrQeIb?;h=d9HKb-vV>rVmvoq<DKTR$l0ukxRil;=(spN zJCCQJ!(wzCg5D$R=5#zbQ~7eW<Sk}#5o<i8QdOC^c8n3`aCdvU-(>R1$7m5b8d3XH z<#?cGVBZZPW(yoOn(5RuyHdII;r&e(gT^IQsU4}%HDSUkxF5p?myX7ZA`-jEhzy+Y zvwmUUm4K;nKiftjlNW2rH%_gpQrlQpBI%GjvcYn~GpetSoPc4k^wLCqBbC4Vd$Fyd zYWNO8IP<N;aV}svkiW2;!PuHWa-3LLgXbBH9JtKTajC`N1BjaB58)6}J+@Govgb|3 zqeIODdZPn+{BSFVawG+2!M39VZTR4mStW4j1H=Y}z*=C??0CSF+{MYD`240py|KZe z*rrLaY3xGr!LqnWztK-Px!*77m{VdNXgH$;Q%OInvlsbk)rWtM|KxsK3iZ*3eCz*& zfK^g>%pm^u8CzT({jPhjl(i+AzBc<0|INYP48`~Q0A%D0F}YPDheRRiZWX1#H-uI& z(&kHoF-*?c_3Ji&!q6m6u&E(!mnj8GI+@>I++JK<WbPI28@Gk&`hpIo6MbpAm6U#U zE0)%p-_-Ynen*5os(Amy1_!6c*N10#-5++($#YP`>7xB;BzN41f?lOiAmvyIL0W`j zewk1z?brw7ku=-~iB`-XzuDE@v#RCt^JDL#<-U5|jCR=VsA=w?c`2Fh>VDX`<59zo zXI&Q`JL+}Vh~`m48aUe3?Wkdovw|J|JYv}U|Jm|a6<Z!w>~K{5;&WH^yHc+vsle~# zx(1y4qg=M#m_7X~aM5z}W6NhP^(gMF!|y8YQkinb>$YqL3Du%85h$CM_5ZGZ{7Ult zQnO<cYoD9p782`5muTov22;HhHdLD8<^|377M=Lraon5W)_v(W#%r}zuZiom?K+TM zkNdpVb@ZokSg_!?*u~RlZ^3gO7^!%_bg&4%n=&!|g*^kho}PjyJP^@zBb9+=3>2K8 zeGHUDQeN1KMRt2<p`|puFo`(9qlG7eJJ+(eyD=wrSb`S%M<Eh^n8XJHkzSUFic5NW ziHRYCO8CH%k|vf9vIn6OKA6N80ud)Hk)_gPbdqbsgeP!8B_+YZQW3p`r*J_leu$*3 zI-nQ*-%NB8eauGx^Xb6_Ci}_laBaP?uwEE|d^~b(+T7bTv9##NH3(#V@@5yk!=4ec zE~OOOosD8n8waEf&-tdcnh0pNm-|1AjEb^P<Nm+loJ6<R*hKA-G`AMlxUz&%B@~LB zIU3SyvXO0~Ut!-L{ai03^A?rsHnd;NIg-Zy{{4p2THtIl=r2n)V@W)3sN0E4Sr|BY z?RvP>O-mlnjfDH~`2v-!ZS^&UjCPHCiD<4kT4kGB1wTYveWHJqX<3p<&iyC6aRB|< zaiO~e$(Lf!-#B|5Y8cQlG*|2l`~SVJA-qU%$ugK6l}R1iN|i*(vCifg$<HBLdl_jM z&+Ztqa#cOedVnAI0&hOUq~l;18#@{MH&!@mSU(IQW<<b|<$~MLzYLzJH+Im8sG%3% zuuj|W(!Uxl$)<!A4lH%NVQcSFe>Yvg2YWFzh2to97$1({$eif=4(K$(n@qNq4cxMQ zojqwj`%5>KwW&U%zm;Azt=L&l&?mtRr|3ttFu7s+on-iDCFqN_r$30ODNX9mL<N-H z<)hE);p5J!2&oLl@%MRodk9R#s$IfA7c6^y@3X#N4|TVTSW8`5nMV(Ewrbh$q$>&& zVE1*f#UffkO#+kY7ULl|SX_ot5-@%S?I~nP(KEQR(lCvDKTy^!Z&@!sO@#QtCJiB^ ze=;$OrvZkB$63k&_a0Z57G9=R!Z5YmYSSftu1I%GG1m3`EOj*2!OXg4HI%u$)EnTg zJlR~(@-yhj2*_J#PT~qEUQpHv^_GRc+s4sVr$&CKv*KZ=ZZ!J|*Cn3LO5fk!OtsO= zmMdLG_Xq!E4R8cRRW30kC~A!54fy12{H^ue-+t5Q^dKKG-vkUW4?D~XSFXp}x0*6z z@H9vo;PBh<3B&jp<MWsMHMzcejvQ&XYos|<$O<pzaindQVXg#b@UgVnu*a_6*<^F6 z+l7vVE4AEDJkH5#Dai-rl_7-}=^ddKCuZ{fP=N#>ribK9!d0?V9kcs)^s=``H-RY~ za|bRi*d~?j8tyk8@%D}B%tF6VkIlw$qOUSs_F2YRD9Jz5584^TS+J1-r6SD>+6LsB z^jnTRgudflHC>0ympyHBO{{=3Zu`Q>&6KKNHd5$JWy)1|kf+S5%3oGA$i8SKSD3Cx zIf+VsG1(Np5>HaaK^#$nBqX5hj`y~lrEl<JF;m+V?_H4+;>q*=IYid7^EZPewSR<O zOa}7?;G6{!k=(<6*~iw}`VF}B_16i}F+6ZPPXh~O?J_7nV6L+l9_Vlj%nJ>`Y9wB* zKhnVrppb@e*o=ps!79uGn<=P8?AQH<T=?*W&l6@Hcgt^<iN$9Fq9n&|77g{Q^kq(w z-%OYJnKgjctO7?P00&5hy0Ac!7Rk*^zBYPV%3MaMlDR1RK|67hSL0lR<NCQMQ~75S zWS(vaZhYU>0YY*%oXss3%+n;Uas&}9D{ps&TL(?RpPZI$cJ#D|F8%Z?6;tnFb^^X_ zb%9d1Pwf*NrWbeN{J$AI+WkN3wQ9kpQ#!0vI+2JfTpgZ%hQ{YN8}I~?4BrCi{SSU_ zZ*|w+gAtnju=%{_$Yo$bRnftd{RDpX#_{Q9Gl3^Vcz~}S085)^DSy-d8WVL3D^$wM zf=6G4q5ha~@mB$S^Bt<Nt@IBuY3%m(fcSn4<OPN>UdLefIir`kA*-jaJXNjRq+yaR zM}(i#fCKBmiX!QEn;S+xn)|{_475<re(vwmAE*M$V*825PI_?FLYL%$1M7ALq@JZm zY|NrXTqvnj0kU4ENIf^?axGO3$zWWNHAz7K@pnAJiq!?vecHEaoZ__5uF{aFj%0ih ze?t?SxP^g<uxwSTR9~AJZ{+>t2qtf9QX#3lsSiR?tzW`us$gmW4jh3erE^^vcA3uu z2G&Wvs0>Yz2>-d7B6BjhGyhh4ZsW`z$^L^rc;KRB2{+2tx-2zW93%CO#(*=UYxNXL za#o%gK&h!8Le;~0lU<$)S}J;}AS4i4=_&n!JK9k_fdmxCOx`CZsw?Cp86p+rg2Sz7 zD<8NfM&VNrovJR#PtOPHmnp9dAg=)rFs=e8aD`mbbPhqFW3dmu3RYJ<KD<1Kw<*^T zb1}<28U0O=`})S-k&17ESk@Ui(DKS1AH$YUXx$xsEof^ta<$#u{#$gMUg%os^bR9+ z{20A+W3GZxv~uA3hC~Z?Lu6D7VkT%1z=;>!%K#F0EB$0?79;i)2^=vqv*g#K&iMuf zZ|ktz1=H1SR#N4J%(fQ6K&Xxu))X&Bw}-%fJ~K<Tx#D*0)2>8c=nD}07>wO=W9{)U zf#KK5nv<w~;&rD=)`q*078&QW*{DajSsJAR9{~MqC0qy0uYN&@U`g<0#mxs24-Mdn z5CFU+b?mjCejp&3nyg9{m~NS<Sur{O$}w-BLsbM-hvqFRyj`Wi|HHQsk7?^W-o7O` z7@SGFpa{>>B<-=DNJ_?IGyn9?Q<lU*_QZ+S43ir6as`L6-VClFUbRDZtunJB9tqH} z9S#WHU~HAC_TUXlAz6|;+d<Fh;V!+Eer;>z_esrlBP_h$`l29$4U59_HHd~RG0*31 z0-qzpY}9yDE8ycfVF(@LBS`2W#54yMdYBp;!>FA%=jkwz2B8kiXP!)j3KQH{G8N<T zk^1kit=!TdXKgangu*pA{=R}_Vr?7Mwn`b5v#4rLMjnJ&HJk0_Dq0$D2vixx@1Jsq z@iL=jc?`Hef&qoVpwIO5Pq*SEJW97VAY*J5D{re0crOCTEYQee%pG4SD`yvF9R;7c zANwE2(%u)Wbg_}FeVdN4m75N-qg`91Pv5kxIc{`U_hbISo$&4^wlAn&U^0okZPbbI z+wA~Cd{N9~h>m0oDD1oA47;WoH$k0ok`XR`W~?SD8Q#+t(Nc<Z{a|!6kE#i7(mkn4 z(TPL(aTN;N15ViKFmar03~6i{iN+e>H>t~(E5KjGeFtMrf1|ztS(_Se08@qedq)2{ zD{@*qZwzYKaN;0Xcf;QectEIYbl{mx#G?&qQC?1w0Q__@zXxiEn`sA=W#6rqjKgnX zrPc}wTNu8FKT_zXG}{?6x{RXXM51m5<;v$56T(cu<9hS>xq?Gutr!4r9O8N`MUJL_ z8-SZSN+Nk-3C)UAMj}*uKny;2o9?J7h8u5Nq}p7kag5IK`ZQ2m@ERpe*oPA=If^69 z-~tva-Cny$d}GlYD@t;oy?5Q`2Mqay#i>VxQd~2rt0@H2O@G<t?O#27b3<wkoEmsy zrJOgr+o<Hh-qXY~7ao9BHOnXi>m9gBB)^drJDO?)q!`Ofcy?n6JU7V%Qr)H?W;xk* z%edF;r&+gxa)sc6D-c==b#uKz`S#NQgOqWzn3<(IV)yvO(N`bAtqqxj(R`mG9;+as z3Np&T02Flqg>?{zV`MEN5=C^p|Ah$~xnLJ6SJ%HXrTiv%`XsWkG#g%H6Z>_nZXL_G z48N2t!@g8OGsL+3Tl%~EO!~Gs_>0J}iw(x=i}B%0nZp3wzB=fGg!)nri_`{qDQ&)6 zt>w}U5CIExT_lM2*@lf#pb;G;z8>?#n*R}eL3V`gck@x8k-77>53i}hFGlipR76F^ zZZa`w2Q_UdLqT}=8n2`jUJy;lH{IcqS9whrC&lC!Ot*SX8K=~b6tir1%ov2qDVl&) zGZy({lu1&Pt??;a^G&wmhiu&++4}pL==IR}a^<l?jE{hvhbEn8+gC=eL{GaV&~|54 zcMf4D?0up^rT|dSHK<y;PoG|nmtlPZ(VpOl1975EihM}d>YGt_dxu7kV;VEhJT~Q` zV=<ZGz1n8HZ`}Yc8}4?s{=~)5b76d8I1UN+8mpvT4twwubL{1zhzZlnS*o6fsU9b} z`e&+b%bo0~7PMB--N08fPdY{#V26ok(YCBLe}n#2nYSV_xcHD?9`5(G9bP2Sq!RGA zQu;*#&F^KmkF@1jIS3p;&d>jmi@kxR2UUEPaUNil<vh9ba=(Iu4CdK_PXxnIV#N3< zLZd9&eJ=DcdxIe^qk!i@nBxZ7*koh`mqyYZF3kV#tc(pGj=yPmjI8e38L9~aVkX3m z8pEF%>w9Trbp*A%zn?rjaf)VjY@n6%3rGOhDZIdaj9(8}hkML87px(Z22pc&{u#Gb zx-IaQMCqG>{SsscAC_0EM(n2%2Y~z}Hnfm{haL!E;1ajU%|taZ-QLdzi$M;ju;3kd z6Og(0J}zgW!sB7%ukgZ=e%dHF(8+Zp0<V??xd}ddQEVYPDeow>xJ3nV#M4^y-tsC1 z;`uJ{5jJosc32Y3K5#_<ffo4kpDBl!NXWrxFMwV^4*HNJwIECMSDW{0_#pwgT}hjS zwd;sRVU#D2KL?)!JUeiGcsf(M7Vz5(BN!)d&GF5+O&W<MDm?U`WYeM7o&};Iao6uO zPB!#8b8)z{ak<d`uXgeQ9PXhdYb(1*%F%}50YRY1f|7`412T*ewGakPs;Ig&4mdD* z(zl02>cTBw^QFXjPKQL3`2ONzC#8ToQm2?&IT&&dkp?WI;4}pjd<@W|m%?aJlpR~H zKx;qu^W)%_cPHOJ)$LDZ#eF*Q9tx@}Fad)A3Ce&9t1tl*018TgbN@Ue01C>0bN@Uu z0CP%!7WJ3`Y`_BYpasol09-HuZd3r<tiW@o04_>^XSJ9Cc)$hOU;$*c=m0kOfM>J- z1WE%Q>yVzT?&J6!QF3Q8w$oU^oBp!(2ItjMkxNn~6Z4d!YG<g*o^)KgH&DYY-UZbX z^UG0{?kIRM*Zb)tyvil^uoRJW_A=s<ak>{8=tV9@U1jMal%jd70ZC^QV=gHuKM#E= z(VYp8_g&T9BwCbOMz9u<H-$3d(x&VtQaG=qb4Bis2XzQpkL61_yGckC7nEKWuoO{t zO)}z&hjwC5_cBFebRHrkm_fqcT&Cz|(2lZ<KPv&%XI9fLI<CK2BIkH?&-NxFI@>fI zWowz5j=C>=wGx@l-7D$c)GWCw*L!VJl)B>Y=*DJbsuN#rU~LGxdRcMS;gX#>>J!H# zQIu@2SPCe*MOkr1>B&x>n3U*ySw=hcLq-WsgxFhQRP@v*Kql%e@lnXmoavsWQh_;> z(i5ElYQyWgPIN=ixnLBdQhI{wNgQbA5#yaSjH>%2x|(7Opkrv}dE=c|%uj&|f@*20 zPb5v(sIaX-q`c{c<yL_u)Y4L2|B_&OInhbO>k3nhS?NitCv((U>>Up<rbm{waZ0ME zC%OPO4QE<7(P7IzBNO6OPfB$GtfR*MPnO2=F!t%{|C7aAiq2U6Ia$NPlT=swKqCiQ zX56&I5{dkLgDHtlpiSUZgN|7CvDbKXqv@aRCTd;FA*t?!>79in(bZN`0&St1(^!7Q z^un@>S$>-8!W(E@&S9RDG%2y@VV~0%Qv#hpJ0qd&glVa@7q^(6`mZhiSZ=v-(<{qD zemQ-m1<)3%nYDj$@2uMd>(kUHH>A-694D!NMGtwMu1!gF18f|{va<f=1B%!2)|5mi z_+0cjTGAQG!}B`Y_`gF~{Tt$y*D1FrX-D!vV{gUcAOG~9{r~cT<8{n6CFO}Wzb$#3 z{v*Ku1CWyH3REA&@Uo^imVe@P+UZH&k~z}M5SO1YEx7Cuuj>Bq`zWdGjA<cYJ$H2f z|8>yye>>2kGp3iI-khX9?<I*F_B?9Q5!1?k9eYmupPTqMJ{@r_uE&wr|6D}=2yyu_ z)5}JocwPH{XP9bq)hLn<D2f-(=wVDRLr-1J)BWjD+Sr}%x7b{1ArB){APAowTCTeV z64r|XZI6p_Aq3+)ialA7UDZMnKgu9olw=fODq1QqVi!Hibz~40mVm@^OfTC^SpqiM zi7`)Ns-^D+;aPkYkKll*0)_X~S;knlwp$1BbXKuUVW7F%#WXUWD3fV``xpiOQInpy z)#K`lBnW#5MhjP`>x}b<hU6_(lr&No0yXE<)dp~jGWi6km3e3zS+N`ZZ=T;X#Tu{_ zA_y-ao1T)ehM(G!n@{XfW24?gj=|rBS~_?qZb+sbzfFB6D-Y>Q$N7PyPR7h@Gs*qj zcb>&&zMXa@64oP|C4{oDRaLquCsiv5ICWHcSW;}(5Jn4aukdJA?NpqgV!h#H;b{rD zL~Vm=T4zAc>Lg)@yBZHCi}f+W7_&b*#)?aWRYwRo!PI#;USduVtd`xQw%2u1a07)s z2jlZI5^#l7*A-hotN(!zY4UJ#ZH^G^@N8VUw#Wwl^J)C^ZO0rTR4vp^c`B)shVQ+Z z+#j8pvJ8e)wNyLbl%b9ja!rko5pvC7t{r)}k9WVX0?%TmE9sz*ysdON2gm=`jP%dg ztm5d3rbE%V202BCLA;TZqNC@>dF*qt)<3w?q{!DIbI)o>-_3v`P#NSRX?|Jhf_<z1 zerh0uSVML7L7_KI)-5<tj?piSJvO#)lJA<FXX|t(_<gO(7KOgDMpe!b<_LmA+`|Tg zQ*=qLu!ymbxL71*vNDgZr0l$2(7Vy5kCFNTevXNFE}@zRrB0nw7?AiSFxTRwKhqR^ z65VJJd@CI_5G>R9WIMjgI|upbKO~%{&3vA(H9dV6(@EY7Enz7)Sr-T7Bmx!TX3*xs z7bL5f2Asvk15p&1lmy(F%%#nUqfu5T3Ajv30Fo#)CkeC&b}yd@(=Dk=5_FxG03cal zN)p77?pi&1&u>(bAZ)iN0YE<0kRVtM!PUb)|A)FP1wd-~$E<+k?%}ejmjar%Wd=<s zNKOP9Y^bS)tB2$MFaNGAAjz2-X`lkGTk3E}imC)bmt#2q(i2mXKu2Q#vMs&cTD<_! zelC9s$X6ZuHmfg6wMqx&<~8lrxS6)F`7XCK4HeuP9c%CDa$X`M3%A_O!I&j`{jr#K z2mgAq@n*84@Ym)<cKI&Tors&VpKP{JD?a~M8or%I1;Ud<yt)ze*sXj<%)^9<%)0D^ zu-JYrl(v@#m9XE3i;7yA@dTR+9TyaBs~g5+9gi<p74;&c=`R&JZs>^~cMOGEJ|Avt z>Ul=feQJ2z;A5KhHG`hA_lL`p`afoz=0E0XrX0uYnS6fS^?$JCw12Q>A?;j;JWGCG zF1P;*;OYJk%#LH$C65oc`@aIt^#2Mx%CP5{cl~4bs-9=GQD^*PQXJI&gFRv38efXj zlG-r63Q1u+Y$SI?tcJQSVXX+jvr}$etpu+<x`M6KKHOrh&|%VW?ccQF<s|BxYixhq zsXOh_$G|KECl6i56l>%ESiyaT77ASN@f>pj{}WsL({TOwX&JSz_fpomh>!m{@__vd ze=b2j>~jkpFNgYb|HWg0v~vZ1YnjpPeBa#v<y-RqAM?%jpXvOcx&2>s<DdE3bV$#W z+`bI^w97TLS+Ok%f@l|?Xhf05f9i3&yK+3%L-I*}3-;OHi*=Qs*T?zJz~MC;N-|8% zgM?A!_Nu$;Vlgq~`r0QEeD1)5pLz7|f6~@|=~W!)%Zvx0TT^+?R=<A9Fe3@Fgui-{ z60*sUvBcVDe@SA{#~{A7l*jhNgqy7rkLwM#wqv-_ia0&3iYyGijhVs_Ov#YKfWOQb zjk8(x^Lh5#9?VWoE>7<HL5@A+Mbl@4=oO}mqa;HHI;SLIFIq7yfn-mQ8_P*by}i(M zx)We%8V9yE1ki<pAv{&%2OqY4;_y`(rI^~vWyzJ1Y{*diQ5e?x!OJSS$gFE`;Frs( zs`PCiAy1pI9{}*}ha#pSJsLX4>`Ez^l0y0g2#5TU8G=^^o4;1Dy9vUZdabwlcn=Xi zl$RB2z1c0#0r$NW$nch7gZp7;U>+BF2qr3f`n%Tsa?+A$!|(q{xnW`-zyuG8ur|VR zxi&t+EqN7{o0;|8(ZK{!142?qa&SLm!vD!z-u-MR8Gs{Srlvv#_I&ByHCwH{?Mo9g zZ4QFa;juIh^00a5Vk4*Y7Q;;ZFam)P3lx#$`M^3=xjUF+rQF6dIu<?$bqTc*Xh*^_ zK|^&X&kWAIupPUu!UMPaEhk@IdIINnc3vCn)XqM!y-wloUc5CS*6EFXd}E*DZC||g zN$_}Xlv{AVU0j{lFu2ICoF9GXgRRP^b@n3UI^ymhwR7TfqPWDuM{zTz_I(z`azyk5 z&%JXAzJ#1gu8Mb%aH(8nd}$(6EjkTVTr)eZ$)Hy(sIe#XX2wkg1})ZqD*p@+ms~kJ zKxCIoT;q&#J!3yYl|$QME58b`Y~_H6#17Zl6MBI~PHc^mK0JKP8r?oTylIVg8xifk zLbHR4ep{!hgNUyFZ;y<ATdUbaPq(Ar{Fc}8wv#pdIz0TUjEYoI;)<%q@V-6&Yc=wh zyH1Hp)TmI%hysVIq+Nr>1rO&t0ZjVzeYc;)*x3&L6C_W2SKPsq9gVuTmz37Uhk{sU ze0!H8)NFpgpWF#+u@&+_b5gwixzo(Q{D@|S+<ai%QylZrn{-SspCB9A1#)fq{Z%TV znNJXo{7kBq-@pDyCKeWC%z@OxbS_)7kGTlP+;h%qdv}-JULCFCu+vH=%h-9|sIXYu zeZz<IBAyG0^iULQ8e0w23kmP7cV4J<w}=h!#|ayd&D7>xI$XfCIhAWM?AX6be@XhI z;fjZ5Kd|`wq=j!lISm!yh6SsX^48vKa>@cyw3_vSd;hZV_#wdO`BBDoQf}f&v=u_d zu#$K?Bg;ghPI~ot(n%L(Y^(RYdNbICKAOY9(#gtPKX9oaf!>=26nB2!f&>c6Pgx&W zjUPHqM!6KxE&SUcW;?}?9TL$w^f6##5@;Dt^$%S#)XLl?*$cXB&tt&mEYK=s=|R(S zM6G70=F2gO!`a;FpE3!}wJsWAr`bKhIUa~38W1U}pvh>R+V*Cx+tOA!_Ks7_zT{;e z{%BSc%0x%Uwlr`ZcId4gte!;Zz@$(E{$O^e*1XaHZY4DPBP%}XdAB2)owdvI1~G2< zHX7ee!Op>=_Of%0vUGuA%2a))fr*8dTsfeczi~y}!A=4frj%=NQko!fJ^2%=op&ac zn{i2%jvJO(<;mbWX>2!7LZ~t=m0elfHAJ)15-q7ap4U7G%`9%^{a}CHu)2?f(o37U zy)7%fm8cGnh0;nVxj!>w$jM)@>#mOh(@QV9l`4QnaVjUZ<-M=2O&fLS95llUsLKN` zNj||{R<-dAG}Q{Q@4C_z1}@lg3VZXn9G`4t@EkPT3bM~#5ACu|{FI#nF3mMV?bx|W zx;md6Nuw*hF$Mo2$cm~<f~4pB)6qKvOf$pn=wUNb>{OizC!3(QZTR)%nyY$w_L^*j z-0AU~kAc!n(Yii2nYHN*G|2=bGeclAXwjQ!ybDa^U`#k|&E{r-=_bi2t}QOs8c*_C zFG}c#_F%C+=S(p{OOxa1T=NpZ*Y(mw6}ryHlE~GbShVmgG+zY9^O(jW!|--xP5{$Q zky9FEF*b=aEMm`)8pG?-58@xWWgi5lnBb)RVIwS~%h5acOf$hv?O{D4^N_gzri1IJ zg(@_W!$}pn>}6m7>$OIN-ZoM1+;n3_XRirHR9+4=-AdaTaFPkBHzN@ONO{55n$G0& z{M?DWq+I!-B+d#h<WouPa@&q=(5{MYJXmh#BKrmFJG~~((dY5cr5OwDt?5woW)pAP z!LEG@cu~@_k2!1vqbp4_d)e%k?7k<$3)4dLCj;N@sV+uOJ}acrxtW3!`#x-`U}Rna z$Kbc<(>AS7_fUG@6|F<$U9Et4_{Th|9myzr3wStfZZFfwr@rO^WK2%6>=((;m$|Lb zPPTr;7IBl$+%>MtW(jTUrXQcrrOu$4R14i8^~te*aBGaJmKB?JqJLGZ1r9zw`;+PA z-xeG2*OAF29xvOv7)ak`KCW(y-X4odrNiUVu#o&PQhL)jD@*4}R(A6bjofyS+?4}t zHc`uIdPS)>=qCeyuWqRSlu_|Oy6?za5Zvq$bgvgc`rE-ml6Lr~ligaq$7cQ%(h2d9 zhWwJ<D+$@oak#29iRYaKTyf?GQi}-HUWMmQW4s2W@3^N|2jJsk<V81??3@Z`*ZbkG zn#Ep}Rx^iyEnL(zzV4w~fOc`cXHPbYttd9ihMnHU21*!Z)T?a`JB%!C=Z66Q#+Tv+ zc=>z7lvuJ8jz4^b=XO7A37Ov8bYIs4;)VU(U-UfI?#D0iPUvRgPVK9gZT<;Icwb+E z67ShFKQ#fyG(vtETk^-C0Foav>GFXm<NV>=<)`H8{W?8HPQLf|$D7T_N_H(;{O)pE zwTsot4<{Gf+6%T)M-xi0+Ty05Q5DY@zL>2LO&9yPYobeJUBEG4Bpdc^OR|9n&Yb8W zQAU)wGp>isfl^_|(pxVkyOvqXWGt>4Ctag(B{VIObwVeNrIumUiyaeKn{JsC<izZ@ zr-+i9ULo9JOPS0pOY|Qyt}@k~O{|w5Wteh{jJsK{S*}GJTCHpZEcJHr1k{AWO0`0r zWp*+xyEEm>6N6vveEHR>8#4`rt7DI+r4C)aEYp={s#d~|TxV^hPy7}6Qn4`MA{mgg zp_P%UTh{F}=y_Owx)t~{(E#+S(rR-t<(A7;b?F8g);t0TMD*QTMW8qvXY&{9Yx0T- z&%vx$S-b8roWL7X(A(-#txdv_kfvf)AG;bYthVPmlA=TgnAtNti?q|Rraj>irasHM zZR;R&y~0-;-nO4E>rv4$JO%H_ISEqLLSepS&Du`3>TnX^u&r3gUjIELfQPf1PlbJ= zYITr5U|U|S0%e$4YeRi$w^>>{!{E={U!MjsjR^MC4PjcV;Pg`cEM~Kvr!zR+fqmW4 zH|NV$z5Qx;mxx#|q4#XCk+RxY@zgR1SnQ5ZWb)O(NMV%!um#qu)=WqHhQ3I+;u1}f zZX<ijN@Xi=iJT9~)w57Z6k`w(LbgOU-%dcT_czUGbZ5SpO~2{BqUIjNgEgfE@?naN zf}k;>L%(4AuwV}bZAgFh3!ap{W5(Y(n1<^VB$bwO!o8h&Y=Da<GPAh%90TGO;L0=T zs+E}k4Od2`0F5;C#y_pPj!~EfGFMc)%Wtav9I@gH-@?m3)O_qxetngRtH|)UvWp2< z`d-nX-HX@z{oBy7W_5ES4HhIB#)sXR#7weU;uB?FSXugil+&DKW$E7_Z;Q(km7k8k zc3j2aEZ?Wcs{kQ7S=81w^SddD6YMa7^`$>=L(0@LZUXe`tta2Pe0|t(o2*q#ZhPZ{ zIcLgerl0TBR~;wE+0Co!#qOi&{3~}G0sK;dM*nH26s}G`KNmyq+VwaCbU;F;bp8h~ zi`wXHd65~dn|oJ+{;-iDqQ$|I$PU#uk?`rpwE?C)d4O)6LgzSODZkJM42ir16-%(w zgEYYPf9PdSKS2w&a|0$u9^f;;C$kqR&lahjA(2K}n8A{C)(tjTb22VQYW`DBNmcX1 zD^r63>%52}<FBphN2h1z0_zMmSjIkgUWXhM)fc_aD;zQ9$yoz?PyYy{+ZpbBtlwVe zN|bM;q*QHYyKYFk*5`4hR0fkixgbZrfX?CLc8VGQnR|y^o#$cqU`D4}nUu1Ld572? zlDHrF6Ub1ND%Cp(N2rrA887`dY?f;)S@npi)LpD%6{DeoxfURB=#7+a;o?Xg6zrH< zrNqmK?6!c}J~Nd79DEBSz5IEy0HGcTAF^JlXc>1(_Pi{$nfy;U#iPKfx4C%o)Mb#l z04g)-gisB#<8YU!Ml2PboQn$p3UiZ>qm}lpn83)pz@sJS`%gLbij<eCxdgfrY2^iN zjHY<Qg8iqQa)<YKRde)X{Zmfi0%}s>3E&`Kn#>>(#H`-1Du7Sdj@;PTPRm$nf<K&F zoLX?NPK)=Dd4i;Tp?QcL!GHKVUV0pNEe~Ndu|+O}7p7u4r8+MU8-^d}np8S{fJz_E z9sKVgQNt?Q95M~!(1Ni^Yfy`)c#X@aPyc=T1s;YYeYdKRBY#i>L}-~`@Rw16*WJy5 zpYD`yZsA^5S^Y5xj)ws2M8cdP<mwa<UMubHth>7CPR$l9)f4&!pn`|1)8<~iJ1XV+ z@u}BB?#8hQtd^<Se55sob=>_Yop%3|PTdDQy;=!Z1M{g};YE-_R?CJFdFTL=AooC8 zl{)oKl&+{Moq8mObpxZApmpO8sufvi3!*B5szdSiqjJ@TANEiC{B#yq(>qS#kZ!ct z^u<k8m<R;_w{p7hbWT>11SB)Y*%k&jv8KZZK+JstF(I-1*^!TR_pC?+(3T53RT@`m zsuj@=MG;w_TMtZ0*b}ToVCtUUwd*5>E@9CE{(%@}Q5+Z)PHDpw$jfEIzaAeT(>!A| zeOdPg<_%|UuzhM4q`wB+BDC*OyBbTVpQpf$aZlQ#34Cg!R2o#c5T25mD5nRZn&18B zqV6J164ZpkMFS3B;c$#hJ5`qo8I|kMYGIPFs&=lYS~Xhce}vQb{ra7h+F()t;bd1p z5D%!^$c=R3>&GGA_$4lEz$WM_)*LOqK{UAM;g~z-FeE{PV+1-kPFh{C@|L{?9^G*4 zwDPijma+?>e14p@DnoXg>4kD&q5NIt(eu5P9Qik7?ca7c0{8TO!ASwirct|Lef?9s zfKhS75fY*qkT9q<Zp^D~tdp5mR~ey_Z0lY@;G*)bd9Ku=*cDa>r#?+UO9dz|%2ow; z2WOGc3&65X4%3E~&M0;5PG%<_!btm-i`TTS68%BuIM*_bA1{GekrUnmCyPPvo{qIy z@YbF4y=)azdx58I!oA>ogqa2hzOfYi>9A6ylT1edpB+qjh$-RK?BJ2=J?8}vA%nOH zvqC(rjGsc>(qyL<8ksP>wGd*q;OMvar*{4jyPrnWnNxav1EC=*8v)+|P@b*`^eJ!C zE>B0PgIX33YWz|T!J0ixh*kM6H+@muIMZ*<CO!&Kv@oSN1A>Ze^Q4JvW`v77A%qRM zbj9<FTE?<VEP5euA9#o3OXy!voDobe9Rin)*8p16PSUfb820AimE(B+2yN&exAJnk zJVNggF;jCJEQR-=DC~+Errs{QsCvPyknWfZndLx=Nu7iTyJgYmA{oI{_=$)zolV+B z_ig%#R;?2Jo-kdNHP$@+>oTED`iTI6=FtnKY9_nj@Y#FAR2~3t2eUt0{W;2Vq<&~9 zLu&v8k(?BN*&QVc&14b;N@Csr>8&3P`?OxL!__pU^&w^AjijBZ&dRx8`MS05Gh1`n z@=V(r<xLPn8su!>zlIb8>bSq+20pAXQcf^WPN`!}>VNazGCS7^^l%yaTjFx7<n+-6 z%!36s&l18H!0LdbN-20I%$_zU_JXZOkFfdRg%xUK?0t@fPtxICk;v97q9$N7n;LTG zP8rBri0^J!m2|@Qkc9siW8WC#Nf*7@w)tz@=Cp0wwr$(fwr!i!wr$&-HvaQw_rq@X z%O;gn9aT~}m0P#YbI)_vrq-5bmLTR8BX6Jc7hM<o6tUH|8n9LH54ZdUkV$ht5F`9p z<#DYYZDs$DV>(ZM$=%-;zU!RUfe2eKBj>d7ti>*_^u<Yk+cKon@)bl={qza`VSza< zwN?<32PwNi3pPbQ4IXlf&32%6f7$jfw!f2ZDSYT%wj2CKjf7*O-Cv$edb+WI-t~AZ zBQ-XzpJ0i0gK-2DT)?0T82&_OZ-jq8C%V~9i|9|@ye*_zI#`$<i_K^04DIikzzlm& z8@e%VJgeZ7I}F27@(Z-S%gUnl>Ed_PO?aP53N|Mvzx&DXCjagZVp~Z&`*lF1PwamH z>VkC8>WR<m`SSS)$2p${t_JMwty{i(_hmO7yqISrHi;PE_Zu#za5qlg+Z?%COfcD4 zT=gFOn^2BL0TCp{f?nY4?RPV3)r%NDr;!oL=8;U$7BF*D{xnRO-XkPm!-M?@QW}2` zh54U$8+GWO1$2QNEIu0C_}(0lT2PZZKjG9pf`k-MQO>ig1YOBgQl%a(OIMKD*-?aP zH4^_#gg(gY=-4iSpl&Fjtx?G0#WZeP&yS1KOqfHoGs^Psq2@ke`)-o7E4~e7H-hW9 zPSmZBHp^)FLLt=go+V6aq{zcx4Cmj8kFXC53luEBRUAQ}V31`BoW(V<QGXmA-D0sY zFU;X@xksH|t6Q0V`Jpy`Ss2aZrTY9;BioV)`(@Ti0X!ftPSXbR3C^|$)4&Dk?EU5F zDKL;BN%<(7;f>Z-dKf?oyPBdd?XSz}v}|#fJ3r?-aJz#iYU%8~MnZpK&X4*8Q3Ot8 z<Y0|RKo)mR3}d*Antu|TmB5<ZAVz<;VB(*r(x~^1VZkUR)r@!K+etJTe&9qPwqsOq zMci<82LGX#v)}B^7_e_${56Yx-wd{|G9)8MQjypFg$%sn`B!n{_4j5Q4Nh+6;jAM} zm%Lm7r9n)((65!<Dw;tu=HEhI&~Dj6$KzCJ;IcCLNQWl6f4s8T!^ZOViq0mv=OCQ@ z);+SPc9N2)qCS%a$l=SQN6~nNLQNKo?Pz^Ov-|w?K<bV`&&^OlMEf;Rp@ux<)d^yE z^-EFD_9Vlo-cu2!>EsUd>`*pw5-Fp0QU!=1<a>BNR%!CoLrHh`t>-x`Kjdd}3+HB_ ziw&Dw^Z2uMd!z_@xH}g~#c)Q-CPX(|x~a^gf?~<$!kE(I<mLHI;IeR5AkZwc1vnW3 zb7Y~>hHqsFk%WJL#fW<>LdM17Wt7Ka7b^nz;dqb0;_|yvdvtm!T7TDP&S4Uonx7IZ za35BaDT;RK=^L!()ZsdslUA4{VT|4Uo(|PQco)}GFzA*$8{>wYm5^s*gu|clr^lH! zpV`CHtoDmmPAmT1OXO<H<myCt;ur-N48+i1gke!mdfi1&l?7YBg`7xy-BnOTMR)M( zOX)AkQ`X!@IJ{sc8Qf?YvFprWLp<Wa%RTF`BX^!c^mG+{J2)IEm1$lry>x;hq81|Z z*IPm*tcSxo=OY*H7p`MwBJ1%l-16M`QeJS&iTYcjO!B_>!@0&xan%JIqpySwjh~lL zl>&Z<tF)W=&~g+mGpU8{eH?_v+;~FG3qnyWy=L)*Ohy4u+XakDJN@d5XUffvPK1}& zsBy_B8GJ{{A$_1o)puYUqiDlEcluOLil;Sasf14sktJaDbC2LZ>;(;h7bYzsa`S80 z{I#c#)1emaM2l9{{cY<uwKyzHD+F;%Z$0k@U6KBEVajQxHL_;k4_j){EUY|TT?nYh zoYGVFVeFgLhKBLFI$hATaZ6H)7xo3hzXO~xT{X9%B#YnkMuYao4QJB^dBiZLd(2J7 zA3In8C~#a{NOQs3u|Z3GPEDINA9H8d_T8B)zbk30D=>9Lv4+C5S;+N7s$A|5*t_EE zdmBUkdgDl?uN^9L3C6`ezTY}!jXwLb3U22Ay<XwB$ab)=2=*MpfgPvP+CRqo!wQ!% z6!g{m>v>n1-NgU?NZ>q-9CCMH$Y$ZrZ8CG#!E;%^f65s9?7`L$@I8^(u)D3mJcKGE z3N6Q|J9u~IW*9uu=ACm7r(fk^PhZ={4BKA;os*A&@}QoI)VWlj5D}3^o2+Lse4q`8 zlf~Y-`F+CT({$0>-JQxZL^2n>1LGOeV7sNz0(=UCsgUev@fEUn-*&Bs%R7jv@y%T* z7$5Q_PAu5IwGCh6mc%BB^ykiV<vMKV8Z0utM4VXY)d_s}A!MfpY2v^MD)3VRDuhAc zPB!M{JGkD?L`Y4<jXrh^P241kgn1Y_;~-+nU)|)?!6aYq>iv04ZvLnb5@*QeBr6tt zwL^SvC<t7{&DBV0Mq$R<SW&5=8hMG5E@;Iq-m4-WpRN39BmKQ1ohu_9MYv@ya@Z~1 zNc~&<nZ(RcDt6ZCxlVmka6>+{*hEOm#Ie((4ZYNk)<eSNM<1hfs1MMd`Uj7c6J3cP zHZc)EdUC2!9oxcGLPMr6DwLs+xDYpddeMZ&hqs9!$4{0JIyyO3@5h?nqFC~8RENJ5 z3w&%9PR6c~j~YKTLw;-xDsEOr@n#aGmcV3LY<(6vFD8999ubSDA8BfNrLlArdohC0 zjHica?uk`d2#UTLWeq0eH5_YYBbD+}m`~@Xm>>*m?&(ogQcXl_8YzT>22G>17G28` zhviWW*`yk{{&eNLf8`I-*x}ri`2DkZ?rz$F`tNM-9{tKMfBz;u@&nyyp@JE{-WCC> zG6YP00EF%s5XB)NiknZ2pnwcn{{OFzf1&z+qB)LKaGj_TIMKtiqlD%D*G;`}3IDBV z8W&~ECk7}W!=3e#1Ll%gg<|hTo4H1YD-M6mZ?``-#1cdIzh3UBxMnzmzoMBs-yoQp zz{cQaNdqCrbnwaf>*~QRjI{M6!|GW$cTc}k?bX|EE>g8puj1jZX!}z;yDB?7?R0g0 z-(di|UCzcm24wVLQD)kWw_s5@E;%&wur34Q4r~gAG%LpfhM>t7^|7!)g@>U>V;v+B zk;}}hU<IeHYNEwOSdPqiV+R95NCU8a_#)AXqCBIpH1SO_2ztEv4URPo<aSAvf!5ZB z6j>xWm57Do+E_Wrt}Fw)hUfO%Sgr7-;N<}wcE+v!K{zkRMz8~pu8f=!{cBQ8X%ke% zC{HLt@!AulN<+sk{FE)hkbNfD_+MU3JiMG;@hSWBU0>?<5|)*LOK{Sa<=Iix%Ynoc z0*W2^lvNO-k^zN|f{N<!QJFv`IY6N;7_oIE!`oDgJAHnfxPpZ*wdW2qUn{L<ss5;_ z?DVBbp+ja6*-d35F~DkfV-C2JGX~GQ)!>-}<Y<{#OA7O&#z%1hHQJkPmT|M+3`gWf zi0sz)xZZ9p_mX94V~R}jrs6DDlw3f5IU1{s9D3czPb?6fy=-R9UG9+fI|TUfj%|aF zyE}NF9&QgeuRnM>p_Awk0Rap*`gXt}&U1nWVthc)YinK0w=ppdxYpRiyU-S)WFlaw znLSc^BP;kAY?cN2HCmK?Ks-?<4Z4(q(2B;35chNAAX)uuDkbWmMwbhsGhj+i`&<H3 zULWT6f_COe_Xt&RUUDGQnCvRW)<gq-gjTl3QKEFFITEKDXYW$L!YRXU_!IS8^szGx zVW;-b{(ObB`CZA8hSwBjMLiei7U7G?;nNI$!@04G0q#9Au!U&nJV0y!>k!A)vC8-h zvUn~(Zx?dWOG~zl#6aeRS)mg&;};#N;Ms~oHN00}h}Q?Fy~%TKP_>?cO(opV!Iuwv zVwQN&Z;t|bp1q2WbTNbF5fC^e(Y>$lb<r{2pr>##+8fKdjX#9TTc~rBlY+AbxvE*D z#UgGXUZ$L9DG^wN?@1SLImg&*SWjMHqP93cdg%%{=wSHk?m0#{5Q*N@fSC`htQnu6 z<v*AWEae|e?6nxbL_Pw>8LJ%H^NmNQ*|$|^J$~^W_Fn1ZetLL32rEFaIFIxrw1?AH zHn=7s0c2<fy8D-KvtBppn)%7oKt0UqzHZMu9KvtZcGF3m2F#ftG2wN=r<-J^)(|@q z0WpZELi3CWa~WU?_ea=kXBrAwB$lY7W*puzNVM3Ne>B&MXTuniQO3z#bf-+4i^vo2 z)eT2KV@1N-2@TDyT$G!pBiCSu>Z5R6_cUW917P4*X_dL3QH6^_ceK_t9xZYHYBT;V zTPtrOP~g}}H1=4=B3|i+c73v3OXGrH=@D-4YY`dk>k~Sg3AYPcMLx!Z><oEqUnLj$ zgulW`N0RH45VKnvzr;r<(qfS?1iefj6_nKnLq_{NuMZUm-WS!}l5qUxJ_lEej`zaL z{{9u=X=6nA#|Y=?b!QFrU~LtoC>Y{Gcb;g3k!U6RD&PzO4@Md_yp+%=ZW@2rIMqr} z%?nL6?nN}5X%wRLOE}6uN86eSD+wORD<Vb)m4mx;u2GgT%XZs<+OW!cF%aRm<ObVS z?FMEbu-=<r_|lrdU)7zBuk@R%nRN2@i}><bYhRJNmB-p1NJCH2+8%j*(%nNq#_(;P zZY_$pn1WVnvqZ|XM03?B6P-FR%a58J{?z@&#WeiNGHz9Pmj5a{;*H$V#5L4ZP9vlK zgsqd~mQx$I>EPCHy#pNJOyful!e)1ZeW#Mcrofxwo1s{NX=u0VAl}y(?Z^Ds?P8SB zOw>b4A#2h2mCvdwP6gorqV8;NCv+%^Mny0SRV0h=oF$(80_vah^>I-Y3prL}^w0iY z^GryWPwEe-r4sZQ|Hq@mUtlJ|H6wQ8u>sTbOv4PEde45qs*<`pQ=OA$ns+b?DV}cu z3%A2{v<QZW<sB*Uv7Wslm6Y>Y1tiq%`g$x8gK8Riu6Hqc@6QNWq^6D#ZoJ#{(iuBn zY}Cjd^*5JeX#E5}aLQ2MK;H!2LU0;aC1NsKW5!Y>I(k15Bsv<lZfH?=^W2DsXaoO{ zw8d@~q8#c)t2mumB|ZO05?zf;w|@}m@AN)6_2cBN3A=X7aFJ9Fn4*B!yV@^$uipx1 zx(A{z6ZJGCFC;aB%}n+`=O|eH%tQ6RTlsSGC2aN#e4Cc2R+=x1vImyRw%JqB$_!V8 zSlmoe#Tlx>c%Du9U`pff$5T@Fx0uMO-W`wLrGQNIH^3yhfbu6G;z`2QLGni+=8E!1 zz~bF;mZX4eSb&#!U|LCl#E5i?Dyq@cOt<a&^4N7Iatm*{ikL%PmD(_c?9UFv(VlSk zJ5bJGyF#}8C~?T+N7GJn9vrb9cc`xLo`vT7Pjc8YkF5+T8Ysf&SUk;tv$tt+M8F{H z>Dx4Uic{IjMm@%Aapc3y>&aKLc#3NpYlzU{s$E+WJ<;wct^oYiVY!QYBE*jG<SaWh z1KeRgvXFY7(de_>SFjQosvW(zk-W%nlT+Wo^Z%22=GOM_X0UCwQqIpS^rHTL_YdFC z{!x#|avR_H9lDg)TsI?)sy|MPzA}X*p>x(s1Ai@lNE&><Frm&Qh`m@uN~rLv1L0G` zy908^lp_TagqWAGai6rzMz2^?Zxw1l1jN8X;s2YyVdRMe8VZeX<)}{4%R(kRDPV7K zG~Gup>{E)ox7?1@ksV0SQ1;IVQ>B{F7D7@x5J+eo)0@^CVF8DaF1wEuls@BI@o2GL zdjRfnv(cXERb2>gRA)9Ru~=eN3DL^I2`wAwWwMRvGB$J?^4T%v)0D7OeUAad34LLA z(u{SAr{!lARCQ~HRXrrm%KK+jDG!1y!O2na9rLq#1MP-P=vp{Oex6wt@EP{8bQYHV zwJ;AOP_m5}kic(U^0a?Fs+ViCAK)@gaMKqBkyCKyx)c=*xhspY)Gzu2yjnJ#8N!t2 zGCaZCl<p2Pi0qJ1{rL@s7N~%30~<K7SXV`m5O$mp1mHmOo3i{piCh!~mo<eaXtZJ# z2b2f|@$zDhpwjyYpm|f!c@lvs+ez8}z64AvfQli&%LdSyOrrBa4GubbA~8rvd-Wj$ zFem~iAB@we7e#@)OqmHV@o*Y*=zL9XbKTn4z?*DR5jQd{uuN`{JOZ(6kWEllnS)Ad zB549HP?iD_kP)CxW5)}HhdQ>$hKD8^N8oscbX{awN7qRM-!qf8GQgTeGl`t2z1u*` zl_+f{2Yqu`+dqg9AZ{0eRS2PK9v1*6^|PRdfTnO#0zoO=BL@X{Mh#haE3%_x!082} zwRwYwJIC6V;j8fLXEEi#8Ve7erdY1?C?%x;1&{xiL?@P_$>b4}d;_~RpM@%&T$c<G zP4KXg!7ecT&~cic2~(*ws-$rNMUC{&0d7*oAZ8iK%`n;x04r_oKAt}%Fud|k>n8NZ z-D|1S+k&Z-F1%9&NZjvnwiM}fdhLq=0U}bH+zOo$mkgygc*}icjdWb)o@7I*9XI`` zX{0QDkMS3>e8bK8zI0ahStATRuCIrw1>@+QKez$?M`-`v$A_mgrek`nG02c%^6u;x zlz{qBJAHVHutAcP$z=S^R&cXoA|LWN6?z3Pn?($WhdzTxiQ|Rh(N>#}Z6u`s3{qY; z$T&B$jvgZWWP)ixp|-2fn|{T4{UlK;J-CK2>df(w5YggjdIv7hUW~_;C^xuFo+A6E zk_?Cvc~qL?0^dUp9>q?a3+9kmgyAr;TZoc`Vbhc;ut|K%-HCyP_4OSkPLT`dQX0$( zaM<LND)egaGJ?_&QPZed_8#K{WP66;{{815W{OE@3E;z0z)<NyYDqj$om5cNX%xPt zKFcPEL4Ghn7vRv!%~noXLWI(v@jC5_XK6De{j1dvrZ5aNZD1fa3AFA(jPab(VzcOa zUe^x>V<_6hlZJi4;Nr(sI;rUg=`m=XwOpv_6EA8ek#uo}#YpKi7>wO$Tg-j+!%Q(~ zju+x9tRM<#g%j$%v<;$-g&|Zbv<oWqU?!1N(c=<+^tiA{a;=(J0_nsVF-OWnolTnc zDt4=5D9Rj32|k4m1{FGE<0zXT|JXoj`&u2|fU{iH3C-4w)FVj)8Yq=}A`;e}FvT8N z-T(o2FdS*XA#@(9RK-wPx*q7+lYIlJU6r^fp`g-#h2+elbye>T$4zwg#H_Ib)|6J| zbyU}?tJD|_Oj?&zHx2QLVdF1KqNh?jttg72!gVX#ivAh?vgo7pU8*r0{de7@lVY=S zY}%Cy96{yUl_MZ8Ec<a8={n0?;XzeRwx^gr(6%}M*^&b-|F==haAMDnLPOEgqYy}y z!5XcVFf5^vGmvUQg~0}`6|hpMEzx?28oGaz^D#X4BV4N?_3CG-9;aT}35Q@aF1skf zOlzk1#|leftx@|jJfE@T7XwiX9Hq_qcB+s<V=58DV>6tH4Hg24Vg$ztQC*+&KTl#@ zu?IoJS>?ZSsRQg8hNJ|^Q=XN2TopE(9W`%Ajy45ue-9*0wP&y0pkTanE8D_UTBq#X zg%p@iE4;EKOeb&H#}5z1J1XVZQ&d~Q>|Q`5(j99r7Tru!=mIuHfW!|t;VeWR-J=Bt zQ~U9VgPT};Eb#4-HP#l|NJb6Dm;UPYY-{_n=cYGhH9Or_3ji9(*w*aSPvAo-MR{Wh z2YMSc8>iNx=mA<FaP1Miy<OQxJ+pftH@6Aho@7OMui{Zy#YU0s-_Pm)Mm#dGuJ1O| zUavE<?Xrvm*=DC-#2NQcT8!<&5_f1p+QyaC=vH`J8=`w*+wAyj%hFW8YgP<MH`}rF zu!K+b@({&qd9kqh^p9UAD?9IS8@>IWU{(rmpU!>MNe^?^m49g^{8joU{0E@vY&eg- zKua6KytE{X>>URfTa6-Kq8ap*^EzMz0OO>La~?Sm`b~#qQH;?wc`WyR9R1PS%q(74 zVD#-qg0TSwaVjy-(?LcvbI=Yy6k-yhOr0Gh=0e4V<)Y7$24ZLmgmgpK6dt%bW~vTo zHctuhK=>OFoY-Zu009aP>r>HB_{cfu!j$K&j$n+6`r}$9UpwDp8n;fdw<4f)-jMW! z6|ZuTl*~xGRc{`o>WoK5KKaf0h+&gCFDWRcKw#ll#htCqa2F$U^A~c||8>^ulS(I^ z{SOn23?K<cN&}A1(~7lSf$;lls-23Ru_|c5d8{o~#l^lD!SkotJrtS)7CPI<M(=}g z>8FjFP-q<W<T@?sPB%70d{=gB8<-fkZL)7BodY848@XHEh-a=sgJ_0kj*S*%W`ysy zUac%iZyp*LYacw}HNZSFig5JV-w<uqCzqZN-qaDYpxhO>u-yq1=7TWV@f&UZ%{0?P zs@dkXr0`}e2XQ*eYp|)vBIWPOu(GbIzLR&^%l@NW%TN`@wpCdWq0X_4&(3kJgVkpM zmI!=djOq-xV1E=S?Ja3AH@<UXLDzEi0|@=9m6|88Af!y-(27ce-aT_)jJAm*`fZO@ zx+HW2Tq=f&R`(=as;btN0#%HY^$%}ys>N%0Ks(xvl1?tHd!$H8x<gzj+j^RaKX?*p z^;(E`wL+KUK!vo`FSox0bY7m3tLtr*n?uKj$lGf9t7I<P`#V)(>#Mf3v9UXI4Gtb9 zY8HXazJ6?QcGP(EVei+=b1i$90h7@-Ro%Xqf!BZWpH@1HT4ob>SDzDi`^o`Tr++bY z9PcOZ_lM(>e=eQM=1HBGxVYc<Uq27dY$R5o{1UzHV0{VM`k><qRn0N`K{Gi|IAqD$ zx;Y7Vu0B2LL_zuXqcAn|J^PEqijgv?rLlrP?lJ6r0{cUael^@5$J~$Kh!Gt*yGtDe z(_jTJe#i&SgF~37r1>F)89Rs*=&Cvbmf!&0vRDLAzyL6IkAp#L%_j<`RbtYyxZM$P zd-E8%x&RtxuBx#^X9tYn%cFxLcV@Ad+H@)bFE!uGQw1-=?q7_2KEIsL6TX^224Sy; zhr@484drEn{|WYNzY5umRLQ9QqbP$OlbUd4^MS6l8*q+9?hMjgRZ!jM*)-a?43Yf@ zs0u5n9~L4`_9_O3#cR^pC`?8hn)(e`_|4Ww(!~_j!bP)YFnXHhRMwebDFy@o=9hu> zT2=`O1oFhGIoPHWf?OTMGf(ruMhP=Ng)Qje5&H@Z$<rZ;hUl&pZ2wkEk1?Lp#Ddt1 zX(o2pjWq15g%V8KCI+WIlyFfG4-qQeKe$pw_A^QYD_S=Y)Ewo2=~*ry1cmwYfG!%C zF-N|5)S1s^h8y~Qfgud30L9u)2y>N*e=U+S4?wth$YjI}$L}vl4>G_!B~=wD8s<Da zP}ME)>!Ybb@2V0SA~4YN7PQ-ma7E9HgiG4$oob9Q9b$#s-<i2Hn-W89=n=azN{W_4 zPT}8qi+1_`U1^rSP-Y%F-2u`fa@agsUm+ij(*kZ)HPSy;Un4)e`|0E2rZ!YVr!<#r zH{pC?Auhcvr{m1W#K$Cc=uAv;m!WdqN1}?t6X}*7kvBAI<Z~{nNEn+a_NdavL>#Yp zsAU1?FEgDHcw;|3PnW6PdP%s=J~zNbEGY-oA}2pXUre;TDTF#G(;jNc>F55hQ*D19 z3Dw8L@$>mi=0NkB)Dc&bisR_P;R(xOY~5juiX(Z!p>IB)5;y$zW!Gd84CSIDL{T_^ zRP8Y1xY1mVCN2_p6wD6yfEd{P7htb#Qn;YFU{8)FkeIhB=Ru*|k}_F_G8qkR+qA4v z9skn~_f!9w0l|-l=PfWi&_9s~T*_Y)_efv?%@z<5RzfDsO@#HoOFO>CAw+m^qkmZ; zi%ECRQBf}q7BdUp2ExDwS(BwSDf@}R&tm<003%*cOST-zT3(c#Ovw{CQZ&5Di2$iX z_+@(D<V-*%9$wT2^pU$z2gwZnz<ms!_Jzq%17aM4970^P`slodRmGmj!wC$O+?W&( z*Zeqh0ji;|3K(&MH*L41j5e8vfl8TrHS3sO^)Cq0P6k~2tVENKm2oycxnNp$;g@O* zh~uiLfqEGx6dt0ZMB=XIfo3E1rnF${V-#-M#qpNClBcW40OkB-AKZLe?OYFbX%LnC z2|wKYn6Zvionj&s;Btw`kM`Z|8fuTelfm54@CPNIvDj0W%?hhGCTe#UHwY?%iAh=S zK&8Kq0nEj;$Ae_R)C$A=2nwa~WIpL(5+<m163|~@1hs1T?}3)4SbQexhZLqiSj(@6 z$ulXD6H3bZ&2WCW<vJbhqzd5nFcF|B3^<DlkwB`=BL3M7-ZUw3c;koCu2_Fe)WuXk zEAy#Gi=jQ`O=VcJnNHY2b`02*Ql_=XKla&5{}HdjlSn}q)~NtoOybTqlm-H7z(1YN za5u}-Xds(QQgs4@{yKU%iS{MlMW{c-4JP%zF9JpODd)%fWkY^c>Apsd249<c#Oo&N zKTp;;Ulsb*>MrTo%?!gHjP8%KMW=>{f0hPt@Vu!hViO{Jz$~51-|bl)=U?0#m{Z}K zs3Rs6n~eW({B}MzFz?{RWN0S{r%*?rhmL!d1#|RxI5zkfrCiX*3&e!KRJ=SPIDOcE zqXdD}m5ERs1`)c>0-t}w41rXY&<>nzm%|>wYS{wYdyU-FmJB?E@xr@;r>wZMQoPl& zt37i~>C&T&TfBALODYj+*Bcd_%mBQ=7#W~)bx^^<KT(Aaf)_{dAq@@ON*siCy=NC9 zLo}x^233z82^;8Q9flOIP6PId)Q}y89F3Gyvr9<9+l@e+biqurkRk1=M&ejmrp;2W zM_i{7av><dijIt4z|}PeY5_Zf&c)PFcm(;ced!Ior;8jx#m~TnGap9p78O#8kRvll z3aq^F<%0Cpsb!%LF@B-~s$y&(??h1$E4}aaXu?KcK-uXU*s~%cZ-7UdIN0VPf8W8l zmZ_eUXNr{%3oRKDF$?*TE|67#_>NJ&Ys?{kG>;AeQ7zSS;;6rt04_y&UIDo}9r}xz zmZ49|^f5n^0RszJI`B!+Ocz%324b$>Q(-zSLb|_*5%F!zA$~kOd^|V<s|Fvj6bPjN z@v**uR@^LlG?*qOSeKujwk&9nSY>66XRS=b5*L3eEm(S(PxT~242u>R5gP&VWU~Kw z&oK8sxqt6r-|#U%09OW>6a?{ct)!ZA-^S5HLAwU`$#~F-nuB&A*p?h|0zYNb27l?{ zcu%Q8KBeZPFa19MUsx&wWLj{z=ai~iGZH>Iq7{e}BX~R%kBzqIc}NrbVgJ!9DQ_e` zp3E^I!Q=(KkqUY{^jIspfr^_yFQl~b;c=WZC}qD`VhBzywGC+`vIlGR+=Re)uDNnX zk?FKTemK(Ig(z%k0TLkbl)nZXR@2CTg_2o(3%fSt&JoJxztP2H7FN@xx}-(xVFLsY zAz^2-)GfjdB`j_hYeEc12ItF>#hT=Y?0Zw<k@zSAg?^-vQSo4adc<0OACS%*1_#Oc z8;#T&0gbIjlgAs-`y_0bo=%L#E+pYjq(GJK*XPWIK-8th;b>uT91%2E56*lQlr2W1 z$Qa$v6^0WU;29CV72#YH2WK*p=tMOZZ=sbO5W2v2&gihxe#Te`8GDSExF8Mrz;Xo( zPwJPQiXb$jfiW!hnqTq@qGChI0q#CH-Xy*#IH{>9Z@0%E2cgh*N9wm(R6?woMhGCx zcE+w%tnK}3WUcXvNiVI{(VQ!O%ipw9F_5w^R;?Q-uq`B2pv%Ckx>v0MPthgcD6)SC zh9s(fPeAn1`rPH)%ki`JjCygcAFBorF7#R`i6JDGufro$rraTNnrvQb^p+kbki^H@ zDR|mw|4UzON`lM`q&J(PSHY<gSvYH^Iz(uiK0=L7T$rtyU-ejiP4o3LL$#&-?hJel zS1epNS!#rC;IE60S;l4IA<QGLVTXf`qFLr|R0zWbJ>B5pG2T7REu&;-TCulrBcDcq zG;VeNAdhB@JjUS#?T8Vi=4VT1$gsv0R9xFYYCQlpFQj0xBYAjiXJcOIpk~=<C@8Mx zk1#gBTase!fCqKo0u3V;?44%{xiL{|-JA)UIoE(QO+A)6{vl7u1opkaX=lyKl@p;T z?dsl93X6)-iS=t=lVOp)k}&(J19!^0bVet!nQ6X{nmJ|dB9hjK7p)I&B0>v0?1MJb z&E0}jy`$*2R`W-ZyVDx)bgxVu<7oB_1qAkaY{TIWwqGED2yDze_f$8Ru_R~^Tmdb2 zNH*Khg{;AhoHaPcEsD5lu;w5}hK>!ZrV&M~P^T<MHa@_tkj<8oQA*KZZ9T(@A7*Mf zu@4swJS?ZTawv3tEHezKU#5BoO}2b&Ayrc_On4cmM0&1M3AJGkn-EUr_$)M?kIAb= z?Si3g1df4#7GfS(dhm_Tj>&P%Rd}es?zY#rB`z6bsTD-=%5y{EdE_yaWlBZMEK%(| z*wpGjxTBTHuD}^~8^?k7WD3yXS5_Np>Qij~Dr8lnq&ep3E0vx&8Gtt)9u2X!3>2gp z(O0Mf2D{mQes9GQ61&mq8>f##wzPuJFkAViHgpSR)i3Ic@FP8Y2*t<ei4!-lN$Iu~ zkMMM4jrPRm*B?zaGo12{t@k2s=)mH&63sKh!%4&5Ng3?F>?brITudZr9H>ncL~Vm3 zx=0)xs^*Dpt>0#h_kDBi2<K@Z77a4Q4rn>sXv-l?&jyc&*R~y7R8Gaq=vmHsK(0~V zFryqoq^aMBy@Sd)fss7}*@_3ekNaVCy{ZNLtZiZ|{0VoB$~NSEscJl0_g+(2i*UU7 znOvVy(0!W}z4A1ziv~<95RxXO$y5q45X<+f#7fqGTjbwSwUGi*q-raTd}GwPkK`78 zqNSE?C61n<(3;j^RQ{yS(p|wNk)iNMEssB{O`>=bh+a-7B&cN$FLHhSW&Cp%I#be+ zB()Tv_gv;^GT$^bJH~hmr-a6hFgwhAXHl&z56BIuwL{>+Y~^z<EyV#H3qC#5b@WJ2 z`x>vr7%-k{EH(m~MNS|*<oK<3-OJz2!52}!z~$Z1y?|*9h5`0Y>K-2r{Ja8JnY1K5 z=*U#Zn#h9m0uSykwf2_=jZB2|0Qbbe_ln|esPw>wvv18*0iEq=S*Op(?HI`I{&IX) z+C->fGKmVAyy4~wVB-^&6R@T+)t;;kBj9#UT7suUkJ%UCv<?(>tjR-6)G!H0g-0N; zMmvDwh<lP;0>pEtX9(Qtwad?#JNeEH&T9SF&L8-%rYV;uvaOb3v7pL25_>IzwqXtm zoc8=malJvEhmK)~?tQ!HkW&dLCURQ}f{sdzUrw1-`>Hj1tlL<|d@O@o;R)47!@v1; z;Karir&pBQXHFrWDE04CXKB~@SRwTFp5;cF<{|@AXXXWx7&nWn2^A~GxXW0#dh7Ly z{?8ijjs9Be(q|!$KOGj3A4rWTf^U-|+$PVGnC)X*r^^he*YWFbDV1nSSCeA@)GIC2 z;mIG3^9UI|{nwDX$91F|io^FEAepY<^9YWAH7%Qq0@!PqH;Bq@pm5Xy*GS7${q^W? z0|P-l8;--rZo`Kd$dmt`Lu5;h%R!OpJw=S$W7PvQ){Qb_9^sg3<9=F<d+64|Xm(z? z`S2F)n$oFEm9I?&^WQ|~C9O>>HNp+8M>sm>2~dQ0g*~QKf3b>pea1*9NViwzD$d<$ z<B^P%Yfra9)YJy_RU>{pDayU(9b(0Ow9J5ZZD3FQP9%IhDbBstx$S<L%s_B$P=&e+ ztnw$(LL5E33D#l-U4(mR**xXj|6fK-n}rqr%LwBJQKfQ_A<aW*D7g3VA<X1;)F>Ts zDh*zHM0<_PUZK%bRkfdM;BP#U8}|H>*&IHm(c)~%OopqDV*tF{CEJpdErnGFevUre z?C#Z%j|wXQRS&ccIeIK)_ajs{m_aJkEEOS~7WYsaJ`%0RD8bh0d;5U+6w|y8#(0oV zYAxY>nz+_iv|iD@*#5TtOX)uCpiS$f$;?zRo$LnquZDSsgv@>w>o1L$1r>chR<u3I zhWd~|9f!`U7r3Yy%aR+xd8*o>YRx89X8}a#VDv++vDXmwW0|~SIeYib9;+FdQJdkh zuMiCx<WRJ=!^sO`^u%)1PKkFU&hKsT25oR^7;a7cr{=N;o>C39%?c@sg6l#H7iunf zhL?2<1BP^JZhu|6s#6uRf>R?66&DPBLxS{p);{8H8CfXUQgT(pF0M*R_g{q;<><0u zQwX6J{hH>m(pmrNkOcQ7m3$6&>dTPOMyR!_B2=MxJ@Y}+U&oZHl$wGeN}-8JM#)y{ zqEzfshDYX-Qs~ewP>PijK*5GmHCxlMXnA~mAHDxKy8jm2O1fu}_1qgiGic*tt<AE? zbd_8qRMoAkyt?9o)NQk?#bUi5kot}6zb(Q7I}LaBbHHHN&w%LlDPPU!?s;RFC5U}L zlvvg@6q0afS!WgX{Er4ri(jOVJ|f_*p}`8tpf0-gii8j|7kD48`JV_0wJ8-L(2s>A zZ(0QgoWiT4{fMj(H51^W(v1zaMxDQnfK15+I<WuupD%ZitrN7(gB(3FSV-M#C4|qj z%c6tYgBMf-8Lij02-{tgd6rl`afwm1WWm62dR2m$O;-tB=(~YraKyOM&@TgbZFnvl zv9K)}{dW3rgv~y32%fG@D~{i`^ovl=Sk5W7;HWfN+t+sQwU4HEhR)jI4%(G^1px8` zaHOgXl-4i7!J~@Ka3jhHOeGylgwT4b*lXV-4OnlJ_|6EfMN;<y+QXiHmOtmiz`4`4 zaifk&cz?1tLnJJ$+B**~)K7+72$;K?%n~*$yC;6vSip9MpB_eH^ydi<^NihchWj!D z_eOY1AxowGq*I^8bd_ia5qH^5*)@2+8h1xA+@Q)2-FHn?fs1<ad-@Zp09pgIm+m_4 zJ%~g3DIVJRD8OOJ=$I(Ld3f(R761I@q;i;XiR7f(>yDa@eE&TwnLyGiJtYb3uj3=v zZ0{=vV?v3UmqbhianEV#f9b45$m#vh8;K1$FKMQYmtr6=rNm5x2ac4FXxrXf2u3Ho zYbqu}ymiRD_@!o6;+|$VH6znVko*4p-2ZS<*#p&&ilo{D&=^4QR>S=g>i(0KS$I&e z45Iv0^YI?Kh>)7-I6OEEUV5hO{spVs);x5C7U_$bo@&4S!Qgbh#Js3PnoE$EiZbdt zFjcCjst(+;F6obSyLhTTb1>oQ5GLr6eexj8PFYOlZ87Zkoy@Iw<CJud=BIS;-qBQI zB>3m&kmhOd{2tnip2(S<{Z^*FZeY%b1UpgwvoE6i-lNE<_xtYpkH$|`Lg6$nRErZ5 zU4hplp^~(a%9h?_utY^V%~7I`!j!&*a9WrIHFQF|^LVK$Orf7-z|bLL5YXSs<3RdK zWlmC(pQYo8VueuxX=)C&;e7@2H7bkEf4}2MuxOy=)giR?4a5)%n!uU{>Vk0jv~L>s z7&QO^GH{!OukqO~Vj%Dbw&vP*CDBvujjKnx{JX+dZwsBs5<fI27~;1(-wx_3MZ-ff z@fvH6$;%v36qzv^6V<`}1Spg;?=$_AziaJc{}#!NBj0>IJ{C1a?~ivujSg!k^8iwp zZ~q(u*t4OX)p)(S7yUDu=1rK`=iGnvG;V4SV;dydu#7qlwhx-^&Q}vafGqRJBCStX zv}8ukCi<MsY__%RFtA5gp~#VPK0hIJvpf#wF-RZQAWU`+)tUUY*;lNOh?g6HyThuj zAE0N_v0{UJ@idJG%}7E=m!NsWf0%5nBZ#oY2&H<W=N{jC8EFSsKQKS+Go8vL`4s&u z$dBZ^*~n(cZ61I;l$GLxcP&D82bSi<Yds;uPqdk&M!YQ@1hwPW1A<RMG?uiB#z(ob z4J=p{qpQpIt8a1&>{(f~3NbkKEPXOJ|MK%@!9du0K@hxg8^tEhew*^_<T<^Ty$D^E zK2Plz@kfHb$Q~xq(Cp_~y_R8oub27{Fr<9mB<}h?Xs7c1s%OX<ANojweg~JEOekjD zW94AR>p6GtbkIqk_nyQj8U2h4^q&@EJavHE8K8|HewZ=hYqO!uHJA>%+0OqX3abnB zqp#g6SceF4`#izkATQ4`?ta}Amaf@U1JuscS+=&dn^~ki@w>Ia-=t72&$LdRnq=?7 z*h2Q3Kw#%?ETGp{z}UL={JnjFP4AaBfLo||iU`-~{vNm8?Sx(HOdRxOBLt^gcQa(O z+wgw&w3V$*wmh~pPD}$~?i{9J20I9)IE8|F>KykHSILxA-v~D+Cnp;n9dq&y8;ODm zz8@_)p7yBF3CI*m7~ZhFpi)E{;o(TeXWmPRLV3sogla=Vg7tiD4-3n93PVI-->n~5 z_bG=j=QdG)jFg!09=@OMUH8i$^`Rtz<sGWZ<Zw6o^-Q4*BsSMFOPv{4f9isW*b^Y* z32Y7K++zK^8X9{WyC^OUzRHkqo@pV%LbUxt+g%rrAOC|^7@;zOyYHSr{FWHH+;Saa z%UDF^NrhlAXt{@si|r}n(4RejVrBrySK52To<Bc5KtdWHbfwTPO9Ng{5qRGK6s3;x z;U9JRnoZ7v;$8YLu4JZS4TG442Rd6b0Z;6ki|su99Q?jUoBo97eTLC+On&C-x6ZBc zTzjr_2d|9X@XCm%Kv`frOhBxdl2UOAxEMAqsq;xY7e-i!e0{P5LD<dUmVm3JfT$Yk z_}!{e)Nu)O-w4VIaR#j<uuqr2pQ8&q5BGcTZQN|^4t`G;AU8KBTkq4&D+D+>#33X| zJR9FgmsIvvf}r_s?C$S}J0#{P#qG24vUj1\@(s^JUK8aTvf5NHS^n#QQ9OTwlw zD6Hwg=;yCXrcz0qm4-~=n7~4|q6bsA=G>(Cdh+EoKF@9C!0bo9cwQd@FvA@n!FeKm zq*GQXX`)as5=`q}Gz3<n6uGd);WD1FpiFi!W~CyLd`%71%A4i6F-xRW;mQwe`Z`es z_wt)G<*_1xYVZnnVTExEw3hm!xZRtt$@JgBMSJ(*Cc9QvzcG^>Rxgg($vIO2B%s82 z-VC~hyk{S`dPLO}If9t`xBFOXF{rfn5Nz*m@Y`9&FK!A+Ek6~8%HCFVxW+cyhnND( zEx0d+M$NSnk;nbysnua!9XQ?X=`8w9cbSE^`<UXagiH<PksNE?Yz-%k;%$gE+U{Ka z_JYuu>TULL?g}`aE8QpH<Od8Z&wfw*!Y&8Lt=xV(XEwU9Bu713o8Go^aHk1{Ea>9q z7X^>0j3>sTW60uT_efl2WhwYnLbV)1wc&H2H$FbqXF9VmwD}pmK^QDb*cS;z$DNNk z*Vi8(A1liPBL^NqyoguWvTe6$0p1@g=~Lm`1=Pr_e`W_d4AeE`4j6>2Y=YNz$+>`k zF3+K=mBrS)-H>mt%nEh^!5csGw@=OwrtsCKG0pem+uxx6()z<de485^?aybwebeRX z)p~!4^#p#4=yi9fZHnd%y4T8X?)SKqZ7NJou7salZ3uz7bU12q*Rfd}ippo=?PD*l z;Pt~Ns%5t9rJP$Z!R5}PzemwpQh&fdXk545FP;hIxu2m*gkd3s$IM$3WP;>Z5a(MS z!9xpOk;;$RZ!dc&D3Ub78Q3ECaW(wvE5}}lXxRNtOT&ZI???lzFAny{Zf*Q}*`f0A zS47R(%ffv79`a8sn2#><t5?Te1<xz}%=2ze8gM-Yhyg#Q06i?qM+aPhFEG%i5`6$3 zJt+Q9FZ11BGUV4IomVfO*N7?|5I#*XJ~B+c+M^=iP!yo3h~w5wNJ1i}EKo_G3a|jH zXJ^&O2B5yvM6Hr<SgAPfjt~!uTWQ())GwX$(NvESZX2jcrMet(Ua~B&%H)2p(=t;5 zROA^vdnaR{r}M={C#_xvVsCDz=U4t@U;&X<x8yaPf1iN_Yk83Aoh0S}ZZCBZu5w9w zpxjJoy<fv?d>$pXB<1IsZy-y_)7qX-c;o?OvWS!pnY=FI6OeU-IXjI}cv5L6F?6tf zX(%7SK4jo&w8s+k<xN@qJ-+xptV&uieRj{VnG15j5^e{?UdlJcKuc#yjHvl&F+I3| zWmeQugR9bXMvx*c{1HF=7!a4MQUDW3ks7v`=0u<(YJu^@bY$NeJz`M=+3I!UZhl;( z)Xbh3HVy-5QAFAHwdp{5;u<ty96<~Vz=jO?$Y4CFvFg-Uf{;L-?V>ORs*vEE?EEx| z26*stqK;IX)~GS96N?$hkB2Z>YgVbsv=SRd{ylge8EDw<3sYCVao6EW&9xF8n!dKg zLNb%YuL+7&6Dc;X%K<h_-I}M)FhlM?4BujYl6YH3gXVs3XrUF5lFy7!zB~p|>fbs7 zC{<=&ic@fA`}Fv~Tm9@Eyq+qhmQxRGCJ2CcGyO7WIwYkVHc8=oKtza<4m_js8&Me^ zb(D@Rf{rsze&AC@Ub%W{w9Jj75jRYhUhJk3f$d<O_8vG)F6to9hb%G#CZAR=c%Y1t z(#*7OM50S>WL-tuca&Pt5x^ADHT-8J5?QytDA_e;vP}(EGGG(SF(gl>BB6pP(E(28 z%(bh_BS0y<Eq;o~<%`pUSa6H&-AsE3W_Zfs5aeyi=G#?;(cpwc;>@dl%CRx9lyk=t z;9h4acZ7{^NST+dDW>;5FkMUuqNm6$AwSTBJ5xf%7gA?#pPy;!Jt!r%#-wEHCvsp_ zY((?nl*Xa2m>|-V`V6_7SB53)yF98kS3N&YU^tEp-xDOaraE)NsIPQ+%$L@zL!NQI zu2PyizG5l2+JP|f!X#yGG0ka_lgrkLz7&(QU^Yb$MS`H}FUg-m_RU<G4pow*Nrp=H z+pwded=z@u@{*<!o%F+CfQVc$?YlauIf)e*>duL3Cyi-rB<{*GgrN|l#%nk;OCKC> zn1CSb#HzJP3@SN9*zW%NsO=jO_DW(gTu;rthy_R<QI~QJR>{VydR4OUY?6XY%eykz ztGbJ-xk`z6?HC*gI8zC{!DcaOoI~FppY6J7OU{RW$<ddazL6mZn+GKHmhH%uDK(VV z^K>1r!zYeCyKXeed&!n`&<VE|k5JFraAF8_F)#*yEx|Dp(oI`);wx$srq9WNqiQ^= zXD+{cCe~04SXma1fOML^RWo38R1IjIM}yy1GOE27I=)bsa?Yw5I+%E6vb+#YWKn;? zIie7hf3il8?9!y0%_I4|S(bW&W-QV+X0o*LNo`WHIuhm)qOVc7{OH@1CoWb?7*%z^ zd<cwB_Aa6&EKj}g9HdZ52~zL*iipwh?}Ok=xO6Wx(eH(dRA_o#JT`7G<&G^qDyd6u z7b@)?hrqH)f_1A5(SYc+p?PqAM~$q-N(84xL5TNVrhzbwiqD%M-{-*Ki}odzDBVGi zphyrcDS<*mqD&wR>Gx92$}#Y2==;=HH3q*?3z*dJbtWi*Mrq9y-<ee;h`^h7JxLr; z(X8a?y4ANbYN5lCn^{W>_jcP`J|oSFP;sO9XOKC2NW~UEtZJZ?J+PEUWlTGfT@^&p znRPHfBgr5rPc(&7w@xL)tO?uvrdqBKPZzIJ8N@e=)~tx(ZN_bC+l<W78}pzLUJ|u_ zO#@*cg}QNL1vX$w+CRDonC7k=U8^_gP3nh80nQ>K1H4I>giRo!R$P&kZ7F;%quI!- zn{ZkBY^MV%o1d&7Q;dzuE8B~vSK}LyY3i9ae7^n}c<|m<?2O7M&c^gj|EU#5Y`>|c z;Z$>DeQdJ<mCRfZs!ohXY~N1W0zoQ=jBVgx5}$w4nTuS(Oe9o6dPxX*D-&OHx+l;; zq|_y8bQxHkOm_rIgnML!niJFPOQ5a{sn2<*5PY4aoiC-x+^BQ5h8ADL7!UUl>o{g> zbisW%Bg>w^6Wk9@AZsL^x7h8%)JNtzR!@b?uNY}vGyi2>M;VY{`pj)KmkP`_+Naj} zwgco#0bv!#m*ML~6WpAZq+Ri}fR%sc&a8%9OgmwkKgE!obXz~?&b*>To{U4__G_dR zv|{Hlu(?a{2CyD1R9h^8|9y#3c{%UojWbr&(fP8lRTMh;7svSYxK+~nQP9`<{jQIF zZzlRK;pK~A1klrT6Tc<c*j?o6#tKfASQv6E=-CSoFbjSP?T+nvuCukyyl^R6-jMq& z+gz;q`ud`C{^M(4m;>yd_;2$IA6q&zW2Hq051-Dj3zdYD8ui;Y(%1FI<Bpi0=HHRc zybX3!34H5jNVYiIROEg$UMro_MhB|YF$+wTyOfeY%A3*gPv#p;*qSUnVbaz;gDQnp zkWTCKN`KML`9sL8yrJgf$~TotQn!@GL%DIv5ze1#nSvAU1ez}^?BsN;!&}cP7x)iB zm!1)5N0;$j^5vh-bN<egiqhcJoPoWS(AYknU|7-XU4w6@Re*U8S3*cucw6`V+gn&U zxwE3}eo0635b_n%Yj^W?ba8g|MVQl+qg5>77{K|D@DV_W%as}SbG?_x{#NbvBb8Zb znfZXIsl41;?aK9PFKKt^{}WhD4Ke$nxrc5+@)URf)xwj4e&fCR=qk|koR>C>AdfKS z3o25X^T+@1$t%!uYipaoB4TSBA_KyF9H#v)VGUUUtactJIg~%`T3g)CvH<nqg1@Kr znQ{C~X*4*f8i6IWye7;f!o$SKWF+TDM13h>X{I2i*4Y|h2cJ+DoJnDnGnP~l_yzL$ zFvcE}!O+P&&f}DgjVz27RV~#@S(QwulD}-f)i2Y_V(+rrs0}+>|I#3T(5iF!+tq#z zveS48rz@h{noIbTTBk*=?$C|yUm1ZfM`!g{*^2+vxX7*z501?^{L{8O&i(1kR%0!^ zcN3EV|0uCfYzl2^V-|cnS?C+emPvtgSNpHpE$&-Ou$_wUa8u)e)6Q$aC`%juLFMeJ zhCi2w+u^HaG^fEC(Z{y?k^IK&4v^*ij%+Ym<EXv3VTCN-VmaF!OOV8q?b*g9PNv!O zZ%BJ{p@lG-%Dv+T%RHQ;X>Yh7*WHIn#x_Pp`+cK7@iM(Xf6hU}{)pcpU*}I>%QoX+ z(7D0ASnV63j`j1-jJ&`<QGiDwoXSAl(Zx7Lj_GTp)0g(KuBP(3(w89885{Ls9@#_s z0}MBh00shUK123~GWGWse_Y6GBAL1z?cJv_84PeAJ8xv@rxAaP%$}e*w+0h5<9f5M z6~h`{O4)6vuV!`Oj0_bh6RpVHXZnsD7IZ?PtYj~({Sm<#X0q$Q04=n)vjvH@K|(&* z8}a)Jo$~x*Aq%@>lxi>(TJVL~{P}{@u!bn5(4h;_9LtnDH@Au6dd~^W=KS0dLY;hv zQh)LsKxh{TzwFY%>>@skr5BAW;QbNWAwzwl)kx=~N#w?ZT;&=;s{?3Bdlz!wAPv!; z&<?=tw>?outQ#@++e7*wxC^syn`s1lOQcHn-89V$Sm{k;D1XMSe+Ca646fWodnyy2 zO)xf`Ue#m+cZ#$Kx1%Lc#$#I*Zs2s&Q=HAQ-{zBhquMU*%m&IFp`?zzw5$S<T<qws z5(N2B#VURCkwTO%>Uu#MgjNw!CtZs~=^b$3k|B_>X77F}TifUvQ*-a)0q<*_^5ggZ z0sPtK|EZX_*t26No{n%3jhMgKJK7#zAJ59i13@tqF}43{7@ft>;q;&%a@nGb;~gsl zPRzG-Vjc&j?D?}Vn`X_xI0?oRynYO#c1lS6*(*-3+sF+S@;+6+pR%3bZ?AF^4u97^ zOH7Qj!QaO{x6}D&<l$)`^AKIEgr&82D>PWO3;4T@v&*tYi!Dl$_sFpD_zr9}m<(E< zJFOpwAQxUo28LR;sGH#Pi+N`$t-0ShzCF3jQyA#44$nN!5qV$y#w?16D-^YBUZ$*2 ziP@YyYMZ5zEMSa0xfpzepVL`ey9=%JuHW<_2T@}KrQhpMMy9cS*zLWHXRsltk=pK- zjore(ZtI%256*9q9Ba|U%>QBRn}S1YqAp|Gwr$(CZQHhO+qP{dH+FKP8{5vGZ)TpR z=3(AW_34+cKD)Zl+6#Ha6%|*rV4`RuU@!v-uY*yCRJsoLy4dm#x>ip5K)=7Io0(Kj zGkbr)u%A9web8=z`V9KyQlzX|8Y61k8hNG&mTwrtibI8C`T{_J@gOS_p3>&cmbsp1 zmS#idiEJSV9fC6*<DYG{{VS%C0N}Ea`@jTy0bh#{Qc=!`E=5Xs0S`b0_Ct#%yi8*r z?%nw{o<3lL$8Ev^5xwApIRpeuhs^{sPWPQl;2}s0s<RVW8!rW6qxsh=NXG%WZbbV7 zp?e~10oCocjlA)*pLh2P7mgWCjM+Ev?PO;&dSj&h_3gZG652#MJ_AFi@aaFg{R0LJ zp3v-(eT}n&vPEpTLo@x&lNmD&ybVJ*{Rk4}6%vDeq5wo%m>cn#Lxah02f$uxr2RXz zrK-F{42`i7sgGtyRNw|CMma`gyWrEa>1WWhe^0_}Yy<qgx#HxDmupFnM=5;Yp0%q+ z<>;8bNSRaa23loc&o*Oc<lgo5lq^1nK%JeW?2LT&Y(NAa1U>CGaKTo90v!N20jiZO zR(sSBp{g{yrZe!(q#2<iJH7c>p6Cc7qCKp}dWqb4u9kRz{3&rkZT)*1_nsl&S+uE& z962{dm#YaRU7lsj_d{VB648wL!*wtW3S#ST0PfONg!aVq7fWtdql@9F0R!&0fVXwU zMj0&6Vj~Jgid=ePd86BFJCVE|55XDxKgH2J>q>63yc$K?+6}jRkgj9_MZoWgei1d6 zQZ!sWXY_w5{uO{r@%@l~LQV*9narhQU>dja5P2~eN`vSHk8f1Sp@qryk<7q&c*P1l zzB!ukd*}*hd%%v;=?BqAIF-z;9z!>gu|<xrWDUW&`Enn-+p;6sffGR|ulU`1?=@A< zKe~5RQUU>PpSP6V{Tq4RHC057l`{wE9VlY`ZfOH2?qMlspXhFu5(3bm1yi@B@1Ts@ z1L8&?fn%@G)n<0T(8|wB4#ySG=hTif%wFq`@bQ<2DbJ<0W_s=mtWNk%)A)vrk6{FT z_GrYkBlbWO7!Y?H6QP+Kfk9pPz1z40ozJbgx`ayL)6LRis>zgL+9s*0P}Bj=c{YMA zUW+YDS&mHXR}wc>;5Br^(goYfG@ylH4_Af+W49XsH+tG%INytJA0jw54tWRwlYZjS zI86k*ue)G}-0bVw%i3fw|1%JpK?jDx&x0=u2mK~M^*ds<7`<a&0`TxNe-|8qM|}`n z()Hl8{CyTL)w2Mgb^8&BM=H;Oi_R?sKX)kM=?KY{=L=Up?dNZ*ojh6rcC)Yu*Y4=I z0Eh><E4tCs`0n0I0!a)bxNl4pi(um!i@q>l>ZlKf32!S*xB1;_AYn|OhUrsYlcZVv z_`9Mw@E`&A*BGgy_GZLLYaje>hTrYbci$zSF~k!d#~!hZ-zqGm=?8V3?J>*?bbGs? zKY9Vm_kCIRHy7wHZoaoMnRPf(ZrW}*tx4QEs5h+d4&_N!>|c<LNwJkxyb|3BKmWi2 ztZGvRw)n-rSer_Jd}|jZ<_R&@!=WUQ*H^xkd7fWbVFLGK9y=Awx5N5B;reQd!DsOB zm<P7{a}nf3_o*9Tl#u}_(gqONCIEzu*}y;m+2b?5motKE2~JrJ#5LLYi0^qIvH#R$ zXuQAvmFq>sat<EIFKKF&TqVjIBXgR#2@eeUVcBN~I2IjtkcJN%6o5i0!+(}KO};gi zw=F1uztrFSu-hTo0?k<W5ac#U7|*+U2cu{q+CyX`_NO)5E#azsiuK0>(`ZoYyxYib zYVeJbxSar0RM|>`gyzP{I^-k_3GnB)FEND(!8ouQBlIe3%+5)5QG#|{tHqpIG+4^7 z-kGJkPK<#7y5>zvEXDUEZw<Wqhnm!8iIZ0+?$?F)cII_devz18C;oje!TWxZ*qjoY zR8F(GNCnaPg7j%~6grdtLh`C_D<9@?KQo)Xy)rP8rIV*MmmyL|_E*`-9BK>RAZ+F- zlU)|vdut05@%w<^KxFUwB`LUIVaTzz@+@KJ`)zfkgPCmNwM70T!yJwVTbobYo>W5K zbr6c##!mj@6n=Un+1q{tAMpqw1Fi&kFRt;)*>w9n+1f<6*!cpWccDIqY$&Y9u-Ml- z>RJ4KJtK?wbNp8a9a26#u(W;<I~uwVFu+oeR%gl`ij9BnevHwZ$1`JA5oi9kH~ane zwnvbM!ELwGUbmm>&y^Mp>+QR&<x_LT*iV+(D80wvPmtMs`V-7!=_zdqCL-*LfD{+1 z-|wWA<~w}lN4otG>hXB6Dlmc>!h))tpTLvaX*+AXG$4HkD2OwYcdn;kcYN*ugN3AO zuy2fy(|oVuzxN!U8w5U62r&XhB79+Cw+?qLt>4j}CW{7cs)n)N>d+1ba>&4PdyLXl zF}}Sk8QuVG!&S%GeV2j#y=ZR}EV5Yv4o$ru8|fWP8oa~3Ciu<@w!5r5OxcG<#Qziw z#>K(;b#?g~j!(~^Jh_PWO-j#6B=vdO?-?xd=LEuznfK%Ew1J`F$OLev&Nd)BUNWRi zgK4m49W3ws&PW6_k}p$WdxZrDfo$;8i8~C_xCj38*Iy7tI)A;#*ndSc#x6KsM-xeo zzhQJ;DDIylR09OswK5Zp@pr7lb7yXS4E3D9UwGUwYqJhHc>CBXG1$2WTGJ5R`;9Qn z8r-dhJ9Kj<4Pj^RlHE-O?<3GdZpb-0baCYE3psIm&#J&rFAjkDSS}<M*;F(Jz|RG4 ziJR$fz{riC(WyrsQOMBF2Nhy&@-Y7CyhB4}kRQ{|sb*3Uah+&fohd>K{2ARlgwWmz zStHT2ynf-!hdFvYz9*ehd7G>8#ZslAJ=br+$3niSo*|pvGfxTj<LSA%m%yiFi-mcH zr$g)Ed$ApU3Hj-ZU?tPI(E!bJR5Rjf3Y(Way^Vg4mPTnuPu@yD0fV9G($>{Co(2(b zJR6Dq0mqa8TVNZq9z4dvj@(Uw$9SLeN-l2D6{b@DnwcLL@rr&X6eUEu21af<L44dh zxP&F7m>DZS%=BilE@J+PkCuNtL{v{9+dK0S;5R~s=X3^E2@hn&bwK4JLT`X@TO<p) zJHyr?42dDiwFqG%<u1H*#$llg0sEImimiN1u^e(QB!|rN1b%Ask&b9G(e<2gooI{S z-_7CS+~ThmK}UIjMl{n@OKBR_Rx~ncPwG2_l|vV+{&52jAMf9n^3lMfcNWcvmA#%k z<$y}e|5VAzTpmr?wL}gLoUJ8sZBi@oBq5}IdvgIC5(nSt>JfZJ6?}7ziu8%Seihu( zU&4J;ig8MDd^vn&S*-1RjXxdh&#eP88-8>|GHE<deqG@9=GPZ|U|;;Yg>R(?b2R1D z=szdtuQ4<H7@lGMytJDVV#!;h@VK$n?(Mkk(*-&~8r=6sxuqZiz@v^8-jKLsLF5G^ z#|(n3s@z9HMQkVf+}@_OkRdhS{!cvsT7EGXZ#)PEpDGy+?yWi1-6h_K@F4L!@Po-L zoW;o0mROK6LW1#Mke@P2cB_et{ZEc$LvGvJHg~VvWAcD%$!_^jVzSj!W30^YtOP|U zf~B&FPXvQxp<{54!a2c8>RL$BRsbp77$lArbY}QU6e)8DY<(?1*Hl)+V$=b!`hcZ} zVs^}Jw}@Q!%a5g+;zyLqe+gYF|8Q$m9}=z51)%CfmcE9EnfcbP;>X+eIV@dTSZ5W* zadP4GK+DB%p7nb<4;*ps#0C<cgN7`7Hm!%MwE^fc51*zt?n2|$u<G?Af`>$_l#^am zFfWUJO1wZ5lmIG+zoNfzN{al9MZ{8;CGvz*;+68Fl@3n7odM2H_l_=4Puy?*B9$m% z;Lh63WEu`6X3=^D8AW3dtfM?02&$J|lr&yB9(A`m5TB|s1U8`vzK|g6s*(cnIfF$O zV>y2U#~e9fL~5fr0mgKRm|o$3kBv?s-yaDDuqfe-s`4sH0hUA@dp$fXY+%Y$c}W=d zPXejA9Iwx?VCYOFzO59OLluLoYh3Dna(#OK%--$K6JdxE97{OwyDp)oAT^P}Frrw1 zMHucsE+V9(tQ@e=8IT7Eh7poc8(Szv5EInTa#9#T2lzT2H-~1DI7z|_<j_J{csB6N z`Lsz0ZPQl*j96|Xo0$Zh&743{PojRcP7d`kjO5}O9v!-)`vkK`sN<IdNdj8oDEB!n zqd3}bpH(toXI6MRe$vdWlJ28A)@}o~I{(K>GY53~lzbqL!=y-4fjppyx;T}KulbTe z`I<x?NGKMn8duPfbr+}~6w3-XFiuCTy|Wr97w$Ogz^*lQ^nplqWmrTIu?5R^y)BJ4 zi#|&QY_&;vtSq0fWb%{(U@9jo!A8s>roMOK*XB9+e)+Qt2fv$#|IISe01Mc*e|A?W zW@aAXmEE4yh8-oNnWP4dgc$&<%ng)`5-h35D#HX{28T{&y23!olhLJ<v8*~=a&M3% zl!3wtmfU8Q!Gb5_1hG`D2UGT3WoY$apd^%Wq|TVZRUKA294z@{u*kqaDsWzhRYHec z5{$tu8P1sD_4{r-m~u$2{?J9M5rrJM!7!>Jc{y%~q+Tm#+J?~&p$VCWn+9qzYN=@! z+qq0$0<HkoA1A-0xV!06vYDzTq{8}B8qR1Wg7+~YfxX@&EZ4zqJwZY;hZXEd%Qc}r zl+b>f1V)hHuju7hpavOC(JBV;x0~y&JJf)D5R&+f5XkH8(q|MS@Uxt2gL|mqngH~m z-1q3+pghVq%B6|*-&I}U75>Rwur7vSU6v3wHjGoX{@f{y5RJPAs6Yw1vFH`yWIgpq z7DUQe@VnWokJB<BVF`*NA)#NJ!y#n27yWC%5aFpvlR4shbwpG^A%`dEflzQVkwZYT zuC~xNqf(%N*24fvB=g*PTJ(1$LlC0sPZ3lw=!iNG!k8l<3|Ax!%OZ^2WS0t>oG#Ua z5|n|;?14)1sN{CB=7e+A$P_JrN<E;;L<%KCq5NcS(=Vz<WOj|cs#OfPrrRMXRwbrG zngOX)4Glvok;I}#3&5J9u^CM^H3UcEd(>;A4lf5-Jhj1ZyevAhI?jBId;-appegs4 z*ZSSn)X4@%l}@P<uAzuZDX2z5%+z2UQ}!Yl%Cxr(%C{Jb9?a^fEdi=qsep}N#UQV6 z-9XCDU#$#w)zIL~h^F%HbD#`Vh3AAG2KMpu1QSiOU&1|vkszaUugi=>9m@bjoXRY$ zx1V$`_$Ad{akQfJDFLAtrdz_4N|2=6HAGSweV-hmKlt@@;FdX29yoPNRyn>(*`l_S zq*W3JoPi(!9GzZ5v^C;OFa!N;xcT1Tvh(x-A@tz_U?!Nyx~Io$;HM2s1{7^vSb8f> zKo}7pZo-*lox#w#Wdv(-yhNDzKLOaQ4Oo9pP`NnaHPx_e;sR9GG6JcjGFk~NRUJ=( zF(rX4M3dEQoLR@z5uoWZPz1nCh0$?VyO;^YBm`!_eo-7|M{2Q96<McjRiNgGiy}td z^rJ2$l%K2)+9J0P$tr#HvUk=h{jC-y{OC&}z8D6p14i^#YVt|HT%zs>dLkHYKyM%q z$6p*yEax<a)EfJ-7os&1$P7VCge_X@OiMl|{9Uo|_<*l-0)$O}LgtpQY8n?rT{Vfg zagZ5}84|+I5ogpE5Vgqx!Y}#_gJ~2PHl<OnqR^$9`xiM%SexhW@_wg^)yY0fpgA*Z z9X&+1%GPLCc%4e`<dG(qeq6-EuwdLtG+jV~H>yzCKx(N=7rn@ePYa+Yic9mr5e9|5 z+JaxFpKA!^@#h$s8>VU?#jv&b3=6E2aFA6|X@eHwAXtH+19^tqG%b)$LdL=SB+?7H zb+J4k%SY3y({qCS>mGAba5QGRsT#Jp3EE~%fX*E%U&eWT$ik*$j8aX5V5D67Z516( zi$e7s5ud^=Tq#oVNm<3@vWnKuu-O2ZD@3vNfSge*S4veSkRh~E!I<73Rq#rYi9Wn# z6-mbnCYEYd4%Cflm2H&r#}y`K8%3;Vhd$z#X~a-`U=~@dn;0+Q^wkcpvw0WoV46W` zR^J@A%(<P04-^PlcM|=^^Yyhz0V0>Gy@~5!OcZ=55YJgxzUIR)K&5Vd{dtRFE+X7R zwX<*qv7Jcby`45hUC21HP_-0)0}-CqWxyA{3IRiNTRf)tC=VVOIWe|IdJw`}e7oc{ zfD_k%$pt3;LL?8HuItq`TXTqDL|Eho>W~o6mEgolm`8#WSx(>|K;9jLVdimN`@!P6 zTV~%x(tfd=y2iUD!B;~^gfqUrN)MmEG%6-AC}OBfC1eo+Uhn+C`@^F{gcym~axK$N zA+a5SMZuy^K~IA)R2$Wbh&qo^L1iw4&2dco*(cPWHy=hvjR)G6YT}6|UB}Sc$`n(= zYmmlv9c6r{%%nJH5Lm)V`iVAON6}hAw}kOFMwOy%#eZh9>O3j+PdwKhto1vuZn9vx zlUtZ%is}+Y(MH5|#;tlH0wfGmOe=Tr8W#&{mL*=Q@5;K4uGN6{^Spvhy^@AUHAY;_ zen>F@N~&Edx{f>5o@3SlC?MA!%%Fc15KBZ<Y8aG2RcK5OJtYJ^nd*ApQG21HGZ^>k z7xyosF4o#eKH&axD8u<gt@T3#)+_zEL7(b#7)h@AlI<mu+Ut7HaT4onS1YN$Kvh_A zB#PID8`@wgMa-u&F;pzNUqp`Iv98y?q`TJf;?^FWh@M>A$70TcGvIbz@0-zSFxun} zH}x7@g8p(!MW)8}vXRT`&Q&{QSCIeETTnNw^Tmb(>?<5kMhROB-XD=2`#^WMIR_>9 zTF=Uahsx@cD9T}AJ8~D*QXtx-R5c(@#VLbOH$~Wkv=D+rG-S>+->X00z-adeS`FCO zc)LXPi`R4NtfFrOB8`;@yy~ld8rVe0j$B`=XLjsTJ4^w&joIi&XEVp;aF9pytw-_2 z;kis|yw^ZhEQX&&Zh_!(u$q!@+tF=n>bdJv(96#Io}o5C2?%-J`IN2g)H4-!k=H$O zCt)R}9lE}VmUN%Cyo?&3*sl9FCf8B>?=thMiy}Glij?=!*UfF&a%OnNom5GvdLj5a z)_1|G!uuLi0{2k0e}5b(Ub2P!xiVQV6<8Kc3@Ne+>TL0K{I|A{5@GR-Yr%J+G)(j1 z5<?W}0X8&&5}_JQ_7bWNQ%DgXVe$z15b<i~CSK<K;Q3u-KVNob3RYO%^7#SE)@aB+ zMC<~SPmx<a6L=bZBhlU+QVd8Ft`j-j%y#O_*`|M#`%bv$zYdf+6{S*&cNu*#=$0OZ z1z-n3j^C6jivsCYaCjbw0z-(6z4jhK8eIi*I+@fVy{)*Z*j9uy%25Wu9vURiADS&9 zzM7$roRjko5YFIM#+;deTQtY_6YGBnw(sYc8qPIoxj0fN*I}$dAg$CRRE&h?DEim1 z@2}|74{F3@1pIuQ+PMj8=b%4E8#bpsFptFR!jn`o6IpPjSd@I&>j9CtMKZZ2{<z7l z^*|}uywN`c>|KKD?3>H8G+gvpJTYXX(Bf$Z<EhN4&f64p4q_QN^720r(6U||%!}WT z&`0!<^08QzwwI{#crXbxg8O(K(d<GtWlst@>j!jTob12W?4Pzs+HuI)mT;)#Z5@9^ z;9Sc8sQPD*s2z-$VVRFc(q0251s|V1?EWQdT;XWUJT`JPLa#_KW{A)KowbTZgPoCA z-F_TaJ8m~V!7GsUxS70y^fcM~z4r?LqZ!Yis~yMK2T4ykN#4$YNWe(b0Y#)av;Zd- z<IB%%7Zo_%KTgO>u5^hNJ|>o=pq`Py=WkEq4&%4UT<=>UQqzs(5DKjb31txVo(l>` zlbpPG2Rwb+9ofw-;Q#!c!d_qUC*K{@gn<n1K`I*~aMf_0m2FiDC2+m{HO0M19SmXI zn|(BH%;u8WID}M1uJf(K1?~1=^ulM@&#PyqJp)+v{L)7N<$JPH;2w0G^0gFpqB4x? zRBew0lyfMNPckr)yvSNA-y2G2p=-j4Mz`^_UaFQ}t`*mD&HZe&!q0*Q>$H9a|1gTq zN{;emXhxHOVKGrN+z2GI`7yDc{8%T76V>sU#yucbQ{whg-6iNRC$!w*FF<(ow=|83 z(|cdlN*?V539Xz=oOVuX1%(rRMoD?32PoJ%^w<ngQ+Dp1%m78x5mjV1B#aVw2!TE4 zmzgbfMYRjLA_JY3JN`j3*Jtavng$ic>^K~TQ)G_GW{qfmu$h|^?VdlPncA#fNjk@! zr>By0Cw0g>3fzzDxJpkp2bE$#(u!Y9it(jk;11h3@S6pHz*GQ~R5RayaU=#LDg|3a zd$e-wodozd2L{5P0UgFKI^U9Tlq;E)*_X3G!Wsdj)Ex%e21-RZ9Tf^#36<4cp8&Ne z5TwQcnGqN4WXDaHV^L$kCfLg?vdIX7d6~;-Z?<*09tpG5M6YoIfi%9$Z#VXu`Oa>W zZi)gF$|QMe`wnQs$TN9mM}IWVyN}IwTojq-AOw$R)Y_)&wPi5PgL0><st2g=eFoj{ zKov)V@Z5v<6bU#z6?-~fXX;klZyks<Ov1L<BeIZ5Sx2egwj{+TaY0&#P2Vg|8Zz7G zqF!di>}|V{Z4&of=v;yL505Ml{#l`Jn-D=O?myvWG1wdRaegW=A90iW5o^ooMrooT zZ{CI`4PV+%SbR!PUx}}`@?*@uvr?io)dv?R2*Reh7*hurX`PxxL3!^I<PAEiT@XQ= z#aeEpH+B$6K@@gZWS`dwe|MhtF(+fCBefe)$H!XxGCG#UTF~rzf_Q|5ykUFL-9m&k zRE_Q-jgy!>_zQoLv)b$o<VgU$?jl6k<kbX3byrhv!s&|@xP@w58VJ4gh3e{%CRvo~ z^e;&E3%33C?U8<z*H$q^w11yNI{60;hr<ls_SBC1iO7c>K`-wlnyI^HbE9W5%4&<{ zYvD+ng0HA0rIYjDRFetrn%v=VS>oYtS#Y`aDR3L;BhIJu52y2zONPEt^;%pe`ci)i zhXcjK?Xuu{e<x7I!}VB0G2+Jgyb%yO9i^#Y=MBG|Z5Vl(u8+t`C0G2UCP^G7N}v%W z!h~<O!k@w$(@EzRa^h79SN=ndiJXTC<eWEFu>GMf)yfuU6%DY85@+4&V8*opU}GE% z2s1Vg31=LmtzT4?SeZ*GtkJ8Dbpz6dBt1|J(H7}hR8kjAQuO&-`zn7NQnedm)MAtj zmCVsPcHGKIl-AddwW#cQn@Sf<=ZuMCGPSlQ&<dPTZ^?z@SL$+lcbY&bqHm3dYvN*+ zt$a9;A#+M?*@fd<>SDNc0-kAf@A}(fNh%w@s85Dt+T!EC{bkm<aNM32kew!={uQ`b zf)sQihUMk&NyPp;0pkE6&at>3+B)tlCDOnoG4Myl0G~oqSLQ3F;jxyKCb4=7nv@o8 zVzKmtxyE|_%;JLkk4}?-N+8JC)TDCd5uvxg<)ku4<=r-hW-}xI8PO5FY88cYseoK> z6$4#mzsL@5h^!T1RMa0LO@T{I8D-ZmiRmxg<qrZ6yA~P1*ueq%{J=i_aX(}+n8ViK z@08St*Vn}(HAYH^c+o+lx`O0TZvBozE77{q9vF31YN@BBV(@QgDJTS2#JtY5-caS* z0@Bf+q#(5jPpFw>EauivPoO@<VaO<iZf(RyQ%9p|5%wGW@8j}i!v>Qu-5&WEnjuDb z7`8K2kWL~v&dQpX<CEfM=0859CsiE@+W1cZw=Q;<YJvE6Uy}?Q-(wkqS+%2*O((rq zx~Ms2^<`=)S=xInpgN%G9eWs;K!u?iWYEES;4d}-C_MR%SZ+ss&r}l%dvP94oWAFA z9wca*%OkeLt=O~8(BfSvQS@qz37>_TsD?W52vc1Rs{AD?Z6|I?)sk^%n3zAyGGk0+ zYgq}gXk8wbpqpMsGDdR66}W;zHL)>qx~Cb-vEWxU?22V9lEH}f^rfLv-9(2S1Gq0v z_i^4++D2eTozokZfN=@K7ep(fP!Lc=Ig3FD-PnxmRV|WI>y)7-0|N)_gB9PkgM`M} zDlRSVCP@qr<=fAfSQMi7q<aZG99)1nux<z6-LI~5mBCIiPfCfjHK-CFt36I&kTRoc zF=Tb2D|&yl86S5;8*d4(fU5On>%N~nt+Ae0n_9NKFi>m>JB6hlggQ0Al>5rl{m=HY z5P3s5oo!-ljH3oUs08n7L;1O-1|PBxXeg4kGcaf{K=^<WJBKFB0U=4Ozf4ILILpfh z8t0)V^((Uosv--?pv2X9FJ3lR#Z7+u8_?XSoS#=fsUn+B1-x~|ZHHMh;)H(mH<>VC z3Den4M^N6zrjJa@?4&Sd0piEIUpPQ$D(yu;rCG1GE=_4#SSg-hI-60iL<ct2O35T9 z+JRodrm*Y{)dc?thL1JjnT><NofbLCUXxoN+MC?EK&h8e_V_ieo8Rag+*<5M*C~q` zsGm=i7IJ{fR6?D#EBgqzq6+DuvX%Jf3;gb}s3Jx^)p_(mp_aOF?<*(v*vTKfM5*sn zQV9pEG#pb0q3CWA62(l<5G6>yud`p+*i>&9Nz5i@I?6L`Z)JajrM$_gb77nUrM;Na z(Cv@BwB_3Z^#giAhQr{IFUD|qC0p!ei=LvTSne(=Olh%tL#_SSs1~KJj3FVLn@T7Q z^i{>5p2Dz1q%!AX5I2?{MP;ex3nWoVp(sF+K=<4N|HFvs<KX>}=0@ll<W2sS9!I+B zs*q07e2Xe!7d}c-D_+-*%HMxuC%$CWpe4V6Qq4{#45wDGgs++pruCar^%8vx;qetm z7H-8Bi5a_&RHOJiDO+RxpvuW<3PtR;5k;5dzHl8?Q76%y+3S}l!}{}%CC#%vQZ9<) zukn7loRbcI46&3Jfe>&~SvWPZu?mgkzx>6)N9&-kF?o}6dwugb4$X>$cNX~SX`wAt zN%7vGZIIo#0yF(9xFC!(CI5k-!IKNL3^W-p4k;y)ctx)H*;TX%26L(Ud1el4YNG*x zpwZ)zU8dAxJmMSp{i%#fKdOrL@Fyobr6<___%duXLq2V#-AFSuJelgm9y1Dp<c*sJ zZnX)!iO;;9Ye<D^H1gO$!ZE2zbNvRk6?G@L9y?!bY+H2vFj6rci{#=JeaA%-0q#gl z*N$azv!)7|cL!+IxgGaBqmUEZQ{=bhL+)kvuc`NL&1?CX>#1y_H`FxF(1lNANnYtw zqH`Xm0}0-mzhD8_&4&Q{Xtk$PbZ$F9#AVpAFb%?Q>#li;nP~%lz*$JWavn(5k-U7x zfuBUdeohCPv;DX=yK94N=<dskqfg!7q;(C_M&STLjKdGsha$4sP;!_n?I`zE6S}H? zHLU8D;~*(f;;_Wzh-CV;zOa(7i`86g3@VACI8e)i2(yZ7q(z9iI5+4opF3gh#gt37 z#3(*I>GBZ2L>M1@H)gD9W=q@gyvDjlZIbX&9nuLxpK6zre9y>)DeA?&a5`mhzO}<R zJ{k?5UKn%*E~M$$+g|7<ksoz|1mX{nn;km~gfJN@*Hk0jzUq`w0I<a0Vm38_Um5I= zRQHU?;)g)2u)@Nq74C&&)g3c57^&3xglyim;c^T?lk!-+n@}J}aEe+&)PiDa+2(TA zm09_Q?Q_;wS<WQac3}#w1qNcdMvC32$mu(VO=}be5II%ON-9VZnQ5!B1{i%d>(Pv) z@eHP_PDP|0%}fk4{YIu79!Xh{&=-<3IT6m37LLct>Y9O|;zq$`%b6>x0v~X5?8K9( z>AG@)@c}$?>Dnl?qbkE83)Xw2sxpbHiww!k-%1PS9%4WHN|<zU5F4@PtdZa^(hbb7 zr`k@<3+2E5sy4Bu6yPU?t+S0Y+{g&Ae%d8cv&wRV?C5XTXfZzNPC&i+PojO)3CRbI zH|G+{m3G8_DoX9N=&ydpXNR^}N8PWi#B=H^wIMq|;nM{^N%$wjM!)J#NvL6gnt>7Z zl8`1NUwOoSrDyk+iNw{~Qw!U6-GKN~4*cYQ$Cx(M`D#{3+f<-rZ9xdu61RGymJB;U z;zWBiIrMmetAkIOLSRiDA&XtOaUn=mJ%o|j$x`GU->bu++id%uo=p-K0-qke$F>b4 z7UAQ`{1GIopHmymUQ7)AsKCu@lo?uz1x>&cC(BKu7d7NMu_ox8jS8W(Dg^PxO_~)d z8pxo7NUNc;8^RG@%Jpov{m-dU%L;%m#pQ1qv7^JWrLr8LKyeLH>t}w}%<Lj629~8F zDg=~OSgoAzS5ZkwP?u=Wdvi7iMsSrybPOk;8Bq*QDV2GUwc?I{n`kHdDjDb28T_M2 zd_SV{8iWW%^$B?XDjVCNO_cAsMD+;a>iZd@&v&OHnwAEYj9~uLPjoe3e>MucwV#&5 zQHyR+7DesY0-b{u!ojnKg&%Omjl8wif}~jninJ%$pv!zEyIxawB(dCJF1GC~VhB(g z;7Xr#%n;@`=}=<DjAU&67X{@=3Hk|~(Gaa4zYFQ?F*#e4nj6E*{M%+UCpa-=>uRmd ze8V3mJ6l7(z?;3ZKHba_8G@3LAJEc~9boe0WjxVdL$-$7G1N2<kDS)KnNtop&~DV$ zu!OkeX=ziMZ#auYpG@^`(D=no1_p#VotzRjSr7@JR>`kW9riwyKp;p#L84WpcPrE` zRU9I5CZykh4<$}PVT^S3$Nz;wuAwP%8gW^eb)L<<;^=8on?qCt0WH`!h|g7QZlR7B zLmgM8=$IsqO_C0DgvzY@+Mu4Z7f^6ak?Pb~iQX0K0e+0O&j&&zclHMpIxvgLBbPJj zL?dGcY{L^Jv8V+YMwCP|!`yg9FjNHOMqvMD#W1tWpTA$IttGau&{jZ<ZfIXmGd@3q zq(-$C^h^N^WBoBtD;FzOik6rPS*F6#h5%)*L>Z4DRdF>}t`CS`2|ta&4`ll?2$JZi zjN$nvxZF#}3@ahOXHw{cMM<TPC~9FtQNPMCf7>x)<=?!DIw1DFo6jVY>{HPyI~JGc z!C6mySCMK!o(vHRI$(;N7z~lIdOZp8DuwcU99>f0#63Xtc?-fv#H*&D?WfmE6bMWx z=vTgNH)xKUW^%H={ddLR)qX_wQ0`M~zr=rvB4a^M(0Sy?Pun@q^{`Qm$Z&8iWI9r* z!jGICP?%3$d7RWHz=dks{IUX+Tpj|%tynJFAR{dq+cS4hIdcowUtnosMm6>4I{F5Y zT8VtGcb%*bAwF6^YUdgWE`Sh+2k}wOrjgmu_fGnP+bMqLGihtV_YNkA&4m9jaj)eK zp|0+^`AXB-Uyebp1Z=5@Ib0WFQ8_8b^Lm_3C<Rm@CCKINwK^(8z&C%k*cvttfP}MF zTT!GP0GvW8eGpK{hs-^Mm2x}E;aEM8h4|se=3mTM^U5RRy5)CTjJj`ypIwIoZ{lT# zp=t5glKMpG!NgkT3y?l0vF|>{(U=R1t`890ediR$;rLpbtw*Hg8JF%=l^ipw25r=` zbUhl02X{T0omgV@R{SoC&hx=GsQI~E{O(o1mothg6%p#*==i|y*xC2~J|-;uB==PK zpg`KhPM#=FPTgySEzbeH`8c|GAHwvM2N&|JN7Z?YV)sCuV)jJF5T^V}MFuOy4C^^l z8^;5x^qrPsiymZ}li)tmf8h3!S(*)TXmLfCw&@qe4KGYp&`<rrkM--%6|RXK*;p*! zhTcrW2(EIn7SBfeR6+Rm%}6jF=@ers>?b|HlV$n7c8#EF7&O#Mfz``9sI|fq)aAdY z?m%ipYUbuRk8Q7WjR_;uiXbY5z=^7&XO#Y1m6Ge_Wt{j*#<PYoIh6vfrcV^RcALpc zs8`F@!XqKlawrLABsWPZ=7l8X4c8$jX*u1q;`oHZ9+kpMDw2V7%CmauN$vCxYuZ^A zz*s7}+2zElDMSi~<NCkRGBSgUGNzaSU!i@BvdR4~hf8~wYbV%SPlQ^P3Thgd{6XI} z_G{%piAn^*HB?h7RiF`sdCNf4S1&v}DbH8Yz@2-u8K4K6QOk1U%|dnhce0kHFYqEw z3{zlL(3kY;VlPtcZxdrg#>w>!HFrdgcfB#6y44OAL4>PJh{aWrmlB4-f6ivB;*=Cc zfi<ZdAXLu9CDCT$=G{^~PH~q9HtL7}>p|n~)De}&8W=gn^4lXx^j?^RUXTy|*h2WV z?rHMY4)}^zrS~N4-Bwk>)(U^3ceHje4XIF@M>s@JXC5eVeq?3EX(^rs_PvVb5Lp)b zV$*67yM<KeMAJD1RnzBL>@K8CAo$(o!qq~g|5}g8%qF{hvz0ch-6A*xmMN34&blC; zZR-j<nN8Mq0hu|Qx>Tw}AP!C<8EqhWshTUq?F&TqW;{g6D(V^-I6eeG3|Y#~*HK(m zD-)0cm&9;7+YaFfk2`!B%Tv=Y7e?iCQ)Oo~PIHWfn~nKyOUawR`@TgctTG@*y^5sN z%-o;;+RfHFp!8BOekB`qt-XgV`vH=|W)CEG!#&)H`VhF2VVaaX952$pshM^cu?)!t z>ZHi=PYnSkPIUT0P*VVnm1+sp3U1S?K}KC~`l03fIWiXmOL`zcKrnbw@x8XU(r|;) zPRj)b)8-b;;n9?Ke6<`n*pr@1ksN7<DkSoubWj%)y{k(J8C^F@Xpjuul8E-{Q7XMM z<p2pXb-YJ|P4)><64lE`O^B9Ah(79vqa-?)NQ6LBZ}y!<VB0mN6M~!o)RC`#F%%?1 zIBtRtA!gx>L=}g_tsY#r@L!5e>ZJ10`H`t#w*&(<ODA*=m{D%O9q9r#xiMMe2=hdY zzqU^Zf75a%gTAF%hF5AY*xuy={k!Gbnb?F?f~D5AHt}s<&6>Z;KA2g(yUy0M!*QIH zYBAGkf{G=u14O#uvjcRxPD8Au+dfl8SxzpFJ|aN|rkHcTySVFy*1v%`%TYp!it5r6 z?W~O=iDRs!)<ii}(m@~YD2k$sqKRXqB=Eg|$c%467(=Z&!PrIJfQurEbH_I8qS!^9 znEoB0bQVP&$A*9N`?)0AyIZNZWF+ocCPKn40;)sBu0Udov}efu)xY-OVXs;ITwXc+ zJgzwWe14u@8hPB)IV}_K7VO2L*oQ~`FC~4OwHCAaN-?=51GX%hF|hB;P3XUCu4@cs zJEjpAM)R<xu2Ow*BOJ>DIa}kpEwCWeGWiNl_xlHImM&H?{MijyZ-Z^*V-6R}c0w>% z;{)((h6*Sqpo{74S(_M%WBU3#S2^Q%WEL{m@#CHO4BCZ+GL85*T1ys)ujXq|KLinr zJv49n$Dke!k>D-h$Yh6nq6&T8pTFCB2?FvF-1y&YUE7e)3+boFT)8at%hD!MM3JH_ zRz$mj?5d(%18`nr&JcfU(sqZcg#u28qD2B8g{;L4z(&#(c4b8JY>#Sn3WjQ#U0`9B zma(vf*Vyds3g94~qcy*f$6amW_DIO}Ecvt8+fST<i2-#5;7`@uZ)J?%Pl?xhmUP{8 zv4CcJBmbE~z#K_A1WYFS>*{}d%JI?efUvUc`_O{NuQ}|l%;$n`KzFl`U(;TC4w2?G zPN~aO3={T#ybuYa0j|=4Uv3}`Y>Eb`77rk;KH1ky1EB3r2S%@T=YytztCN@B5$qwg z7+G0#k-}bvLX>BvBr=-9`np8xI9n-H5H1<HRR3uZvmzQNfNO!d^o!oW<pk{a-Z9yv zikwDXgi1W_Fn{33GLZ=G;wSzCHWL}tT+0_o$Bpl%z<Uq5mAm0okSMIIRe_cm!Ky++ zR8pc+LSkdY6>l@s)l!x7BUN*qN#SaA0V4+nU7&X}*trX~IY~sQ?3Tb(<5#A`40m@T zE{e`AiJmQs?ycFiK0P05{F&PDwQB!$hb~6gOg)-O@mjbe0JsUX^PA5Z>Fia6J%nZS zwT}9ToV-u*Ec|hH{L+lJ#gdMl#%dh$HZr>sKh%>{Zx#AhT7XM3!w)KpX6Pri0X^NI zGBP6u1M7en3H-_kPz5J(zQT(3Ga0f?W6c|c85sb{d7|h<vt)6Nfyr{vQivwMZ$_d> zw}wMn>9wGifSJ7(r7{@Zwm5Fw%>fvUGFK#9tWFOv%$Wu=QdqAf97YqF1|hmW%?7e6 zBF$Rz*(oBNwc8~kY|sv{%x_w$(Gh;{F|VTvHI^gPrwjDValtnvp_ub9QFowJ<E~a< zn)6a%a;nsGk_x07^<^<QTZ)mO0<IhNVi9zA??X-^^sqtyPAVy`lZ(Wk#R<I?ky5K; zP>+8t(!+%Dgx9>yI_wtCd>H4uyAyou`K3?J-;as+pO*paWWEb?S*GI8l}r4!EC@js zbN@MsE4#5a`dymOT|dDV{(d6OreRw7I+iTos-P}wR)r~cT;M|OhgDf7t0=7zC3gO? zTM^Dv^_c!0JzSDL4%_$CIp_DiV$;)w81Z=YOW9vjxu4=pFLzMon>yd~og<lUQL|0i zxt8u_8S)ogiwZE!=1E`-xrRa5!NJGaib^<Ir(`P!A}}t|5`g>l9_De(o;}7G&Rlr{ zE=w)Vs0Ns=o_xchWS}m~Q=XCOi8v0@@RniJ#>6<?%0xysGd$+I=fpf%B>kgD?k2Oi zyBpE2zo&fj`Z>0_GKXCR+y{kp|Gwj%0e#(v*CB<YH%1(C)_=*b2x=zeW_H@_zv&fb z;f4JiYq8ZQT;8!V=@{5#RJ{J6m~>MLs`tt5sP81ZRfa7W;)J_($p=^OiP7y7l^RYR z8-FdA>L)?T`J8n>v2?rzeb9f@fFt3B3|xJkL^q%(WjiT<{a$7{+SCJroULie90J4G zdB~99lbzBs`HApbG1^g$+tDgNc}OhHGxpXyVe4RTan$<5D4zts$`dOEg8}dBb0+Yp z8-94O=f-KGJDof(UbC+G!NCeb&W}BeSOm-_EBtQOy22uu%H~Gv!y$sV-tT)r5<+-L zmazR~l;XFZTRA(kLtl@M%F-*(I5a}ijLI9$&!mMtVPR02XU3I=l<=oTF~E>*7=GzS zM^qc4f=>m{o&$J`#m4ZFP2jvkxN6q*A;>ALw}LjSsib7Q!tdz$F0RsJMh6N&gud&r zWxDyi9qkRe%JL&QSn+ZAIKEI`;JVpu!u8^TMBjJR!(%Mo6aB<hNP3Flb*w3+u2OIf zHIPfMRpcHFgz!fPLLtZwHA$l;m>|%#H9xN_as=uW1E~#BJ#b%0Yh?FXt&{shWPGqR zeHI#WgkiNZz!B~5zm$jY-1Fh{*6Yrfna5_2zS2Z%Wc4%8#`Q=^AgigF9uNv4*mv@> z$Z>Bz)c_1RNI&AvMBxiA2*bKb%IQC%#fwo$aVv~@mOHWkB22GsmNSPvh7|1*7C3G^ zoR|^{cpU0%t+8^OYfy1QBXYkFDs5$~c0FXk!acm_#R>h>a#z_g9vQA}JA$|`Lgk7P z({p1&C6m=4QX|d?+7)-q4FxHO)bN<eD6=ASA?SUK^@wY(8-ZidGh~6e);b?rk)DX? z5Q?C}^$aHE>z@u4RiQ$E0jnVgVJ4l4T52RTMAXzK<ImD5-P}YM-G!@^i8u%^HiPoC zRLDJ%6PB(Aj1>poJDpY63sUZ~bRJn0Ws%<VM}>yyEK(lo6_L)3{tYF6Pf`<dUC%&b zpi?|-hFugI@|~-c+w0##_1M%FYI0ZXRhOtk*JM>ulLlJdKh)-tDVEd9R79sCiwNXx zdTK%Ho<nQ6unGH_4kxu-eyY}TT`!x`a-)g1A|*qu*1oae(lsLSG1FVJX(W^V0*cSc z+Z2DdiJ1$6Zwq{!1i2%|vDOOu$4JrmjkfN?$OtVXYhKk|yyaTU6NJyU{HMns80H7+ z);eJa%z@^0^EemPOdI{cE&ep`Wa#Q=AWJWk*`^JwSYSpYLwo5`>dwrD0x{G3&f0|F z4+oj^^%dkR>EWQ;>Wzytko|2Tq7x{TC(tE>GEV93Dac@DOf0@gR)DCbxMnks6Gc=5 zg%;5|ipH_v+1_4ufrX<>IKwk6C$s_uA1Fx4j2@YotE2V0BDjqi;g(C0ssEXTMi0nm z2&SbCSIUCH1<fm;!SDojeAH5pO)p6MTS1yRdwu!Stv2tJW1qr1^-y@!k1YarypwF< z&br6&zm3^7rBf~?ovuioq0qe13vmWw)RMotI6a)3{Lt+5*Rn5YLJI+&b{fVjQ?KX- zL{UH!>lk)}P)K4;DlWJg2@y%I<oWY6-u&zV208!kNUfuj!KO>g6F$AU9GH0Xe6l++ z4mIyQIuk@dSv43Ux82t-g?(oI3E758WdfUJl=ouHp-zv!0e*G?Ztw!>>9Ue1RO~_4 z_2(cU=wc#DGGBoEqV(1vb<{=B@*7oue$~RyY<h7pdjY-abe!5k-JUN{-b%>k1w@~` zJi|DQjP_>1Siaq2))FvQRqy<)CbMO$LyTjqyncXEvW77DWMUUnP2*v_>nwLdF6{2X z=;+SJ)~iELyTkNx7KGK2qNBG4%&HYubqQLkzcHBN5t3fU9l@LPt;ei-w$$~Kwl}!P z$`s~LPFuM3xZS<!YiN0%G6vIl%X$A>{NUFK`_~^cLru<+l;nlYVVTX4{j+}DZ^LJO z_E1<;8(q~-c6jw_;Yt{f_W!t?Kr`(A#{FJsVG!P|Z0=e+8*#*=<W_QUDZ}TsuX@~h zAUcg8I`M?`2p`3x5US%4t_hzCx%2U<xzw41qRr3f3r255pwRf}NOWHNn0tSGfA_hz zHZ*eN8Dt}1FE3qjgYND2v64L)x>rbn#NISJ(5<7UCBH`NnC{2eAZOQfG-rqU8N*op zi1#o3nM`MWF^ubXXpH*%fBBjWD2=jx#wywu@F%L%C)fA8Eu1vaN+wCOlhN;-v8hP6 zJr#0xx6K3S+Ucy$S<8OYBF}2wx^QX1h_@f})cDmej4zb(-YkV1_`rn^d-S)$&tBfW zZydHZkAD>22Ix|H56FFP91*V%34-3)#e>7NHb(~+n*=XKx4MwM=Q8Hd@r43R@&wHN z!U*AFvtecU;bxBicsS}9VYAvhj{<Gp5B4lF_gqSN9Nu{xEROvh12#7RCOH8RBQgOJ zo54r-&rF7kj|PYT;W@GNaq#3lPWTbB6^P;E;O6AuetlklZ4DVelUx(4UYP(2<2S26 zD4o6>#WZ$p6u7(vnf~0i9~4kv8b37&?$aGSu=C^RqUw+F#(qdBm(XaO9%9fisRsO^ z_rv|}`8j@dus{tjpY<)Na6;pGWQ51_vj0N5HK{i$B6^0GFMo%z_TbJa*NgHdZcwOW zj2=#^{fQJY8(5V8gWQ^*m;d(A0z$LV28cLD(^XR92ZF{WK5BR-9cVIrnyb{y0si<_ z-MXaQ#F4~z>@axO9eq$xfoWX1QBdKtNCOI`Z|CBr#YTaZPvw%|%faGPxp3CnTxj_K z*-XQd8?(>UsuxbkuJS%14Nn0RL-UXs#y9ObQ#iYilyK`=Mr(eK^`0@zJS{MElHQh6 zX?ki5M<RZc1`zs(CM%^g;dh}FcqH}DfARHj@KIh~O@7Cv*qSMP%mTB^dTf(O2@aTJ ziOd(n`Qn6+G+P$3ozej)hs6?^OJRL;pp!^w0a5bfQ8<-P=f~d!ETsWfW-(ZoPRrsS z0?%myRp^bEWgfEm=D@95Koq`W6hAfuN^PmY6z-9DO7C~rNwp`bVMy^)!G67t_E&<f zX@FJ2h|5iYMDe2mKtF0m0jHfVm{zcTb70qh`LO8$l)`!L&80%=CjozE8@YOx$fHR` z%CiVdH#cnZ;4ng2in<S^1Cj~zQ*7=jEDJFK$EUlg;SluMT$^_O!VQ1L0+`T4D}?Es zn?O&?X=#W7K%MuYfJq)cv}{hgOq?FtB=lIxjTRnkQCe|xFR#coD#h~!^29j_+|i=8 zW_NC%8UrfP4k}~mLsaa@kf|>bx{_6<0Y=e7snBZIT2Qtg0J5%}I2VIR_!t&EHy?(4 zeYT3n$)JQs(!($7vB~tJ$mDe+)jp}<6uF7TbmrE&ZDCru8ySvvk0e=}XOM3IDbFHh zfaH*RROA|_SY*QC`p)FiYc*Lz1~BE6+qKDV$Y$H?Ie^T?z?|)jNeiSHCR=O}n$yak zc&Q7}1ET2Tw039OEX&;-f(WDnQVd&9>6uBbGDYj9^nxh(ST3hAeM!G(V2)D)E07Nx zD>Zj&|FcA-&vy3Actw&_Y#v6nqS8SOtVGFWBHGws(;?pqD^_o#15^;>>CT?qeRK>% z&_F7PNpNdBS(Ws*gItBPv(yEuiCK4J=U&|XC$^cKK28USrmy4H1iK@*Ie)cCzHj>| zJ&?RV-rD@uoqKRY!XrF^PY;KrujbZ-n<BR<C>bac7m5O2HO(&Ha%o!5n5H}NPg+hp zoj$F_hP1piRYymK4q9>S+lSoSyI^?79D1No>;*~TE;KnUcdfAWflEmtvzZjAVkgEo z<?=LpETS)zU?KTmGbx%*I(;$=>C$6aJab0n@9GCjOmAeZK6An}NV^XR?m&}Qr}H}X zc8j#}s$!N&X}18@Fu*({D4cN6gHlo24jk@kIcc}(=9#X70O$@Z(ZH#N6U^;#tYTt^ zIW!#dJRu`qn|f$XZ|<Zr?Qm5vY2akTaBj@8Kpq+MC({ARgneF^V>#Y4u7Z_yn|MN- zKs?GI#qrIVo}E@D>4$q&nlvT$n-NedeFmuH=-?Fc=~FhP$j}$YHvlN50Y=irt}bh) z+_<Wlpyo~bLT2V9R;;Qwjue~GHtqpTCkif4wYhP9qdlZm+%u#(b~QUCmD-9)?%YAr z*z7+r4eRvCIIdi%40-gLXhbmcvQu)6$xY=nDTBN72_v~SDwWTAo*`7Ev6G@w2%|PP zni_LkBbDJQU>uj{9@9<_fBX7S4KS0-lP#OS6;Y=(W~fvWgOHPkTQtw)A_<wToyZjA zu(4T^yh;XXsQ^?JBcbEgrx2b<kAh@nxull(D%6wPJO29>GLD|909DlBM%(<`n%yG@ zm{=%(AVqpYiKL(2+UQft-lq;_1}~)xBVjJ*kib;rAm#R}G66m8e8c_E>*Eti1lZEu z*c;o{_DWC}+-Vu{#}(R~<g9QK_9!>!ueas3?sgVjP;fLieY>pJkP|TB%|4*mU)HgG z;+qfs7)CGi9YI1d&D{kq?yR7ON9CcHy@X>hm}bFuA-!?EkF_>dS=X)wE8D-AZJdpE zZOJ2&ZkM<}F-533k*Os=l~<w+pPA2*Vyl;NXk3o8AS9yd@EA*^@Rf`H6U)ob2u1jc z?WIz*ouxyTsx5)%3E3xD%ovdHBHelC$0Mz77SVN7U(9?0wl@PqKz{|n-$n9wHSuMg zkUAEk7N_~)ZL-<h9TJ|b=ui7*?l!4c5w)duw1LC0mfsoA%XY_<olRr$_w-MF?GN$) zKEjipIoQPVq~Xf)B~8)qdes@hK>oO}!2WCv^4s2PK7EJwtH{2G*Hl_*uX1Tv{s<>+ z<+^>~OH>)%_T+=A)Cr#AAAVSSQc-We)SX-em|gMH=i}z#=={3eiGWU>eW=^V!7JEW zdw-xm8F+u(9w2;q+&#lCY9Vz6V7Zgwps$f{ZHeDl5%d|v_VkQOfsJIG9iXNp1rbW` zi;C%OcyKbB<0sn6fVDbvjNEKvg=q^PofRBU5|Q7VSjEWV_VYBs8Xr${$cMz@l#8uW zhZ0@j@J?|_z@KbCVY@Rd-OOO`v|j$+=q|sojgS9dZXH6vD*yj(9Zhz1-DGIu*(GV@ z52V&{sx<A<-iK4Y4|!sHx##{GY+xRBTXBv&&KHDr?>=#;u-mz)<MP@wfWq(_H+{tZ zi+;~w64B3gSS3oxe%w&fw&xjQwScN?j0_9yh1T`<7FiqYcs;q0lGt=Dq3PpgzIF-h zH;fRgzSHzT3qvBkxo67%L)|+C*BU1H-mz_4d&jnI+qP}nwzFf~=8kQ9$9D3ir+dzH zPj%0k@65S5Rk?Ul&suk@YQ5{PA2G@NRgdkfU;Y)Mmk_6!qbKr3$S*{?V;yR(<qY=L zk=5sJ|IZ@6+1;!$fbL*<8pIc9==mX(w4=59lBr+!`NmQ(&jIfjJMebk`}DnjwX49a zHH*?#_i41*;+6&zHXG~OcSFz^Bc0WpiIR7M#Ip_TLs=I);M5~{uq>wnEA0uItVa(= zmtb1tvXLH;%!-{Rxi@a5Gyy@(<fuF}vm*9UnzgTfCz+a=e#F>9L2Rvcy1s;tI$u)Z zgj`VyR%vlgxqT+yyueEtZ8~G@69P+461;-ccBLN|6T44n8EQ+SrKp#XoI60clhy;y zbr(^WCkpo%YYmZy+6?^D0l}vYL}eW;txNR9ZbLH>xJ3r|Vd@j=L8$$<=7i;#S{$(g zJYOw}tQj_pmG|@{<0N|DJIMshH71ciK1ZxR`Sow~EuTpRDG;2D(^v(44`F6V%W<)m zkD~t5RtJ&PzioAhhxcM?HKO?FdPW2CA20ReVIDB*7p;Lq@l*Wze5FL7bh_Ykmn(Gk zwT!U=2X5s8ii|RS&MaeQsi9BKzKZd)tGdIF)zyXBzRdkvFk`Y~#f~=-Y9$&pbGEy^ z+&e#zo`C}l-vOiiFZMdF>;H#)9h0Gh<(mMZvss&s8dX?BMR>P#vkq<nXMG7lHQD1k z(eTq?G-RO3#qwM5pFWtje<as-*u}Jd&vz2~dC=sXL{pxt_`sBXQ1L5;<|#att&%8N zfPFC8XOb-i`CAEyYv{cvGPX1|N#;Z2Ymsfg04S&O*XGz3AFX8WdU7dl>8<#sEwZv^ zW;PZrl`;GBj^vi2my0RP-=(26b*<)&28{XvVj%IV*=zlRZW7dLwW*<DZleW^kKw+M zLS;9(HVuq^^|s`RbOqF<(<PVq#hjrwsIsnxXN>UY31eWkpHM`XF90wWL}}DR(u~mp z*YoJqM8GVbB?zGvaDjZY#rh+kMiPL{Oy(I2<N<6aQb0+eD6$qg{t+Y$9UvH8fbcAt zerkOCgJArM5eBaT7fk4c8)gpxFc~%zP`@B_I-aNZSVWao2T+{qQ>!oq2mGWH{R8Z0 z`8Q?|$|v5E1dQ4CddBPyqrNWl9KNO4WMT{L>w6>W3C>(HXdGXy^!=v3=M5YJM#c7q zWtO%1N2~Z?vtrr{Dsl7}$T~Ff#0_w`R{&Difg%V&L3a2@+7BEqe{hyoQ|;G*O$CKT zVkqqOFf~G3vSJ4S5t?B_n>p{+4KMxfmG|0?)eV3*09U+htsYm|{zBE)ll?B6*lZ0W z5LqLEZGY=@tkDMC%*>Oa;o^mRf9QihDI0_DovSc@JO0;e_1qBUpa6$Jb^r=xOO@^w zE9eSMPKnaIM9D^INIAC!iU;aKD2RZ|Vcx<r%S-v*?{9KEP;0LVrfvJEmzK?PB8N`( zkp-5bDJOgRGV}QO21GylgYZUJdigNb@4!dfn?hQnm3m~?%Mdm3RY1Vj$G|5>Vj_&^ zMzK)^!v#*gFx`;?U0sQ94~C!(d_3{A5BgH-Eie0!HrE1z!DUMs0a0*gV($fwq!kTj zccpz_M1FvC%iX@v?#l9k%@I0w4~}9q93svJLTVCSV{uK1IM>rT-ID5?^v+v?6iman zzRGW5tqodIsJtR}6a0?n(9EPA%~&D9Q;pQBSJ;ku(O!=ZTK6CAH)_Iv$7{9v$@GEy zM@WRgFC)PcOIIf9D!IJU%!vc|1Qf06rlg4*WA_((7r)Ms6eOTt6NXk(SDp-MAE>~? zUxqsPNsp^6y)9($TyVUPtk^@Wb*_kRKe*|$T&gR_R^Eo`zYNbu*WQuwFb$#3Zwwft zT0H;fLmf^I`Bmh*d0XUGUd&h4-ZGzIo;XA_Dj4u)c|qHO!f@`_aG5rN*W4RtRpHi& z?Ko0lF9v?Q19yG*wY~U7{jr^3Rct;cSOC*kQoLc$`35{@?a<TY(%<LO1!&m3p-cP| z`Msoh{W`{bKHQij@YLG7v12=^k0;S)=JZaFUO3nbJLo5L*qwL+o%>DbabI+;1cL86 zD-X*Y#B9ijoBf9s){}GG?sp<DVRAECOphWE-UYKORI2`EpI&F-h7aKcE>~Xs-i3w8 zKKjb=(887A*7?}GALoePsgu7_<O1%tx-ySC%<>5K4yJMZ`%9z;{rSA3<dYNl6*oKC zl-M$aGdJNdMA$TXBi`|QK5ZZER!ZbUgw^yOt$8i79e(kN8A!>x1Z-vji`K%3^7T!l zFg{O^t`;6Oj;yxgqr~m}$`S);0Q1zbP^Jym_XXEWT@*fzo6E$n#g~&XE23Xj53`sI zK#t0vux$;{&}?GZk6`BraXuHzu@)7i3+$X?eArYdhg^R@9W`$2!?t7#BD#%#M^<5V zg~Sq3))1Nf;Aw!b-#6nL8{n=$zx5aRfF8kjToc}t^j`eAzJx_?2K<rs!n?&L;Q~m? zsxuFlZq#tv#Tyt!1MwOnGrk9n(N-aQ;dPi79+*n4Y~%S{T788_gv9N@kD}aqEGQTk zZt^AzL7=xMmvxQ-L;%K~c^`p$enompf&(+K^=t{o#Ju)&dhyZ(Rk@NlfIvNr;{YyO zvyub$Y@QTGHkFP`yZ_n=a^U+PUA!_;Ur69C-k%O{3w>LUE+Ss7&+umv6~I?}OhOY( zD|{>ukgNPT>q{Ffuu}fuAb926i`=g6M}M|JD<F;lHAmDsq#wX-zSOjNdtnXf#^a_- z3fuHKTWP&_w=|j|bqzhgb4NpEXd8>*HVj&)!P{8^L<D#3Omm#42#=;xBf*jv*9+K; z4xz<9hS-DP#m$G^+`_g}8`xcJ^G>)$x`sQ_o6K2gHNKav@wu=3z~3Uwr;E$`KrFgg zypcKdmqIEIBB{^gb|-()u_FjNbJmBKlNyFvLIqTV<p60R4Vk>1d!6-886K?&z<$(* z3|IY8@ow*s-#^S$DB)w*xsRk2HS~t`e9i8<;7k48PK6HJ|9GbYa=AM<O6yhw_~Xd| zAPdu#tSCUp9T9lPw<~a=y7K4k?WQaY?zCc%T<aU~_^`?AlBSc4jVE7dNFX!#>zGrE zl{<~Cc!IT5+A_OobSoNrdkX&ykCo6FF@#L^z+(B1np$D3l8In3L8U>$Lzs<TB80+u zH^!jt)8}D^q8%@Jh%fFhF30A>wuU<Y2TH4{SM<%7u?!soHPM`I=bFx}k5jYV<5$rh zY?_cVID(~0+1kBsFW&DkY>WKu1w8XKTU)&r`Z%yjm<K()-~v7A$M^a|+6s>Y{lWI- zQ0OfrN?r=nO0FXU;B_r1Qoo2@;g}db+a$t-hnVg!*`A1P;MaLPmh>a&RM1yh&nGoS z5Lu_%GPqLd!<Wvu22e<TX@nQ)HvdVQwfpx$!OOPd#YecUcMxJ$K|Lk&#a;?2y|tz; zSJPwk1HN9HpckcJ*jVe0F!(Z&5U#sWrH&@<dX~^HGT@oBOjlyT3RIXX`q0b8$4*Nn z<Um^zaAJ4+*C5xc3t3Oq;b`_d{Lorg@0Bs*#*9X$<RrG_?#$MXdxu4eUmkU{eACpD zn9SnoCw}JV(W@&X+`=5*4mG@NpGqGMI<TNv*mx-t9U2**w#;5Q+FZ4R>NruphUv-* zK7K2=2jC{#<$mz`wyMCKiW`1OcSF$#*9PMlX1PYwX+Ud!`0?XmxqGp)HzOs_`-<;3 zKR%yPe6<cqYm>DfGuZH6xf}?^Zsj|1*zbN+SUKK9t@MIQW~s`$ptS*#Fw1ZvLv86h z*J4Ek=3nDGH}s07249Emu1XCN%D=>Vq{kVdJUvnofYEIqoqn9OEtY)KJWHN>hH@8P zzUu6oS~1&0_7mRdxcQYVq9*RX>dVE-6WG4Cla)?S-@iC~R2)BfZ*py9TOOaDS|(l| z{ez|9ElsS(zSk*XUrYy?u<>$jdN`Dg%+D6zsQb}py+f?2cqb9@m?jG#<8hC53e@6m zm7I6V{`4v?`j?ag%PNECl*4f;<GPh|T>sB5N{2U)$l1|>4k79v=W``cxr!@$OO$s1 z*Ix~#GMl7&srzpM<z->9YB+D1SP!@=%K}bD2#Pgf>noHBdq5TskQLfM8*AB5gVBqw zpl7JtW{JOv@c!08>6CIxz`v&CR6Q=)9q!q==Y~F4*FS(0E&x!W-{&uolCU6nI(J%h zQH$yfjY>Sf7-~j_nZM_9I@n&FpH(=#?>@7fb2#1ZK3-<y_J+^wVaDLW`mbQ%?LtR* zVc~T@=(sj}p5t-)QjQd#pn&wKUm?GYH&zyQ)nO)2ak|Mt`r*l;Lm6QNX57Nk-OFQF z5DaY%<DY;89#ZJBGiEdJ?1&m?C(HsghEJ9vm;%TYWRM+k@P(CGZ0|z)DvTgTPP$Tv z;@To9iJ>rI3dOO+?Iz4UDrB{YgX%wNhf$h+HhdlJozmu!aR}8W96dZ79j@o!=DE^D z5@Y4d>-0I??i6)+?SAYE;@OyrzJ>N2DwuOM3qswMI=Q*Il>O$M3+=o-YCb%2arJO< zurGtU2kSf8*qVy{+MfMIG=sgWFiyPoZ`nI{?zXtm%;ou^)z6MQNyLJ9L8QL=!>OZ} z*&(UC3U`_zJJq%<i^Or-JGOJXFN1F}<x=?dO}Mq^<=p}!9n{4anhxsP_PDRFr=;C7 zGq*p5otTZ-6oXK}A2Hzje9{tZ-&;Niv3@vq(q<?cTw?ZBwAn+u{?f3clYYrS9Ov>i zb^5NME9GDeS?IV%Q}Z+nq1hRe*mZ)@<#}<NW_pQ{NUB%zjV8~Xw7#<m-!kv$+`5re zJSRdgj@qWF@%0vOUihI2bpm_Ue7?6pei0N>du0D6>IAWIxt&_yJJ(OkF)kP0IGzb~ zw>{1uyB^LTtE&a&F-MciUl$Rn*0u*Dv3BUhqg{VjCU*SvNLLOP%=xqiX)fXmGYv<< z0cPV`b{`x<SX?MtK{ViYA(WC{hMk+TM{E{~UQePY&gb6M))CZ7d~u7A);17UM-#(0 zL*q)l{)P9aaBpwTGIhSg+nQ>zV_C+J!_klhZwgJ3E2#B*3mR_UTDTH*v#I!~^m*=a z?NEBK$|jbWCDQJxW#5u(v2EUJC=1TzYJ`07boAoq5#&uf(oK*Q<x#;+I}uHW-#J57 z8t3mbnH5}SYEIoPyxg!3`ZOmZ+=w^&sRJ60nsc~ssw~yH)E-=6!}x`qk&C_V?CIen zDMwdp&&j*P8*+~BhQ3ok8*YRwU7T#uhyWu_^wPCHO4w3dw*WYAA?^9jorlHwtwFY0 zM#gg3Dh%A%FN0s<NZ-9*#DyyfF5+F48v`Q+HIZ{Vw1rTC^j7kb?VdQ&re^d(Peo^H zRSt)mX7srr7+e+O0a;_(AB=Et(d4QC@SL=J?6@oYkr0}35okVC9&E|)X-(*vGRgGO zF1+`^)0GmAMO>u^T|4^^om(D`w*zPUtlzsi+jxtGSGiYzjb+V&IanHO&d_!?!)mb6 zg*kgxZZVkDQX<uMqjNpt&Cgg29!AEvwLaB|jm<s8ug4yM5eyeJ$ASpyo`diWGhgom zFcc#Ii5b=%i2|83HR1wbwZ!9r=gpS71DI{lc;WcHhDZPyZNT~9@C{EijC#ZlKHx(Z z^|1(`{C+Xqm$3~c3Zgf0=0(CVB-3jR!6Pi~q2rIfvgCo23$$L4!xwI`#|Mw=tFD7T z7{$VFS;@mf-hE;7<6>jydcAqx9MYGV0B&R)xi;vH1z&SL7X4y1nVan$ElkLreV!c6 zhT+CQZ%u><CS*DMga5H|QW)rE6%|4lr2^ytHNL-Vgm!=zB|an0ca2!*jB;-_!vN$$ z^7(So2gddxX36)s;<B|LnClnn`(g*~XULkC#pzbLH?(axtS}7BEaAMeLx-1YJqEZ1 z%na0Ny#aFt%zGyfd}Xals?8C=zeC0C0%I`v1p>Wywu1w|0C8Pgfig^vM}2*FHZ%jw zyb>5yURFmxi;u^81^@+Eig=yfM$hmtwoC^c2gRQs-D^A9_wKFr34-nez&zR#HkQ*n z=GBR@)8xt6@}t!M3R4epJIcFJZO3;b9NfwXj_brUcVonyj4=;fj~FBBfpXlX2k8~r zh8#eY?)rk212PsT5TaH*m2{NX{eVKqFPg?^v>YlC7oQ$Z4dbPkY%*W;ynC=0TyMUB zDTm}COuknl8X&Vd8~|80%?A|&p!Nq9*ZkfMC1}9V;+}_CzO*{V0vE1&<SH?`Bp?y; znSzQdhdhZ`%*+0hBLxy(?W{6)d(H_fAW$r(&j_5~VxoV3jR6oH5MHhPv*}m(R4;KT zF91tK-{$h5fGZ#nHi_tu^bx^?#8z;ryTn9>OmIx0Lk-dCOrJ3>k|T9hd<kZ@;>f)# zYg<lu#-}AaDe!pdM@XE|+UgqjbR#A~aPAt$T+@=5!R<q`3c|)~k+M*C%K$${S}Iv7 z6SUMJ_1eA2;uqD8_196hp=vm+Q^yGmJ9I7;`{iMd>YgbsDrwdG7ebv`(VDF<x=uEZ z9yShaovaId9Q$czVi68Zt#@mfA08qi{rdvX(o~!8C11%BT3m#x44mgjUx&Z=vO9bD zCUV<Tn~kYA@;Tf0jLkmLr8V@PcAo;fVzX%U3VAA^_aH}J#r7qkZtiBe-R_X_C6uPw zyx(<wULjg;PQ@STl{W@nW-}{yZTnIu$dHTzjUV{>s~whRW4~_aA{aObXFsAIN%qML zx#I=X#b>{S6KfgF^*={Oxz^rwb!<e@h+fD;-<jiPp|yeMhsb^Kh2XB!D=vAbPyJ(M zxZS3%_TE!-UqFVmcaCwi?{u>|Pm~NC<Mt?@0xSzDJ6T^-H!`T}7s@V%ekELgOi1L% zdxXc=qG50(b_~KUq#;~HK;)y52xa<-4*gMZRf?R+JA>~R?omX1y$Cq51W2zz;(E^) z3OV>N(wxPnWVU0F$_muPJ#&r<FUgoii`WaTCSa@*FQ1INn)TA3rVcd+sD%4t=ku0z zwrjmVoVz|wlTy>2G?se;x1Vuul`A2iw1)R1RG?;#;9Bc9R8&Xg91kQj=9qH&l2O!A zvv@UQ23AbrHU<?5`_rgs{5gt1y(W%0<{JY_Prm9D?ve(b5z?4z<SDAiT)Rl2->(Vv zIjxwTm9ZiE$HZ7eTS%O@Chjmq0iI|>8FXX?>QPaqU}7KG;F`V*DMs&rKXc@;v~Z~? z#WuBa7b?*fJ#6K;Q9rFzp$a@8>_sPvq>|Lx9#_~Bh@?WY`xW^RMK><*_Mr)>ATdm$ z<PC$Q4cO}{*@^m;Sz;e6&{*oVypEJ6c;s%JA)qOPHKE9t)23##Z8D3J@DMkO8Alq@ zMdad1SOT7kt!wE}_~cf~ArV0+hCw)Ia&s=<k$>tke+RKg4N@d(0^JWxY%~NhVSZr5 zK+Rv>pL(f+3<ZclS8~~M8KS`~KTjy2hNb~D`rX5qjF4AiCf=D=rcuQQQ)iDxjeSPx z!|H2eZe>-O*0-N!m0yMq+YB3UlAoAPrzteur>4@W6EKLobS2I=kE2CBE2DTPLm(M? z8M<Xm!3cU_M?IPjM?=!_4NBE!CiU^OE#u>S?egx^-{n5`LJWPN*qs-!%-GY=I^8tt z!>3PZc^Og8nA}{Ms?DMXma4)KH8mfDJC8CSVULx)nO{N8d!tQBN??P_U%h@+19qSM zgg6gGy>0E^wZGpS+{7iAq3D1q7czv>Me2SSvmiq?+3&?Pt~_a3&=ki)maT9JS02}G z;56d_nPzyH%TTq6F$$-$Vy~}N-3>)DWjhQF@LwS%+dH1_ptpM!?)`DefYg(G!BAWz zl@PQ{2p^#)@#`JGwcc&f(Czu><{~_^+5-UXK}e4(?eJ3slCqh>xr%h@sl#HU-*}e? zLfeLMB1ypy2RxHA_39wa!g&F1NWyfDU&FQ_8!4u}#)uMO3dw8-fq-;DOM32nOI%ol z3^noh;&XAQ1nU@>N7?jSVlV8vQVioAI0YhZiPNc;B&?5#UmC}D3PHr`v)cQ3)xAs@ zis{qc6^PMBlzFXYmA`?+p~pbzr)VEPGtad=5A;;FUhq>JiN$fk$n4Ma)Es0OSILvC zpK_Sp41Ft_hdEd|x=9m@{j>{R5?v<O_SOVAhjk-io5p{2rRmurDd?dp^0BZPD9-Ez zX4k)^44yx!cMh-E5OT|>1B-)742DzrIqN*1Tvekl8@G57E~%H`3DCw(98U`HC^}0X z4{u&PVs-<lg^e~*G1Zx{O7kj(-LGQw7@xQhVe`qcqs|NI9tMbGCg}DjO~k#+E*&H? zr`M7Nr=BOisBL+@TfmADBG(%b-`1tY2Tz(JYBCbHV{u7P9=%-eeN+@y!g?lL3A-E< z*Kute&hu>lete<JCscWPuzGNYx~M{(mL~~MIL8_4#C<nr#hrUWvK*FlJL_3HU#fqj zO-9nEBS0NY_bi5KD8L$j1`-U5F)=jEnjsYcTt488v7GF3UGeOZyh<0YXHbwWJ_xwG zeqm|LV!2~5CcR=fY`%xpCGW&K_^m~r#jLv7+4c&wTyQKc_dc|?75i!GzxqPd()qu* zN>QRNJ)QvjN;uhNp;MYfLU@z{F>C@cp)>64h1Y6`4nv3z+(9%>$NPrD#~vzrfRpd2 zjcM@<+oB;!XH%}tEmv1pnyS#)k^A91*exPUPH!@Rtu40EV_qw`<w*SdZ&X>(F2RQ+ zpF~AqqUvnK>scKTt}YETwzblqzW1CTSzhXn_(#vs*F!B|z1wrD^nTOL%`Da29)*~# ze;hX&xA=UCrt2#fzhg(&*_QJz7_>Lcw2j^K)<JLom^_-yDs0F)&YxYGzvc|65hm^H z6vQ8jJ^BtEIf;IUju>jeLLG_i`m1^k19kY0rS3V2ue%St*t!y{NcF6t5h|%gmRaz1 zJmy?c^}tNMgT=~?0@QLcG62#!3>MDq_#fcmXn{?wh>5Ro!%U!hC3GYEetJ$5<<BI{ z9Nqm8nitL^tr^NsK5bANtS0GvxrNfQA#{5ack{fLU(=?AbY#U(i@})4Mwwvv?!wRO zc#G$CiI;p0g`Cg1P9y-|-_0s_2q+QO)GdeOqtSv=o_j=g5kG6ds3h}1xh|%rCNiWJ zZ_T!^NU&7BAi%A?#I9>66Jrky_>K=0kFwW3H0bZEqxZ@8B`8jS(%!`ESib)#h8!i$ zR|eTaL|#{ru<|sZOJ?l4-*Dx2KGt!^l@f*iQWu4j$NYASDf{0|H7usMOX0WP8@cE# z(CB{RrzK%7mB7D?@qiaZ!*^gC&I7U<aK*W>Hn+?!E#X<6B3uD{JMA{04~#5v=vHfg zG1~QxA^qWN?;O^PWFUjcP6vRkD%*$Iybip-BI!l0DmlNg!Bkgf*|^gDY1&EG+}D;Y zdTFq8=(ik?Ydy_X=H>IzDwdr8C|h6-W?*X8lfdh0My{s)$=#p7P%Vj|vhMQe5&m6c zwR)>H?7KEBw3egBdL))>Rbt-kZMs6{GvT(-F1feMZYKW|Os9h6L)MyBU?$hR$INK* z8bR(8__zNKyO1ip(X##z)`ZV}_r-rhYV`a3`bKJi4S<yk`fV_q&>`W4xo|H|hFf0D zplK_=ntJ)IZTTOzwg<x9hx2qb@MH|C+BO!<?|Ys%yE{TxA1&(4cw>S;M;wAE{@KE! zTgY3Am1lim$;qI!d<XavxbnpQa(jKjewSOqim5_0d_jUoDvw`rgPe+rr`3Xv0jw`7 zG=JWP`!r9SE`O>a8P^t9{vxz2UrT9{5mo&3AHqkI{^FaHpp$v0x=v_9d>wiI)X4b_ z_0)Ct!jY%`Ha%_{N)C?RlZ_|e=kfZvn7I$Ew7$0WDa^gH#nZ_q#H-Wk9oU!x@{8Lv z2C^OK%>Sa$l^J25fKq1*>lI_L?bJa0RxJrW(6g?Vn82npE3F|G;)%O7Td;M$jTxpT zWOSZ?avoCVU~B;+lf%c|2zz*9nK|btCc8}ZsI)|IWf<{qD0TrpxgL9P#*eAaS?zHf zYMg<s9(og-@un@crx5QvPLZy<$kC2s#=#mQ3L=G(3ymWyD=&_=YFnAAue8MQ?o~II zl?3dQjw_bE>FhRRZR|%A!(N{l=P4XnXcg#8SSAdDBgrM4b(Ia8%V^4{DNiha*YYp@ zRg4{W7KP~jbWM2s?_^cwHSPqA{d?mO4&8m+$0g@2`Gu*iU!&PoF~3Xv&APmrh2jX4 z1?+tk0g^X%`{Qd!ak}?@-+Fpn<IVcPKae#B4ymOUG{s;b$L`;*GI{h)JVEeh;np^` zggW%?FtM9dq0wovz@ON#w^?U$Q7AaF7%_WW=zfzD`ReO;rvc4tX+AErCGj*yg#+rw z_29k(mfPS0!$4?u#Puq%)>T=4gEgqJ9#)xkJN@h@&e|E<n0T3xxHA<eR^fbR9L{I; z5@~{}DBGM3b!;zf_<$fcXVEQ+-6nbVZ{)N=AT4Dc1^$~hy|VkaO;7aemLL$PA&xnF zZhFPV<(?Cm-SN2#)VY&Kpov{}1rYtj%m%wUGMmgvG__!4CWn*cDgn|1jY=vPRXk;U zM`I*y4^^;*C5#u!04*VLm;61&W_21Z5z>cq$8j{pynWJ6(&%3xHCVO(iA`Vo_ec%B ztIBW|i>qTkG6g@jTki{IFpzA`zW{ZogW@glDM0d*Ue9XyDrcGk4;?nmHow7|>wSFY z2=O;CzKq`sILyWMcbkX^)y|*$H)oN%>mPTySkYjYCd6}55UN2KRd6y}+F9A1kZXhl zh$2dlOZcAe=d<a7#{yC#o3|^0URVT>8D!*%Tz=H`u(s`5p8o1&JD3wR=(QZ+h`V3h z4yOs5`~(Z8A+R;)V6Z-g47^r;Bi?9;t23W~TmFwr56meg=u0>?bU(A}K8|T{D%W7c zP|_MQRVtJV(-s8r$TZ+&?YPwvuA3Y&J8yPZdxwhh=9qrJ^=;GcOM8oBbAg9Tx@WM0 z$W0F+ci9_bzmELhplTchDq4Q}#PH6V`4NdrPdh3vJemX&K@$Ok>50AT4cRAGH?$UT zVmp_Oc|(RdotvAMkJ1P7Vp}a-Y2K-KLO%z*aLE6xnjIu+UK_rp2$bs?!H7YFqkjcL zf^j3s6Ijv|$do_ZV3cJ=7Km&l4C;k78RlO5-?{Yc#D8<?SJwWOOHc6VUv1Or#q{?s zJraDlHDDQH%gWYBaTlwbS))75>WcJZsHP&L32kB4)%bTjdoBecmA6*zW#8EM2_6BX za%<1Jz}8C9E-}oygznDqEb2dO`i!%E`WF<yFf&sFUK1#A*&Tn_OZC)GyOt!Shp_%( z79y3=%<wWCzxYV|uuLa>8dlvjS{B}O8y41Hp5AOx^5v_|#Osq}o>#Y;b;A-g%s%Aw z8CN~cVz67Qks~sXy1F0C-a9~T?WC;qytXXB1a1VK%~tRM7Jz(h062b1<;<2_KOcjY zs5gz~V`0eBgM%QuC<xur5QT+$nGJN~mG=MJL=Cl4lQ#PLBX|Vq+W!-uzW)cmqa`#N z9#{Sph@2B2?;LzQvvjy{DC@@|_Ai`!zOmz;AYMRBvCxSZBx1vf6j32~GOO@j%WF35 z#j*aGvDBb+K-n6^u<yyJ3(<8;Gvu0lwFDe5{v=}OW)|Hz<~nh%>Ln%Do7;_lYSc6Q zAwuAL+{_qGMc(%m_x>kDjdAKE1OS!DK4IDMxC_VtXsh%%wv9Zn@LkR2*M%&ubGFxs zRfq4^)t2zVo2LQWg_e3^-4m=9Pd%~aovfQt)Q{Sc$Vt2Hfd&8|o(M)V1A8))(y;Et zx^84S*Y4^<N}*RfbDObR1BOYn#L5Bzdsw@vNapVcodOjJVi7o5+<4&!zfEg9Oe<gp z<x7}t;?N1Pl`E^Q_1CO-#3wc(tx7t)89vA!@JO879bBAWP}>7tTNx`XW#fH8X|!M% zJY4uv@X+u4Ki@|!XCn4Zivh3Sr|tv8@P8f!7I$2G&3&I^CAww+G|oT$@knLZanU#h z;TLwr-0dJabG_h7W_*2(G!w@vz|Uu9;9Bf_X94ga_k_2*YTsS^NFj+}`40?<qY<p# zqR^M8i|uv6G2yHQX;(Kk!$3k9p7l~^Jx570dGYpzap6JyFRw9^g=|fUk(Qr%QdT;E z<7+stkdNqM^Y>v5)Vn#Ek`7x4s?%8~7-wjFKZ4(Nb{ej7Uaw$IT%A;MqAM{ky(Chw z(XyGD8nmc}&>HNa-N_1l3Nslsm^vN{E(IUFqXCrH%K(|0h9j}Y_@29$%t%fUV9o_W ziy+S}zJB1ny<vs)+KIewlq%W`==y-`F3kd)z{g_lTx`ogloVW}s)dw60-#9hL0~)i z<<nyW2l!=*O8Ht$^r;}+W7QT?Vdo;c=LAKYsz_0JzyBZE^al^;9nOhX=z0eGpcj7p zc%IE?*m*M%exfT;?@ej$iGPZgSU=q{^!g+YhfQsN_dn7T^$~*$$y-a3QJveF#T*AA z0DXRR#-|V>7=%?|hMuGk+c>Dqv9k?ox0ujL21@%@yRcS_KE*-+*>w9Fosw^h54<{Q zU7WVA&D%GX>|4l=EOv7##D2D7aYyHrGSz25?<E{c(b&NLSLVEd<0Qra8p8x|b4;B} zrbxAv#AEE@18_S7!UV&C@}K{pM_BhSui!CRG1OY_+Q8Z+(*K1YfyD4vegv-}2hB!a zK*?4b`*rGnGUxH&G<A#52tKfYZU0p7HoAQ{jzqsNz+-)H$ltd#?Bn}%@<otHYCfm7 zAB0idG<+Sw5^?7ei|O(Fl_EhRjA^pW(hD#`A}}Ak-~Ts?1PI;Uk8~*lA_FYA4H@(a z+7?5FYMKw8YeBjzMQ^LYBV5gdDIo06Ptl|7o<_7--j7~1LumGtksrN8Zo5)rwNWpu z{j0|=-3--iUoW^EaLW@8**F{Dh-r+{{n4r+&XXQ^dM(ag!%gZ5llq{8N12YU!p9lt z30I_YU8*Ru{_X$29{uM|>o5u~mR%&?w@F{<?r+&8cLyzQTz~KD6<iP=6<;B2UcT>P zi$+aiJ!)Lq-EIf*)2^>+mklE@{xH(>*{40N^~lS>tKO<4I7Zv4;!Zdq>JTz7=E!cD z%W0PXAdd2-e|49|OEQzN@lgH|R_9&`0z}KzLX#LKe~6TdgIrKtpEmS9kJ>wKUaEL< zN@nY*v(%0D^0=#X4t<w{c~Bc|t3%^JoBeo`#rp~9vQ8BI9?$dsrw{L`2U@PKf+WH8 z^19%Ryp1ufh$*y+Ypr>{Y%QqUU$6^vRdVf%p&6zZI_lMt?vZWBb!nlVc;O1dbsWNV zK%%zWmyigAol3F$X!UW&1#n@#zfb|ug0Pt2X+q*L`5}fVHxQeN+MIXGA5E2^X%?k6 zgE@3tseWEp+fun`w(;Gx*nnqV>TGtJjD}`(@`NP^Ah^;G>bJF1%t)~3(JHTYtTe7@ zpAhjq(apSthMJ1UEk4YuQ-U#QVaJ|!`aZ9Zy+u0yfNX8%(P+W+8?cvx+1mXa^1))+ z3Vxy_uqeThe`7G-nrE8nwEUwA;MDg!qe~_U`G90+^TA%A?{|uv<K%uk%0kyee1~qF zC`zuV0^ieesc2C^<E2QtkT~BKO&MS$UAUGU=;MK(q=H_JJnV1K2R+?~RT5BVac(t; zKLHW7%aQfr89|*z98`m3!VmdKwc=3c3BPL*`qbCxBBhyfr4{6A<fXsgXH<i4j7$yb zF0QQ}CLQrpl36biWrdX4m@oicDfy#$n(RmEa_Nu5f^vn3dU4M0*Ey>PNhcNjVPMit z)N@@rq5cW#Y}~Wo5DxAPB(?{dOQpqT07m!AdlycYUA;&d@E)W#vU~w~+cA!_e41JO z_uazuPd&xe+LtprNG#c*umCi=1^_cG?8fTpdhr#v2qRP@OGbTQ!Hbb^1jUb*@&QHE z3csp>5a|a!$&5SP93C}LD{U4lYxw3O*wtO}59Qt^!-1vmODr1P94%c?D{mGn@9)dz z^V^^&C_P2eM~;VOf%Qm5B9CN637K3>Rx&YH!>Ao^@WuQc?2PR{F|%Tjmo^0cd%f$! z(hwa0GnJgiIpat<=b9sFFu3Ms^U#p}@#~9Gm9?UmFT~@g@toi1deO@_V#?F_j_)(Q zXbP#X5i_?#O-B6sdAzZ&5jD3%Pe!~tE5~j;s_#KFFN5W`W5XBfbVYOKk<~K1P>(;O z={?nr5L#WE*m9DEBe~+wS$i{$;M2#$`||AZjP+HNq7gfAP0voq7gjp)RHNhtm+kp} zxfxGt*8x60?hAMGNKgv<7`#Ck+Ik45r9&>xRo8$|8i7O(^-{_Z-oHCuAaqI}jsyW{ z94rKQfb|J_mwZp#;%XFqUt^_X|3ijV1~E=EGBMM~D87SMRq&E0a^r&!sx&!KGyfot z#rB7TI#ax0#;AS9s8oiXk{*AC8B!vd1_7q3G;+FNVq~ByA%7AZe-ce30S>it5&=UZ zfxq}nbrt~wDZ~aIsV6kiqvR3ew~nsQ+xq<klGD~&2LpaGD4PgzIi65tF*?-#^NIa* zlQEym<D&+mFDPkHH(6XIKPM<CL`>E|Qo58J5f7EIaoHsh&yiYeH{`3F=C|R65!dp; zO1JkVvttfAN~|AhJA^Dw6pkDbC7aXg&?Zyy$owJ_ob0(k$7om=sfdvW$EHjF>~8dm zu7OZ-DDb7?MBi={g`{NE=)G0@W{}|kw!F^wjp+OZDGt_F*l#3a2JUnl-rdonY5}PR z$3IxJgZtMKgAA?!_4`%0q*ykn8g><$I?CqD2I!OMnsKN<E&>S9H2P50fkFAH8V@KL z7@-2x#6*;gdQd>>;^fLk>5!5nH$uxw2CHu`#WGkByVgSA`Dv=silc2wHy}s1$q@N8 zjUym2hC=(EG(MG*c`Kl!d_K>G5q?T!S?2X1p*xNE2<4;0RHOOjGzETWL9^taxJU@k zK!ce<^G#0(cx<KYwTYnm(_$P_1MyID9=U5qANMMObnBJ2LOfSiMjv0uaIqE=U4!mV zg7%u4Aajvt%EV^@Ub6_k6qgg-PQD?=*<vYn_fh23QX?cGqFDFe>l-8YWmC*<teuac z`fJD)K?+Vv*tl0e7_8<T=A)q)?@5NAinIg@nE<kr0X64AAcJR?y|3L^wchGMN6^^U zrfbw}MjM+ZgKNqeg+o&nH=l{sSrNvmBKo7U8!CkNS}=m5MeVJJ>&h9>FP5Q^5P^zP z-73wYBWOw~m;aguQ3CKdtdA@cZBlb6i^F{+1;KEnEUa2cc$r7-)oDX9{CVPXE)*XJ z`Uon7$q4@7&Y9A(h31!C2ThmDMKA}B0-mP66|U1`L%2}m2-8v*kKj$!4smfF_M0xB z8dJWEXd(TC1O1Pb)9yEQuN^6f1KDU2Y?EcrbZ&3@Xfg_=U224RO^aC~Q@G81_5F8D zF4@Tyl?u8@GzN%uHFyIG*@TtUC^&2)eJ~v&OtT20xoQLiqjST)sp!wWu&`jG!Z0UF z;h^+m$n;|Uj1mkY1OIgjq7Ml~eX?K|1NMA_NFl0DZ2ls}QwvkmH~~1zG`^o9VQL6$ z5n@e|-<qR13?ltEH9?|jJlmZvZ$I}Dc|?f94_UNm?Qn`qWCpT-dM_<3cnvW5;FG^{ z3dE;dnlg?XwaV+K#*0#8LhOv<?C+9-WLaU#u7c!WmNcT0b$1ptBjq*ZIz+ArU@a8A z#=aY#hwiRef@}C~W;`7z2v6<q)b5*Jp*((40!PYsrv{Sv8IpX|$AX)Of}2PD(sy4f zkgW;uZn53{AP5P`s*pJu&^k4^T%kI{Obza00xEry47t5Na-PX*W+;)Q8g>4~;)oSN zt=W=K-Bf(8q=9v^s*(KUxsDvG(}zAZ%cB*8d6}{PNrC*dnZYVhKD4>PN$1BANn<>e z!QHvC?=~S?Fs{}r5JsH3s*eX$1m-@|KM5z6BfXguqiXGYBmnLnbZgL&ovN9cs@lF# z`k&mX@~V-1071))`e<*0ainuKNUt?`T+Px4r)SRMIO-%;?wE~gbQA0wmHTP)@D~}P z8WeW!lnr~d1MF3xI{b7F${*VHh-daSL;H@de%2w(#AX1XWm}PHkV0j9U928!gdw8T zXSK{P8uT{jwq6bBh{)3hHSaR6{hU>d4EUWq#%|p@-y(6oGENMvP(3qlKA@{xAylJ{ zpwwNKHXjm>HwLIi>R~k&2lxj`vSw1-gM?l$`NTOgpnY)8(lHfJ9R2&ct00f2?s09S zNi+dM<@@tXQL1H~GanMU^^>}kW}WMIT!3U)icGPY`Y)9$G-a4Mq=_FlX^f+-nxrAZ z&VhqX>5gmH^hv6b`tlD1)OA2@cxrK(tr9Si77*!{Hph*-hNabL0|gQSIsy}RxU&S2 zj>#Bki^vSD8Y%N3vHG6BFdm^KGIZ=2L(aZl987{&P!DEw?CICWQwl1W=7_MR_O57W zNhG@~5jp}ix~HR)O<2bgs3`}l^IT5QS@mC6(I$RUGl{|J7W6S#*Gn~`5|yGf>7v-M zv6sLi^V6z3Bx95d2TJ}yg6sV4xYISbq)b$bijvE~8%kON3(lVqNENX=9J(1@cgiO* z??!OJaB15Uj6$Aq*XZ9lxZIU`v^7+9N-!$A=H6yK2l9i$S_B_Yk50=Fhv&BYYY3yz zbqP0tQ!K@`aVn;mKv>~hkRPpE^V4S$(;YoqvHV(VE-sT{)u_4{^lj(fT-)B>W;k94 zPq}DanpjC7b$?#dm>4Fhv-*3=bzW&MN|%PCr@>|#v3Gqo+1_Kee&#d%KB8fFLYVui zp?p2q59fq?-li^yVBcckzKeJ_sjFAjG~-qgH0sQKkuSmxg>}I&AEC{ZpG^m7+y-_2 zf1X&h*f{?ibnEb-XcedKcPD}M&bDB#){3sYH-=Sr)k+xdf7zIm<=y^-Kdjtc!Ezs8 zZ9E#tY%_OHXpW%gYySJvTr!jT9LXtueQ~+9`k52c1AfBBrP&;BoYK&aJ1=ynfpyR) zeRoevNqxWfl}9(6+0y6T?dtAW-;iyIs8V&#zouOGQP(n#fNc6d^gqsaV2Rlypwimb zO@W%*w5!EcYGJ-mWylE;9vj4q5d5_|hj?iq#~B)BdAvlofkh<yK`9xJ?9RYETpXi| zw;Do!#~e{YClaGbJQq)tnS38rU!Oy$uKwQ@<HzN`#dxxfb`J;b?JSKidU9m%h8wF| zQq~#QZR^2YPRH?fmh;JBzYiSC6!u~sw9iafipO!Uu?$1($n~Kv`@=1FS>kJ%2VN>{ zV6J8B5w@JR2Lx4bo)HUN8@Sm066zzr{LpjP?RZ{uUQgg6VNX_Ahi<4I+~8j<&QgU- zeA5s_|G76eMKmyOIM2g6n)!qQa@Kuldj4;e>rOSX;%(pUdqBZ$;^#1znWIOtHQ2j# z+<`8QRyS+5e_ERxKL^cnv*{Z;{cCM*RQl<__G^Nxtq@(G82u8aCUa$wS(hVmX)tZG zwWZ@I5}hu_UE7r`^(a)Nz|=8<eZ3D}!*1lN*mdM887B4J=F%qa&(wivY5E@XThxf4 zGa^YPJ9~_MI7@`#M!+Jt(Dud}2+k@2(O_Tn$18OD-K(ht%&vZt!9Y;a8w}p>H_X~4 z7%GF-mw(sd1zf}?gZ>7%4x31~Jp%f7DW3dqQat*9NbzIg1AR>~^h1;(cpYgcF`OXu zk?fJR0?*Xk%xs!cWX~6V)4K--^VT6F%ppeUAm=>Tuti6UR`f$(X_LGZR6JI&UmM_{ z0o5>fCMPw2<y-HsWg&7a3rZ__1}-0h;I19v;%u82M6bQE$AxPN<Gyr1VgUzCRRwc1 zjGB6MJ^X!TPOnXiRpY7U=){Fi4ok?0YhYWds?I&S?A*&0B*-%R%kBNu;MwKty+owY zzhgCwiMSt?P^2O-(G}g4+%~`kLM#X|x$9vBkJr=bXr~Qf-oAzFksudLETDL7j0Aos zX)1(OySl5F3i%qwBo$gMJ233}3$M*-?2-WC592_Hs!JePk9;~lOYc5UWSEuN7r+&t z=h-XP_&l^_j4DR*)XIb-I-JS{m=Mgws&vI-xxCaLLU?5AQ1VthD)HyFHkhsFYpWdt z1vzu9!;hV-)SKe&BG{b3!CzdH*g&Pnd*NHH^{^j?Vi%^61sovB?Xr_(`3j6DCE@E# zyF|dcEcqnVbf07C>uYPjW`f~q60f{^zg6g^yU7I{Pe!j;)8E)I*a!`;M<$MmIlf#? zM_0$s$PX{5;uapCWd+Tw*eL1<m~;Swd*GBY6;9bh$@^>Hbv}i}Hzb79mH8>9ctbE> zmX*@&mZJtY^lQ*N=K>{-!f;`$rszX?uza6bdK_vTgL_aCjB7Ez;L4UDmO_zM(;OSJ zAT)D92!HH}D9=XQ^;bdd5K5d&e#ic0f>KrhU6g_ZBJH5;utsveP5_EdKTP$SD0`jH z%g_a%T>a~`3pxUUD}4lTh*GN+7zku;ub6v4L^vmB#(J_8?o$1mW2~kFHooJo6IQ#0 z+!ZuCjytsVx0S{xF!*SeSVHWMu}?coi{W$Y8(*FOJ>|M{J58##i>@DsH*U0~jR!AL z7SS)vh3wI=*zOtxZ)GRP`4rw&Qd~Kf!pe%+Ah<I<?KdDs8%AiW_|vY#UGR&+-N2=Z zBk(!!hP#u)#}+qatj_ng=2JVji$y#lS3IOQc(btu!L*B&O#wP~roucpO<aYh1M=OS z2_rxNc)#WN_pd@UfF$T%K(SvM4M$Z5x?+oS(kvg(x(VuHbTg5l38skhLLgeikEnx^ z(f@5x{@*dzh*p+i>tYXYjoDar5BkD-wb@?1UgxE1WYL}S^hp#+Q>pRf^lq-KM6x=a z1V=5$v%+{*KRS-^sAj9E)!eSXpSAgB0YAieg_f8|(Qvo!Q*DzRW`Id^zmPsbj0kWU zjwPd_=r!>WxzlP%fol5pt(3^21<7@hOu)FhL<nBrubc4|Yx1Q#Lky1ZccBY#${Lzp z0xH9$_w1XB+apttT_	Y)CfXgwV(;K6ajZjTQ4xuk1>BfdEke)aIWZ47_Tp`GgDS zG5Kg~&7yg$F8Uy7Cr@E-e`AY508|X^6ev55l7aMrvWSS`*vNG>n_Mn6@i3FabH?yE zHscJi)VLzPeCA-taH=jDoqO-6ebKx8J?Hw;=D+4#xAH?9GY>(Vr>6OU?qTg@p<5DM z*a%f6iA3S#a1cfQ0qj`cVOSXkvE1bxcpA-T1GaKx`4<hkpH#vBuYj&AsO$ehnGY6m zF{2o_<=0}gO*75Y{(Oag>FPDz=6=}5oVhxw<ib{G+;~Z%Vx{7+{2p^wi};TM9lb&` zvv3o-Gk*D%B}nO-3~XK*v(AFpUf)xb2r+-Co*w>B0%<+fH`$w&?PWTU5XQM<k!%O- z&s)yt`WQSqPqzsG+b<UZHq_vnUIr;?fIKxI!Ie;8nCMju1dt6bgI7gExaNSoWj|cw z)n}<19I5_cMoO|2p3fFV+o0hMf@|_JE31U2u#&oPoZB~jf?ZzeXIMY?S-Oq6Kz+J6 zpy1QcE~HlyuT@2CiWA_s)W>d3)-e}AG8UZ~IE;fj(~fRHs457zpvh6)$aK~+84K_I z+)zNYN+lbw$6_igd}1Un2R@X=W~2UoxX>dv83@9i?P+XtOd!IL)(rcw9P@KBQ_^e? z!3}3ium+};`(q2&hKK|~ME+iCb`<s3cvpqDgROX}IhN=FMbfSk2@l!i`<$Pr+{$xr ze<58<c&Pst7*j;EHb)KG@PzbXxfM8?_C$KGX(ktBb3ZzgzO>LYkfxEPHkBe$O}zTi z#wa{~K=ymqROmVK3nchlF*R-*D-2^T4oL40asn`2OI4dS7RDqv2tAl*xhG(3dL*ok zA&fWxpVIlZ-crUN_>IrHz6ZZ*7!XCUml}=??B>9?IrPga<IHR3<`dXVm~)L*O<*8g z3@kTCsPG0uo2w$h6@5lr^lFHaJF^L#XUU#ASfru6t-il??(pE&r~k98uLEa4!v{`Y z(vyw*b-fR&K%%bhP<v2?{ARmFFn7ux02E=$i;s&Il30{HFt`ThCY#1#)^4YjhOpk- zz@-xfAi{;62pQBMHNd~*%+|CKqhF741xyK|$g?;iV)eTYdxxra`N-F)brYNs9*4d; z&d^;7Xv2SbA_-<Z&4c*+IqaCyFzhaOJAdD<jcR$UuZsRP%%3ACxUx3z^9^C33HW?* zGlBFSKVhBo;xT4Tkd{O}LGHsqp9%5v)94^!8SA7c6?kW&m60%({9nMK@zq!!>zcO; z?!ZTwi%(|!piWN6=cA8o&~WXkiJYhd^SnoiW<(LxxmZgaI~QVPWoG{pFa4*C=tbku zI395~=d2H)%FyMwoR<PL_t_p;6QFer^(vzt&zip3s1?m)FkK*i%IMWU0JLzN4cgO| zExdgWb|JrjZZGyX2;rZl9Y>VCsABs}>d+nKg;1lH>3k=2%1?&|xO@kJT^crS7MNm6 zmV{cQp9pQGn4^tnn0&RO$Rk*J$mw5j6nD{03O8<;ItiMudp4KB)iS<2yIutP#ut7| zv!cWUyRnqsf83*WgQJb>hKGcLeI-RUDj%C)olqFtck|Q^(#GzdTLy$1+0RM;AX|0l zcIRH@J!evQ-3d<K@mEtV4;=wHzS+~mx8NhE>JQrVhizWoJYMMFlpq+&%pAH$fT2Ks zw=)O`tw3lq%&-FIqx`~imgf!BMg&&u`a1iU&`3J5PT-zmVO@|lciW-&0*u~55(Z)| z!RDhe&@FHcv8_X5T4ZN%IAIK-H6sxQdV;MCxEE3B`vc&671h0CuSY0brzSy5NuQ0e z^`;B3Pn?XXO>8I&11r0Axe02Jn2LTFl0%#T06P%K+EZ^}pJ-no9Y?mw{?WUChgK(Q z0n5I;>y+-NpgaG}j4W($eM1)=SiaiEjq}pH`h(uq;Qog7#WeO{`lAS*I|>tdpD*O; zoXi_n<KRzK)84AguDjd4$Ja+@Bt*I0b!ec4kx!j&gf{r{(CcVgv~)IoY8P!FG2Y{1 zyf3fZyQv>Zl&T>yD&r_)BGmhJG>cVvAsuy24OMw4XmzR77{+L)tfNWjNIF^PG}6RG zq}>3%e+3mLt9m_ZQsqwY>+24zdb+f)ABjm#9dU;-tqG%@tthhPJ^i=-ccE5)S#qQg z$(nhnf6DHK^WJVb66*|41<!k>a#<Lu?neaA>!2)x#hYWLj_Xrd5%2!JFW$AE_$~U! zLmHJvBf4yaIn%LPIr>CKr;20E`iKkB8oP_W%7Mr)MIUepDf6M&07BABLt|MDx7t}e zU<D-a*WorfdvonF^f1d(oZaf;<!JZbr8ewG67viUU*j0AtdPm5(r_2nL2BPxSm71p z_P39GU5Pn~5I)gHlT62GZaUT56de<;f|1ZpJ#J@1OO;Hei#DBel?$tqo&7e&i{S3B z`veW~LJ|MX77)RC^3y46U>&W)_`+YZiK2s{M(}tC@<|Bq-<Q}l;pb6uL>sWrGcfJa z%p!AeSBa|eRcLfZ(cXSgZH!yYO15d+MH~VR$3>JJ-5;FqJ!=nZ1dEZwI%}P+j1b3x zr`2Q4v}$MwJh>sQ5y9S;$!BdFFFBXtRP;y+-K*mbNJ2;;8((|IlD7rTQt=#DRw%if zl{-zzZs}gnk~qrjO3M<<T2&$NF2PoCy)#8@%hSjzy4Br5($6wwti8?}ZHi9`&TF>s z1iXb6x6sT1g06@)S3w3^yTeUF-576bP2G*vUHhqX`lR+X>+>yTYSu=>;<E5eHhx~* z1T|0$4@twMI}fqL8(JOVW_5ZW>cbpo%wgcG%~R4+_X=`ntsZp5+3{iIk~sH%*5XId z<C3^G*Fsr-s{lL!ru+`DPEIwv1Wzg>x*h7+BO#Yud=z$#c3PGe9AK;TWP(i!MOU6= zR0rNtVoW7#2yplCvkAI-ZZ0I?J_K4#nPe!`%m^Uq5a_u09MVDN;Fd88H!7j+_RW7G zR-^iiw~KHKOB9w@V<>NYeOD%T`_}IUazjSQO9cIF+&sJ}gc0%cc$I@gj!4Agd9I4x z#b57V#RNDZScMg8$1sQfKhn-IMwFmi*JInZZQC~Y*tTukwr!hxyvMd}^UQbdxi>k# zZ>Kux?y97d?n-La!u#AcMyb1F3EPZdSn|M_(1q4{^1!gd3u78sH~()C8fMm<2k1}R z-~P@X!|Dy5YE-)AduqI8UU;jKq4hScEDVGEfmIAxLPZE<0YIQ&l{1(Ymg^kUgYCV& zT1SVpb{?PIz1q^d(^`x;I(Yb)xUkWIgIT?-=(p1vj5s{_IM=&3jz@KU?ig{u5%)(A zcjwgpAwA_B6g&klx03L9z}0l;wEkf|LLD$N>g7da05CFe&39?8L;ZWK<uSlhdN8ty zN=8NZ^xLOJtL^OWWgeOTfu{NM2)c&^tVPPgFacmQ_tGV<^Gtc-nL+_4p=Kc&1F(^K zPv1)&8#wM76gt)zem=|$exv)wt&Xhb65J85Y5;w>%QRhOYgsX35-YVw<jrA!T6?@> zI)bA8rks9r&F#@n_F9BD$6;|iCBS1%3m}~D8p}~jgd#FX$dsg%nbWz=_RMu!5Pqz@ z*~Lh#n$vovT7x6zgR#$yyEk~63(0Gx2F(g>wl&9Og<ExqG*e>@@J(t%L`KUZLm0!B zMact9+M@J6NA6xg50SUEAoLO*J^+N%^xnxKB9dSEgRwKnZ>KAaWfD>!;bFJ6>*?XJ zlVUl3lV&~J2z_J`4kJuwR2HA^pa(jXz&)T5`U7k`0(NgGb|-02&#MQw5Jk68{r*O@ z;bbI^Nj=1wN{$&D&fztQf6e!(1~m~zTWJ)_@53SPR!}xi)Iwdf(XC+IA^vQ^1ZUdF z3FGiHjzrfa7kgEN3gX!U@zjTi5O6JCBojolucPIYF;|dy_DX43FiH+8k3}S^>gg&r zlF$;YZDf4RCh<_u%QrA06e9%6C)7mB^4T((Nb!)CW49bst0)3;G*vk!;-cFnXsAJ% zdPzF-=w)Pwfz#0+1vNXsqP$wn!&<2G^W*pd!R!uMifW8kbyL`xlv#^3RN@7xtgEUW z{=#$c_oCZ4ilH)g)glW%UzJPs4*RTA`~QT8Le_IS)9~e+<i;vg7X)WS`iv-0R3)S$ zk%TvoiGh(J!bkwa2N@_*h|_V37NUwF3jb5%(xx);r_pb$EXueVGOqt$p;S!%aANts zbS*W5Q(9o70BW1INqqI~(GqYj54cy7x)>HmEY5IW!zs*jOqI@$qv4luOVyHb4}Z|S z_ztnhgOnKeZQSs4x1YafX}mT-vrw`c9F3$8Q^U2Sdz3W+*fvMUi)3C*0|Z9a%1S6~ z*szg>KTJuHgRz!@A-X=$E*}`Oz<@Pj<--E0vXwxrskH6{{;Xa(9Rm>m2pcK+`y7lG z&!9el@;ZX<tTZt&YwZsb;mZBk?h`)-1qN;fVeD)oldIbSV}1VTa9~_A=#fi3fizs6 zARkf3E_tSDw&C*suuwaMGD(^$tnW~j2qLA3I>sq1GYX*7-6B!o&r&d3{bdxC6j`_X zu>%FI?sm~2*GU7lOlHyI=o8vCX?j&mweRX-A;n1t*-XUH;sWDO<#?c!Tnk5xph-*< z!)cQRxQS8uNxL>8WIn~D4s;Ea9Y<Y}{MND0<aV*ERSqY)nbc^4ABUe;$3vN%+l}lp zRxSWq`xXY?B{#ZLuoM+~QLfgxp-Q#Qx7vniF2>;frGM5d+_e;K%UV%GKJV{0yeMIg zTnt}N@+uo!=KDAE^yhuPH?{As*UZ33Q^-EmRzxIK?-Wb~3K1pN{r+;&ws41Q3|}G` zy8grt)3lw95t+szH2t`dm}b<-3?EMU2u-Q`i5sSFJR2iE_#-^r-bhR-VHe5F@VtLu zp&qr$YYuz&s2Mt5MYfk*=d9gFKeY@#pD|M-KCjSH{HfZREtY>+ubTz<JLbEke-$^1 z$YgpD{xa~Awu=TbDy>S6hnAUxLZ%2HsbG(}6Cdva#2&s-gGw<bDu>Hl-jVB4Yd#=_ zatk-fC4HElVNcT%Y!&S@XZS!#e{_-brag5MXU2Cl^XEj__U3V!wLtdK?4NM$?j~J} z+6vxZk1dIZWebIG@R^E4nNMIVt~S&9fyh1!$nd=uF@Ftc5)S)JpL(`Xpa>Mi4@FzP z+;#wH?z4ppU<+v9p#sG65s1IE9TFCgb$^k*D=){2PUl8Z1lRRw1sng#Mdl{RAQnF? zA(4C_l%5()q6l{CQ48L~IYr{F%P1DVv?!63l?vKk1%Bb{nXJ%BBvk$^B~o>92+gNO ze;Xq?jNe004qp4U1W(uY)w38#tLjT6yex5zf0&g#PS@T6j8F8yPcPXrAls6O6(&b^ zLda0mQ0+~VUvsdPF@!}Q)Nf|+y#7I#+J^L<OM0h_#PRJx8LtJYzl``n30cOvs!0`E zLj@I~1}~;)T#mPC`R$Y;_!Hny-~QcNV8paIuZq#gg<3TfzZIlF8hS}5wPd)9{9+Z= zcEZr5VSZz!g@lPRu1UR_T8(3LGqyaI=~32NDa}mh24(s-HEfbJF-ao)OJq9|KSuYJ zBdi)d=Q}ypHw_+A=Y4~1EUF(ILLh0t=f?0oHwGgNOcqnpB$cs1(TBRLq%C%oX|{|? zS5|Aho*+HHCXgIMr{;{`+!kCtGjcbq!q-xCJDlN)AC9dl^m*;ZHp%d1Or^A^OUs6h z&6z|!$S45~<!Gbf5B^JDg(H-XD}_L{8$38FJIwa6JVKvcHG$r@6I-v-g!9fc=sJx> zs%@y(X0DT`@aGESVjh81^r8rnn_7?jLJOZd+c{vI?(m_u80hG2$lBRzdg{lWy&~Uh zp-0rn3h?EgtJc>j|005Or!!aMVF{&t3W!cgIzi|J5NsA9J|6gX0|StJa}okpGeud4 zQ8C=Kgmb76HBd!1JqeYTBc2lk$X%-nv6~ZB5RY{G3dr5f(4Bfp_%u3S{_<?oF+X23 zfFZ)&R+GDXIsqRy;=d`&f<t|&44|^?uG9t@v*SOt7<7B)q04lqnP?iSmh?T9vu?<4 zZRa(nGYx^KP|IAPcQo~p0$e2ObHB8295n^eZbAZq;onS+cU0W~wSKrt)9;mnQYj75 zy?2P4EIM`IGJzg_C2&q%dW|uEnz~(#Qbe|hQm&3$ZPndU5<}ty>D|JJPee}#sC3j) z^|eTf3!YNdvq$C$Q9o1ke$5D4ZwM#pIwlyZ^qNIHc#kq-1^hQ9Rgh`kJLD`V?MAjg zs|sl`I5T)NPj{;r<TYEd0)z+Rh8K}}^(wo%Lu+N$!=O+v{7A#l+Mu&>$r`(ax)y}8 zck|U!>`E3E9Ke`7n2Cd#N>CyNN_~L@mY{MxE~s$fl=Kb6N{dPu!$676RiXmH3udF~ z;-Uo?h$4b0bq@v3+&BolRATy<j&xArRm-RhMrAo8(T1&(<3x?dlcb1^dNvJw9^!BQ zv-<wV5!&GkuU0ujsFaoJf*C8)XTr{`&LNg@wF0HiT$)Tr5evP5a!Ci-qYX5v2hBj0 z1q;(kuzIlzYTOS@D)+dv$nSb8{r1tRN2NXfomY>Ii&t&@SB?SaO{z7nsTWYkY~w<$ z@s(f>pu%o4V~VZ2n(O?RkeC8XouRu)j@rUVhUG@L>gR7PP#~BSOKC>a$Q2v6JU&$< zcUaUJQ9!wtVOJINjhI0!x3Ryd1{hBhA({{k65(<tGg!+B_0GQRUdKQseKTUzTN2}Z zbup3i%J>>}4JQJCgJ8r084rmSWkliO=t>GH6w#{hzN(tA0LCHyj7e6A&46&ytcyX5 ziz8PCREOqz(A$FrI8()TA;3*_DBDfc@MsqnQ{$-v$HssK;2i_EHI(U=QS$FURTr}e zJ5p7yV#`RVWWc3%!DHhTg@%&HPzCh*%(L?{sMxSeA@VB*EDA`*TCi=$(^p0e*vp!d zRynT1D0TF99AomKLv5BE)yk9}GCgSA1%s!J@i_{b)n}8k<DM{}Oopu`2pCNySc;O% z5)qTu%MAgvBA@qKt5yP_RS7L6#qMhqkMD<1Bqbsq$`pU!5)S#zD;XZj{{(wPV{!uv z9c{_Mr|jFoa7zW8#sL&JboJ9U3W8FQrLw+Ej17lL&G2=HUpsG*Tmm^9kxYurB6saS zC&<*gi~6a?Y_T$Cu2w{#c1C|U=+oKSR!MP3b{M_BV2L}ROP!+_9T3G+!i<$e!?ztm zc_@;y=jm^_Jy5NFXq|{PrM8Dhd=bN_vt}g%3ij{mDyQ?&EKYGL-IHp>{|S?)c?H!_ zh;7=<G~{DMgE=C)8GJEGZ}hKK(&#+5BSzndi=!-gVmgW(Hnf2I><S+d9}9>RplRIP zD7!sn$HIek$yc-2YYG30i*qUExp~2ls(HD3;4;Qd#JE<X<3(ddTHrODh7U-gV>56` zlA|8&F9Fja@<Ja^qwPjv)KNQ7h7KW1BOeBDxB+NF%v3c(Sh=!-!mWK*9Dc3O<9J+o zY|qr8(3)tI1cauwsp2bxO@6VzQ)h8u>=#(GlyQv(K{rm?;<D1@hjgSA0Glk1WO^Ox zq#z%=#Xq&icSh6TLj+(}=YM$So+w`7c~eYW?{+V7tjd$2@bqi@d%!-!6S+s>DEpzf zw~ZtbFWP?cUFrX&h-_aqsEIrgHk}Sb$Hjp-&h_bO$cUA&KBnYocl~~N5YKzPvBSWE z5iQ)!__P#t5jTi9e~KKYA5Yp6|C_YqFH`LgxI%@ThjE(#Ia2nO*mvYBDNom~r_}$~ z_Qiv*)t*3Mb26~e>cfsMc4qrZ4+mDS&(Dp4hsU$$=X)B|C3t=jZHE;RGK~Ie0opE; zhJTQFjfGOUFvS($I<wk+ve+3%n54Rg1#|dWW&)g4ElOlSHc3h-lsXq}PbW!yMi12{ z>yf&WxX^q*Gz*D61AnP^yi=o{g{|;}ADY64wB+$Wnwy7Z(REf?$L^sq#$Jp+hGE+8 z*+?;Qc5$V&*X`!My|9<9w{Y!`oGJK|SF_D2KtgZ=0EA^~J;Dnom)*RBIZzJx8cE4x z)uk_HED^-p_=L;*P$Eg5h}D=~%)vBE!9*h`f%Y1Xbe~f;;UGQ_1^L?tlQ~~#fe9v> zv(j7N+#tju9Je=U(b3_evA*;(Nut3m$!N=p4X-s1#y@j+hiwEXlT5oi5)^!VVBR1? zcNSsCH|@ejs68fK{$aBFU^MU_7o4+1L@BAR$D(bfQqi{@t)@~5<|SKoS3J_1X1W9< z4Lq12zdd3GYEeSv^DD@t+IPX>wi7(pk`<=XV#>kYEPzcyp8~1X<%ov7rLY;Sj5jOJ zv80;>9q#FMK3*cqoS;E?d(Yvor15{aS+=!hRG+~jN*%AyDLMq_5z#q8KoB_pj9`;w zQrR=F5of61B}QkGjU1;mhz@queIo8}QTw}*hq7BGiB!?(b@h9f>nwYJ`rNm?;Oj6P zYlVaTR)+V<_C6mN^@}6GMni};Y_wORF7Jt+C>ngdAV${V;mZ3$3pMZnf7=A_|7R2d zN?xnPcd8c2mF)m+B9LzD`mx7aoJ~^k6x#Uwb@wgW_&qUCB5fiQ$sdcC{WA3l>$qe% z{cw=RG_t^5Fv&CXVao)HW>F={V*8OKqHf9iv5u-jo5a}oGBW)?;c4Q()__>8c#C2q z9ojcno0MElL@aJ>SWDXHy!%Qg|KL|*F9-CKu(YkMEXM|^&WxI3$5mpiiohcP1cB$e zs$JS`t&N}e1{m($2TzMFKUYokR^qjKlk_5DoVa-p2742mbZ7Q~aL5o-h-uMKddw}8 zza1Zq)9vXX(~f|4Jr6LL8z2wk?hYdz2GmII>Xzct#JO!6VbzbA(B19-nG({>yF23l zZ%Wi1-n6UF+o5k#Q|=nLvBrjd!P=zI+)PB-rX*wRIasG86U|9=(K}`q51UG!-G&Ho z7<y2JU!^3+idLhA8JhG`K_b_>D~Y^F;c+^tHmT0121hPIYoP6OOE)5Ay@|IdH<EpS zaj{F)mz>jOU(F5AF6`Z)=FwVAW?Qi=_ox#QaSq$9T2$?wQ4!F4wH4DkMWUJL($fQ& z2UKN1z!3Oyq1vT2n>;yOVuvHhd~RxUHxfp(vf3GP?z21ec<)7^?zG#A$BqJKGf(E8 zClN+aNl@BzD)uz)MpDPM`Zb^dYjbw6E~Mg*rzS(d4m+8yLy1|LZo-#!KFnbNQ_iPH z*+|v+=QLZpBFzt(80nJ?CqszVFvGbnBG3(L$|kdRN}$eNj92MqI&yni@X3Br?PoQZ z)P-;Hl5)I&Hs@43HgA!hD_wm2%N5Y5lp1+@YkHMQhI&VWaeJUQ(!-zrTEH}9sZ;o< zjX4Gx;<#{f{&HMU5Wt?4vH8@{{v+D0;nB5Fl)n1@#Wja;ln$gyEm0EfqF&>!?Zw;J zE;eNO__Uz=Z{CY{ID)=$MVUl^V6q!vz-Z%g&+Y|c<UTD{6sCAf)fHYv_e$-bR7BIn zjNVv65{kzM&ylOAl-FOPxz`d%!uBO)(MR(Zca)9m3bNUb{S3tI8;?Vp)=5qAkT!3Y z0;pG@<8+Pz;}YboufN6wbukg@M!L1`|4v5JF<Y-Qm#s^%d9AxVIF@y`vI!RiuWJIb z!`4ohTTPGsq8XXY9zrHp$>%u&{+;s88F7XZ^lq#^-@Fddcj0Mvvm`7oL;D6<FJX># zlCd<|)o4Z<6OOC{p$G6FMY@d8i&_m$YyHQVun*);4;H+K*v}R?GZN9}!7SJ!^Z<In zbaz7OHyYOB!P*Q>7Ts`;CUh^A=>}!P!iV18FW6(_C|!9sPVCPrq1pDgCNS(zLa1kk zo}OK`k3rO%;uj_F-98oR(l>Y@vXnHcDiKG?9834_1F_z8B<~L*8DmA#0ibj+DQxcH z?Fk<zJT-NunxLUBd>BZUyzq7$TCN=5&$HV7RLK++Z+zazbRKAc0<2K-uaKk+AyF20 zu@pbeR&N1=TeYDC2A^4hAk?!=#n$|VTX<J!+Wwxk<@sWWPH@$W$(&)!ovET@+5yPu zOiP``!Lt%aujHeALRw8re@65#%c}QXpd(u9<q7BXB3?DwKU7>U0$$bHs~?rM_6YVx zr>gD+p$fKw=LrED6eqZL4U4Krw{#@6?~V5Ye=h%wy&hsc%j8wFFk#UJ(UE&AI%AG0 z8s-@zb%nXyo{g`KjDJAyf$)iD8>y^yg_~H@D$QZ(Ius9{TJE1#qHGnp^)@01wDsdw z+i;4kq?aJnjwPpV>_b?g7_`sncn6Ny*(-hMB69ld>(ZMRsbn(MnJO4$qdH`hj*)T) zX*0=JMiJ1y1V1$sB~byqyL0Gx??aaXTd^^VA;dz@tmr2{K}w*lWD*lqEhy!aJF^A> z2;n+J8gzUQo!yP!bpa{m_uE#M7PEmDT@;ch|D*ADP{%Ri2?8g#(+k0X29287+XUdN zPzW-<NO_d_h&5V801|WB$o%yL5BYhi+S`bTb8UU3dGNB;&i%(p{2f;p`f+k1ZiYUT z<TfxU(arn6Fp2KwTe6|;;7+C-HCpd32-T5Ji<EQM{C$FEx}&+mg9r5w5)VBIeAq<^ ztWGvo`?P9du;77P(h{&`>X^VVr6qBHpY6M|=7?ZOXVFNic)P|+_(NBQe`R<@{)87u zh#-XHX&ea-8j{%xlDJYw8khfW|Bl&TSVrcr&S3RO|0Ff0k**IJ%py%o>IxbIF|Uz~ z(kD0*X2#P_SZDM|VD|KnYGP%n&<j^X=EP-CIG7v$dD%TNMChiVf{wkqdU;^9Hq|+c zWgKO~65LDNN8W{Z<<0aCMg#@-%3)5&s-At_Ckv8|HW_33yR)yF`j;#%JHe<-!jWrF z072e&;vCqWgpIFUa0<m-fuE0)-!q9y-PzmGq4npQ=l<=#11+C;9<)iA3&3j!M_`Fq z8BB|5c_Q2(`wMB=r*e5TFnIaS<fy+SNSjO~S5XZZuZ`5PjlWj6Tm)Lt-C+<JE9KvP zzW5&WWk+oH>^FfK@NDZKo{+<C6}mixu@eJG5D$$#2noF~G^5tpclP@jlk)PfTS^Z| zZ`VI&H|t&NVyT~>SMqb_Gci{|_=zT2VTfzN@vvPoW0DfbQ=~X`^(G2L(s+`idvf<% zZIxY5jm52`68dEB#~@l??=CK?E~-z$C1NDrYL+C1|D<9BAFFZhj3h54*mr0UBipPr zZoRrEz+gaff)l^*bxC<`Op}qKd5nV8Ls?Pi>Fcz<;Y6s?;2O-@3Iw(RJ2n(ZZ}JbW z-uOpa7HejJhA%m^13oV5uKFC!Z?Ck7$rVS3?Jnf2nh^x4&jhE6s~%O%t5P$_<Lw$+ z(gRf52ZA*_jN6V{bwrTGfQ63n@XUl=mcx0*ZWGhfD;I<E2iJ{Wd0h@WNlfVa<M75r zs@m{n@ALzU;!<ic|GE3KSs^GuS_73dS?)`Ms4nN!>>H%ySD?~vB`mdV3Zey5Z3<r> zqg<14$cq2)@gU!C*hJI#=3+Nxr0=h;gkIDXQPNFG>q20}4;b&5hqM_Cl%I3CKv`A0 z$_62-A9gAvuHY!s&AD^r0grwFhkI{B_)_)gG~#|;mUl_<ze}o^v@P(WXPH-V+N;`` z9C<ylb@9UzGTN^FhQT4k)zB0ZAk*Yp;B@SK5y)mehoOp;UrrgtF)%=S!+pRbWq1r5 z`myp9fAEkkU5#)5PP^?try*T$pA-aGE3n>supu13fauCoy~%LKZRR>xdgnfREr+wC z80L7zQW=8<--thkXe7qj{S(nV|Ja?t@F9CAIez!gb6(^#m{AUjWzaD(vdnQ;pYevl z9g1&=5Pvj>+js>^eVZO*`XlIs8PmgH%Hbmn9E<BT%TOt1Nh9TN9pF>jl<HCBtjoyD zB-A{bewx;4;nkZ@`G@1$De|~JZ7izVW7}#{6F{(aJml;|e3GIgboHs5rf>QC=5Xll z_V#KcsSUP}B{_6+3|}lWjTVEwu$K0~M0&7Kyp}dL92FZWpO!ppFf<tcW^O#of7jgZ z;_*?%F@Q6MQl0E%DE)6Zdu9x*bRQ<r-o4b~pItgIYk5m+po)`)qN9CooG`XYys<U{ ze)oF@JZC@Q!8}-YM6*=uHcUl(@Bli;&osUktOvW*5X;O_TjivSL+Wvvffvr(sGvrJ z*#Ve+gcuOvT1X4Yq=QKr$Mrv9eQA6|6Ca`A!9Pq+33OJ=e`#@^p-^O{rKo*6>FPJV z_emR?7Y|04#T)2}pCvlN$Y1y?R;fD-`_O`Z2s%#GziFZQd_IRr_0ISwycIM0>_5db z{3w|4H>KR&9(+R8-m=P5XuJaXz5y*kebU6q_fs=X&V78-V~5=o=@P&c4<86pWuYkJ zacVy>H$(r>UUAs3kE|5WxC-zG4c3xQfS({NaE?Sl?g(n~yyLaaq46jDB&eZBdPBP) zo^<66?Px4x&=|m`ApUcw=L!O~^7DGW_JhP*A?lQ`_ZfN!|16=Nq+Sf=%+WlS7_;t! zDqp|%9|^FqU9HeYQf3KcB&PM{I5Cwt=<dc?;2d;Rrf)dvOU+24Olp&Woj62Z$zU>! z53$fJFFXt$lte?1UWH;s!HF+Kd?)1PCC3RWMx!c-SY8tAyuv0nf|&@Hlqycxyn~7n z$*3$Bk~$|*k(4U)ToQeusKYJwuWxzUKydLmT+O{Z;W=mGr;C`cbuF(G<KGdzZpA;M zOYFM(NZ=m8_%wEG`-)5Cb}dYy{rGAYLQ^o{difkX)YZmh+Y8Hfuvm2U1~M9mHS#l? z!{%Io?o{%zI7H$WzP4Zc>5|sDq9&Khv98}r9MRy&ceQ`5B)JenM^bhPF9H_+OHW?f zwdU-OgEv~p37ggHjbLNaxf)Yf!{R9Vr0XN-@G@HU0nQ7}<u2{Va42Ogu{_+FyE}Pv z4!Jx?ES{Y4?k+}ui$_FPB>r+e&MI~9d5zK;y|1RG(msbrAuW&~<KopV-QUCI4ZA4Y z=kh@4!27U@x>z5M+QEGZXaA(b$aJsm{O8&rm=);+_?7zt3Y-RrSadX8G&csRevo%u z<T9HG7Ogmz*_ZU}bwFv1(TaH+9ttk_GaLpha;3@0(`cs1pA<AYkNitqYPGc=HhguD zFC<!-A8MR5?khE^$^HoS-Tn3XscB(|(A6b;<|9W+UlEanVUADEVG>DVy2!8a(?!JA zy&vj@(x<=xD^l0qu(x`5>)<mKh4<@Vdaua6WElDY=|=((S=Jtrd$TEgu6#Bz{w|92 zyVUUc`0!P6LP?3u=^n1{vnE7FhcSxLwA~C7c%429qs!~)hR}cosucy2lf(C;ErB8Q z$7>v;<|_{m_N+EM6o8v&(OjY)yRf)?tEBp>#jF;vn~jNKzq{{b!JK*W{hd=H{m6nU z;3S=mtkXnyJ#*Mm+Bm@Mo8?zhhZON|E|=3k3~uN#fA*5W(;W@5i$RCKp;fA~*zRRq z5X>`X8EgJxJov^q4PF|wVKs^tON+c!O>jMysP_j~9sRaxp>>kNI~kH5>P`1V^WRpp zK<=a$x*PaEx7$}YvN+g59jOPF^K~0c-{e=+UN+vo{OP^iBix7`8)J$#6SlW$O?m0? zZEAM~^IwW89Zaz5ZsO|fRptp(6jyyvzI6lcFS9wv##eB6)$`xd3ryYM>p5rdTN(G) zpU2TB@NL4|@3{BwVcQMdet70#pHJL}4{$l>+b3b`IT1Pn{+9xQ$81}NAN^cMA5B}X zUJV+}bjNh%M$pz*Xser77jXNwdYH@B?W`&$>oH}8eCX9sk0d-AoSN>$E7@-#R9)E@ zX`y6aalSQuMew9B7y@Mmk#>H8Wi-?Po91lP$Z~d`Kz<xSg)LlfQMVV{4<~hiZ)hnw z>9uK!>871v2Y8jPF1J-=aC2jtM>3w|toM4pYw?3BU+0Erw5&M{He8uOE<YZJU25sw zEx_Xr4S7!*P_>KjVME4Q^B%&{CG?|2<n`|CyInFx=z@=_1&^8&RZ0r2!wM*wWbh6+ zx3FV^6Kd@6pbr|6z-ws0XJqr<;M5Y%M1ZDIq_Y>1QNnA8!it~@t?wNQvprPNCemm| zYe@QQat>1AA>047aiWR97SR3IBpGE`#KeE-0!oNS=aKaljakuQdiHBLuvDW>wZcug z{j%*>3>{bub;>F`EP56xTr>yw2F5pr;OlmN)NrCThRSiQ3g>WB!%whdb<u4dv}R<_ zN#~5o&EQ8il!P0$5Lrqi8;a9e#8Q)9%0?Q>14lazX()Tc4LE5SMRWwUco~zE)uIFo zq|ZYJ9v`$eQbY939hX_gUOdtMHj<jlAQ~yAHZUZetM_KrGN6f$<CA)`CIP@m%lRv& zZ@pRF@|7Xkd%jul68=zG^rQwFVUi-)oG9m$lPSmx<nN-UTb6+o;|gX8jT??r6QF`G zj<1ZqE^`4Aq-MiI)REG_iI3aWk)trA(gYhN7s!9Z1kn2+1FlP2<Z<Nd|5b7jd3z9P zYoZkzPIN+Sh`|}TJFF_OollvNL)$B`+8#kXvLf<ctCEnO1d>IeLqr27NgwPKA~YH& z5_mNH*$=;eQmCUhCQ8i~Xr5LwtV^JUlbA;L7TrPwG#uAtwsOC+gXDNK+))g+*J$k5 z<Dk2iWn|V)ZYAOz7udAW58GQKUH^TDmQZARNeYX!6A&%^Gb-qkA}F%2I!~8tNE7u` zHHNODlmL><t4@Kd@pu&hYMUUJ51?HX?77@}{&68&W)~e^XkpX041QdU1El^NvzD3G zX-vbROv&R>Yd(nDb8P&aX5*>=RScCLp>yl7Q9CJ%G)3ibmb#SxWZpHGmSLhz4VmV? zDl=*S5p`|s>hyK-)+Gvu?~Sd+1SHC8s)kmvaF&q$2xS?RH@a%|y=nvMkj+D4W4<d# zpY)josya~W!IYG<#$j~1p_z!z5as|n80ue=TXC*I%2?96{@|=ED5G;o3}GBp#RbI# z8vF_xv&WVF=zZn#b#!|%y>bza`%1htEU<y@+Q<_SRUUGCg_2hna=k6rkx*xL8;P=> zrN-=rrXD!6a#E_9w2)dFl|&lN%^fE1xs(TC9vw{_28lYKVuF;t$lCtAvq)km9S38& zaLnYxsM>YCF#1kXDzq^{b&6~n57jtnhtPh#^T?c}YpRprK&laJtw8trSo_e6QuH0> zLUt2PVvSdXCb2q^k+)+<H|c7k1#J9zT)GiVEg;t|G<YFT9qyP47^BDJPsz5jNc4-v zWUq8oQR;Eb9s)VK<7nTANKolOTnB=tCaU-dVTI12z$d}G;%zO?in8rbO`u$Y?+wJd zd6(d4^W{l7>3(DyBG+N)-JHck^r)UuT~K8VWpxDj>j?2?avVkJm(Rv1<(^#qD*x4~ z>yqh9KIwrj(lry=BZ?(t^MYP?o9?{%=mJlTO{heD^dNW8QgV#|MqZ=vI!&qw$^6cD zLo)dr^n<Rq-?brvKy?N@rQvK3Z@hsFp6ZItg6-ul8+_{>VyD*rAIXM@CZRX^*LQA* zpQni@2s_jDb&G840aBcP!!Ynl|71iV$@iz5k&G_)$}19p=-Sn@TNl;YSdLsWJiCor zeFjub)NQ24TUsr%tm+?&mUZc$i|gjM%eAIbPAhzl+7s^9ITd4**ZGVMqfTWP_s7z& zPg(JVtbaS~XG<2c>KT-`ORO{<Hk@h45^d{}o-KF#OcIv#@Iy?#y%#KUJ@(YKG(Xbo zaftW8RY`qENOjKlxPd|9bhiHaq%Wh5{K=5TK0>SVjY~>Lsq;z>Ni-GlSm#DO_8_!^ z!qw*$wtsq?CZ((^?)^xy<DcPi(%*R<^R?a|*Waw?VaJ}-yfp%C#^}u9Hu=38@YVdX zbb8P=xxFx5>R_y;l3bA%WsgD&I_yW;U(v00>OSw2{4nOijLe2Ju-H!<t6XCenAzGR zJ5;eLbiQoSzn}LOiuzQ)(Q}_VduHgR)Kv#0&R@FD=^GnM$|vYWdW-m=_?>ucCC2Cv zMnp2GayGq_*5U*nhWNd(>E+;VLHLz9?Y8;(`M3y_nzp`mc+K1NvU>^Y<ovkFwo+x} z9JUrjt{^iTd|v+ufrcqHyZsVORh&!VnZVxJE69~;K<6c#)ShV;Mu9t1$$Ex_!M0Nj zUXcnaGKW)A(WNu6jFiKsh*uac1uz|T*zb8FpxA(k*H7d{u)iGedwtKWT77MF&wCGA zk8}6<x>&6Hj=2B2GyVizW465e&vuux2UiF09&stunyecgOpX_;<#7El(n{AYvp1J2 zk;~_*B%W<gIgo{F)mW{a<)fG}Xa;OoF5k(Ro055ESlFUM9}M;#S1@)fe<d9N?Pgqi zjwW2K6!xAst${x`9vT01K43n_yFHI1zo#rU)g{<=yDsFDOA@-_M6o={2p77E0;Rj# zR%fonh=#ZbAMg?;;vJS|{4M>M$>&4|7|?7E6sDpjI8UjOj|rE-=T`&PKcpPUGfq?4 zP-O~_wRI2mV|*D%zRef&>Ml>}!=PBsHnVs|(c&b2#6DeoL&-1&nu&8G%WH#~><54B z0_PWF*uW<Q12V-p49xXMG`;pvn`F9_{;|}zwKe2EE<V;YJNEFYFm-~;z7iCgbQ1rQ z0x9$$WTBIpbe=D?6D`Sag?e#oxI^`t`_F}IZ|W4Xm|2N(`v6S}AE{@(+7Q2q5Giq? z?ea29O77V%8pDcxfpS?!q|?q^xUVgt#hT@~zmu?EXvTTMH^|}SYz6Gj^wlm&BlZDv z=W09!*D*lOw07@9e<o?S=OntIBc~ST6u>4p5VM|$m$@!r`UaDL@lpiwiA-@C$V^c! zJhw5Z@p-e6z-{cr&y%%ikG(KSLYRt-uxo=@)>eD<f%20PI}aljK#P}SeprBEoPj<p z;L!XqT7o`0!eYTggb0=hH%R->VThIPK|~8!g~W}SL@6=0o)aQ(nl2E+{Y*v|anN^Z zG)WFKNJ8rSJF5z{jH>|sO7!yn1dfiypE5bsQ81niE+Z!(mFHX>qP;&iy0|?&CNF7A z7YeeZYSC2cLQGP>38Q>IUXD1a#1cfO<%37`T|N#M88LMtQ|mU4&kSoJc1&nGcruy( z;ImZT?cQ#1G|2Zb^^=rRWoXLZ@)7?;T+{NE+F;R}U<QHw7;^AY{ax7&sjw$^^zmqJ zWXSU6<#RA4FcxMo<g&dOTCh)tGM`PVmJ&7OEfL`tG~vM7(IQFso@WMePOvlKi}lx8 zW<K^_dW`1;7DCU;9WdojSOXRx4DzYbcaMEz%X=C`RN`Dq&=i^kD;6OxECe#Zrh*G> zi6)!<zv<(&n>h7P3xk`Ecurn*3010ZDk~U|iM#z1_G1D74OS|b#8PnId=93z|LvkX zsdG1O?$)Y`U#VVHH<dHh2f)POOKD#hUrf{U?1TW;j>l}uNE8$EaW_TaV0a5xEuz(A z<WvLU8=ICTNm&_lE~-@}-!M@<fj-)^yp+$OCkjjw11dLa2Uj`}XJnNvk(P)~DhMIG zvsvCxxjbcM5)s>HbKWshokKmRiRefs(2dHeY$zjSg$IT?mv@^sH@z@Iyn8nT>Y8!_ zG^+9Wm+!HD<dZNV&@J84s)2Kz9PTIT*#n;cV=q2(ji33rBX@UzYoWarxJO<6&|iNT zB`%6#HukC4#!ogio$_V=X<9k<FxRZq<_l1IYi?tH4Td7FYBkPqk7Wz$+H7#GG#iLP z3pEMoVE~F<F@A?mBRs9cAmHLw{!lE(X&)5E&elp3bW)F2X;}@1Wh0UqTPi77oE;0{ zBa!WVXgV+L(B#Ee!A5O{&%b}&{iSch%I97b{e#}Z^-`(^t^LgsoNbk19k%%^mSU|| z=|~KKe!f&X58zwNCWPRDpvy%kZr=tlCItXqo>Ck-T*9HCq|_<F3ZX;G3>{;1$ABgq zRY6p_dmbU~d{z>Al=P4ItG%>_-f0q-geQHC2)Cs$5invhmWX-JKyIPzAy_Jsk?>@d z3yXr-%r&UN+6=<vaGl7eY}DE(8N&an1`O>nw!-93cpDUjWO2?vLrTc*ASoIXr=-lC z&7vq$7H(iCe9`7Lk%1wUV;KTb*5{<J@NpJmG^=fxVRkvr>VO3LF_6A^nC8K$yFYQ! zkXpI39`@s@5ItZsYsF%qK|Q{)Ow7+o=t4{ABBnw1^&*u7!Vy)Jw}3e~8BMBN#Y~DN zc<NS_9|XBq!8=Q}^c}dtP^M}gS4O9e6&jDk+eV1O{%*RT`OhgO&u^+&Zi`&lfQ^uq ztQk~SM$lfzkBPU~yvBk3#UOMR{N3U~&!9%{EO2F#?h7hTh4Qi&rYj3Jb!V8hoR|pj z-h!}YThM>89>{aw@Qu{ZW_krCXO)fPrY%v@PtXL$#3e^bG_xULP_u4O(r=d2uLC<` zCBwaaP1#VBF$yqklqjiCRs>GEH2^&nBD5%<KsaBWMMBQOHf@|SwTA*VU)Ldc9J}K{ z(D$*R)$``)CF6{6(WxVUw*rYfz*J#m-Czr{QTKv1>SU{AtfV*yPRhXE40suMXzxKX zZ*$ffRDb`;0Pp&maS<Me)t&^ER_*dx{9YF^(X)s^U*pddEmE3I2QoVk;rue?+Kf*Y zcIFVk-o$H-H2>N47Z{+j{aRuGabS1*9`ZQ^^qnLI#e~t`D)YF8pm752eCeZwp<FnV zV!Dl-<-<V2Kzta+i+GHZPfLgpE=&l)PU8LLES^?z=Gq4q`&>CX(cZrr|NREp1xcVt zZl{-x3Tg_0ou=Ixu-Q7jzNCV*uiW<XK|EMqqhcmThpP&2$&uLQauK~YW`S0tw1cu@ zUrOvY&v0xOO=$U^REi4owZZ1a@1-JXqj^$K??||Vp};B<nX|QUN(EPCNCXVs34F|! z(Hpya$le;n?EQGzm<%uY<jKmC$)F<)tN^PcT95I%laRbDmyE6mAEObNFfkRZL-}c` zxF!|e#4J9TTDh7AobRvlb!u_ZoV1VR8Mf96n!yIv2yx$DMdP<i!+wYuaiM~ev4RVS z_Jc5ky3!Al$r<w4B-Oy5(k~f$%x8{(2-qO&!a+O<Y!EOCG@^ToY(+cAZ1^hlq&v4A za{>)aJ$EtinksyPBr^93sFJcFjrD25P8i5i5T744iOYl-h7xkjcsXY0K}U*`c5nsP zokj-~7EAioU`t)?Ce)=6sq_<(?ZW?hWT<1F?h?oTN)zGJM|gLW-2dKu+$;w5ktA-} z;dTLj==W`*4TnYfHP)_;ijAG62$pArLXVZ&&Y>E6zg1Xa2?SsV;#G1lb#z%D@ELf+ zlT0nNlR5>;t*P{l*Wj04V0(v<#4_1_E{spv^Oj5JeW=4-8;pQjVTi8R-^@av7}Snl ztP-A}xWSnAQY9_RQ4c?1E<6kjkuW@lUhT1e&9j!nPgzfzT_@vYDPXgJFQfY?AA$5| zb=tYtbiX@o#OQtcDv<w1u)crQws-2}B*oPh6Ju!gV!kh5(`Ti25WA7@ufm4VLmcL9 zntuLmueg=Pka*qnUC7S~KgaG3k6I2_;d;^gN)C>$_J+#1%8`Yo2(D%V>`M^I9ba$X zJa|u*RcYN=O41?(U+!ll4bPsxtGfK>sDK7rRv368n|G+1#-hSJnlpsJFl#P9QOL8Z zjCgEdZ*IUH(sx)7qG}iBQx&t+AB#Y?pbP(Z^JzKidK1fIJ}e<#YD*1i4xYiRES1Xq zSjm3dkW(j1=-IcKAIq+jdJ(LaK#<R++oRoh^*Kp8-~w~5%9)H6#uOTDs?A-?IwuV& zjYRc~ehx^K_hZ9YFfDaTKMB~b-e(>Uy1ya{)c=<5-wzvs@Tc=5qB7p$9x~F|uK;^k znE$d6ucro8r;<#QWtMyTo?ObTq#Y5t6OpJK)@*hHVPDBvNxs4j961E+>vY)Oa;0fl zoQ+ZS5sgmHL`vQlwSf=a?dA-`ZQ{Fed*`{fcG@SCshG+bZDXs+1j*n0fqdSZ$aHTV znW;?Yk?>HUXoLT!7U{%ioA(2Jtx^t+c!{z|=o7^7hAw^5zz&kI1oGYluAe3mmi|s^ z^`J-K4sc8vx58*)#)EDZRbx<(3sgP1sfSkxHn#bT_mxTKih@fJg$%hHi1YJ}U=v#8 zA_daHhp#sezsTMR#r(TM|HBQw(*zOqK<o|OiGBkA)@eJ3k=J$P4Bho5da{(6jxKMn z=3f-Y8AC4sjl&sFak6Ua7OFsvM}EPucfCH`l39C+(tQP=C%~jB^+PUrGHfQzMovLK z#BroxFL~9vl9bCz>&Pw8JHOpa?WyQE3RaP6+24tjud+&#J;34t{NaY6k+q6nGvrS= z?vxq0vTOS%hw9otJG+mdi;d@_rLSGM?20VDqnJ*<$H{9|Hvo?_T_2KOdeLw7U-3Me z0Ypbm(XicO0ej`2LM*Vml88QNImop>gfc9g3xDC=$odvj#KdpTZsx=?3Nh=I=b7KK zqvu3#zYS5J)sAFhZx!l`Bj9&8)q)qRIDxaae|2>fvZYXU5oik2+rlNhAWbPl8Pk&7 zVntOhVKEHESR`Th(TiP8X8T&)JKo6!?@2X<XWfQ7^RtqS>oKNH_Rk<rhzNME%Yl|o zqKg5)VWAY6@(3`jbCnGEd^~^H9Bwupm&&9o)3{u<dopR*zju<YKN*;^C(6%v9v9|@ zO^O^|vo2P*#+7W`c>NQmfNCB9S|U<L_iM=L1>Ks#taw5+h(oqWL%YIb>Ji*_q*4k| ztCC%Kx#!xs447Uf-vZ5f)Gwo^jBKwM<EKN=#YpIe)u@{A!({k|F@nR|;Wg7j)^~)7 z4J1P_$I;-8bT()tsjn1SD5PtTB{PlEF4Z(-XiVf2=&VdV=h$qR7*1~$2qXSqvxm}D z{Kg0A`gFff(c1@)z^l<1m|E~C=>5dBbusV*dg>>~LT#XqYr`;Puo;9dJ1~e(d$(ek znhY+2I;_aSh#{uZjm}L{<mNlhrk4nVr*n$no$b)2UACla<F<ZbxKN%XmpsLFiC@BO zSeI!lhv2wHo=>=iRlHn6yQu=L6OWpVN}faC+w~h-`QQ-ma}u^s%$J@7zIm$_Bk&FT zf|qZjSs(gKKl)2v^*(NL2VIK=G8cd!Uj1_LB4fSnC{%-V^E9N-PwR2VEwq;U#LbYA zlpr!*n!FgOH~1TfOrAy}LKjPfw-^`EbDXCThq~prfiznWGX;lqD*ukGSqZ<62ms42 zq=`-LtG$^MROHNkq+5$dn@lUme1V0ryP8fHmyhZW!HM4g$)Ce`XvGEVLSn!AgYf|S zNLJT{*I2T>X6f9QJ#!%MoH<@mS~~)LK-cq^m8bgw_>+-+q3(TwL*g!^prC?|-9A^- z>mOWR%Nig@Unl@~^!|V-(Qu9gr)_BU`or4V!%Mzz`}N=M69LoByQuAoq)3tX+PFfW zFkfz_fVajD=xk($@j9eLP$S7@y}|n1J*VY}Cdf!;=0I32a8A*Z|E%s@bfR3P-ypp+ zcDY9|dO=_@gHww1m_B!&<0?6Nfk9d)3hrf)p!MV<N1S!UHFY)lnH%lf6hW8EJ-rC3 zcXs*i9H^hSYPH^U1x4B3+;8POIXQfN-&-O$`5LLWhYj(vgY{eE5ER@*|4otUYu<T> zYeykuiQi9;u}+BOCa}EKm5NZ{aU+NOYh4^;6dMmv)HK$493m!qUr)c84h`Z9M2Nm; zLRysiE`okTG&&AyoO;MoH&V9`n!$Ez;sNDv6nLtM>W`ft_+?7L*MbLH-U$_ddMVyb zg_ScA<YRjY?OHJ~t3LCtM|gQt(4MCa|7h(Q8=u4`f<t*?Y|_5Ry~+n(<i;PI+;I{e zs5I(LdD1MpD@H&Fg9RsWO!u1>E2plv0TgcV!<zSH%6Iu-l!agb8^Y!XKr78P_~=Ya zNYHTSFHAu9siQV5B{v$0uN*q&N>L2s`RE4}N#cGl)_j!rmXj!GcW0Tg5kQ6{LhB9J zN@O|LL?gIbcp_H?rS*9$0nz%(_%E`3WQJP*Z+k<3*$<CdlB;<P_ddZsci6Nv{TJf- zIo_NWOFR=!WobnwCHw~9el3%{dAm&Z_l#yZ)%TeDNJR9)orlkj@lwC4(@^>YcpdrW z&3MGhLM}wKzIwSvoiE)8OjK7@Tgt<~O<3Oi5Pbs~{r>N_hdjlpA@{SeHD3-=B7?lV z5yKq-4<~Doac(&RT=Tl9+iHaHwpHQ-f)-$2L!$9-1Ot)N0#YIt-O};MYlxNM<sdY+ z!C-LWvT4vCfi^szf3p4wX-WTFO3w$9Rw1H`#}pCZ-{|6vWP14xZ?vv-=eBwZySzl* zULvorQMYdXEiU&JcHP4we@B_^+onTovLaiG*lD}oL<d&I06*!xhM?$zL=E39Oyt<5 zlz~$yioD{=pzGzJJ(*i*lqtZMoTzv}_Sqs=@*{`UWrWtDextGd6Ee}xi4H&|d_RXA zX*OpYlo@8-S3PzuqOaPoE^aY50L-ol7s=V@v$eKT&3F6*4dGitY*G^#6t|{syKAjl z{-lD?P6UJ+MvnNkicYD8YEFzBNCU#66#g&wO1IfYwS3wcL1-wBc<3f5(kWnF3Y?JX zMU%R>^t~{Ey0Aw2jDzTytyLB05BYLC)v7bG0^)q2`JDAHF(K-zE|PT%YLV!|+M4=W zX@c#$YF~yOh?USKCiV7d4`iW}XvP^@0a<DBkBFiV$FxJB)BTN71YOJHT&>dPZ+rf< zzvzR_Yv#<DZ{_c1iaC6@vv-lCRr#rjwd#7e!+u*+`C>0sT9hf8sQupf|87|yO;taw z|F=EkYyZ$XipegZfkY0D;M(3qj@T&Azg^2FZ)H(*u`9aT6@F^i6_U`RIj$QLPG%vI zxLtV<R1U*DGU_lR2b15s?_I{yYyh1<<aEqTnC`*&v6s&13gUpSi4)OhB7LfJRP{Ry zOigHvB5Y6N$(6hbeDL_IGa2d``YF=5)pfF8U8h`Rp>^13vXYz>0+g{Kl@+*M(454) z-J5jne9v}V_PK<QryPRsuIKgxU)~KR|AkPtKa2aD>;;No0LVd+vX8F^Q@SjixM*nX z?CdN}KP?C33XgPq1riA|`Bm~$sf*In8Bi)=AN9<+rK{ZsZOxFs(RMiGyr=u*8Bn&K zhHry7`FFnT@PyuzLg=Y5?Q<%u>XaG3Rw;K6;%dl*a?drmm>bM+NAsW3K27W5pOaIx zX_p}(r^cRKy59NBbOnQjS%N<(THtgKtd!r>G}NjI+<=#P)-NtT658m8WOUgUzz-fA zZ`QE<UhLYY)n>@jBOaRY(oEX?&pbrIVCygtIUpni&L%1KAcrtW@#!F9nXIf^+{nQs z3eyyTGNk!u+JF!`iG(!%ne_k+JqO8y^9A|>Xn>0$6)X)k=ES@cowFl2gVpRz^}0_D zd<teWI;NO6LsCXP`}MVsqdKQt2nNSmnCW$I8F_1)d4+k)Q{8!9niDBrgh_|JN@y(N z`+xA;m1+KrQwx*^@-ihf{033P(2sS;aMm`}14|)HcKJHq|M<i7w8kXtMfc2(wCqRW z-MHp+Xv?ZU0k<GNFjePH*DVT<vX%pI)_rtmMeK{rI{H^=iV_&>`w*Fn#8@jpz14ng zMkVZ*mL2MU5LclD)6~dA$;P(u?xmK7n1M$DItc9XL8`N9Udvy(5{F-27mir#a3#d~ zGxVU$eUa1(9DY3e@O$Rfs63%RO{m<lbJ-GpiWG)4-3u|&Sm=A>zR`Cfb<C-4@+X=U z_5pI00QWts8BI_>bHVXI<3Uq2Kn&9KrbNx|6Gd0lor;%-9%n!ZIfz$5g009?0V(Ph z6^^wQ?wX*8ZZ0JVZU8$A@u($*0n%4c?9=Hr?GqhROVQ%)Aw?=BFcA#n3Dp)Zu9Q=# zYAI4lQ%I2xm0~yZ3Xl&Q$%Js3VNm_L8bvp`ZPm1cy+tD^xlhE~qYK0BHnI?y?ve@- z-lCNCvMRyMT%b@!r?t|?RH(EBG_cC`X!Fu&iNGD?b-_#^7a-x(^1E%ft(S4x?vSia zHG%~X2A%c$SG?Bjr(y=4yNvG$|2g)pkmDiV`$k?S6?0JXID3T&!EbGk@Fo#TP2`~E zXgQZ*tJTXXZ@&wM*6^eOb;)G0t8j%IdaB8&6kkc3m-07#mUjGRB$2e8A%~RhJO#U? zIG1>-GWaZlHZunSlO<6e$3w~Yc@7p%<U(pmF}h=R)2~`ED*<n3VyUk$iIvOHjIEE` zPBV){=o4R(A2l6&SQ$gJAeqgL5!vJl5*nS;Dtqi7p)v&(fqS8askpaeGp3~Sx=T|Q zXAev!Wx?^(3b7%zsvI_$pemuc&1EV;&dO5_^t7wsqs8H{nyaj|UaR$gP+9>)D_I9c z()@lLaRu9E0`H4CLM07oe%J~5R}?Z^wNBa~@Vbto%8l~CG9$@y4e44<bC%_Dc-B&Q zVp_u`kVnEv3ryIP4QHVyHUnjVxKqU{@?g15Oj{`#tu;(#2%SL1(zx;_!PU!%eB>8{ zERDuFMkRybX^^hV>}=WwYZ@OhbfGl;q@IVIsj5*Za>)j;431Xg5H?Qb`VPLNXor^F z>O8qimLRN%IHXW}-FP|kV#|wPjdw4ZN@o5%A{kSTj1UCL;Mk^Dkj0zlYHxA}8R_hl z-Xx(Yv10b@686g{G>l*nC+3Ttmv?EbA(*`}G{gc~D3QMz6zrWLrj=Yu;<rjj-2~+_ zaCxl2m8pn%WZJ#ke|4z`)Sl?MwE-jR$*=b(Xqk2>C`UKXFC9_}UiKQDHg2AYN@L1k z<PJzcgXrjMcb@3CAzjC@oOmOb-wTgrSKD9c?S`&{XBpH++JGFZz=kBwk`?fVBxFR| z>6q)%$8$A!lcfF_+RMJP%OvQ)D_0edU2i|G^_(?%EttKO{=1gV`|p+h?19(nzesz> zAW?!~O>}JAHqO|#ZQHhOow04(wmoNT+xEP<_r16G#_peu-H6JLimcABtGa%4R(4f> zGef=aRPy0uSsBxBGpP#7uzF83c1Ud}-TgiHf+_)(+xfuTI*)r(<H7|ENs&>kd+3t( zii}JLfx_3u2Er3Wx0yrZQD8W!2x_DvXz}}upJWdE1sqvYeF;j!h?G-(b_oE`tT$_7 z^=KcsL!}gCu00#coNQl}Y%f>7C(k1mO2dfq--L^)2+AxXQ1KH8pJbGQimCJN*V4{~ zCT9(iyHI16km7O5IW&>tK2W}iC>GNx{i=I2O@KpCTl}2W+D<DyIL@}VJ1?1A&%4LZ z6JM;5m@Har0wNc?_WVmJpr9iLkkZqKhzZ1~@vkxoU=EPV)hG#P7x_3E@IhsZq)AjJ zOY#5Ia5;K#(4}N%Y%vc-8OJS+V~&efLIu{I0yec*N%b92CuIza;}XU-rQ(k)|7NCB z3A<u`Xv@sUSQ_IwII1Dr?;VNePq@%*!OSZ=?EYsxc(X~4ut6$DFtQYx_GwH4My5|i z!x5#;H-r|BLkB0BRE)5{*U=Tl!Z;Nx1SLv2ie@G&X@dUGhlStw0n(VFYNuwAJRvzW zBP6CS5@t$!#^i`3T;9Ut8$iVihu#Vn;^4gD&Z>w0T0Kdb)f|4fVT5!pL5j&@`3Orj zYnCNrRydxA{iSy<=}=-_!*~p77!)0cGUR0TrnY0*?Y&U4{tM-zNhlN@k8<2X68jD| zB40wH4{EEOVY;G}^^sx$NX!^(Kq3$dv}KYHG65TMbgVJF*8VYL1Kr);`1SYXGzf4o zDIj+>33uGDVac5imfd28MFofkaRl<;CLJKv$kBh%mlEtAcoweun8tC5ai2O9D}drM z&#==D>OhiRy!AJVJ;yaKUz0OP;);f3)$De2q@I(6?>AcIiIC=M1x{)O4}8buE#IYl z@@+&hL+`&dPPWR}d+)g}_wzO1Ct$v;8bAM3%XOY+|F4VOi<#P&)Bj%{_}bn}#q(!% z1ZHhK3*BBaey&-5wyeH8S3do!Rz8VMkQ)~bCY7sb9m(hI4K&}Cna(usKJ5#9708V< zE2K}1*E;548*K4hNyq4#^kH9g`0%dFV|-V}Rk3(3M$ACS=Q1F}#*2&^TzSjnCXAyW z8P3npPc=R0;Sn5wRe+mgC@tZW<2jc?5AlVTm=Q4rlB2yj{;JZ1Li?A;Z&lmcSE7*d zdY608i=I9mZdi-ngm$#qzIc|R_fL%|ytQ+OlKt468$;`;h8_){3}C((WvsV>z(3eA zKOuhcjd2Z!B?GIOmqApRofo|YX)MQ#u3oPc@a#y4eaKN2XhDiQ&Lu%kJEmd3Z_X(* zO7#+k7hot2!<|jhG!*-DwS?0_LiQO!;7BLi)qf$U-eb?pd%mF=H&U6`V3D0kX{gI} z2#|v-scP~mkZ)BWOc0vq9ic4fi`94kgWH2qV4^MSA4|$qHS%Uw#ws=Vz)yo*!8(I2 zfmy>*CsP~&=_*mLNcZ{kYRB10ksr0usdvL=xBVQUXmA$yNk}Kb;G9Wdsi#N0?gYQ3 z_f&K3*WNJ&lVWN)c#1`3jT8|+m!wXHfht5Q#G?t5PN+bJ9KLQ*0XZ^+yR-V&V2J%n z0DsdV7wG~S!>ujbMu4?+rnbIi2-{aaD*mtZ)-sAbqTyy~5{=oXiru3TmtLmoqi?$a zuHDRUrmx{d&QEE67t<UnoWfphB<;~WqZpwAQ+2^MSM5sNNtwrl)9m2LuMkiFv6EJz zC|2tHUK;RS-LHavsDu9uW&Xx~U}@BD@3-WXL!uMq<cqw_B!s)|N{VIYi=m3Uf8&^( z%(poBk_q{;MO7$lhGJ}jAkBmtf|!B;&9$?LP80<Dhz?YLH~qSWjqlhVoym=eY3$WH zuh;mP_ci~B&J0Ik-jqjco3Ja6%6545|FOjVUZAwC&Kg!z3qmpbcn#h)5Uv8h!Noe+ z!uc@^3VE@+r@@(_K;A#|_2%Of{SF;lxmD_SJ=6eo{dSl!yv98V{!;_9sfpQ!-MGPX zK!2ozgw;6c)1L}*0OpV6w=5)qX-w%2IFtd7fl5paSFDnPbu(FX=NoV|u(ov-R{7Dd zb5b%Id=zcRQj%80`jDyx;S25-E^1pbt*X<0pk4G11n}wTv6j!4{(fy#Gm_-44O|C> zj{$fqRf`UarsU!P+h@#Mhn1|3p(>4g$kJDFZ?II}WvwnS4X3;WiQPv$6dBlu8MhaO z@zcH2GXfs&E!X>Z^FYhSCi(y?*9gczu!viXZue-gW;p3u2l$c`ofQCJH5p?SBox1O z*L-X9<sBSC6k7JAx6HhN57}&8nFFcJS{ewp2L!?&`y<e9`)m6Qr^=Fr4~b3XJ*io@ zB^H?eOmPfkQI#cqzf99x8Ke(46P5vWq`+nHd)clxqVj53h53Em(VBz)n)qu{_7Yfn zgBX6(5kEYQQS9+4EpqWfU6i!u5-`B+%ySitd|LaZ;xREiXNBz)_jU`Ie^=+TCaWLy zNNPC5&<z1gSj221SaNtX3eIMVv5_XXi%5`;idOKbvRE3dEAk{Jjnqg3qN{_2j;aAa z5HA#=aCcI07q!%bFsPI>2qZil#4shAbQ--i`VJbi!kHhDN0PgPW^Vc@|E(y7cIl7t z@iI%4bhhZ!q6Qj6?c8{j<kFu!^q^H%Tc?Hx5n}fh3MeMiX>~NRT4l(9aj3I$2KuX~ zX9+KFM*^iL@$C7BOCprNjf;E8SmjR1J>II3jz|Zobd!*^h7fzfsGA?e>BzS@DP|-i ziFzrBx;6+65pm}EY2MD=@zjR4TSi3hoYXdrT{UbEA>-6hV)_k8ffq|I@hp_U<ex0% z6BV#E0xJ*pa6-C--TabVh*q%ZToJ8H;GC^CRcxy$>HYgrq^(^K(3p`48){3Ts*@#S z-c$U!o$6|qE@8f_8s?GGO)!t`l`h50_NSEw?{6K}n~^QSzW?%n#KL{4_55PA8d9S9 z4t7rHZE5l#wk@4okm$Z-I{i>#=vxdw^V$vLZXzzaQF=OCh`~;G$hg~rfcvpKs?BaF z!lq!1Nk(Km(cz(<L_HINOZdp+>}z-iDA$`!HHL_E<Ci17K8G#7HO<I9E_wJ8aVdiY zLQYKMD)`}1Rg4?{5ljmnC-$U8@2WeL{B!Cj9~P85S986@p!qkv_CB}Wlx{(3zbFHr zZH;7oXi;1iP@F-4F)chXv>4BtO&zzd7h34Z2*t^edKeEPXyhZ90?Gc@SzQTcH;S86 zS#0!9j_lFH*M%y7-=%b?w}&c!Fc-fXS-Ge83a&$KYbE=ll89?I4~HHM47piac#xNl zRtlwX-{S<fx}8+`F+gll&(yd3Aqa`RH*%R6xLNd$lgog!63m%Z9>aO74Km=t&Fzc+ zUP<|AzehtFKRf~Hso1V&*1{E`+hjx@2$HkMFzCWG0HKZB4>_J^l?)HMiHLEM$3q*V zf6!6ysl%m^48(TZjqBwH8N@g8Z~{{9_NJ4-Wq}t8ZL})5JpdG_`}*UeEakSjvolS7 z-5Y2nwrC7<RG9ld2oAAR&kG0i#7gWYbB&ytUEC;;_>GVhgxC2`@Y8G1y#|l=l!p1V z`V_66AU-3y(`uo^s(NE7KO_Qc4Hugl4<DUyeI@tsHA9iH3aMQGM={lC_--12q;J_T z^Q!`rxV)O;<?d0KZv)`ag|3%BfV;w%z{k6%z2DPFir404*Wwu$*W+Bsu`cF$Ay_2^ z8|lOrd&7klxX#)2yARz~zm?yq_VW(dVw<m^-Jft?a5CTokzLoe{Ky&f1BSDFx1DPu z6K2Lf@<PlJUVO4AazVg+EE4DOWarD1t8JB!`}=LXq?cUXf1O~YZzL&@`-OSDTdBE1 zDGHeea!Hnp{eCeQXAnES?$azt41L>FiFbS8TNwE(6(V|@(SW4KU1H@@Z}Q-Be1&bC zt!`)j5`{GT=bHsw;r0suIKh&gb=!0#tQAlq44vfrjAaV(>DmDNKYrRTA3p*3Ye_%I zb!)k<k(|bD&m_s0y1jBkR>;mPl(t?&uVESn4R1`hkQ<9;8)S2Bf3yK~ez>D}`5!n| zrF;!b>wtvE$)G?p26s#2(6B#Vx+_0mXnNUH4o+w0dg5@e3jwTpAMF~Qf1ojq8h#8$ z7JT2BFB?gO0oe`wrziutdy~)dd=kMqN&d~O6?)BM>QSDEgVUU(fAR%FpR4z9LKCNn z31wh%q)E=LC}`Jq$r>=^M=T&PVzjI61oVm-K{1Ci%;PubGje2{6Bk5_Dd~$S$z;ae zKho#n`(V$30Vx+FS@!ba_w{@nKOa-&wS|Sdj3~+J>aAW}e4bzBd3kxbTs?u(C3w#} z3#HGYCb?uU>I`38KW$|`18{?u{^NJMrF|f#SQ(0{%UQj7JhGspOcoP)R%o|<*`7d! zclVFf=J1}QVJ4g94Vm+@)z=nPw6!HbVDIg|5=t@#XuVsXxwP=#JzC@AHungjqGm^^ zh|b#$<99CLx*egb$7^Bjx9aXZ8_v}&gD5`kr9ieZ*1J3F9v?Q2L$KbM=1&W__$v$u z$R&N2>yMotkX+&i7OG%Rp2xQE6OUY*y)lHG?sm24u`<JWvu^QVyF@A0(f#g~`y6}0 z8X`3D6U2$lD}#E#l*LSQDrMIuTZ-SsE0)-W@_=n&bjsvopg19cQmGIr6I+ZCDI*ZL zeOX(}l>`TJYVIAj2H)P&v$lhl{$(@2mK$bf)2m_PwXDH}GQ;)t@C^F`J2VHz_UiA$ zJ1%5$V|BK{H{aOqG|lPlzXF47HkJL6LVN%nr~>i0@Ix__^=Hg<HHLKP%5Dsf(GvhA z^)WGX87Lrb@iI~8jN}e9NCr1Z2uM(w_)q86E+o5c%?Ak}MDSRnj;-*T(NZM0t9pEK znS*7`hdm$!!g)|s>s4lE<wgJWM~$2RY<ewVb?AY)ybaI_*Eew}+Q9ZZ%yp3#EzL0` z3o9(at8jQ?A2a&$8YxpjL0f(^?oBv+9z#r?%xHz+2yG^=d9{{+zke>_3d9gTfw2J< zMpwimMc0tAP6Sa>n_Y$Ln_Y!1oY{V5UcMSvI+`)&u`QdS!58VaVDp+Fovo<uhp}$v z#+Vz)LxDP6>C>DPo8uHa74D_NO1n3n^JXgfq14tbr=Q!(Y`K%3ugF>7h5gwO6xi+k zr;P5V*BY{~clrVu23g8<9CQi4Z7_ILD$1TzxIR6B93lSvYa<@g=pWjxez0dNI`ZQC z6J`=1Xi_G69Q&ypWH>q_LJhZuBC0k53orpV0--kuxCQA52<}#aQ*Io0$lTyUqK~1{ z)k>U5@_0CW<HCZ62<lLrzYUnxxB2u`337p+@%FsYATwc@*|(Hbzlz{fW1i*avk*8L zNS=3z_ghH(OrZ4J0LuSpT8+%fBFidjKNJ*;57z$Cj>hpw7^f}&xpg3>8XyO>YF-#8 zo`cBcC?N7C5;Ph<5EK0t8k11(7xh>Z8xLh>xe6zQHU+K@j?UCl-tU^r$x#`q1BDAy zO~88Tivp)TDjH8or!($ZH^2W2DA~ofYXo%5n&YbL?j9h)i`URp6hVn&poOO@eHg*! zKO@V8qjew@#6Dn&bP()$+7%57Mk2(eA4-W+t`3OI7dVKFE<P5u*f$Bl<YIUqBEoh< z4H<>bK|%U^r6ahK(pUA-+Pd*{kwfsUb@EbextYB)rXXSrxNtwMVUmo|iA;>i=)>h= zSllha#-}B;EJr^Xa!koqJ-1;mPP(wqdX*G8&H;MiG&A{`26&{5zeDXd&vV`#oztZH z`>#_b`anOOCj4mk2#AQrUe7yt10hfcl)cQcQG-8nW*TS!38lS=Ks*!s41E1k6VPDd z>;?I@%Bm!_<!lSk3d6FEvfy%4f?$C9<!U1IQpdW_h~>-XCi2FzQTwfu{##k$yUosy zwPHI4Vl#v>c4dgVM{3a#HDF5kHmnSy&5g1z1Xh+Dl1TmRPYBdc3o9qFLkVWOZ<HV$ zQ$tV6Uf@do<jgcAkrm6!cZld<r?0^p=9)UZAMHr)Y4oAq=A60b3u~2wDC-Ilko!T` z<>nFr5m1d2z=|Y$_8~X%1N#omMk+VTRj*Wis%P>UD7?tKnIW}L9{O4Cr|MmgChc-f zn;K(y23q|EE|9M%t(_1<?P?^+xc-LE3THTd-&0~nOy3iNz^4Z`5dL>Xl-??yeRuV5 zI@xYv1i$UZ)v(^<ee|3uZFs1vg4$dH-)pX+mS@vVGl*?jKri#_Bb%?8rCl3v+OeI( zTEn%4MRG=$y;-Ca$qvb_JBz?Gu<uS55dH@{x4<4s6LMgaA42D-;Goy{6e2P|g(2i_ z@t;HDnv;OE3;(&_8{q4m?|mLH#>g<x0{1g7W;i^7mx7uJJC^Za%ChN|j-70dQ4>~; zi3Z)E*M!}>i0=Uz&Ssw}4}=eNc*dsN+N|{8%!5t+yEEGw$+g?I*xR<?A-qp=mCHX- zqP)V9*$817-4}oy$n$_k1o@E=cqRmp=4AMhZUbl`$@xP*QJms}#igf`h1Em;>@Fv( zpirPcoo(p`KZbelQz5*$@dr7^YkFGKB|aY(a1l;BJdx$GwA<(6*)+AI%d#4;GtY<R z!q4$=5oN~6$Ew?y1oHN&nA7xvvASv~!_=hVIjf@Do*X~>^sd>!+uGKCDn9$o3|;*U zC2|P`ERfJ4ouamZWLakv1kX(Ln74;(AgG@KZ);CJ9j7>p9e}{vT_<t5u1JMtU1Wtb zsYyHU28Rg^g-q&0l@)B-hIDKn7t{cy_MQ~f475Tv;*7XhQcQ@|3m@mU8TN}j?CiiX zqO?<}zGuJh48u%pe+letz&IWd+W*<-V_oM(7O#!{`L3`W91~FkrJI};GYF}X09DMH zQ7xjK*YHJX(10H$P+QT+pHyzNxEg-ytd`e`B*yPXtqQH<5W=2YA89eCWqV#t5X>Lt zUSv%yhqbHS-gKG3P1&Q)uN~_YmE!NcDGDI*u{$auaGbwv@?^y)JyVk3ILs)usr0Bg zV?kkGywEDR_{@qE9hQPL*!^0?u1}aO`2re7pm1=ggoSE$JS2s$9>HF){G`m?N(qPa zYHHCn0z5xo))42bugryjv@|!tW^QUAgsrxg7oz6-8>-4$nx9;Sro0XImBdz*dk^-c z^zHDdw4LQUq4Ct%0sF4873ZtUR+hVjFUD7y`ES-z^{dJz$ak5wD7P8*-0W&}es=x% zu`y)}xY{l#beXLv{|VO8{A+Z6?){j#DQ78=wMBpr8+&1P1njBt?%>qS$mxA;%vK<C zBQJj%*23H=2y6Y*fw`%j1J=g0Ij`DgZgx5Jv9Vd;%leD`eQiC<w}nwlz6-Wg&RwWf zPJKNq^xfR1GgPrGb6!5{2?x?{kOOIZ?pJm>kbXk}@wdk_@%GP7Bwes4;_gDf5_BT| zI?5q7kjW)BpfMYN%bG>J2b=vXImCPTC%*vKIFOTTw5P0DB)|G!$szgGll<yQAkBCr z1~%*yg4vvZ#XTX|FDsFxt38nfhg(8YoNYM$pUfR=QRaTvf{d283E4o`;CJxsQe#<r z>hT=4PnMPw(RlIFdP~vILoJ)-V)sVO<>A5-a{1fJf=cH05|f6K#IJe6ewU)eKNi+f z1pl}ZTPX;Be!d4tK!`K5WdwljP-Vp|H7bja&|?%JgoQbq-v)DYUP1su*l9GNzKuA^ z$OlPMnU&crK>)$`c3MIJz^^HC5Q3uIHO2qJeqt&G@Q?Ggl>+!rY=B?v7J&c4_B}=c zKv<Zyfdqg!HRA;YApDxjkc@04Oh!3LmdvQh=O|8qvN&xiN`U%(ba{~=Rt9Y{ik%P@ z<qUN)vksq=Bq{2zwFD{Z+^n~vM93qP6&2-w>v)nRRZ;#%f+SVm?^6C3$-G5bu?x1m zh`m;M!TD>7GFe%iuaz`e`FGgA7z?vi<wfq;iV_aG<wfOKk!<b_!N0A{Izo5<F|o@| zMYQ6i!yd&6B^M`Pv+*rt|6$1H_BV;+zx7Fo&HZl^OL-9xR!6&2QS^TSp1rsCI~7&` zXJ4nYiA`ilhyTYroco=48yTu{|4`d&5=x{0HU?kYTM`Q^MG4SHCns`=9_0moqO(pW z7uJmP!r>uG#U^mR+P}x4o-Hqy;^Ac@Yt&JyzDBW|S%vw$4071v-f%lHag6hLX2Lrb z7eJoNqRam*0M&jf`SawSLdyR>nk@kArpR1u2-EVNj)=3!zBH$oYkrX14i0B+Nb9M) zaxK`81vAWtMHZhm{`(@AVL>r^eT;!awE+D$p%^W;#7PT7<D*+wjAX6O?sf4gTe=_5 zvi`>vZgc=<O$OSmwmHJmmXb@!!L@{yOQ#}pV<L`nCeDIsk$5$EyoJ#?g2{Ld<_Y!T zp{0Q-$0UuP$qbCvROr-vGOeNN?QVYl;lb(6zyQICTfwyOUOpGkryStAklck4pBbz{ zc<t2+X%@4S$Th)xSK<I->Kjo9d2HtyOCTjVKYyB5nA1y}B6jHKE%RRFY#ttZxs~Is zPq9~1tT$0kLkZ}%ba1e{p4N_;SPz-*Oo29K?uW>$ni@rRuD6TT2sV=X7TZSwM!17M zDS#FG;uOVa^F#d<70&%{T4R-($$j@nuV2TELo$nj$UGRZEM15kI1ow28HsswJ*xPk zaGQDvzfN$N3PjUB<f1yVrk@2U5{pE*un8(svO1E?a-gcYMgV_TN6RIxf0N|PUB$U` z?*zo6!zYCP@=*CoJydcq<&~nr5~^zcg5)>exr+h-Bz7bQ?c;=bwSO&P@ou7xH$!uY z2_<Sy4-|LSC(q*BI0dg)X#F`?C4XfRf8vEk{#qiqE|E59S{7r`lH`7zV*2Q=rUgx< zMcbjVcCx$nIaQmbMO(#q+o8L*UsYgbPD_T=Bo&6POh1+dP$fB3Rl2_wDX3M+o+ZhF z<?w-~ME}CFza<l*8nvMjk9H-6I+I9$9)Zeo^SCa@6{kX_zm!sgW>x~|#0vSomdIL$ z#e`~<QVYMwyRdg9g$|R<nWcjyIt5>DxE<<|RWUoByqcxQk=7Dzpr$MktnfMr;d(={ zNrCD>Dx;eQa6GHSD_MP`(apc{ZE+?8BR<Y#*=O_YXQnn)|Lc|U-W>hHS=Vo`F}R<c z?uD6mxr_*XHQaU+93p5RM5IvADu#rT)xuQza)VB1GJh!w<<(WQ3>6;af3#k+NZ zA_Mrb%lV$Tt@^J|E1_Ib&MoTH*q*Xb)_MHcHX^7OTX4qDuJ(CciTB!p^w?=V^-=S( zSqRtx<OXLDM-lj)(||$6J+$XkTpz*kuShHt4XT3%=~$d+`Mb3_8mVkRQo(Q+9+jqu zV*EyOtcJ%O0icjZ=&dFcWE~1*lXsDys`)h4@=Np#<Tb{oQF4fJ?yUB$S|ke3UC^jB z=Uin17fo}}B5Vtp&|?97pP=6Sm&R_s;V*jTpm^R#*f()pE@YzTei%Naw{o@0!yC@G z^7$Q;4?77<@u8|ONHvr8(8?!ImG8u0E0^|ra*`tf?kh6^(KY^4xxy2MoP9~_&O2{p zbJp*N^U}$UsP9@gHS0gy-F&^*+Ia(e^Yh?u`=_T3ei7TxohxOUDe^n=rX^tY!X}Q+ z&Mr#+>G0=I=MpNS`hd()6!<HV^vOi;5}B9X8Rm_%WAfysA$Y=$)8s16P~pB_nsuf1 zXCI~&f0;v=@vtg5ou-0#KEg^g@+xNJNkEkp6q!*2^Ck*iIF)+LV<U^Pk3!0^uEbnS zlWD&+{ZwP1hn1s>k%c!bkNufNPEk;!4%-`8vCH-BMu)%3zr$G5DL#sGW#Jtlzdj#y zZ@rvqE{v8|>9X&8$xRJw)UndXKS-TDBimvgIW!6lJKa_BtLUCvdApR3+_nh(Pa+K} zveQ)_-BpgA3=3r#I|P2+YYuyJrjLi&$K0yiMkVE^mzr`2UvVqGl!3*?MM20fVa&VM z(3-2Bz4@UY$U9A<1S|^~>{#Z)(uI^+kic(kS6QG5;WD{6z1@hj)}3cu{nJ_qUy)Js zA9MU{cLyh>W<0c%;#uj11M5d^!JkQf2FK$=6kbp&e8ewwhLR{sifMJWl4G$mC5`N| zTfnbY317^Fr&?eP1P5$!>H$axtR}VNB5nn<*yVo_&-bKr^CKco_X;1%P)}7d5vG}k zQ4JO_axZJb?B0DxVm4iV4W+WutSE-DDwm_*BB%KU5XkRYcn{vfUTYkG1}}m0Z}6{y zW4*&6hHppgzL7?mf6$Rb>C+`y8FNm*L3wvRFw2%<HnAJFi3<eZf6w5NU;i!lR*2^a z<Aa|UUg0CQIew+d1BJ@Kt`PZ@2KF&fnpZX7s2w7A_V;xpFDZ;<F5YvlLXaJgHW=kV z9&Q5DH;ph=P`TwbS%YXCHWt+E^n@!%1YZttgJI75H>C2icB{<ko<>`8NO!gC7CPLo z>Nt~#0l~`DG#bF<Bs(vr+@?Ib9{q;^)Y%_u#aLH%t~lQ(z^EU%NzI)PoWaHPA>`<z z>ox;GfXBxGM&+GLrD%XWw69U|mzK^-b#;@_wY@AQH@9i;-<d_Ru*`_uVPZM&!iez1 z@vIs_o8yhmiHqNy&C7POB<aF*&JBa5HsU_Fi-Xs{-rjE4Tp<FY0g9Fr{9Io~mp^yP zL($jy)p(DsN+kV-d;LXveP=s4JlZMs1XKs-Fbb)>Q!`4rcR$n(t4Tbf`io{2fHJb1 z;JzlTJ6`^CU*l#CdvA7gU^y$JMuu`9?^#pyrr8lcCbZHGO_g;A3#PG0j_rNyl%AZ@ zY8;GxB5slcqsL;c!=<^hv_w#*GG84x-ybTs3Zn}hJ3+%HoVa2@MP~5<+g=144YN5- zeo&B0zkkMXE%4+iaofId;>_U2Bv04LP*Onm__!Uz!pLE=Uxr8RGv$WX6$jThVx#MM z24;<mtPO_((okPs;GZ>ghqHP8_{e{GhO|Wz;4Y^{MQW~6F&gz5{Q*YzJDL8t&a<Gy zmzcx>{*eT)kKq@`^>Q(V=1{oJm3@hvJ$j>A1@-Q;kws6ww=YoAv$69?=`Z6$h6{sE z<n*b5kUbFYi>~4cdH&k2zsk7|i$?Pag$m@RSISk`pii<Bp#ij4=h!o%U-asuyH}40 zpDC4HTvtM%0}R0p%zH={I$5%ljoWX<3>fwK3xH@TMvy}k*I;Rn5bF7wS_{8gQxG<q z&pRLU4~W4pMHAv5AV=;SU%(^ZxfcKODDvG)gq0ogOBSmZp%nSv_t?Lw7!I!J9}gGf z*8Ew;gL^Ips@`79>`yqbpcSoKOur~%Ft({xg<vLf8~JSM@LqZnEI+FGo#P2}VZR5! zf=Z?*eB_uQo7+C{)^4e=O=M)1`Br^o{CJwNkNkXYQ1aAU1m`nepH08=?#&<P2ERJ+ zZ@{xnzBbvLmJqR%iQ9)p!|18N*gzY`e7lv_XoLoz(IB5kM>{=E`@VM9Cj@*1pKU&S zfgu6+YHZd(Z@@fd0CfFiC~#0OG%nE!1Rvua$m8JTU+%EWKDe(Lv&galYEa(5-Bius zlb~F$XN9?yRO{L7DSI=M2Ww?Xa`G`gx4I!R_zmW-Z%X|HZ%K12Ye<}=eBK{Xl(hx$ zL(KA5oF^rp9^NSkpbcs8WBbu5MxU_~NqM4KPQOPV<UX&4laJ#gsdL{AF3uhFuw>*b zn3B^yLTT>TP#ZG-g3?R1=O{Z_CIg213?`qigdn`M*DTRPHU!|SI4)g7Lzvh5@R}e( zy@4&3)r^=(XG4ldp^B-#(l3NGUUJnl5OHYp+^Ky2Z+vflbg`DTbw7!dA>J*A<kCoy zk`o2}9T#ON8!m+Gw5}65Kc`k}M(%fP66kjXe#5=c(*pz_E<FbFb8C<}DMQVm9kdz$ z0cxA~@XIC%NWeNlfYdKbP~jv>I)tMVhqiwM>bV+WMkW<9J-C?@Q{2odLfE+k?{7>l zS%2{#$H%^h7Qf|V9bCSX<;k)FJ-aqv1eaRCch42%84%@|A_U)-xulo50*+=hE>>aU zd3_e)7^c#M*)$6qDU`H<Sx?sR;$)vcbtNeY;Ji2r63?;MYzI^N<;jgvzY93I9L3** zg9?Mpgwp@{fw<uiY0=Q($qVNDz%y_>Mq#@Xk6MX&`YeRoDGpuxo72fb@-pIW;-X!G zr{5J$@0O?en4<W1-$eWFZG=T$2^8wAdM%uy_wZ0{gmjpXKf^C8bWoZZkDH!iZ`cdF z+7t5SeqY1p|MN9JO&ot?Ysfdi#ryVq&S|c+Az8cmjKpgo55Ocy&`Yz|UtT491v9F( z88|#XWFJ5i#olnF!3^bMdimM(^QhFUPF<pv8UbV6*lv{WQ*`UD?C9vg8i6LeHAkX* zwH|fO9VU%PwYX)($5sgbW%0|?#)tj#g|=buxu{Ku8I0jKq!5LJ<0$+Pyg#l2lf;z; z$-l7&O*XX~<;hriWl?VYgk#ckyJU-_C!5<t7X+QU$x=@F0Mp5+x9}Cbxj)Gr!Ugqn zOD(--5}Vn<srg)F8l`^?ufR^5kzr)DsWWVJ@QbaBQ@}O{BVb_>Gk!>=MX%6fqm<9Q zVMWZCzS3hoQ@yUu+}Ln(z9n<b7hG_6X#Sv``nn+F&(q?uDX`;M0Q2*X@!KSVC%}`& zxIL4Dr79ot16iUU01&=I#MlSJG%Sn#2q)Md2|sHXVPAhr&=vcnnH<pz_T_`mq^QEk z(ERCaZoUF{65IA%sE^@NMh}SL8Y<w!|BLck8o-B0p8^}ih6y7k7I{Ly)wGhucZY9k z8{}@(_wK0K&<fL`126;@(n3!F@8fm~miLMV8XJlEwPHP$W-0QB%?mH4ua4V!hi;Vq z;~OsinjBJM?xa>sWDRK!$DJSC_w(J1*i4va2+@fd^`3q;=usmag?Z|@g+rYBce|~N z)4MUU5C%|)fO`T#{_D-Gpl%}3{hlO;JAzzpe>~BBHg++JFX9AeJExP5f{ZWg`#_fk zr9G*Pt<l=a7yw@1p(2KCw`$@TrR&I6r@w&%o2QkRlsO4|ssxK`iES}NC}pfyMqMe< z%jrF4SGCDtuZHoLR#5k%b^SIpjjhNgWxU<h<_aMk+#qzpSy+;%x;8c%@3XK}3k^{9 zoB+tHpgovA3DFTarTutO^2<@Alj;4?`IR2;-^1t8gJP$TGTh4T)64aX?QOiDCRtVS zOVjrD<;F3?%WPA)9V8AJeA8I$Cw_`X1sC4<7@B0B$-v;sat;SB1h`%l!W%AASC3kN z#l@)JN88agD~0&VO8)+{P+z`Wg;{ZIvuLIBwoM9^O%^4+o2!r0LA7N}rDf$tmClr0 zrq)gqz4OOEk(0}!`O_vW7fp!@TWjt_i_^{Xzw1Ue5&5td4&%m_vL&H#BX<G%_m-Rs z>SQ+7gOYGbI4Mrygw2S?MLZCrVdCF_mw*D`{wfVv>8DE2BMYX_)f~mF;|#Fu^)>@_ zoThUCSl*7oN6kKar_TJF9V|2(81QM7{eBif<+A^bW*U&MX4(M@^8*4|(rpm0!2H>m zwOSXna{A0FyJRL}j!RXqzBIs<)m2>g#9YTQ$2UuzePvI_Y!)h7Dyw6cBrWwVFxeg5 zDh}3h#Dzt75#p$oaMibRpKuzhVZ+jBSe&6{T1WNv`o<V$9w^}PcEt2AguKH<N?0zo zJbR&NTW5VyqIx7~5+$G_Azl{|vr`8BwoZENZ<{4VJ`O<3-#8mL368Y7Uts+!Vtf?b z#l95)+qUzguWka<%Aph<ZtmaQ)`;Ff4`m6pveSEnc5h;GWbil0e$?UoQ+&<x_~0jq z0CvCMaL2DJ*P=T<Z-sP#c|jpS2Rj)cE%?-1c!^NA0`n5Q=`Xj+IThScO)B7%o0xbb zaD5Ua#38MeoA8dSF(U*KGexAnKF4Nao@m}L|B1rSXEMYF;w#eWb+Fg@EbIdR21{l2 zhl6dUvmh|W)>dlGfFHwK)|kQu*eqGk`t_WFqyM46>U~rQwyeLS_?)9=Y)hKw*)X&J zEKzpHK1}5i{&A>^*dFBU<_)-W$%6h@wbFs#6SR>N;LDxYoNcZYZqz3lS#9N4QmDfs ziX5Zb9=E;$mhOL91Xrw~E-YE?*{W@)#_Mc_e)KRY*^E7Oldci*X9y4(N4{7W>W^2S z9cEoY*3(nZ+-WVOCfn}RO)c&2P<~+*Aktji3u(Ow?WHa@Yt=aOMN0HzJmg*}OJ<%t z**@YL)mDE;44IKo$}PGwm$uaaJ_Ef+MsgB@NBuw+*bTHVM|8QHY#@w(+Da)6lQa*^ ztofW<DJ-nRkgs25ETOKgOGU5Ch2+C$LkuyOQa_LYe#Xm&Ty=0f!qGsJ%WXY5g7~(T zzRi`^v@5~rT%y9@mSd@(Rr2{v#@JJfLqPRMy{&=0@OsZmJQc4(YuU-XwatbGTD`_u zE(6fqxRw1?Sdq_q!_eV9*?HcqzZ)UDb0j9MTd_(<g$k#cF*HWrbSP`tF6;2YI$u<W zTi8UCJskRo7qBX#kG(q&b}-<81x-PbGsCx-+cIR5HdnA}K@e^Z>_;6!z5aVhB7k`c z$8U<aK=m)MBwQ)DU@Br&X8%W0Dy;Y2<E(bA_?D&Q>!?LmhFHs(W2c9*%`Rilo<+sK zYw^&D(JrpCVy$a}YePyjg==$b?j;@=KLiiv!IpC9|Fpc^c=CJiWg@t)ts9&f4^DEe zp2)@|&k7zMW)BlNpapm`K>++Pg3tyFw5mMih6r+yIPQ5*p5vKSwJ-UR>MX*{(VF$# zxMeK{%WIy7%jx$A#M#(%LzhFi@1jgg4o!^b^Qa;k4g%<?NAU)`LpUP{S~cr@`U;s` z`p#1)l9<MzX6GYz)X}X03(%C1pBi+oILjdZ;adfAW<zm6HnXbge2r4i69uW4w^-fK zt@ka@IBg6=LC*xh`#RF;!`Y3k3afrtCH$^JQUvx!An4~=>G@9c{%F@$flneZWRB{^ zWHR*a{mTW1J*m&z(Y&Py`%Q~NPdy^O)yWcr=XO%)frE(JZ^XpubGc5uhaDj9ehurh z$!5FYt7f(n;nX~hLZm1rIrtL0i6)|lW-p5+#-G6G+oGOuED@K+JsW%_xRx!(c?NBT zGFxns6}fgnzIgB1gf~gKxGewOH2r%RM)=ez)4k^tq#<Wg%PZbK68y*D73%%ysNWC3 zHL`mNYPCI>+S}rCh~^A_NVc9&9gu4{sMp=~K1I{qx0Yd~M9d+_%}SxJFXDc;Xqkgj zN4d@Mj@#>cM%6>C)tX>0rba-y*h>Ov>Pzq9V7uC#E(eu(sd;-*7;RUTL(21}4%TM_ zGwWJa`$CtP#0{C8Ga?nAUEPR-<nQ!aWp$2r&y8!vm25L=1S;hS&Ac>qH%6`)%3Du4 z*C{xwAr26IgXVQgbZd@w5;?22y2*CkR%V~=K=krfJBM{GP`?~vFF^mo=w;F0wGUCS zjB2M<uR76ZyxUbR-k;l^#d6M#J34=HIO1wTS5gugf7vWzN0yipyh~g~%bd6~Ywi-% zG**YoJ}EQuL(>Ys+yGIYaIwPu3zV+i@Ql`UIk%3!E>G{lyDxBq^F!5IpY1kFw#p?* zbOkTlLN&JvoZHuRr(->Pm5a9WVv??DlFc=yW!u_L*(Xsq<L9S*)XVn{kI(mysV4XX ze3zU(^s->{<3&`aLY<@KPMbJ$w712q=f_=J9vKHX9cWBV@p_-1ICD<d;G2aDTm7L~ zR3|kGhP<3K{q8#)5{iSdQEtOF#`AX=bleSa9O{+MC(G`rEgg$5PmJMOUh6Y*DFUI| z1`&YY@92i*Vkh}hqiwfBd5)6B9ztA}d5Y4`B|BfM0)eW(Mhj~TgD@}@d~^=0_r=t6 z%8bqFvKB8p43=GHAX8<7j5Y!t7W$IB%1h0p#N!mQ{Xl@E$+mh0UrJaFA6iaJJJVD- zE6FVgHm7Y{G~cGhgHQQ}ZSwhbUjE*#R^Sd&B#W@rbM*sPl-+^pIG8C1UGr=MCjRXl z%^J*7OJ=^_Gb)>{t*v%50Y<6?gPnFx)@u_og*w^%$ebfosL=;hXj{nR#W?C^pIRLU z;#>bxwDr<RlWAzP$P=3~j$B<gE$SU5s)O$mUz789ma`YxVH$98J_hJeSId#LPD99{ zwmD{5=LXs;I46WB&xBglL(lS{dH{`1+5!7quC!t%wGo`(h7<yLT<zxDJ_S`>TNZhy zk`us%MC|AFS-~o$N1!~zsGW7e3!ssyaW)k@kW~&|PS`syQym$y#0<q?S#}bIz=jMO z0x4_tu#-YD=pU-yo=p&3E`QUrbRFeivOwiCF$aZW6-r+ucoogld`Sy#<)ksEL7ruf z{<@_dPG|2~<ts&?Ip$6AOy5C~-o#A(jEGU;QX}q0OP)R^B`50{8crvqR@Aim(?XK8 z`ZRmNJ<BIuAtghr7CiPW;D`1TLmkC^2g0!E5m><Ufc?DO_qXcU1pS!s76<FUZnPO@ zcQf~3z9JYD5DJ3|>V<n0#%)ofm98%X^*F+75c~~2$UDx~yd*a839xtp_+lFaSPWFR z%dmh8p%$GzN}|rze@JZbk$7#SV)L$g8hE4aFUtmz=Uw%5h)F5{5(sSA{5fS~5!X^A zTZ$8G%8j+C)>6*j;yv$d%JH^T7*?%S7F8G)^<1tAJtyN<$T4dl)?Po^dL9?LYh<_G z-q<>C6nzKqufK9rwy=FK*8c5T{sD~X{b5SoQ9Hi*p}90W{K}QP_o(>b112J+158f! zpOE4|BF(!;lJ|ft>jF{M1)}=jS1*2y{V&#)v?k5PRV_(NDGlrQH?F^+XL8$*<aY0g zY+uuW1a|LIW@5R<33D=jU^bRR*VKg}-2BaOZM;?f{p^&BB90Ekt<Czoxxoj@rVUlK zqJk%#XT(WAUT$8A(N0xf-<8)@llTb8)9VOb&nJ`PT#P?hdp*x4i6Im#B{o4SyJQt+ z$b1U8j!XD|#hB-gpIj<dVmTf_XZjwDUep}g2=fr)U*#2@v-gV-hC<=#J(`DYaQq`r zbeLT<d4Kq2I42a~FO2OT%m04Cn+G+YQc5jrP;uXe8Ql;(D2`%hlHPa|N9&dQT((GN zYX-?W3oq_x@@jILgl$0P8*XC$?ab#nHn-!0^_i|V6^V^7Wx5an7zGEhO#b!BD{|N* zQA3B9D=6+g_3`(AmacSMf~@W0X!Z6QJa}EfmfIcR2mIK3!VvoT<YoDy1XO@=cPyh+ zG*q1Y!Jx1OTL(?kPYx+x?P|>k^u8NH$OY*W(fYdrQ$1Q4^e>`uX^0^h(ZjlNFUy*z z(B9hm1<Cz4q3kEY?5)}yTYBMd-TZ}?pi{-TU&|X2Z$<U769#@b68%cOB>?>Xg8TiE z+oQ`~k=;z3GkonY(3KO)$0FPa!{()P1Y%-X0vB-fQ+-Jc$b$FZystT!vf207se9hy zZ8lWOdY}goWktk@;LzI*P@v${mv1eM#YF(3hp>kF1ZhqBJ&@8K%80y+6%KHnR$*6@ zJV^2JXDY>4$n_)}C6q&)TVSKqMIgf{t^!AVW9RV$A@7l!*rw;ACDT(=zmcLu>@ZgP z8lL1@&(Fa>loX_@=DKN5+N^afJ^0%GF$V8<8@?6KiPf?=$EuaoWkxBYRJ__N5o!aN zgo+g(zdyBHb5fk8i`a;|$zS*82}!GbVs4ymEBKVCalY1LL0IH5R`Gd=z!Ph|{7N_6 z^RE8v`<N?r{G!aX6A-<8XgH3JD~Ruv_dbk=BOvYO6<C)GKQ-_`IxP;hmIMspKb7;Z z59(i@=J<hd<sxcfL~VPfnXxZG4MPaWvrB?K-SLY8Gy<`xR%L}RVL0zo$iJat3B=b? zeWvRuW|CXf*$UUGe*JKZu7H4;i;%y<Sovm5f+2=j#6vL?^pBZQ6KVbnr{*>#X3d-~ z&LEX9TAm<W?TC^Ru^=$gcrP`I3X{j~(jVcOAc<^30OlAGA<r*r$huDGE^S3HH0MYn zd=|?P4~q~c!^YSZseylKqT@mk8|VemL_aY?5a}9VmrT8!!di2Wn#X4cU-rb>ByM++ zorKChUR~t>k$aqA!_;@`TwkxGW`oqBBK3h?Fn9}|5$V*GR)0ME+Tu~Exb)l=?2PuQ zM*rXq6nd<-$KC=u3+9gcyCMAe{F!jQCMLS27kYuXB{={4#ha@Iq02j=E2g729r6$h z$b|jlli!9Q{r*ekf|kMyBuw^ww?po3j7VycBLs4?)4HTn#*}#J_JY5B^LOXxs$Wy* zr4{sRXHgLBn{DTZHdVp+r?vEzTjPgKt;qO=ZS70O)h}^t=l`Voz}xVVdHGG&**ku1 z_y1O-vP|L|X&h5TW*Wvc{%7Ev=8sGvqUA3e2+e>>$;mUw6T}AFz6t8vXs5-4BPB`k zfa66R>E?Oy+@AWeu}kooxf#BWOO%Nls4~S1sG}y`YPZSkWsfdqJ#5xD>F|3{kWNiH zmhnGl7i{a+=8bTamY2pHtQw8J>9&mS`JM`#9JQikC{&Qyb>f<K4kOQ{t{srG*hlcG zGM-bVp7D+gjPxL3ooQC6iL3T~1o~?L_jv2@Q~ad#jf5zS1}I3~g#%n_$s=CxqLuHp zLrspeLc?8+j;Q7Mek=sv*-uK&$`FsW=3<(efI+mXiM{`yZ*|h^{C&<Wa@geQ99f6V z>?u8WG>=U!6DxL7TzY<cI+aq<dw`=l>FTDvT0p(9Xuoz$#@;WH+>9U}h$tvbDT~LA z4af<<mu?f}wJ_=<^q}k-q`xB=_(fR=oHQewH6hI>t-Z)bW%AOy^u$&a%`*nzGK2QW zYV7FA(+INlaDT3}mr~bEI9LtP2AYXAa)4%Ob9z8_TGHyd*-&o3p(i0X(Um8$b6!7L zJW$i-v1uKwj;>?3r-7^u4)vPiMKyI_PR|99Zml+Z@EWQLqMA5zh&FW_$Ii8{0HXGf zj>SYRIRwHKwZgf0f*fAtPoR>{g;58Xe@wyiscd=f(j)9ES|OO}b=5yAou&hY#ygBv z*qTp#viUzf9s>WeP{vFW2-T!Rw820dpLbOB7~99T45uYgC<T62mY@#=sEYc!vUQp* zvn{ztZ7l%xQK$vfR)^GmRFNn0D~bf6tv58v6)k9Ylb3IT9Gd1jMeM1$S@GVoWFoaH z{6RJvvUOA>vlY1~DMrQh8k-3`2g<4hu-_cV2<-hSvC+v1g+e!uBKM`POb!}|PrENS zq`+yW=v&<C<6X0(O?$=SkzRXP2!`#plDFK5YL9^cLC><%jASbx0{hxYM+n-T?=$C2 zEiT*CVd81Wi{>PNFtSMan;y2;Qz6O?Q?kz8psiJYL?DrDuyIb|;rM!IovF`Pp<`Lf z#u=nhoAkl^^w)!9_fgT(o~QePNM3Sni&Lq*`~L*X@=}UYPfkm50|c#ou93**R33rJ z`xkGGHqNt@s@;DZsiEgu+?<dk?GAI++WY!9s(iUSXrQkyV{o@w;>;L#x}^#$pa<7k z(f5OU1A|FQ{p)0y!aE~&dIva-ZIVC%7Rc)Ggkglj2bPzlGo#tnP5c9Nv96BIzEPTL zG)VT2(OTs;*3E#kUz6hnfc_Hj;hbt}geN&qo<ZLy$#-+=5NsaXB}M=`ugp8oO<LMQ zv#_}w?;(kAIueataof|<cmZ;(Cz*7t!-+oC23hn3&mZ-osRl0vqIa^}oElQ}q0$`L zjBMZ$e+H>)4|Db)RZ8VhrdYX6yRwlCf2(vBxG_u{Yul(g-Y(;D=Op|+W~%O_DKdVi zxli4jtBP{}x37`}J*1<Jvn+~1{$yC5nyAbP=G+_QJZMS42Laf{0J1C!=3Efu9N5G} z{y<)^>?PH|V=8YuR<ODT&XNVpQUt=KguuV!e4;rJ%95$|3v(k`Y<{c?E=C##s{$b= zu>b;AZB7NK?xZKq?*dtc(mBSLZA0Z*{Smn@?ie`|2dA-ekO4c$NHo0AlW1UGB?@M6 zKBn0ffM=+!_NAk(zhiVklNT0ht89&PtQzj0HcZLdV}-Jd3MF<3XD?^j15w$eLq(A? zh<t7VRkA{Ifjqh@xC<eeW&Lqhq`6Oqk$hzl2aBku#;7K}H%a91`Q}5w98c<jv(R`{ z9R(u|qe7Y5Ne+DQbvq9E33&y?Ipgo{b;ttZSiQ8CzjCuQ8#n=91+WnIY*1GFS>jph zt;g1@<V{|agZqST=^^?mI9lqPlGS6s@lk7X4?c7GR?k1?J}_KQ!obXAvQcb)Tj9ka zvLBeGkt^7`JJ^@;XLgBl8v!Cm$ia<S5|--SQSt|#gOMKgPjj!GUll}S4k31+Mr)nS zlll6tOIBaG;|rOZO}=i-A3oQ<j4Fs#OL(x7Dd3TsG_QHi_3XfT5lDQ>PX3^U%>83h z_Z1ZcvqQc5w4k3He<&SxpfdXxtN{y6^tX@i)DFOs!s8qZm&(7FtErPMJD<M`wkVt1 zhNY&B1Wn?BNoJTZr?Xr>^YdIO+^xj@sRB1&3d;w&IZ2d^)c`%_L@9&vfVk|~>!1>Z zV?&d@;6;!99p*Hy|1JG=$(98c&3<kLq&IQa&jwLMEs_6~2Bb;DGD~%;TBI6I>p-Je z(J~_+mpO%<4w%=9aye8Vj3yB1*lf=2$0>7DL(M)|$6!Yrc6piHIXh2P`U*A^@he_| z2#j^JeTK{<n;Sfm=h`g<b24vT(Wwqo6Jgq1*giipiK;*E+u8o9@+GWoo<Fo7heGf? z%h5WEcPH7d8Lsr^RaZnLYS7QLZm(29<&A%Ui!aZ3y>FB(;aJpgefdE}!+-7kBz%W} zxpaI~czZ>`@t#xgnt$XxO+0L=1$kV9LloD);pn7?gh<*W3f3w=*txoX3aWOarEggA z43?8982BXx(#TvFZONMbMvW=CUj6eq2aQcn#6~zCyd|B`LBhl`DW6nlQYD<yl>#$J zc4@c6yH)LWVUZcv8CT)IRqNC-+xYQ#wxEA|Md!lrB7=ufOf%4MIKWa!!a`qIM~T6N z2}GZCAF2aM-{u-s)F4(5p=Q<}kGPB(0_<9Js8~7BEKvmL<pnFKqIi(%G1g{XlseGN zUz9IAN^T%S2_VUBpv^hQzjoq9(SUy=2;a`m+rN<}*Y`K4k1g-&o_kV{@C}RGA6hw< zVyP2>w?He!Now;}k1wkS9?zJ>WK|+p-QJRwR1Uz)fFE00(KAY9!gEc#_8ksK&)+|8 zA0p1_p2i-ME7Xe>=2{xz25jOR+cNny3cKuy2_zB}P@|1W2H2@gNAoJxA3@Q~mpaIX z5`tmeG9%gmW#cHHrn-*37RoLasfb)sJrk+O4_Yz~pN&+U4}ets2ai#}qae7M(m;wa zz^uG07-M2sn*6Qy5i;r~B*w+CL%ri_gKp<x-n?!2>DY@K3s$XaTiKnF6V<WxyKo){ zVc}q?4}w;hr4B*LlhzwwcKhVr{*u82^%9ez1-clH`tPLMdLP3fyG^}VHF40j8cxzp z0VUCnh!l5mwr<dB<!t$Y{R(%cFl&Q?N+5rWFkHCI{BbKyi?G<y9&IbQ3gDb(Q)~P5 z5E4q3#*h+m4>5G?<lF`wuJ|C(ANuh-pf_#xPz`$*hwi1-K0h5jtrDNFB2ByqOURHj z{u&DDw-4z^lpQm};7=8#Uy9-GUVIxl)s&X#^`o^Gq!esMXoFHZn~_vA@rKdRHHW*Q zzkD=YaK(|AV>_-YheIuuv$6NF<A0axHlvjyyPLdi59^7u+==gL3?HF134?5;2}~Rn zr|VFVK#`$;$uc2&7(fU~%Q0eELMc5oD6N7;zYq|R90ni(HOyvSi|Ang9{_$pfxkJC z9cv6z&7dw8n(@-H9!i!n#9kQ~*zh^zh%}xzR9~`{WJ1v7d*q?EAw+w&i($%}unoec zVgn<pZ1!Wj2JoX07yqz+6rOmHio!$M*RP7i^iF4k0dn_f)JT9XeQ(e!M6sp8m%jVV zR|;%PAs}sobmW672vmrJ<IKO*Az08~l1P7ToTeZQJZ6URBmhg4#e~4NK=?ZbLdw*M zh@mn&VOugND~R0z5xaxtOnKd2OX_YCu00}l!-ZCxGxczdNN4_vZt+&+C~fG{+m9c@ zEK1Q^kTF#E9uumCS1B`4RTHT0aZw#SCR7XWUuK}XpFnlMMRoXyP%XZ+nSts_0@WcG z)&0kWYT->!KB}*hyee{0J$Ov07TyNUKsCv$5*O9yj|tVnOQ9L4CV5rnqWWSRsD7=B zYvHv}i1^#TC}ZuFbo5DJYX@e6ci)j-Esf7(`sy9DK6y+eQ+Q96PcpAXbmGhxjty~# znR4LeW5TrXZfgdnY8+F(7<l!VFfF|Qnt|zl98<m&c>S0#Exa?Ef$2#cQ$C-Hgx4kV zzudw9DNi0;;PvD?aAo~Bt~a&qIj$0g5iNvH4mk&B!7d^)UGf$oyjy!?L}{w%WN5n> zmp-&lklGeuW8_vqXO&JcS={~Du@hOJ);~2VePwTrjq|ccQ)?UnlwYSc4o$eHw2;L# z%oe)NS?LB>t4%^C%WTk;T$>;)w?fFB9Nr=-$!**l^yI>R^aee!T5Xcx+<`ww*j2~o z??(HS_6`v?(IcvFZ5_ZHMph1`!G=M{&V{UA+>fFwSv3TPd(%jw$&kaFM&(wr4BwSs z!&1Gm)!R^Sr5C9jrosAT7&L1}UOsv;1ouQX7ufl|gwFOjck4oDd-C$WLfGhoi<qsj z@y5E_g8W-k#C#7P-6TzJgBCZlKI?=mbKHw9B{rGsiy-!LtLaQaJ4Ma7KA+0AP-0EF zF?#Y|G}tQ|L>>Z>_0EzWyKS}(5b6OJh*I=b@isoaeC2DD`bWOWTlVbMKAc5MBFXqx z{+?I0^a|$?q{t)tfH)x?oa^b=PxrbWU9_*fSMmB(=@`x63X`<OK;LToTRz&iB9?VE zSSmHdc~QXcLur@rCC>-ZFg5bb#o_o6ri!13sp40VDxPes-sq-9_E~RDZx%d9E3y}T z&miM_FR$m{J_YH+OgG3jpOy2DY9b)DSjZg;E)u4jC9>UdX89ewDq=-oALH_weq+E> z*6bBpG71JZt+|I8nO16OZk2oSONK+w7`lAzo%Lcw4egx;h@1(?53Ru~+$Vq1;QxO# z_<q%0;3`)jk{6M;qQ$H8aRp6Y9oq-f=1KV<O(FVVnm+!7_5=Hq`<wG8RqnlM`9Mqs zj`Q`>!REZm3{dtDazOc^HIw|LnVvLLS~DF~^)0L2XnPplRD+i*Xs0?D|ND8HsfQCE z%Iow=RT0akku5<n+=L^Fn7l5@RYRoOrqoadAcIUmerP46KDZJZ>kHg@@XA`wyPt}b zk!UqaUu7SCRu%5LN(#+rlgPBrf%^}VcuRtG3}egC)2}*`zBWaL8PG}`Xj#6`*8i3f z%$3=!Jb9EBpH-5lRk#<(lD(C%M!0#&;g#9MYpzAP`8L0l(hs$i($<zzD!Y{2d|U9G zZt~gMhhIzihgwT{YilW&&B0jh7ISG}xvv5L46hM~ul~0Ax{5srI<?G2cWOBc<R|Ab zJ^y{CD}yEOi6-h<z@9osL1d(JuVXK%d`dh>QjB3IjU_2#`=Aa#WI(achoY3FRD%~b zD)cujcYajT|89E)3J>HxEUsQ}d9Og_4vcNO5APprv!EmlAv1?Bos{&QtE8o&o-@rY zvd!IsYEq=aMnQFCx9dn0ZWNq2wrz|(^4frTJu{Zb!b4kWRg(1vg<dgvH2;-!c%?mf zB%MrlsUWuU52S^7WSp>8#e@G=I=s~$dn&yg9@U;S3vnss|CJb@`-C|>p`Lufyc9MS zA3cjAl<|yGWg?S#2n`fJEAIPGJ~}k=B?7^QUeJ(KE(9bmuOGiyn#?Odsi-KW_NPjq zp>WYq>1J}2Tj>UGBPD$1&SYf;0TZ}FAFus!<7jNaGnmIm9x-@ngLf~`*s)w~i17DN zHbJ_D;nf6MTR^ww#G658=YI$o^0@;K7CX1N0zlhyy*tb3T{+8%vDBKTjY+II3M#G^ z+-lCsWA$*wF`Wx|?G<*2wC2n-milr$yX(!oxrJ+iNc8mOwc%;PrROXJHYxFncz*oP zFh@syZ}Pa%=}t={#HwO@$g~Xik)ks%kItN-^BbYe$BK|!9wC<@bcSKqt;dRxR~{jc zA@osqzdTlSrgjAevV9I(*<<MFks;-Ii^F~&9&o-|EwMPZbbHbpJM;dH3~={nhPAjH zUMeC%<5?cg6}!T65`PiSMjym}pXdbqo9PIwRK`nl;lbMz*DLH8YQlf$H~Ja*Qt<8; z_zTbY+v|VE-_oiWz@2WlF=g|OY+QV~z)f3i^c68xCkP{SAJ&<gOE;_1jlM*<i=}=E zmNr&*=Z$mWOy~&XxjXrCIUlbs-8*;eEv?=S!3++YJ3_L8XUzTb1MEm;mnsKP8fEdt zfR?}k_T}YeYFy!>QwWk&1k!+%ikC1E?wvjMONMF|G}K9~3y@p{&@fgyw!TE0OV~n9 zfVD?UUav7pN)b%^r6=it7Wl4oU5L~ohz??<aP)RLF~-is2xdweW-Z{^tG9LG+ADxd z5rb05=RDV8cL%Ihzs5k#q;g`W*9B})1ejC^n34q;d6%{>V8bH7<U+s(nG1RPU$5%I zwO<66QV3V3gdp#o)&=aK2r#t}FgXh_$fsWuu0fG-SN4kFBEpzIVc;Lu1x(2+RTLh} zrfXz#BzBzZLZ;@A%VUjnjVvAXp)OP@B^)1<CrQ#Z_)x90j71EoGF2WMS(0dJ<b0v- z_(goDMg-O3>e5|ZB`Qc_3Obb*Mb9g0f;TK;KULvO&ps`rTUvETW*rySiH*!K4|2`b z9ej{aiahf!T_e6I)DHid?7h|nYnVSM&&W&H;2KW;PHJ7q4)Vw4nR@9O*+fLhxF&3H zZ9At}R(Ld?uEAZ+;0AYH&~oPuE{W%ZMr-7QW*K#%lf>L<;Tl=#8X3^}w-M{YmaFAp zN525J{hURO-m$0)U#<v&J^BLp4sz!%8fRM<!hC5W7g{iK%7Tfnu79>y6FxcD!lK2+ zHDd6|v?RzGQya8g;-kgIHIn;^KZkGe)CMfq%90A#R7$OB02qy9tqWH!H_+nZ8eF)D zoU02~E(=J7MyZrGN}1Y9Jf&D0z+BZOsf7Thz1S#^ZUVx54W<^!Ia(t}iUcVk>q4mH zYB7k@E`o4B55j1CcWn@*+~PrzVMl9Zf=KqM>VhWc77vR|VOk>-G?HnnHf*^XLN2t2 z<+ME<+Zw2C4nx9)T)U{yI@!y$P9k|6>OwfoT~~Qnq+R8-^ToHH)`D_Be;yAC=kXwS z9#glKYQhP3NOFq{_gusRDHw~4tP7=-D`p_hsQ^kT%S(%eN7V)|SJ^>uYY}*<Qwshh z8X1OP<6_kCQ(0)@s6j4O9Ycf`IqJfH&ESlT9-1`}i<~$<GLJvbOc%j{*5Og#q$g|; z14au^uoCl(Nm#_b|HGJ|cg_ux21t?))xn{vAX${<upS)JHDH(q=?k%rS^L*ZXP)Y` z(d+eZjHPKg*L}`RCHrP4fKkkXV^LB3hj1K0@^{)TOAE}xqG5W`)g6i1t94FEf01Pp zjuE0C(Xgk8J*h_$kD~@^0o{9s4I|-!XxDSyv^?jKwiqhnKjQ-k$0v^K=`K3aEq#9D zd@<=0m%Zqe`A5P_^LhqnnODa__v@UnUhz&@>Fmx77)lckVzZm7jhE)incTr|=>53y z(}Vp`^e!jPI8H%y-;X&_YmNc0)(=z_{~q9<J@Q#$zePz^ReAW6q$<iDwqOUU@{=eH z_6FilNZbg91wSiHHcNzln%qvMxg=-Sn=T##ng{<m+Iji@<l~<oUQy=$@ae_d(-S0g z`~5$ZlYal@$Cv2M$KT!}wI`yFOWk%&IMvs!e*e`w0YnMV>(LBb?jTZ34^wlNP$DEn z1Si5`kJfD*S*HC3Ee%T(&LFtLof(EFAX2IcOhCAilDUDMd0ctCGz{ApJWQ^PT~{DX zM8V06Z$Nng4iJ~1UwfLZ-<a3B=PY|G*H}KkHf*owId9=s$cgS6t@a3!x~6-Ht0Hnw z2)FB*_Qd%6{j}AbJO5)^mfmddXkEx)^GhRM?k*f>YAl;=jbDxh&_ef2EF+q2xa`TT zM4r3N?BdqA@@`mZ1m3y+*;!(M7y8mQPHnFh;;Jteztc?cas1TP0x)FA{_+d?llF70 zAvM~&X|VXk7%alvH4UMSb_gQT+R!!Y8=B_!jR)V$g=7!cCY2}V^2{JLZ!rkYZO;$R z>ETJ8K}=^jO@IB>^Z`T95PU?3sM&9#Q5edCO^U0P=Q*~Ed$cFFZS<7_MTc`O4Zej@ z&Jp<wOVt(R;_AWWSod7K=ouDC&PD$AUj{z~7!Wo`a4n1pHb%@N*Xmh@eeKOg=I$<x zV@_MHb#7j?6Fr-AOxZ3j=()?W)})Nky^?y@=CnC#KxjV=voF<5X3g&Lc&Jqd31>kf zug+1UI>tTVKA7}ow+T4%K}0A6H-7b9DWm#5os81M$km1kr|uqX$xk+rmJNmzwj>ZH zA!k0PpRpn3Lgq4e0snWA<v3rEY5Ud?Pyr-0GdvGlx5$5#ff6*cSEUhIpq+p*eNrSr z#@bZJm|~8h5muJ&dY2$(F=Y`_2*0HP!uHCtaP5H3Ay~QNb;8K3OTs1rx!Yq+>|EKa zIsRpKK2unH*xG)G7X^ZHhIxJIbB>12k?_)(nl{lS0_q^)E#^xLy<D0%5UJNe4dK%Q zrs>pPth~tg!fOl&I&)Ubu|Yl)LJ}@01fi7r_AL-Aly|UPZW+DXnK_<m8YFDNB4Y=C zGj}HD6wk8z%PW|;-r1Ye*B>u`dw%wx?RFb?(}*-9!WAJ-tCRQdKK|?d+n^nSDT3f_ z#((_r^GD|nUI;NAx68<beA18zO_PgB3Lqe_!IsNgt}w|2G=s_IBXFk{5&qARM_Kj| zfl#-NB~%#&4gRDuKWu=<-HC{7XnzNFpuIDlVtM+}Szr#M(it0K-pa+Q-2j))w6A-; zo<K2ag)~gG9A4m7z>@H_dmhxd*wH*Z6;zr=X+QY;DBjeUv_UyYTuRefL%{5Lc%d6t z*xmaQ&h}1XQeA>d%b(2-nWaw9PY1W@U`-gvCX}2DjDK?Fy4?|lE-W}t*13RW0w7q= znyEErD}0MriVcPh2)os6cA7NQ`Gd3gw7{RJYbc9ihq*Dbve#@=WI<%W033-Qa9pO+ zg}4R}E+n?ZPG%*Tq*JDysUpV&zN0l-x4nfO?*hKyRwqiOH?|yNt2OGQ3_|@DV>n*9 z#OMpAK+Bo}Dr6Z8HKS%SGf$fdp+(w|iNFnP;}$(%F7>;XBm&@|IpJ#%)D6HFg{Y*a zE<#R3+7lpl0SdOYLHLz3&e31~=Eq+)29Mhg15&CU22n#W%f^xeVWNloNFU$`qpRz& zV>wGrz~av{gyc9d&&Sbk;6e_uEg%qkhV6Z9+Oxq!qm2<{dz9=Cx29&yaZcWxqdu!c z+7jXN84H!qM+c+NATW5n#oI({^eMPW#QG`B{F^+6Wnp_^j456d$)|-rnUGHrfQWV` z_G7MaU;+<paAsZl!_DYawIT`|+lW{pHxo;#fsu=Gh?{g5=gnPnw|OyYb{b$G;Lf9q z>-WwiJbIyzzufA}i7P}#U?LOx4s6TRK+JaRG|_IODRz9|0=e3iI+&iDodAd|5MDb= z2Ek?lK!pIDfK(DX0^?#|ND1jCO@EsxNi1Z~h;12>vWO@m0<InVifkvhpnd%NP(GHl z^+jg6V-EK<TPULnIvJrT??@tL9_loGzKDsW2oW-hZG(X_b{2P-kUa->^u^X~+D?tw z`oIwwY_}LNA3G|RNh3BYh7yI+`8-k*gLl-3l<e*j^P72ek%F!~GLL~pyIo*BD51^- z%&0~`(hm`yrjtd7H+}Yv&K&eWr_Zm1KfyGR4!P@9ZW?2@JR*89VM_#F1EXxzB8;Jv zF9|UNo~#jwK7SX~-+C2L6Z25)N)CKMlp}Svn!AK2n#AMk&2<m6XFuk5_1g)z0};mL zwb%X%Ts8%o{ss4UA`rk`p9dOWN{r905N&95x&mkyi`ICla10|Hne%JJZZe4o025+x z(VMPhubSWj`{!gIP7|h%?RBU6+_dfvUEOxOuCX+yBSgNxC5F@Cm19lVH*o5ED5;CT z@yHlcAdlT<xCzcIfPjwTgo${Ri3uvA?<B16N~k+u*HI1;FV4*_Nz;^TMw^6-D(!24 zb6%~1IBG<#ly+=7m^va%b%)(;a2b|{rNdL1zDExCuwY-cbGy_R<d3+=QO3mz_XFA} z;rC#XLkix(E$FZw?saBj+oUB03u5kS7(TonTn`KUK`Q)6HE5OLXQlyQerLKM;)j;= zLDO9@pEO+%@lmN48bFKPKhUGZDW}6?XXuVP94QYjVds<2;S&1w$4keuUff}ahIZJ+ z{Y5wM$R=L2?nlS33oc2p(-7=@G|~vshNqahem9NV7RYdD8+ys+s1ey1%Atc1r}vOZ znr}`qQ^z-gKzI0rN_KDUG1=F67Q04x2s>Xl>=ULiM$qvFu{(u_bSukmL0mI7%noRm zZ{LvL3w-w7Q@@TzzoV<NGj?p;`JAvD7M%ilKw;{v>`4zXlTp9cO^npinImDh<wNyM zAV3L+0zf0QcLj3N@l0s96Y~%Z>_Y`p6WG;$DIWWofFUs4vA!@`l-lr@zrdF*bce}< z5d+lz^F5(d6jlK?Of3MQxCVxBQU(|r_5=_F+}LFLOJi!?VAD(E@3J)R5h+i?mSt90 zjz0Nsx77}fIW6!fsch^JUc}4TK`#0KP80E;Cj1Y8vE4A-lEI*9VxTbnZLfqr2`e+T zfr37;f4O|_wM=qcfF~O>v<n7#tZd{PpwNndN63u)wX)fwx+(s~KX)1ytW<8?vn31L z$0iAiTo6S<S0W_rX3RNW6EYF-`@WHuA+W^|S2HfrGwo4wRnkEc#9eo6SS79Luhpoz ziQB~yK@hn(oN+rPe(5+O5%Qa|18&kfjoec<ji+oHPuVn{vS~bJ)A;^KJ^E}KdCEd5 zQWlExP!pMTyYtGy?&ti{nG(I3GkxVc5RN}!^<9F5zB}gCQ5BA*?}pg%5$vfTc0BU) zz6k$>V;PPPY%iZeNs6#!`p2`=;~vc>5KCQKCgCIRb%-qL7(xnr{MF?wd?}*C2L>_- z#9=9wdbJ8Ldj|}dXhMDQA`2q+Hg3h~;U$>No}QsUJrmv2!|6$-mVEx^1$w{o7MLPZ z=_DmljN}n5hLD$RkzGHZU0q%;Oeeg&ikGh!PxDu97I;ZPvt~g)7zoEOtaLep%RqOS z?%7mCaU5(5M}^`T$-q|*$Gu88?niKR7aaVC+rm#J_(c;XmcwsQ3BO^8Uxb#qoE6;N zk1$tDZO+7Yhm*wn+O!Rl$hb?-c)LV8V)yRGgb*ooWrjS#9qz;Xe|<cCSDBG{*_X4> zm*C;Hg>-4s9Jd`F3;+7z^gTKSp*+>c26oLem|2pyL<o?MlT1d0l}pXUe&!gwnNY|~ z1Uy_k6HcaF3*Y3}^i&MlRJ?jujVE{sceAHL^{0a6K8`UwyvMg4<n|eIuRpyz3D7H} z*|P`XVYf%H(=87+VAx`(6_<sV+15P+MUHyNtFm#P-Q)zQ*fi<^k)6Ja5;~U_2^lU| z|Ht07H@9so`~Iy@fv9sUX(G#f=xIkjSGJYLQ@@U-v?s^$=*SXnGm%6MN!!V7^W6^? z07>u#611FlZmnr!i3G5}T>y*4;<0O2)C`|XH8)iZ^x*7dKMXWSP01Kxdea|!@O0<e z#M1X};;!*G2IJ8_S3p3?D}yuP!42t0XD5U4!MorhVP!W2Y*QCz%UEZ6s|mn@k+Y9} z*R|iPfUfrKTk_5OgY$jl-{3=b^}6QLu$?-3Ke!mjTUV6$<UV`}kk7YFyE{1vx4WcU zg%Vzs_Yxiw@;_EdFKuA%Cc}S?C!k$aZNlPZ<0h*xBRZTy&rH$eQmBb3T3iY#A)F5~ z>KEoFN2dqJ?+=HQ!;=9wIca#0k1qZ>8GSfCcz1Djdi3w%MZkrZ0<eyUqw!>PJot6U za}i2$bTYh9Soc;_6wz#PKR1wLF4qf#wi@)KQsV5Ec#&#>BGuF)58n@tJ){{$&hMuS zRv^8UI<-(}_6m~02{}ZZ!+W(riRp~Pd#yl;S|hc{-$0!mp8cGoNKhG%u)$+)-6lzM ze~1!48}1G;O6(807!B|zqK&G_IuB1yP2ZdYod-``i*R;roAV(22&dDVym1$udy{A( zA!k6oaZzU83HQR17^b5Sqquq2Yzp9c;XGtrCrM#!(%?aKUOvibJv3A|+X8r_;c+49 z$;|jVJuD#HIEeegF%}6D><AzxxO={bzo$k0Jv_>;042GgRNgBUirka!1X37G7fxDI zoN<^v0n7wP-uLn3aR6J2s6pbvvp{XDT`7brLkL^X5&)_Kfae!y<01fiQUIF_;M%ql zJX|eJsBs2|9}4(Klj6*$1JoHn;)Y8=C{o!8?$YnzFTK=RUkTydls`1daMod#6nBc| zstuVQr*+$bf=%~}wl?J#P2!cfE#uC^T)fJtYOUMhc;)<uJfoYMg(p|4mgEJ_#i&dR z*1BE3Qhi5o+Ej7|_t%iKq~vas1T(5F(7Wda%_uD?l3A*q0%4k1bhmJgwFMd%a{OGx z0FW}Fx)j>a3D^Y67P1Ua3n<&9xPqzvGex1`s0%WJPM~Pd3mTuAj6=JJ&5iT0@tM*> zjW4dTB98dAWhc7N+Rv$>IxXVuq~@e#$CdJH!(_quvYxDCi-$a`	w`q1ZTQ#-5xq zmhxMJWlva5G4<NrXXR2QToxE|bSeKetU6LIW3~j(YwuYbZx49oBHZQYH7U$oI<Gq8 zHHdu|F_}8*GxFJlK$i`yDO7E;W^={7d?rPoOXpJ|d~#&Mwb|L!+FBx)5JZk}sY`R2 z6dEp_OO<k|r*XNdWwT^1y}E2lbD0#!FP%$`a@kDda#QPPiCi|lx@<{vDYY*EG{Ag0 zo$*X24Yl-A46-{PM%}sbV9pE&Lt%sPdMX}-I|646>zK^$W@bemPNnP}uoGF|OtJ7g z0#}TOkxon{FiI`sgTd%wOr8RF1b!G#!7UyP+mCCU2V++XV=vHgj8YV2S1>1F`boaB zdlDcuMe$WsN@aHilLHT<Y-KkUDU5*{O{wgzV3OeJdD+Tts!|xWK-H&JwqUNn)U<SE zhr+mLgVcRZomSZbXS#<`y0VjEji+O51{hN+yOoJizOrQ|(wfo=M!dAj7P$S{XeD3S zQUexDoaum-R@WILO{+*<OYKF2c@l$|Qq>s)O{+*%%lP~?nB=fwpRZ{iWKVfce;0)A zhK2UQ!T9LcVD6EJQK@M&SpFe0iA$j)a%`6Ea3w2<)bVvYb<Nc+w^JyV^W3n_^_^i) z7Y@H@8cPQYwh3lYPoS9Yr?#t1>@RcP)sja*G9#r5zG{wAw5j%<)0GX^t9yL9lzLt! zTT*jva8ifJM3{U|50R=jIVR~&yu3_GO|rp39VQd)(E_yt8Px)fttB&3tKcl-D8=gG zIh`GJ(<P-Y+t{S0$1tS|kqI$L{I1W)WS3)7uCYnYj$w=olL<FAvUOk7*t8;zO~(1I z!WhR<iq*q&dMW7EN=jX}v9+b@LGzeQZfwu#q)?k0$D~|i>qs%F2TU5=f<VGJJBB@B z@qt!V1Xdy0N2W}$<z=p9tiqPmyt$1>(lDi1WeM~%$*C5=C}j-5Qc0MSz#){maT$M} zvGsMqKyAQUCV4Y4JwSwFp7SL#dlPin0Fy#2T#Fk!Kam)VQvr{?w_7j5b1tT(c5cI_ znq*=r=fub=F>4V?4dOY~5yUCwWJ90?iyC=kBjxMYa82;>PraRswd9T22i9r@S4Fa& z+1Gfw;aX(%(q2s$NwV^_foRWp9yzw9%oiBA-v#TL{Tu|IwkvKo)O&gx<66p|0c*h9 zZb!D-KmRVf5a0QZKIG!NCB--Hk!*Wh-r9cRuDCGUxeiw3Vq3~=0$10&U?n>j+fUoA z7-n1B7~4{Y6dYoG_ZxSh<k|Pfh1u3O#<r9b2#h~(2V+jQpSp1|c@PlX^b4QBgA~ho zcrt(?1P-n(0t2@=i6nGDBiKjp4NVXv07QZxOv%{;%Dbqb;%o+1C2bRMtJDUV<=UX$ z%F_mOv_P4yw|Wy(#iCs;&B<)3@cT;gG{(F}n-_hS8llOCTc|_o?ac_IBWFrCi7-sZ z_JnbXT02O&$|sDRv^Zg?sir$4yj%M7CD6Xg-5fS60YdnXJUq$_fjw9kgj1hN=+x(5 zLDHQ+OVXXZlFoa+)~8F(2*=OZ{spA1Hg{0>&*<5d@Lxd!tv{)~QNp=;w$x^MSZE-N zhjVL1Y}<S^r1q)9N&%t47AOA#^{A^mYWvS<$&_#|8OxkM?7{XDs-XjYu~~C&^wk+- zv=EAE6<Q%JO2fBZ63(r2kKEbfGYPo5fz#`u0>h`*BeW780(o$h{a9@r9{oDG7>tk3 zP*v?#=8CE)N{t+KO@xlByrCvKe)l$d$|BF@GBPVEu%qH_(g_Pybm(sMVH7?o@Ldn6 zs;v#VVH2w#b~83cWB>F-PNB=}BGF;zH%B5O_zf*yC2|Lo72s}ge6%mYos2t7?<j;j zj|4sPO<bq4szLhWYoQn=2tRyd(wqAbM8U-4+bUSu_<qoMLg#z`F>mI^4H{gWOa|}v zCxg*wcrl)wohGZ(bMHtzobt40)&kA$jM-<yCi`0O!<peY;D1gghS1>d`q*@h^8>hc zBP9)d8sH?J{C0FWeuvUORb7LoD<}rsQ5z^`|LEt*@bvI#a4IThf0$9s$zU`dUQEVk zlcQ7o<>2h}ba*h17K7A`Vupi@;}4Vl_ZOq_1Q&C1bPB5fG+K-j6hj}yYVzEMNUQEw z5;_)Dl|HV)2cwSEK2CDK#NV_&9$hWlsO917V))PZM;F7B;pupCesOkAg778-5*KrD zc7h8zBwR&H%3aLA4&Dt9en|k5vlw#eNd`|6#fW&yU5uEg9L4bME|oXwVv>22EGCUN zC?>U(8a8lzoibqx$g<PYSGf)m>kVXEUMeMWZ$|)%-K`NY7+ta?MMA`y2Lmmv$H*Di zc<I#G@Jgq@GD<&zpav&XJoed9D>#7wXjC6Qo_EkiGC>WoD<VQ!$O4lv4_WvK<sr)y z!aT4>6ov*1I>IbO`-QSx-`kEW%mWu8gvr1#CguhPkT5r}`jDq$4vm>L&s8&{;qezA zms+|00+-Dtr3NQ9QO9$7`xbc4&6VR$S2H6U&}czo^k%}ji1BQPc}{G-gToKuJg{1k zo#*WRm}k2<-^pF-97RAm>x{a4M~9C(!rxEN#={*FP6Tc|4m|#GQjqER?BJJx)Drhg zP$&Z3wVPVvEd<|#iv=Mf26vAa3&(nG+~WG&fn;#;-U9cp$)oAsP3FsK5yK5ielgl! zjJ6k}EmF*j(f0gC8>h2g%(WDA4J-LCvi2IyBWbYFOp+EG%_L{C(L9ni8_gtVv@wqU zURE1rTGDJ|nF`r$&t|rHph9+AmH|dHNm*br=8}6yF7_t-EXk>L__DlTxaN9ceBpP{ zBo?{_iVr!YUi~dGsTg03uNUL%#rS$Lz7mSrfbr#gO&wESj4wvO-%y_4_6jy*=Qq8A zExq|IFMsoMe#47ok+i!U?_$sVW>>IN_wQqM1vfnAH@bqIy?-5>%fG<!c}=e9J7YD6 z|2^MVV!D&P|KKF%jrT6}@|QjQj$Sezyx%4<!i2Hxw5(WmE#?1dM7QT*>?sl5UINs< z1gL!pP@B%sOMu#!0JSdxYT01%<bdoe{5l?0Jsf%c#<X*WUMH0ac^{@W9C5Oz6LWQA zp+34SU6x1Xdis{+jxKl$C;sYXKLLc=T9TQ}WO@I^kNDGU;uL)EU#lv870fIqlS!0F z`Kq1;uH6=aYxVMg(}dt)c2x{przsR%CkM8cDi$0V^u@sSQUn(qtx#G~fUY$$t+ju= zP*tMf+Vo8%sAxfe-AsV(EPyfTMFBeNg5Wxt;9}Jq0jKmbz!k1rh>FS4h6J-a)2H0k zncPCed-Np<rdg0`ik{#O>x6J&VT8i+wMYRepo%slHLVkJsB|%^nC=RpGKxy8m5Hk< z#??y4#ezc?LIz<AvygR!$hzWg=N;54hOU*_$}}a~`qL7HFm~uG77?)^xXi|;sd>P~ z1n7e!PMu;pi}b!!=)G1dFfKt-tuhyCAyB<oUGbW#)1;NHOu)=>zOH7$n=~-g<62BY z-IP8_N%opiti&{!6aQc^=0vRt@|X+QRnX2!8F2hdHgecGG4&d<C5TJ7Ah;Bb;opRj z16*4O4*bXkjW9)st(gZ~SBMRq&jqmwjn}rG2V1OHhOiM6tYBxzG!R=!1|n|hK@m~G zh5EwN%)@S`1=b3ihiP$^L<ozU*WJuPw3TEaa?;b4Xw^ofSI~Cth3LjqZc9lw4k2t# zF`8X5O;t?u=VB(7A|6~KmPF(*nA(k52ppo}X)!tU#E3K@qE1W?d5E4c=&8L-L$saU zksusU1Y487@niQCM3-q%Mds0I5qt#bin|}w>{)cXn*(0V-V4DiJ`wC?8q4ioj=GJ_ zRC#zbv`s;LDT8x>ucOBB!SW|R2C8iVJ{FRuAUrh_o|X--BWQdqmP|o-DF!_UPtO5Q z(D+yom|{a9X*Obb%^dJz-Z`DV@uRmC6dqF>nP~(K>j^FzZ$!*YBO+hexKq7>C^L<y zTag>|i?t1JXwFO{=r>QW&J;BVc~QU6pCWyTE|N_?*6t%(<0;bDqr^l0L2j-Iee&M1 zUEe6xWAn7Iws=aV#OBvwR9yioQAce)t*&Bzkua*B0F|i!HlJ37?Vt$>_2U!jqpjp5 zQCzrfH6Mv5ND3lhWDG;SnD-<)6N@%4^4JfrQ4Cj0+_2QJWw|z2p<ywu9#keVKd41P zSeX{B=P48ea0>&-oY?o;`2ZAk&9{WJ)vnqT*G$~a#^F+*P&1kK6gR3102Hp7c9ylS za>Ke1K+&3M$GqpEn$a|I&BRxsIb2%tnrUYl;;J{|iUAa_nXGx9>J6@001DTPSet1b zam~cNm<%}|IiMi2wisDgj4bY#=8>sSKn7RqXVjV+pZz9<14wZbM($KAxTKZY>DBlg zIO+QSs2G_o6u+&t;4q&p%=m0G=_>scocxvd1>KRET0)HtJZXs%m%hj;t76bH^@kes zT&5*Tghoyv6vUS00aWuW_^R;*Uk@9cS||uD%d}MUEcdGM<z5dOIfPLVTb3QCYO!4+ zX^E1t6<&!}l`InvZcNXCEoNy2i@<}!4+WKSW}WKkE9H?yNlW;_ZP*3zWp)QWHsGZt zO2Nl2|15}4v``I~BNyH|qC9Qqh}H@`exk`HT<%(sKsOhGqBB{&DOxUuTTO+c6>Zj| zH=!0n)fF!%>fz=PThfY+7%lqdZPBGhy`5<YwBm{{;iAiwWp#EnWif1Nn-Mr{amAN# zO=UVZxL7g~Tj^@IG&dbstC{ze-4eOQaSskMk=@7m+Z}9Mru&#nh#h9xdt>g6;hYxi zG2VHzZPHE8a3r0+Jg|+aYs`aJ?D=oT9GzMZNNpok-D$RWnmW=HO$*Y&zUdN>DUq@e zE12bfYg@}$p`}J+`@yiyg>}0fmtwSNwkMls%-^W4DF0L5<9Pg)mWpQ93KPH#L69Pl zDZ2hjZW=ALbDaJmbyMC$i2g*KhORW!hEA>+EuktbKf1;WC}Bdh=;hR0xYiEM(b1Lv z4HGEu9axS#b<n|dF<m}bpG~qozt-R-=55lq&D%TJU4Fgi7ypz!@7GED-l=r&3?S7n z_d?Q*rN&irZOy;JUnqUA^uyofL#2y{nA!Hs!p-ap9%a|dd)BizLqoxzcC(59w(+M$ ze(UV7qUoBhw|`KZy53To?RHcDLBTplY5#zf&5##=?s2QN5&B{Nc%v>=Ej8WE`g@@H z?w>cW4$ltAd3d4;&fo7JA043b*7o*q`oZ@0;rI}}8=oAbW<x<^*pFwzF^}nDdwY0V z22BRt<;|Tjor6X*UEG<lN{swBwU=9i!C=c=Zy%6GwsoRx55_H3+1h`<MVi5ut*n(T zMYoNGzK!*sIm0yk76~))TbrD;3Dj+<x#FHoh?m|h%++Uvi|Lm~aD2zPGYq$k$lHFI zDLjXJvoz-B6eph9hOzP#DbtfP$0-w~K=~7$ph2P|G;qXadh7OA(+Bf*>RNW=-ZAXK zt+8?&u61lZ8ur1|F{-s)MADkh1Sf?^1=T9f*DzOe<ICAiwX(GS&s;2~mD;QRmRdtU z{ZtCSch;75W7w5iKlwderHsXhbzY^0`hAp`2rliIrWWyNT)PkKEr)kG{bbn~@Oo-H z#?i{H2E0z!Ydo4cxbnjMHSgEJA!GKZpU78Q&R!oi`O-~;9Ja=(c`W6nV;bce-1CRQ z@Ts9_RyJf6mW_$Ggh*OAHAy@-?U6xJK4J*gW5YZC)xbi%gB!sEF@5}4@qnR!Aoz)P zP-VM<c7wxGj^SS4yRNlzaEYt=V-5XIK~Zwfq7P4j$|WM-u$sPyKunDr%U(K{%2mTy z81R66ckquHwiJ#41H#r7oN2F`*tRm?IE%)@SlzmJyXNcHK*zkPI*Uv5sun5PT;i7P z;1><Yp7krVBWzu(ja&1kvRg714y}rO*)*2zTM6!F%)^AWCTIIDQAwWT2J}7<dS$Bu zJSIa#D22D=<ge9~<lm?;a+A^I$ONMM2Bz`*6*R3C7=qX`LbwT8%O(Ad4MT@qz_5mY zx6s0}K0~Z9rtF{<OwrmlTo;?@=z(@c)}WdBt?rTvdgXUauPKsi<@+~N%qi|M^swGy z>bMh7v$$oErVu=(N6=UIiv>>Yw{y6jzV6n8Lw*y|P5kD*n)Q|X_3D0!|Csep6pM$g z<{cuWAZX7pZzo>Q>7z?jJ~VF36&ae!sE*3VxL+>Np>00ES<pHvmEW&HOh>Ery~{l> zzr{e%$hx;@2Kh}IQu&G^2(;86fB0&J_8qL3t48DT&Ya!#`&x~tj92){(wdt$Sjx^% zLl9hJ^zP{Gcyclr{Zgyda4{t=8&R$ZCFD9dI~`w~9sBtZhzK*Pvi_G$UrarbcqU>> zcZ)R-@~e*&$QrFo@&b;0mRNPU_C0Pgew)F~WemNu&nf?ZAeVO89Rx~!W!R8p*U#{Q z>ii%Bru&Lh*^vJ$q=Ed_%?;M4V{46j7}d_$vUBepJhU4yFEv-UjYgwPIjIJ1n2d6` zf~$U)gvZXMpW^CO-^HS!+LUkm{`WWGte&Rz--E`bZ90tvNYBL;UAo4z*Uy2pUqyuK z5LT+5H0wl4b-$cC&Qr%Dp|6`zR2G>3Xw7YH?NW5Xi_2)5E39paVjWdtb<Aq`5!VzW zh7E{SwNj~9=wbSEYyEzWf6=L~rYLnbEz|T?rAC<rl>r12G``>CQU_gFHF0fR!BwT6 znh1n+#71X2kmCmas$Z%;HrA_f5%3@}IT=(MvxP-$wNi1GL8(7t4rlicG5UNF^wUH@ zl`QK*72fV<=4mCOv`8Kz2%KSMJfeYZPrp`G1%SiI36DWhmw;asuo4p;*PMv9Cm>z} z3g)RH{WXEkn~$C^=i>^)OU#FX<VuGj_7O<guoDnQO8CZ=0TX+4ear4w!RpU7%27HX z=RN)j+;l{23pnb3hwXiA+B4#T(Z(8NZ8usT&P~mjJwb$#H`^=?$xD>WC#+OHz3J_K z0)>%OS~w4%Vw=K^GPWJ#%)2YbSS+x;FvBgruaIA`C7%4^0Flv|*pEWxz!V<X;LN)8 z$lXy<Rk;Bh+lY8Tu0*P`4@NHLA#BoJT~=P3uPax(m3j&616(*?xnAi^!=wG_?DON) zo;ziZ0#lh#wr^X;2r=8SUO}%*6{YTh^Y!YMTF33VQujfmL3nG~48djrpiBS<pq0w? zGV8^jk}AiuR6Ms=w6PE$7;}M<cbqCB3a%D<L{2GGA%FOJpdWME`U<n$aS!)Kwm?T^ z6tau>zN0F%^Uz7tr>l@k;u;}qu{AJIX4d*Eco?h+&ZATx<8~@%>qC#gu$^pR9(PnN zlT3^%Mu`ILe965D;oU89Z(hG9<~N(saWA&CU2_k*=++i=Ja|JV6Clw({YigteVPi3 zk~h8X%S#SQpyKlq;a?yIQea|z<r8DbmPce9jMx(Xtbw&`R3#l_N*<EN41BUop!z(o zuIEZFqYCarp-W%j0T~?WWUKO;^oa_YaW$5(-=n%6ns;s2BGV2;I%ZP-{zrexrbE_` za6=4-0B)1{PW06XeRfIBhN#mTK(DcC4Zkh#0fjf_@)ohXaufu>${}1dOd9dM6JB6F zfcWA1Ww_{U>t?z%7hiY&%ig!Hw{2sK_V4)n<{c1qb}j8#Hc8#B$Q{Ra8t*!Bw(azC zGC3MrqAWHNsgjf(&$NA?^Ogq--~}WB3X~{Ync6c=ViE)vu<i>B3$AXtRTr-R>LT*| zju=k+*Y;%SKY^pS`)Ymm8IO!-3gq#8I|}Oi7C=Zxal%AA%ESc4=sOkbI|+3c=sL<F z%Eu38RRxa8G^0(zMY-Y{;GF0CK<RF=R!Swd9KJdtOm&alZEzVJW1>Sn(ZC~zN<Xl_ zwsYs`GxCqP$06flMe%?NO87lk<d7F{;SRm19qx5(V%wywh6pjA_b_}IA6yR${6Q-G zNHh3K;Af@*@cqv83&szX^Fh;H_&#a+1>>VqFEoS}yMLfZiBnF8#m>+@bvRNUT*A&L zzegwx+7IA{`j_|Ep`jgCaer(8j|B19wpVu07F?1r(hx>Iw$c-#AD&|7`oT2r${@qx z+sI2cyIV|PD1#2VoZcfM*?xVBnK~W_0^Jc1Dv91&0}|KxHdd%=A0l73{%@GVe1eXD z5UX0XPeWP37sNI5hj|9t<+tBZ&<p$?xTisz9(_i!@@!yRxbrDtH!M0!<bIryJ-3Ft z$Tu1F8>pd5N~4#amjkH2EfJtf`z3&;RC!(^JKo=xDwV`KgaZdq!P10wb?_FC{cQ<D zV7LQ)W|S$l;fLSA!!o+Z<lz$owEp>=P%4U=02`(d08?CHhOqSpGc>FrOc3VgFJ^!m zvsVp+UOj%4W$SlD-X~!bnH3XTAO7l=E0HnR3;jtd8+QmVVl?g`m;8C(Q}91c_!9v0 zqha_<ABJ8}2^D4#_DblJsIjj$P}m3dFP%fLY?AE)yx5qbT`<UF@4A5j3SSZM2$_+8 z%`G3PZi>J0&wURIRw_4s_fZyoA6q1N<$@?GRaHX5u3*hEPRK&Q-@k9AWe9{A;%dev zdZyA%VkMpPLELrQ0xb2m16*~ti>P162m)dKI)?R=ZPKtlm0x`-zxq^u^{M>oQ~A}$ zpVY>eU!|4RnF8!^Z}r;mtR|OZUyTh!%rw|QHuVYFL7qAG%rOnT0`~|!RA(C8wrzRX zTr-BSg-j~qkH9IL>i5F_vAVuT*9N)w5t0UVG&39*_odIhvF(`f7~6w}Lk9M2awibh z)fgIRIfZP)p|E$-A?(kXu7^ELgi&u;F8oWsaSY-EQ`_?J;te`8ac{<OSJazu6y~RV zR6$4Q?|!~G{qFS@(iEj##ea09`bUYM!?QC)`ng1KR_Ee}ljGc=k#twUoMV7-ji-;M zbJv3vcMOci;e3D>7?yi7foO+mxX2zwxD$2^*x<AWT!%QF>O&)jQ*0%=b8OTHzj7~p zAl-+k1(XkM<k~(J0QxagOv$hu+!_&Pg`F3K@yj3HqSJ`_m+Rz+Tz56Z9Yu)WM}Irk zJ!2o}r&CpGRjq;4#=h3tZ`9CpNO?o$AC2J-!q4AeHvyVe(BGB=;KH~yA*q9<JPbha zcps@sL#=m~?|x$F7%R*|;qJp!zA8=SqZxdPV@woLcWV)Mk0ex&&M&S`e!|m7CqEs& zd3Sb#-o1IB&BOGz4UoLISQNXM|F9I)r!)NQ9pnIFLa_83BGBGjriaS7#|j#nH>Rfp zXHRFgmBka@SohEXKMQ0r@SQQ6*!P6xLWH64>Td)W;bIo_u<FBr5g>;46w80%ATIXZ z2G}hUixm}B(c$Dyzjr|>(e6;0TnrqtKWG{3FNSi5E)Dl46w;>#eIlAzkIHaA(jqu( z<cpzkJ-<Qa<Cotp{NU1qJA|>z2cnipHY}NDGn*ve2RGT_k%JkLJIp|6!E+O^2$;(h zBQV`)fYHR9RYwzh=8DzHTYC{icxnMeRdRWap<}6{(`%vN6Wnl+p<V$+ut-(E6G8;l z`AiJc5nNhRz0rxp4iHJQ%&`6ekRtLTH($8`1vcvK2zp`PKihLc!6Yr|o}~?v<~GM% zac|EZNHfKXa6ZA3(=mRXW7SAv;bNfIArrQ+1qtZ~y;7~?Z*RnJ-x)$$T5!D%oTb34 zeMC)HKePa;agcYl12f%GD%iTEUmyF{=-1FS)^q)L=RclYoE-Pxzaz&q$d9&tttb%` zb!BZ(K-VD@r*FUOAD{pD)&~TjP#GxH^+BP=*Mb28pitSh(AEcqmRJi0B>)Ax7Pa+3 zQDdO!zkhpt@`{Dx^XIJy3^rHZ2MCXuyb_5$CKrF&$A87UfXzOAf<31X?`Qic-WtVQ ze=Pal;kE5~aQ`Q7j}Xh7aE~x?O;zlUs@M%=Z{8fR0|l%fPAwTP`I<Wk01dl;5nN<m zG!rxiqsf4Xy@Z5_`R~K`SLcTp-~IO<|CUrDh0f>R4EwRnwet&cuSU4PJP(lHYGSYR z=<IudWk;eDjoV49ba0%blNz^^cIn_UM<;c*lZ&tyEaZMXJvw=Naq_>iJvDgXz|~nt zSS7yu@#6iv!y{?1n%vH?xVbz#IeGW`{OlM*X3^fZWYE^)c8DbhwjfR~&(998PApmA z+T6Zsq_2yUtHaZ`(p`19UDZifc+CQVD$|v!aQkXtqI&sV-YKeFGH*9YXEDH}R$t{3 zd>a!yHmPxsLEQ{#@VK<!Zj=6A$9v=PJxM&z$M!6voCYH{F-5-0!EI`T+Y2VccjvT3 zuXImMZcms9U*}%T7PqSgS<I8ShcC}gq`PW!yJ|8*AP-<2Zf`ALHihCuiUc)<+ZiUA z9}mAjp(cZr=vKM?bbQftjQ^AFO5=6aQWz<R=O|4QT8-NsCeiOO>*rEWTw-r&tXRsq z`dq?q)mV9wLp^9*>ThAWdGy`OpWhu`Uiwx+rMt!B|KfcQp^({2+ZgMICNedfzV#m; z_WJ`9fMc%<Us43}&DA<!HV}+m1{$q6Xm}JQf~Fo9uq;Hi;vnJ?r3j)%LQr=Z_W(q1 zQ84jjy9lOcLgKT_)GQ7po{kWJ6f+;gm5QKh6$ceh*N7G>p@CS4+J!;HRahd3I;<LF z;pr3y4^Q!l@TMiyAp=rNDGnr_0u_N&<ET{@tl|VER}qWAs>jtc3sG^xlB>`~5H&bz zo&~EoiOIDJL|`>JwgL-Qy*RLP2y*aYSet{D<1K;Ew24K)z_eu4p{}%|utPW8X-e4_ zhp%7K$nRDZlJOxF2+$=U<a&5@wGqWMG9Y{xMyTlqfdE>8a9sjguK!rqYEke+3R-^y zL;$Wp_AUV~KS~3YOJiXgh8@}hDZ9kr+`vw)(MU&jy6LroJK4sLZP{}db_~EKOf6Jf zAbOX8l^ZvL67A{05xZCdNxK99+&Gh_)l-2cc6fnAU1E4{XiBTKlCddvbb;VpVsvga zOKT{}a27kdz}8(xMsfpSHMN<FfU)BjD5JSTx~6rKK{Iyv;sh{1##XDTydWD3LUB@+ zAC}WP^>kd0)sr28ta6N-VE7N}zVcB<3$gqc3QD+a?Ep$0yB>`&NUF3pud^C}JG{Q} zJ7ZR|BamavJdo_r9qv59o2T>W8-83!M%gLcd4Mxc=izt8PDLOQmq1BP<xd5VV10&5 zJ0rA6bf)pAQlp)*Xej^-a+@PDQWl7_JJT^@qf1PcWu_lPov-4lx)Z~gjqS-$AAIUt zeK(0bflONlh&mSt4YR-<<c0wNso@1OZJFU4{NeEkclsw|K1&ZSkY>va-sBEmtNRET z;{Vd)3yi*H#&2=QhfVhZCiK~zGoLxeuPG4Vl7+%xX!Bv9@)UyrX~aAtP!)kNTn2~^ z7YMLG={h8UK-@i_B_g<&zVT|hhC>o}keS>#$~y`VvbQ!QCdPsj2u}Cj8tCl&N66Ep z$wRMCzk8iBp4mP0{+viaUov39fZ-3ZkSD9*&708cr5!_0eGEgCj}U*3Niy-o55ObD zfr%j#HKbMeiEZLvo*wL8{`~fcMs4YWmy6nCtL(5qs4NhO2L$m7eWc3(v2eRE4uG~0 zfaBAMYa{^3v9}b5q!x!H57mSwpNMK8#zrS|?vEic6TTh<MaxrzP#ch+XyY?Sq_&D> z4#J{b_8@N(3__kISR@7+i;~tt7P+`wJBzd*g++>E2)WJV*qk+`XkLgB$#UGlHORo? zi`!$1`M|fIHjWD-xV9dF;=fq>mdS63Q+I0vshex>cM|6QLfU4`^Gze~IA~84o`=Ha zGH+r;UaM~)k#qe@a9k5^CD1wcWwI!J=DUEKM&=A$e*zkh=&i04ZOF@~)Wrc&H<0kT z0S$<lq~jTxG9F@vv*8+y_+>rZI6zqxzb<F?%a!W#xrT89Z*QEV%8!*nG>#K1TWG|r zg}@7t>{4X@A0pTbBy;%$*ch2N8XG9b`SDnY_;TX0z7@lXdt%2M4ig&W$e`hRh+H(1 z6^}JMbT>9E6s9SXGnjZf86O=@4Bat?oDT>78&U-!ewY+4w1R_|#;`h{L4%9|;3O@a zKSeG%v1*UdXfpr2n~Kz`qFmf$NL*rLO5tLFY!4b9M3V55(xH0Aod96N`=U(VCFY{? z=Yj_qOq|4A{wQoR=o@`H>&kQ}yBF*CC?gDHcB!HA7rVB{2mfSF)D?AbHJu>;{eeyn ziw9WzdpZ7gX1m7lCdX;X#gaCedEoF)7k+<W88<mcmQyQRNg4nBfjJ7b*R$frVc4h1 ziuIy)qR}@KuiHcF_O;UGObTkz@mH3aZjr+0w<6iUvbC1QDsaB6L8pq+oR<)g8h88_ z-GlEB-99K$Dl&@8CG@4)dQt>bQMUCGtHV!|fB{UaPZ!ANG^y2*Uu@|G%8^IFGm|d$ z5vjHilmof5VwTDX-5ChmF<^sW1XizgC^Z6|lHQ4hm0`UE#!plvVywGR+=P_zfpHxJ z27=-ec!a1=<e8I+KXz9C*AoVMFs7jT=q@^(xb_aVo!DuSx)c-q`w*rDP?2=t8^g&M z0KoMPNc^2);?IJjDKPG}!B}!xb|Pn)nOYtRc*v+=_$5cq7(f71+D;TD4lltReBOis zAfVo#&%mdX79F`VQn2(d&RSz-hbXmqiRIy^7r_9*^0@b|ykwPM?cb!#M49}<qRm7> zHI0gtGMCKPlIlzHgma8Ciqk55nGFLBti5B5C{dKC+qP}%v~Annr)}G|ZQHhO+qP|; zHgC_JH_4kk-Q>R1uSy}6omBSPYk%uoPL+JvI}AyL0w)|4GAh;LRJ`V5<YEt9UZs<z z3>5`UpQLl+=vwsrely~56M2dmi=dR*W`7kN=8Hw@Y^MMz6N!A^tyuk${M6)xEqW62 zRpGQ(?YL0}5u;tsq6GTVu$aw&ZtHJCpTtU1=YO^aGInZ_z)ZkY7FM6Pr2a4-8NhP3 z?~=Y*3CKu@&7P&pZqi|hSivGCNJtOk7(3;wh;vMjc@X|{nr8t+klzm&x3`W0cp&M1 zYhG`NLT_loXmXJEa`Z!Y1g{_ucqnad?^7x(YHkx&>7;&gc=7|gZ?TiihaLcs&tg6T z|K#iePXZB42t6`=3S<1MV>g=8LqEQ}$CZn_%X32QT@R9MSnN>kji7=4mBb;)<ly0z zA4qiRF5v3G@D2+#nZJuxjUc8~&*)<oG%$(o8!EPoTwfN;ebuKoPucAfZ22bQcxa8u zJxscapSpy?VycxuB@uQbC6xdUDSM)%%$OrCi<w0%pYHhtrR(Pwg2?df9s&JhzM~f3 zrM8g1r(ze_Ou1n|@qhrK!evFelQ(n$70S<^3o@$c8s{sSigEfqLJxT>z_J?7+X`k_ z#tMDh5*rg+q31}f(8OBkXr*Q-W+;1+T$mYtzR$bmR81g*iX$A8NrMD47=502Q{KJA zrp>jsKowZCE4@u!)sRu1Gnr{&0U^ZIaMFBr{s1LuL~Bv86fX=paS(DU@zSrq;Me4V zZTS#>7ngk>a>_E#Ge}8>ZAKpyL~c1Y3lY&9-4JjvdkAR<=moRVd^M<zPQF_&!DR*u znVym2-2@$ofFa1C5UMhzG7y;46}`TL6eu~lG-bbDMLKR@%t708BSNP0FC3K>ax7~` z9ZQov&Wd!+Eg+`^m9)Pt2kCrgwD3?p%4SQAkZdJ-fe`rI;Lnf#JK8v1yp=>U4&a-X zSjS?8R^mo>k{*Z+Otup~M2a7BkFau%y&Z61Ab4NR(8z@YKKI{{+jo?g)Y=l`Vb6IB zjf_kKiw>0|!al*}CZqVLpp+U^(yTUp--Yhjk@dUTg5u-u9%|C=dfpy!3Gyc$Z3{WE zfTp%`(4r4NMc|DMy>9ZNN|B6NHhNa&e{Xv{<~^Soaw<k>)o(>_e@fo4M9^F*l>SNV zKqheGR<T4N$!{59F7Rkib$-va93jUzcF>D>(rdefM?l#*5)y1Dk_LR{a!Zlm)2C_^ zztzcDd4n|bJJ!OxB1bsM&E&(9<pu~bVOJeRa4;Zmi8#AIJU@_hMOVzB2=B8x=XdoC zP&`}>Xv9szkCCUwAQh$@cc#ig9bE4VL8-^!TBo-MY0g-det*&My3|BtGu8dY@Lz}u z-7O9R|LM{ucixhvCD+V|RO7(Nwn+ld)IDuVq;!nH8$-H<q7R-<Dnrws7bXr8jj+Ls zmUIZ~x1puLkEHm8b$H=qApF*?62=l=-$>;__@?gJLHa|D8_x+tVgHFBfarFQ@~4)D z-NJ{Ai08@$INZmEOFDnN|3mi&?2qnGpicJ~&qywZp?6a+VJe4^MC3bO<>mLW?#!m^ zRhfvtR}{jR)T|=4RZZwWcC`FgwUvMr;27$^*S0#FdX`2Os}m==Qz8ZL3rooLgIBRI z2mHGzzUtSzF(P%`iJpe!(MhgQ7LuhYl_iS*U_&E+l8ozotnPGBHa&uKL(^6{UOxm0 zN85c&_jhCu!<!T+DGn)l*R#@42VYCUr5EpUucJ1S_0&MaZ-r$n$OrJXAg%DR_xg13 z6KJ3@+Ec!dJrOw#B*N5Egn|NMYaay?e|h{oMN*%MbvWQ6p2Vz9b7}rdzE<qoRcz}p z5$~LQ2^Se`dbEHvkaqU+eeHfl$ie<C34izQy8K3GEITu9)pNWC5nt(EC*c1rNeP)( zBCbtGOvu%S&0F-bhgaz3ew8_77FmI2>qN!{ysL=Be?tObo~>qw<j2idF9E>P++HpL z@Hq*y-!e3$9dZxSmZ6d4cW{HBc;hX6BImbBe<-?x6W62W@PyJPlW_Rwx>Q0rDUN{F zCV~2#cW=e(k6osS`g(BoY9c^t@%w88UGa0k5*yfV^eAty@?u8hjP3BFL48I%U|};v z6sc2jRamr8f;Zx#!-ir8{$ZZeN<I(tT(;H=jgLP!U0}>svZi=mlqV|P)>CFrxN9P5 zmfm5@187REltD)s2Exl4=y{F<Y$BhCPZ3Zd#~{3j7=Fi<AZilg+L29Z;?38GL5dp1 z8${NX;P?k(y+}gGlj?6=-+*+ECwvqqllh2luJ}f;s>a<l4kn3`zA}fS_p*5`(rC7o zd6g`jtXg}E=!rfv5XX3xy;Rv)e}iRKr77fE<;ytdbmJjE2PYc1Xe+;fIvWoMmfJ@g z9iGpqU1px?Obh@rUASTA1_nlhCiU#Xj(G0z*M)^GI@}3<346tCI4g(vg;jT1HT^<| zF;H?xy{9Z;sZ}9xe24OzU#r1P;bXX-C!Po%MJ5`Q@&Yuw)YgG`uE?_rc!30-S(kmD z_|ex`A*{^;H;JAKAboG>!YWCX2!%VES~U&VlbE=l+RryZ-fk!-dIJk*N2>}8ytD_b zmJQ!_X#dZHMu{NssMbwTl`^d(@0bAE+ri`{{`lBoST%h_7rNq{09p-_+}Rx}T!Z*( zJ?#NO<d7EY6M>X<IWnOZc1t0dwA#3rlR2#c&!UMnWL^7;T^!sb)}S1?I+VCIZPI`I z*jXG4vBD_66M@vjP%${Js9umL_vGAT3RPiot@=F>0j~{k4M+UPNZ{&t;iUNQ1kl;x zX9Up5=Q767nY5g~Pf-0&q|XqbJ9K<WA5H`&U*HB7xTL547?`1Eu;2y_(TmME;qfS_ z95F*jYMloLCkn-DOt-cz;JI_KR}kZPPH`K6SnGDn1X`BtVWM}nAcBr;Yv1{7T!$u! zRgP%=Ht;-I0*uv#D~Ro@sNtQLBuebAT&YgHCeIMXG0hXI7V*9G?IVdmc8F81p{nGy z-s-98q%eyVWE55KHVN^1pA=9(2fAbQx~Z=4u{~6k$r1S9pa^PH%S?{>cSW*=erFkh zef`~G3kAY4dTS7-+T*fa9&i6T2PY@F=kagMYteL;3vUBv5(rS#vl8+H!EVqIT)w{} zoah2+bd;KdQz`OJURA`j<I9BF-ImbUdi|Yvr^Zho%0ad59}W(gNc{*lBGuucMcI`b zTZJ8%ig8?q74`_#<Y^po4O!5RPL`VO_(r|Zlr)3u2-pb2oNj7lmLt^d9$%^1J?zk^ zqxOsJ-r8VFmsvyh+e*7NuNV4_qxRE8JY4VO9GRI#A+$)jI};--zuz_~_W#T;VM(6| z0ek<u+<NY)VY}LFP2~OFYJzcfmBt6(CF2frJ&J2!3B0`0a^=l-FR>2(7U&{<sJ*|+ zTwDiHn6y*;D;0G9m3zvU^`widgPU_5=X(&|<?Glm_!R(znbth-oljy;owCBpYI~p< z;i`lv1R@PS16jXhAOVS)t9P?Gd_CDGnvB~d)spg|!f`j~f7Z|=+Szf832YcPo^R`w z!(r^g8rh4d=foeNbN7Vbj|Dh?2T3%PXzcY&f>?C$^QXZqVj>zy5JO8qAoz?F3p<f; zplAQ#EFzU~Si2fsxu*2cn7B%l_6KpAg#B=c7x;?i2C;Jh#olfPyPoGe<;Gf(enQKA zeDvIc8$*op@ySn31gEMXx$a#IsB(M<55Dqm0#~S9VJhgIIrrO_{~L3L#87E{`RR#b z%joVxw>6ZgqF2VyxrG;&*oDx)Kj4*Sq*dSXeHIODV3j!7=LsZJ;K>GBWGvggBS`6L zvs}d90IQv`u%mTgBO6x~RtU5Rr~9JO!94h*7;cFlYR-kpLu})|b@*#G1;$ha<L|lS zNB*Q!6ek8%1O(XI1N(gXOL*RKWf8Q55O~nT|JEZQa%NdU`)_xT#cZ)U{~UeC58U8m z2kETYX=8(fV@ktE>vg0mqkuD!0(*flUc~Z>XN_;_1=S4H3bc%e<T+yc$Q(YzqA>o5 z>oK|E@Ig`g8)ihy*Rh9`4a1gTUxw_hI>@XJE(*Dpu!%<1#l-ARZ=(t`2hradRH5(Z zzbQQrdiY(!FnpzbiVTR(Y&8G?lUShS4Pnss&X6b_CBROF9#Q+!G4SEPxp|O~vCkL* zi2|Vwf(-sDxCjS94Axr-MG0{dqm!VIAymNIgUj&@Xlt;cEthyOAFl4{-(on5t+~QX zRxr?T(p)&YhDVZ8N6D~}!IPdDW*CKsIPW?%?6`qb1z-fE*}wnJUlA(u`I{7N#~Sv+ zHWuG!2Kr*H&`TRf+5*PI%#`zF$uT;xr}IqPfox6hOflTa0|OHwfzxs4Vf}Qr(%q{f zx2_7la&%!6c^mAgbt7484?HqIj&vtz{L~^fRF}hIYONhzB<s;xu0Gw6kK8n0!@!od zS|1$0v~=nZzC~?L<#_sVZQ1d=PS6V=x13wY$_&nD_rK;?)$OaqX`G$UMDIUIde7!0 zfATcC4KDOw?zyfBdDfr8vRQI2$3F&rmJ|o7lAYYbX3r@3a4Pz8+CqHUTm)&oLAHMf z(K1}U8!3_>jmwSwBX=t9%w=`vB&GrfRtE&1t_EBm+^Ldq-?8$wy}h}ydGWZ>v*(_# zCREW~?AC<c+rh?8RPSA`{bPi+YckDYs;VY?06uSV<-fK|%nA5&eF;(J0T#pY58>v@ zq-GbRd*SD-^_|pR?cv7s6;Op`XNT_fk97o~mrAuw^yiak-SK?xzT710qwNv7V3MTv zqvw)|5ob-=eDw`Kjjz~k=E|5T&!hgO(0M}>^5hm|1HFb!KlGUM)}MYUn~M?Is8Umz zcOb7(pWfML)%UhU7B4C0u8lDUujn5386Gc9<}Q^<hOUYQw$%2~TL_)9=@P!4J7b&x zPVc9~^ZD`3$i$y%P!UbUF24exdS!$aP;tM)dGspS40s~tJ3&RP1Lu6Yw=|CbN;ua> zC;!g2%o!@WHui#P1#=#}VHQMT7S+jw4?-Vr02Pq{78F##N1?R;0GALDn>MuI^7#9N z=a;<z!i%Vr<_>{LAZHXNiIF<wZ4}0|AB|z6;JlGzu}^_TNc{FAFc=CGQ-S1l=s?Ap z(%zPEeJ<p{F^~-%(JBH}XufS8%=b7F<q42E@B&K(cDp7j5i>Mcah!8i_`f<|RtT0z z22k&bA>Q)JjD_YAdWorLn_*K1rHHW;Os=v$ZyVgomzf!|=Zv<!`k0yg2|XUqJ`XOA zO4%mPH!BlwX@3*vv%8|AA{N#O5sWVnO%18!Bj>Ir;<Oe_&5R7lM70ApEsgtUVS8px z!FD)4z7OBpycC@4ulMd!R)jc*KM$C8lFwnyBE$hQ0q9)#o-GZ*sPm)hPGu!Q!Lx+| zl2*KC4I(O<)YMql%+HD$d~|<W8jMvt(*b2Aqe@Y%ZOks#=bD0UEwYymKo$n%mS;i5 z#f*+Dul-zu>Dn<KZ2PG|LNvq>2l;g$<_>Z>XFvny8qG}Bcpr^d7%|@1)gVM^m;r+5 zm{f@9Py~0$prL4BMSep;8$4>TC=Ihv2;GQ2wGd`uoxlpR06;yrdN2<uY25!zc_Od| zRP|)S6U49PSwMm3r9lq@j;~g#ePA))664bol?p6tPyrPBGVbkMc;$s<fi<h>0->NO z3w`S9m<2Ipb!6aU=?;xjb({o<DGMv8RJW#<9J&eW%K8Zp$jXeQ8mUkuxJ?Qzp$H}w zgD%0)=(*{w0JS^-5+Qk8q=1~L-2!e)XBF?6L7JB&=ck`b#V4J^Z+|c0VQ~;57L+6h zXE0|sonbFteAFEQ3ym#T%c5cYflf$v@-c4oii0R@eEg;3rN#LbPXmR+GF;FsHUtSz zRl}#?!{RJA)U_7T9AuPg81V@V3N^+X$dZSj1#RHaG?IRwlFAp?1jHeX;8dr$FoJ9; z&YxG(AJdwyqd%W~J$T(1HN{_Sb_60~JZEMx91QK5YG`u=E`S7_ZvdEI;F7yXweRwx z4J!!;sV*^w(0FIG6mbAnKn7Bn1S(2NdA&zXhjj!}K=&|yqOPs#8Katn6&HdKCx(s_ z<!l;zoe=0>&B}woY>OZ6i)IFH%zF(cbqpZdxt`c)Fbe~EY%E(4rRCpK$gg5crxO9W z)Fn?sMu_JV-8Jf&df5H_4k*CCjR1ca8ERf5j*fBKN@*{DhoK5}99CRLoVb}9tGw7O zD4dCAxW73g%-W(ErX`;)IF5R-PhOw$_f9E9VE9!1y^c7^3w0%h*@6VM6MJ5>AkU>I zm`J}K6o%P_xuswMn0K;&q_(DpT_6E@cgic7Q>j`R+F%gMSwzbd0D`C{f5MZdS4MAC z?2^^w&TZncQo!TjWEPh0dPm}RMUi(};&Pw7?gqHqum=A?e2uL6)|)O|v9rI)=;CpJ z;owH-yv_PDhn!V=M=p^mEPr`8_UZ^_6qx_z60h3~&~Fjf9lKro`A#;JQ_QxxzPTa& zuH{<1*x%P*&br2pe^c3|@C;RjRW9%G(pBCoy`W$!u2{k`RM^a1V>{u`y$X&5{xxRc zB>1+uTC$Vb)S>G>kc{~#5OnJ4mlPFzs-F9)cjBAHn(1k_HUasY689l%5rwA6Xcze+ zdZof46!aFX*vPCayIL0QETB>Da+I86qD2LP7ih~lJ07fmJVvU>ym@;0E%e7<dvrv` zJcjdjH}j>F@HI6|8W4>kIZtIW6tU^x2W{6TIJr+tbrn@|vFerSlp||yD_KTmSbDm_ z`wHEHYwGfRQNQM!^;@_gAo7sp!gLW#8$2=ifoDE(es_lZVjaC?{IL0ySY$jWYm8#4 zRkLM2ZKy_UC}CfyimQ8{4f8pcmH5x84tB<KDzoT!{?6Qa?svK9voW)=H9kJBg24-& zFTY!IvGU~|tuKbxX12Gl7Z}|=9*!XwolqSPPLnJG@&<41t`99BL<p}}!)tS)1W+>Y zj{8EBXK`Bf7z6-T-Dznn<UySm$+U!TTe#REs)9$>wMSA?sqRcHAtf=`@Hm5YK!2{F z*ZnV3!i4i3rzU<x)gkxdTB~>6c%*t+Y@KFZTuy8Dadt3LS})g4?P9JWET&aE!kSpN zd2J+X;fHpwGgjtay?7~Y<*t2yles<G?kklQsE^ZZn)fd^Q!Qd4joRn;yN2f6gHqw3 zCoZ5fuJ+jLOja5Yoo!4t-I_l%z_&_2!!GoFOxyO+ij!1gj?1P@RZ!YdeXpMv|1hWK za<ABS<9ru<;5^D^o^7y>2rzA?2NW_oO8!>lnHmvUS)Og&V)IU4VZ-l?1r-5?F6Q0O zInlx{Jiz^W^RK}|(OvEfV)DxjnxubTM_m9g-qHGN&G4;ntqX0EE^e`Qp0@s3O%C&D z40b}jvw=pyoyvOLp@_cujrG=*<;eM)iMB8~^HJctMu!8!kM(MQP6A|Nmi~gKWcW70 zrU9_L|AM*JvoThp*={msa|hW^%C2O2)9|UnbEf~4SfTf>IcE#lW-<ysX>4~hh;44u zP_W6tKS_9(yybqyj0zd2ORP0@9Dp|^`;K(>dDoq7E?cJ&;|m9YI3BY3)<9+a$f65* zV9@N+&o6wzJ->TGi%$YkAx%)~BQ=yNzH_xuYJaWp-@trV6@**+1}HrA)B#h@%}+6K z!+*e>r8E@C&GpFTxj+Ww1V>@U5tTD9W1qW~q#2$;CWXfo(}t-_s|TSJls*C!v*b$K zUB=DiFP+A;B4=xzBeOz|Z(}_sWm{VE4*RBa^~$ROtx@(PVnBf$!~843x08kU<}Ws+ zIFeXWguKpMz&x3R<aC+RWFKz|Y>~V*t&?sFGkxrOgaQtry>4fsAG-~Tlf?f65})*b z-6~%tztiu~ZgusWg6eNuU8EE?;vSSMd7i`BS9a;;@DJYX_VRwesQ5X#L$Wa4w`ob6 z4YLyo`?oy*wpkhZuknrxL<%Ie>Gg9IpU>0jW)GUM<WR-?fuQ#(4k(u_UP7nOznBJJ zJBMkM1bG35ER||+29Nl&R|L;^-5W%3n-Rxs0haGIed6;BnEQrF)M)VKWe%*TRg9Qg zuJ#J^gq||n{2HWc1J*7{=F-H~wN=<uA;v7tj3a8#VJzWp?F*sh^|k7Bzc_Cpsrc(| zm+l}JEP>Sv9w~L9$qYh`2cFpD60375w_w>PMNKJHx)`VG1Wvs;yDk^^a~f_XDBntO zyt(h6oI#DowZd5eI(~vRuYtJ~$mOvM)F^MrEq%#ne;uHHa%-z=%lY3q*XOG*m><zE zBbmQ0Ub(WBEG<+{4FrsO05L=G9I|Cj+i%U692ot^#$sy_Xy;3-GfMG>K)x%Rl)Kk6 zRc`2aew$eo$=m%yWGx#j4~k&<KB1=UX`R0=q|`iejb9g%H`<1Ywe2qVwk!nA1FSm4 zr@!%5zR>9W?c{IOe&9*aC_!1PUJpfvq{TUg1JObbz&%dh-Jn>IF2`Zls~CdbduVX~ zp-(7ayhW(M6``8(;68ua*}iKDd>B;TWu`w*eYOr{B;PCr>8QUmuU;;{y^w)spTIIh z%S*laEj%8kHLrk5$N2Z%>QWR(S%k07)tmKLt8m+AK=1?|9p|<#zuuikr0-zMTHziU zc}r@By8L-xn)EMi+J~~MIA+V_%}&zG0DyQceKjh*zX#1-*YADFh+!k0S}{doWkqZd z+?CC53?HS%9oi=GazFU&`0wp`^yYcyw<lMdBU-z_ZBLLG-BW)l*EVJcsR@X#k>GB= zbvo8qE3RwH{J&~zV{!o6q)KIH@Wp@6vJgQKL8>i>Lh{rAW`qD@1j&+Iq`8s6gvye> z4Y)&72}6QFW<H-$U53Jlh<3?q#9<6r#;?5J+zGJ>Ej<UCH)(^w(`e&mpxI7{lUx;o z>7kr5y<Sn_Bq0#q5!HVFjCH0j2*!0Euh_+2sV$0_n)vKMf!DNP)M>b=lSjn9N-(4m zMgPE0WMFn~(EgpgIU4wU;Nku&sBoSJ)|<CL#em<DHw98@67wzYrRSDGkA$-YyPB-| zbt0wGnFaX<8WZ3;+>^FOF=*l;@}$*~3fA_QS}BqJI*~$y()4q_#R%R5;_MJYY4fe8 zgz6JO@BAplF08Ei$hqrfxNEk{Z}gwHBHy$<lY=(>Aq*dSZoi0~)-CSN`SN-IfdQ8U z-SY02hhBZcg9AiMG5BZe&7ym0aQh(Wrp{p>sCXzK0H}ud3RGp{`gqczt;piowQ89g zXlgkZN>7e_c7F@$FR)@b{u?D~KkbY8-!Rb)#5)?lxc~AZMa;WWuDBvy(9St0{qCLP zPgOwSB%1$+7s+9mrH^Cw#eZSjpgKv?io?rUIPC(jb90Z8eFVgEUz7N5EMFGX>iv3) z<2~w=_{M&$P3<ptUI3C0JQ~N*ux48biAAU<DQd^0MHs?Aclg23`~Ii8KK!DASmwZ5 z5FZmPfZbc^UcFCw4t(bA;M>F!U3y;1CqW^z`VVlAB=%z`wJVry#Zcnoe$p$i2hX5^ zysy4`^2Gn&F;Nra|Bi{aH~g<+qD3crV>z0G+DDmD@31J?I2_L?$^RElbo1_igA*-E z<H^a_ht&_DXY*wMUP|k>z<9j4FQnG&C4S(A%p9vqTYJA#ZPf~oYS%fFQ&?{kaziY% z2X8-`{m2RUF(1eUNW+I6)P1La^pGs053Exa%oUFIZuTGebt9eQco-*Iq3;ckz+49i z;CZgX!pOh=ryI%A=1tsL@iW@@##60Mq5Zxyw&Cn!ji{drUHET#1rm}AsAY`3AR5s3 zw>K4y5W&!Y%8`<7kf_duQ4VT0(e%n&arY`ZwS}KZMG+t>=@_bVtrA0?%H8%9@B2y* z{N;v$b0ffczl&IV+z5U{I|{i>MEsebL8?h9i#?s_{T^Jal76s;a=Ei%?ie0NY2eyE z9w7MT^oyQOtN#NPg>ma!VOX(I{L)$DQ(1l47dcsdsoS(-r@fKN^)%dM*k@<~hYf|f zT0fF>s;bOqX0qW3RvP{<Qk1qlgV$g2#!iB>g$g(DwQs3~yUQ(bMtUogVGH$%3@7;Q z55Dr;{99)(oouhCcQCWaxwvz7m4}y`ONcmnD#Qh`_NSdg7B*#q545-=OkL&u)ckMG zziutYpMgCK{%&JHQjQGaj2;$!y%55BAO;XI>)G!FAzRVgY-lbVR@gP>`fX3uX4}$3 z#M@7~?|DwN<{BA55AWY#63nDPB_g5nn!CVQ&*wf3XEcOwyKKzJ$R2XeNjWN^5B}G+ z+DnwTAg6M%%Cb_CAkt&chUhHeA#5_8vn}}kscl^-z%=EV?IfU`{#HiZY`+2?7=c?? ztWxogP5GoNAA?gAs!6`xi$0ldvdP0d2=6chSwvZ9vv`MCLTM8Kat<%&+xgveXCv~! zp6b}_COcRAn2DK21I`UP1{Uo~X51ohYq5TMnVc!|p0!=im((Iznsr|$$O<Gn9;6t+ zjpLR7e#dL3B79|=oE<85NBY{T+T&tPcYVyqD82#fYCiMeT0Kpb7sFxb>ap=e4E9>? zfbfli4KokP87LN$>s|c5wo`SdIx&A5<Hbzqx<BP}4LKTTcysX3UuwrNh=`kP=w^8B zb64A)L5J;(xjLNLuhSh6e$n^!oWy0~ai$j~uXkV}#uXg8(QY1Bh%q&%MEYxBCeaI7 zTR!C#_`yqxuAX$&e9G@8KGU8M`d;3C*2U15MsF0`uz?VKsU8V8Yfn5fgqx8*FEncy z5~z>J%J*J($G9xTx`zFtgqVfZEakj^00{Nh=>1|PqKkb7br~>a;ge$wXg?o!U(0X% z!>&KwqrG_X^3!iynbX9AaqV`R$J&wGbCTc!*J6IAVg<xE!OziHE!zK{2D?kKZIa<9 zf+Sy*PM?<`2s+r`bT^P~NhR=fYl5xrXvJy|gFkPsK9Bd+dFR^Ms#h)Nc^(+Eo7%GX zlD)DE6Iol4yTV2}IM404%neL&BETBk;t14&H`SpPsTF%!2Cfd^lx;$-7YG$IRj~M@ zH+4&$?Cjk|B5x&Jm4Ep|`MZJaSBC&C4g4?z0yYO;=wah*$fYhK8t4f;%4+6dp&6Tq z!k)qv{~U!*&3L;f)4x<EXxwB-(*?NoDyj93ij8i;+M#y=Odj|MS8{4`W5K9QkAObl zrG6%)JhL7Q|Bmk4&2qBCKA2A3U*AbhJ!&0gYX43>x*>_v^C*WODV#jUL|<~i`;NAg ztx=l<aGz6T<YgqJYu|v-VbW54q*a1|(K0-HH%nJrzU(|jnR|p(8<>nGuNVBWFqfD? zrQj$H`;eZk)t>uwqZDTD#geOcLZ7{5XX%|Inn=?x&BL7d(_G`XOB?2k8s>xCX>s=M z6QWzlm_Aln$?WN$K?U*%Dw%|e!p#WGb``YsmIB7A&<*Q<(Ht&;*MT{?euztI6YDC{ zhbK}%ZAOP+dVY*%w%vui_YRmXI|Y9RrJ9-EcbRnu-DIxw+Ws911tvHJi1?S2$v=$8 zxRhWn&AqhG*(;+u=#V%=K;b6N5;Wc%_KONlpS7uwAH&+Xttgcl3aRzoEDdxeEW{c} zi|JdX0^cEMVtdxCpnwt#4+WEwNwOi~K0Jia9{_gfNWC~QMN}p^Hj`@+G7v&xAxvZ@ zme9fO)=;CX2_E!9TF|geHP1HKhia+)!oVofkpIiw7iWe{t1Wntsj$}_x#I87K*Z26 z+4yO3;1Mz-CA2t*EfWe_xcKDpc|YxnnM#ntLIFlStg3kk0y7bWJQ9$>03*N{e;vJ% z->)(?q%_jr<DC`fuSo|-89VDG8rLQFBSK9@7#^0|@T((-udfJLd|H@RRGFYEoY!@+ zh*lY_LP4=|l9~Bk+`@nq+*1uv6F{B<brjzw3Ym$(x`$njw>(+&SwT7>QOdyvPJ9gp zLFPy^kff?e65c)Cfv9IAD`!y`CR?Gi%V|0cd*iqkHDi1LdtCcVxc7)%POljSgAa&# zXM8n}+-^e_g}>bSntKbJA-HmDZL-+mmJ3&)WB;WQcq-8=D6f^(oove*M3*yE;MAT& z4<EFU*dJMgAv#K8#lC`phfZCU3G&u94AkDW3UB5RqfqpU{^kbVpaW`P*6COg_iB-c z#{pQzc|A*meX--U88G{*DV+wAL9md+!g?zlzft{-0XOE_$bN;i3Y6;y+O5oQ<a@es zc^equNmBcvsfV`P$ZZh&062!q#4bEA!u#1so($+;9Qfq?b!zcGz4VYtZqyXdR{`7C z%j9@5_v%;7e?Gg$aVJcy0LxHy3SbPLSiF7MoY)()Tc6;zu3zJ>bv|%Qkk6uR&I>6^ z1Dz#{XZJbqQLE}-l5?-1yy4y#Zu6iGnkPCkX+i}k$0?g}XyRm^c9FhHC*+WOmaQSR zO|maE^o~CXnCuSe7`TIh+@0xVP-}g<Rj`_8t3!HIk`hY(59<W1`^>-O@#U#s=9S1f zT+pwf7;wC67O!EK1Q7Df-JGBCwjq?7Wxmsc*t=QJ$@0abDa$>Z=O`J{M)d-sn6UA* z8%I2yZIdmCZE|^G3e|teYKa;=i7p(SC7d|?Vxd;($B_fEj2eeCkXJSZi^)fu>h`$c zWs6GRU~@|faAg9hDp?bsUKM-zkX~yq=f+a~n%1wBOEc%j%Kcsaad7L0@j<D2=cW@c z1wnD~X1Bt-IF3t?@z(dRCaj1x$*phs{)ya6FL&SU`>ijBx2wy$!|k$iMjHsqi&OOE zA`7kDY8$uq;luydNf6)v?OWiE&v7OeIg&~pDdbM&d*&>)zA34>ZZ}?2Ez#+;l9e?1 zKF?9+L9i6IpD$if=C!a-E&%LpL(kB$KNinsTzCoBLRhue{+7IiYhfFDGX@wqq&_2Z z4i?_Lvbo`KDmt;xg^n!Y?D_VI0QYa>b`JCp$>RVPk-0cnk7^(MGyGb?DNs%HoFpRG zjh%s`qw^HIzZ&1a*}j3u_}p%;r|YhScR9_K1m7AZ@pdS8pSqC<Abs~GadjcrVpjsg zdbDq~<YGIxSrroVjh-R=*HDwvg{XG~YY$EW?mV9jpugC>1J#$gd5{SRLe=nj4RChZ zYx7kc_46SWA-W?(L!{tj#U2Dh6@>(!69qd8Q9t|^WA|+C798ABc)e6^C3@q<8VGW> zud5h5snpA_+%<R$Kj80v2?46ba~R^Dep>b3Iao(Rj<+@Y@p1q7dcgu%6e@5^3zJSz z{Zb`&^XQ3Szm`ZUJ6G$7>{;h(I5<H2XCb_AU%KThEzvqOk%#l+`_r0!z1IeE+)sq> zIdhx(5r1<C6P^y6VJ)^c+7p|0IiSn;Y6}x))v?0^-8)R=W8V~6TjA>-j7u7$BGoaK zu&FXPrg0C+#f8Hkro>Gb-AWggFfuFWa2z)ML!&~e*_`D%U`OAD$@Og8=pv^@b=PvR z1H(LEZY=;hd;q>YqxxXLM{0#m!Lk_%P2BFY+IH~+#LaIGC^*f8{mvB+!pDXMy;+6) z?zdzc<9qUZllhZ{6#82@`Am856!m+rvd*Mbf-D#ox6^jSG&yG_b8*sfrfG?2?jfJQ zTtoRKId(DySH*v7EMaZ!IZ}RFJ^G*2ROXseAV7=%nRK}ttN5FB3|NJ^?u7x%t8{){ zq;U`mNvB4LZYfU7UY#FKChAPaa?cWr<-@A9@#>YXJ0x|z5prg$(G!vIv>|o+=QaTi zsi<cO_jUYRXe3${;vw=<MliLml+DD$OE5E6J{)dF>jC6pDj9pp8j~wH=T=H64cJwT z0hj-o%H#CVWreNIPh=y6;3drFk`$)NQ725MbeWC#P9tynmLeBMCc9Cg$Y`Tne|ArN ztP+>VZ~s`@ONoqO&<owSkG~nX)X;Zc5R{leFg6?N#Bm%o?B*_YD+Mh3Ui@z<%>7Na zFSJcLimojrry4~96V2i*pmaSi?rSeL^}1Zr`}})+5>#1e8)6DT{Kr^XSYvEJJMu4& zC{Ec=wOFkbSTNFg0kFj+O8ux5mqnW%aIo`t`op|ycRCp^=p2^iRN^b)AT`^?{lkA{ z9ZbqAMN&kB;1-g58>@=Vc&E5M;A)W6$&c+OO9%R5;&MO%3yJa*RDWoSPX@7|sHLZp zY4gvQflA|L<z515!<QdLL%At{GXa3HAIckf)mbSrjV2RknYx@xLUpuz1eV-7M|$^P zPNG3OUk=Wg%ppR#4*EfSn4X9+!oU6{ioiC<o}EqK@5DxKEb|r|4pw$Yid<h{^h_o) z>F9MFPb#cIWyIaBH1xBdoi8ivp6BA_X4AV^!?U#1j@SRA<*LzGYoo+eMUAN(8CizH zRwX|C#*g|F#+#G<VVG#IEFv@2s<PI`!dSz1b-AcF(cfm_)$=qkQr&nMvKT!QJ=^+s ztqrzghOV~lpUgq4`dXWe%hr-t;^P*j)fO7&%P5G)m;Ew{&t`D+rpZWj33fDgu5D?Z zJ(p8<A~5*j$ZETGT9<{QyECEMDTsD6bfT(tGA3L1Oj6BSy!SZb>Q9V4^2T51b_l-- zk0|u~cvZ{!Fs3sejMB;ZxNOS5BsXg~{oZ$MWcHn7$(KghFwK>Bc+#|wIOSu(kv`N` zbMGJfX3X=pLmClTLHW9~+JnLMT1cyF0>9)z$Sz+K-+;R>2J*Y04;WJsq+knIi_$l! zSbM(4$k^E0yr|wp!I9dvcQUS2cr0ileKkk-iS>OL;ekdZ!fJ|8R>>XWDp?pYE>ctV zDlZq0*ezTvaMX>kr@j&H-9#J(j<;6Z@-un}A*WV|9;`t)sj>G{odMUQm$xb0X3!r` zM$+zLp~P;T&H<<-*=|2|vn}<dbLtDrbP*ojNKwGXGJDhcyvDf|Mraz|NE5)uy`3*G zo<ZuR<|)DIA!U)$ZZo`wd4p)rLjQ_4B2)I|ZMhNpB(8fv+r2x|d9tp#AdZQ@54s)< z1h*a95-`ruEXl)9A3c0|JKAuc4yr|oItX1uM>vZKn+UoeTzyv|z~>E}7cgzu@%Pq5 zT&EyK%!&+ij>JlJza{4wMRUNfKQ>>T^o0-~H@!bS4>)aH&OReRoqy5w_F|vp`s+df zKeJRJWp{8?YHiUfe>!Egi?WH*dqTK$vrmfJdsOIGSMicAEeTgwd(>)bLA83H{|IaS zkTP_)=u7WD9x@C!d<8Ok*Hiz*!Q3`_#z#HaWy!vIRoD5ZQ`e~A<-}?NVpn#F9MLGJ zeOB4y);#%F0rY`RU>zH>v1ZF6F2<wrO6CucGo%v0Kl=~GH{jW$$cWJxa4rBzs)zbT zOow6*O>*J;jL2~10O?TcBp8jr9v-IvY`Hrfl<&FW%|>L3eHl_Z9GX6zv!z^noB+T^ z`|~gl)jL5@69(y3mkRmOVgRs~^v;(y(z;9Fn;UJTIWu<!5{;Gl#bgoo7MgCwtl)8n zqToyA5_qhUNvCTGc?fY{Q!t#s6>K4z#C3j$fCat6UV<&qjgecuiwNKAlV!W<3bBr@ z9mQ8{KrG6EqoLjQ=O}^jdm;k(w44|KXm|l!00M*z?`rhp$i_&40)Kbo_;NLB18c%P z0Qsd(N!<K>S!7K-KMOEaPO(q4)w1y+Yro={7!poix;l(m)m3PpjQ6G>t|!q`+j|Rc zLOhv}Kmy1Gx~xV4O)xsai?|l4)Cb#=JW{b89f#$N6#bCmWXWQ=R<wjSeR&;<7u?X$ zOKBg~$hhq%RikbGy3}BbAJRFWkmICNx4J2sZxt8#00n;4sveUsdEEy7vuW#-y{VIW zbjH<U{0%+flqgolopos!8|-7K1hv|44vPcqUxdJ~tTmYy=M?0bV6wL$q<vy%VDzXI zqNN2t!`%k9WFKmQFYY>sc-0;m;#f9>Q$hx^j`50liV(Y@RW?g%RytjrtrE%cg1+N} zhnznhJn>s{RWn}MvcV9liU=^Lj_ZfDDxgg0NW=TplYzx2-lt{mmCWap*a$!fAxAcy zs=ok|o|Mob#UD8hmy0IUT|H9XkZvmv2uLXibQHTWVJdfDESpH>jDRH5oNX)LQ)eC# zBxAKC#(I)c3>%))z7jU@cqx_bjI1=@KhKhQ!09yE0qHLDZe}<wQTBX<z7{rNsQ1tM zEapj0+O4V&nKIw*!VKC$oHQ=~J}!UR9AWc=o9J?uV@on(Fz(~Dqy!zSs`exiax~(I z-1r2zX#_lplVHY_7<kUnNgoD=y&SL4oiW?}g`2+}aMR0g<;`z_CZ@BWr<rllFJa42 zLn0LI`{5Nd=v6)WP0#91zsO-`fiE1*k)9PSH!Sku`OY(F+}lE<V-&Ag*4yDpQ6ARX zOnT3T0zJOaP~a~!qjiEkZX3zLqg95uEb@Sa35Uc4S#V#x>Fk(hSqL~UJnoU|)p&Y6 zZ=v(ijVVpMzxLt#h(>gd!V^4Jg9<2zie}$8n5!W`09`$Cr$T0cf+Iox=BqH&y4yi+ zbcmnir<s#KlQ}diySNCnLY{sQtq;^OXue#w5<ESSLr+d@pD(MnMp8P}8*{Or@C}w_ zQV>^PktNYP&Y|iXF2NrTtg8@|u8pjx9*AP0BBuQ|eraKPa{dKIA`Ochmk%WWAFNfa z#f!(TMr%xS9~~0OqR^AuS%Dvn*~cVjD<fsW1o7x8zN76j(pk63`@AD4TD`u?FhF25 zV(BqcVL|*L8}^}mG&|~|8oM|7>bDjJUfv{zE-&7sK0LNPXtB{l(`F8n(Af(VI&G8; z7ruGWVnT5>`_snWouj`g6QOXQ0i}!OGbxt(2n}~i!=V{QkTf^uxQu<<dRP=OoIE#^ z>Hw75I1qsyc<>5064k{7$2nt!!0Zy@%JIQzpyvn3cnxt5a@%o$qW0p;Q7pJJCpYY{ zwgn99DW!fK%*uJPv2#cUQPY^+-2TLF%jq`)2)ZScf7eKL6gNo0+1Fzri4izZQXWZB zWa0SV@jJ`7T_wV@HHw&v<mALmz&2T;FK37E$juX0+E1g$0Q!&t%3}w8E#vmc5(_E& zP4V~SO&ECk<E2oEtINKd>UxPhtLL4zAOfM6qnN?k&3%mkOwD0vQ}`M%lFt61gOR># z0tF&<E5MA{wRQMvpK1=rd4fS|t|7v~wDVo!Nr6VxRC^<nhNzT0UOiw~4bfwW-ZFr@ zEHWX1pE(|jOPQ!E1#r5E%-W2@3@bHnq!k^NR-G`9y~Qv%!3HK^#}#>_wR4DT9xV_N zO!|}@hm<s3#P=QeAYsQgy+}5g9Fs!vJ+){@n(jz8W@#lCvrir;_<eT0yF|)V#vT_i z06Rax48aq|-&vsuB1I{wIz$h_OY!efKuLv(DmX?DZG#YOLQj$T>5<T^if^$4tz#J+ zK+Ll`Zm0%&5)80IKYz&eh#p%zXSQ*0(~*|xP(tFf?UcD3+>5%u()xHD51RJj!J+qg z&;qo35*nqFZa{}4iae_vHFQ3T6likZ%0F=P*QzG*2P6qWwmDc07VfUZfq<baBNft$ z#5g4fmZ@XDT}k671&UlgtrZxd_PV!`>W0stp^S^@a&H_Xi5$`Ake}BDG~7j}vJJg7 zV)V?vBhVJ2<X<H_tpp#5Mt`orw?f^l!jh`rrVuZ5&w6+CBip<mY3FEVc)8<KxvvrP ze}L6tl+x>vj`n0rgYknpX27gYTs<G<QH^&;L50?hJR60bq?pRa@xB_ZyCUBSgxFtr z@XK+tF7d}w`xpPAIHy0ldp{O_@(D>!(7B7uB?yW!i81FQOH>F%umyFXX=!q$yG)R} zDKu^O6?v0sP|#$AqHY#>MffJKy|89mR6B{65U_6?fE0HCb}NJsw;?uLge;>7&Ac=| zAsaVHl_awwO{KZhw1?zZh|qoC=r-jk2BPh2P>QEK=+-p~lJ>htkwF3d;$=EAvCFpm z8)0-foyl6N@;<?I`q=J{e2QYJ>wb{M`?C04&=Pnt<{}?SCfv3FM*J4fFps`^vrY0v zt^M0Bs9`Q+nj)NY0KT>0=lNfoOUgBiI!0Ny?$4{|@0$Ou^<dA^OH0K0;U-W`$I}K) zpOzN(%P%NA4y;I>K;HseHm&)`Y{19|FD}H)M$w*KA=k`onptVjEYp<2!KPh(UH<5? zoz7q^?TZ{aA_WSVJ5R+=h7{7}WJmvn<%)O6rjjZrHC4pm9jjp3fHIcA`Y-sxYT!Xm z=ActnWhh#$wY}SK*ZBh%2V>$|MzgQE?=~XC$>IR|Ke^a~3|-xQFw@Bn6NaYlh+2lf z0Ay%gQx*m^&SQbJ`=8qGwc(TishOz$pimWhLw46n8rxy&B2W!S3lo^UGU6)<qR}*g zb&9Chv!Y|*eQnB?y7rSxi=Mal`yNER*OLNUmRm!nxls+-%+7@k^oE6D0gBT_FL#FP zfj|^_rGv@eh56H8vJf~`-I)Vd4I4i=?}%AGUgtTcK4*tY3Wur8`WJqb2Na5*0t#Wx z7(qP3kcVL5DtzR0%5vDgL1TX~X#oN3^w&|LA+-U7$OeX@ILY`wcNl6`9ScXOB35}& z89Xm}CeVEOys;+Z;j?_h2eoIoc0?UnOKJYRgSc2@O%nUr>E`VafqqwrM7jCvYOd(} z&fWqWjBk~B@-%Co$$aKbE!B)mce&VA-UQG^bp$nnL?}qEmJ_=^GGkugX1A_Qbj!_6 z(IDNF*oG9<;tNex<mHb?+v$O!M2FE2nb5^tWnNFY=l-XKO~2bHzVRqUXi@^$NBQV- z0<rt9=n+;yP$JJ@?st=foo)R&pAVs~u%suH%Jv}x%j+<;+_^dl_Weo9jmCI%=oMw4 zclw?xakGh`(qt_>&~O!UWMwkMJtPKZF!AR34cB!p3^McdA;~{?ZL;-KRGB{Ma*Z@l zNW(ku(eb>wZa$gQlXs~2UsAG(e{}20z!r4f(5HJ`VZj%_qB;EPQmCj+Lv>}Z_q~u{ zLo{b_4)rdF`~0X>;B?&V7^;8zH&e~@<hFF6_fPL^!Z;?n>ODv|I)Xs&=dcHt>wc66 zq?nucLm)oj*!+ywox4B6>piWxga*&8GgB<xHn;2cy+*7<jyrbY*l^}Va@T;jnXD^Y zd01h^T)_@Mw(Hrl_f+JwCKj`?2QQP~b9kuUCh=|6INfkR;nLjx&v<cK+f?|z&6!il z!(#gTqPq}<<1bJ=Avg9!?j&IwzBLEw{($`O38}5$Yob`n)ZjoLhiW&sg~cz1&H86H z^ZNFBdwcs@XBL+_hJ0;g8+Yx06)sl#e}aqsO}(C<efs6h6=C)oEu1ZnYS|j@F27-X zHEQyFiFHd;{wG`<0<sGlZQ~reBeTR-9Utv+Hn<YHU~FE>{2=ZQ;*lL-{$jiQtJ0Su zdtrH=ZNx5X#<C$?4m*BU5A4Zzj(B(J-92@de{UlOHZt9Rb;cyPG$4=|-cI(b`hybG z|DVL}zi&OOnSj=5S;bdbYqnv(x8g&U0BP+eMkD`XEXaHkQdm_j0c%1qR7_?n=zV6D zz{VB?5M?k~XTq!>4cHkEG?5k*5$X8s6~Q%?qHz<})}^7_jc93gCT3gJfGVBI5NXsN z%T~pt(s?!lAk~0B$cfW2qC0=Q<>BOw7>Dd756YFwy=5llYQdB&<JVM3*8Er_2G43W zoUk`7>}VD2Gl&RP%!nDX5TMjxrFFp;e<HyLbjn&6_@kvomKFTE;bM1XoS`!@Wyk8O zUC7jmzE3RdXpk!HKGqDl%gwn#nROAepoc0(bbDPgX4KnMN^(VsNpgaxhxDpswbKK- zi<dwu3ylMsJ5bE%ifS~Z0y-=(BjSg~RDd1w#jBf*{iN$HK#B~vsz3}(=Q&+SK7(Z^ zwC_Y9!)<E8bhS(TrLhsco|gA4$C%^Hi38*`VS7rXfzw%uUYC~lG|%cRkf;T*!_|g% zt8^e`o%7v`SdF+ZMO#dH>gc<ufI93%uo-upi3dB$iX&758`|wSG?5-MStneY$3^Zc z`+u)%GBRhhv@OV{DS)&=4^q)UrVC?BP+wbo*0v-C(~yv$Sd+B2Q2}kKqpbLKR756w z@E8+{0C?t#Q4O@saaKq{P;H2lDD%~tV3$L)aA{=_0~(61fi^s<f;hx`tX?1uB(Wj^ zn4edIBJ16H!~_08gfEH~hTE^$fk~1`H78sa7mts*zl@NljiOmH_FC~snYGZYWPsB; zrHP^r8f2&P7?w}bDhXC1&QH_C)W0D5m(mhvfucLk=?!W;qY7f5k6bq4R$(!*02$xS z83sMBlYFnJobTVr7_=c+TscmmO@o`FcL#HPr3&ImxM;>GU09qJGVBbfN4sRfD4Uw% z(xH^x^Ke_H1v?S^p_MV!6P2=)s-I7?$_}x=^}e=^l|g$23-2lEYH16vTnQfMA>871 z-#b#LD$N$#8kZ~J^goH#Oxl3x?JsmsvTgH_&h4{F;!vYQk=oBwJ}jZfl^zib<njxj z?snZ;LYeudGF&Sa+kSe@BDy2jE8m}q`qFaQRu|WowV&J`E-vr()77=uY1i1^nDP0q zZU>heeIWn;<WocNVMepo*!}}i4FMhx&xzK%pMT<!FwnFR%l#IKQ{&_h`;O4)WHDCV zu8wbNp-~F4P|DHo7k4Arcv4~`&*_%yHCs;V9R;Vr#aT}y(sC-2dpxiH8YeMFPS$q$ z8+ZiiK!H8`{7!J?ldKhFslyATy<HC|^dy<Rc2S~XxTLi?==qB6!MFB)aeg}cEOcJQ z*97j_7_OrD{{Gs*cb5g366&*LbIgz@DAlMl>dSU77x=03??+`xRLGL0SK+c*L+C$w z)mL>X<z7Kaz<-*rOyJ=$|1Y>|@zwtqu1bg~k$ZKW-9_Us4c>omV09)GcU=-XEGg)_ z_j5VZ<l*L~#$xI@Y71A%Z(=*;Z+NKtF4l6)@oZ$k?s+?Nmw7SyqAZA^ESCO9S;R3a zyyY~;c@*O&-b;s@opkxluHtrEAv(T5r$8D}B^afw8XbA@J;=_qdx4ZBr`>SyPBN_P zI@v3EkI}YdWNyj+(BBY4CC|c3hS6>t2{F@YN4;H_g6a+0q2&>~*7UsfB>HB3=4Oi} zYY=<z!G-5zs)s3yc$;M+nU=^}2EFsA72-+0J*sz;r8@uq%}Z$~XXA&F9ND|`p-NSm z>MYZ?<=}QZ-8vS=v~%IGca#!5Gn{M%Y7=$olF)|OGbEZwAKi7N+4Zv5crW{+cMT5A zt%R$_-opLZtmfS+<f%LI{10#MyB8SKBl*WP+fi;)ZXjMoV|OOlINoTrx|*coDzhZ& zW0Z7|HLUiB_h4zd_hCPFdK|Nz<${|TXDXPvd#FI&t;-Ler`%6Y%ly&pCo1++J5X$Y z3J8W_fTMYK*RSsW$m->K(a~E8Oh3s_m=tkS=An0Ys)ko3w+dj=#&TgpbYt1;YU=m6 z8QX1ZgO<)d@C*=Q{d(417K`d4cumGhxX?*{9JKa{xzVA~US-5}E8yrUPo#tS12&Tm zdq*kQPVh)azdOkRH9gdDIuq>GUODU3#sA~7HwocY-WmoBh>ofONV=DYHJiPDBh6O3 zZN9s<F2FugbTUO7HR*9RK7vEC>5ttR!-J)iPQ+ZBv~6jqaIJbr0<k$xw<Pn_;SKCo zL?#&2oY$#9Ctl1x+*#&5_Gr-<!CX=(E)HgWKJA(mb+U`W6x3ff+!s{!5pq8~OBRt5 zUc>kr10p<@F}v<+myLVfD4PZPZFTS`PtI*^l*xqx6ia=r<5I9mh?hzE5o9A$KZzGN z&(z=Gy+B;f1BMJs9tvyFC3j&O*vv$rp^PF(b$uVwkt0*!l7HrYNPN&bs8c0WNe0NC zO$@l*-kA3EZqm%lsO`0F`RlqC2C@Wm<Y{g-3$UGFp`MpPn$uXKNTA3yFO|u`3Oo0I z0CGT$zi}6HgH)uS7s#f!=3?n`*QM8h2syKs_DHASsUS(041>^0ef=7k6{b62muvd& z&D0o82LrWEO~y00GPlOY1i0*c{|Xtmd-mq->+|7HFVFtFUa!MrDqJ^eTnS0(_2c`K z^V9e5f_?;9MDPuBOyttx=R;2gz7k^wx9N!o{Wc&nc8w1vy@8vRE8cLq_7X%UkQoq{ zbJWfOXZ&9fm#OR_L8ET!Ha6)89e!mtKkNW-pK&G|``=+3>~BpbU{BAj1!x$v&bU!y z>A=*kV~B5<*SovB5~HLR$}pYfV1R3ZO5$bbB4}~>Y~TVbm^I~PKluB3w5xAvgZpT4 znM`MaK<>FP(3L9)u|I{}eimb@LrJOm+-y)THG=0fpicv4!oW6>I4^+y_{cSzeTH3l z<09VX63;cFVLhuR#@HL-8yG1M7&s7Sty*nV*)fn0*5c;{d}GM~RhAoG;HtJd)jA^< zO$Gu;vG{?;Wlp-z^2%{-7~q=R$ZUj6dh5;3Y$68%e>SMpZgv-D^bvR=wK<(sc1JUd z`f8Q+Nk*f70~C&y4t4qh77Vgjz>KV?LRH=$_uSKJ%xKX*lo8Ou)Nja3+t%)Cij0Wk z%!!xLP*;#%5|WZ&9VbrI+EXNU5DPEeMfEiW&hy{=gxGH;pqJVY5D{tzC=Lj6S+`R# zj6LBS_Y6#ZkgMyFHM8u21m@4xr8peq^AUdqk0Dau0uQN7!QThh-UA*wZJt2Z`|;;N zZ|24vHM2j@_q;Z=FEuV7!Ki$E-s^uvgOT>MXdk}C9z!=y*j|D%|CFOXn}NSD0*N2U z^xHxkkLfoKAv!x#|1sA%cm@xBaL>E+!A%*fYTSekJ|axW)!0xD(8&cFqAuO#MRmv6 zsb2Q0jSBh)@Nj-`{ik~tp8TMVKHX^c*pWC0p2@^#2fk$jkmox#s${29l^Z^Cfn9AX z4Up$*BS0bxh1ZttVX$2U$Vvo$M5`n<Bu|TdBPAufRQ<$YTv%w9&`T21dz>kv2Cg2t zM9ccuuz&P=XdeZAecAKeLBsu-EwoW99?~bg?kF--9u_oxyo{J6P6$1Tt)qi7vKDtB z$gVYnK633Q>8EnOK57J@?W{)3r;eFr+R1|oSd!2>UvPIK`1UK@ot+)(etQueccZEH zjU!aiW)l?;@33G3IXYlp*%wZy8M7F8!&hIPbNB?tKEDxsLpIO{rjC~w8za6vq4QwO zmk6Q;PqImkDuzZcQej3qy-sNQ{JgiHY?nwCbSQFM5iiimkp)}T9jX&m8gcE;H5b%# zFA{g{)nj1?p^BN-fACunvbC`5Z+Nzm!vN1gmIPmk!S{|=ZV5UY0kQ+8HF{S%LI}@| z`8DxQdCCM4lOlLYN5R=jN(-)-&^+8gnpmdWoM>}nc6aD#rqgtE+nDqTy?#R-r^732 zHukPK#-IA3(q7yGWS|tqW2YJ}dw3o|AV*QbBx#Zf0>#aD3e0x`)15!pF%6L~FN~&w z8k6fr8&r!5<ux!kFV;csS9mX_9$|+!9Z{vagK!%|23w!OEc{vbBXT^Wih0$>jjb){ zAJK?IB*Y5y0d*3Kd%)z-8z<np4Z?Qt)Tsf!Nlgg`#Ml`CelQ-X5f;XS%=pn}*efH> zOd{aLoyi+q94Z!rCLiI&q{$mxjLM?W09y$Ea2};WIRgv9&>agn5)oX?&!^wRA@tji zFx~#c9RxJ&flYWGpTMI@JihI@<+sI<B*-)bnU6}6AlC6MDA&IY{icRC9Q%e*veB<_ ziJ=@h=%+kA6q4$jx1iL~L=es$zM#_VtvRB3jTez4)`yt+`s{rJ3G)Ox+97h@`jDlv z{2nwk^T0gA+2!k3;y(qy`{AkIX27noQF%79OnCU5svDS2iI|oPR%~gGcZugRYS)?p zMA_DyNINwjs}~YQN;;Gf8>zk{(Up!DQoSDILjc&v3Rx5Q)&5<8{Xzl^bZ4Y3^cs^k zxcEI@tdTnq4^J4d^{?-#q>``+s$pUPki-orq?;^&LfsrAfk>OjOn+#MK{H5t4brnL zm2ZUJkK>l*R?IAI{D)JkhtAv}h$oqCY*1Z<VQdhG{=6H=@TY-45iu_t20c9-1_L>m zF#WVwY(5Dad(#F9o&oWt{nD)&bh!YS4GQg`Lms);_Z?8|MbV>TM*mux-k>@O{f5uo z01PX$8{fPk3;Rcygtr_tMN(6tBJ5=F9L9t)0)Kz2By9+$7^2gRL+6=#KRznSjWaY{ zw@e(RLDe6tesvp_7dS(}6;((qhtusNk#Y>2J;>|mt)tVvNy4703_VpDda5$?RAuO? z%Fx3T_2{b%<xLi?Rg*=lRTd*t=g#l0EC@X3Lu*3k%pB&4beE1kz_cwOJ`YTFQ8J|? z<-v$LdX9M%;w_1McOc_G=}5)p0@KZxOj<ILD^0z+-XvFU^8IP<$oKZ8qbX*0=vG}8 zcz>ZUf)c6Dno>$N3k(oD#u_RB3c}R6;j-7D7ow|`Qbsc!o~rhMu7z#6E!U!>PDCne zw#5l*wHZMOgWjPvH?xY22PHLAYH}VQ{qEf?RQmHcg5Ox5IN`C=7N{`p_#2*`4}Uow z{&;@+jux@bK##8|TWCN!1q2Onn!32n(U-Kr{c@o#`%HNG=7-_=>C2O|;mP}xvseEU z9Vrny_Y2SoaxtaLG-c7L@^t=k`u6-)aRN8A823wHhbQpt?f<?S{__6xxHysbZa%sx zuVG3DpeO)fG&N`R>M#Q8u^5pqXGBh4o&EcGC?k4}a-bh1perRp?<JtC<w5Vt3Fxg7 zp(_dK?SI_l(ds29kD##j-)HJjcq&g{y<EenKFu6|@tGr0+5VB~xmvxty)9MeAp9u^ za6=_ngTxIbvV{<D1?4601p<}qzR7oGECS>z>D_57&{bk!=sVFyIzhyBe^&uL&lJ8l zBhi(dPjxbLt_WM4cL;p}r-e&SBWtXaiSCZ3dHoT#HX7-UqdP>ib)wB~v^$5j!E*rY zk(JO+;a*5QZQJUw-4=0vz)$14!0S2=cu~riB!67JF%@`~l(^LNx^by#(06arh<(M7 zT`loFmTcWBkJ<0d*&T5V^LnNes`PBT3uC;qTr4a+rkuv>gYEFTC(dhdhNqOSP=RDw z&Sb{S8ht`>p`s)rxbOH5p6ubOZXO$O`rZZ`6j_`P!1?K1b6h0QV+DPSR3(KIIXiI+ ze{y*Day+(?%Rg$f(Q-x;hS{x4!s(3dWOnE=7c@X)-Er}4QfSAhtWR`2Q}d^u^AK9N z0RF=|H3a{suZATW?mfqs3A<qIKxLNNjM*)Z5A^NAY2vaatx?k6pp-5yEd?g@`SNxc zOn5@?c~w;L`LwHo7Eo6xe38!Jp8ZN?KN6uG56_NYp0iiCy%1qw+S?{G^bOzg=jTl+ zZHFQNisUg>>BzB10f1DKmMhM_+!^M`rrYDn6Y0*jEMVFz7PCaU!$ca$WuTu#8pzu( zAkzCxqyq*DiFCJsNXty5Jpie56Y&nm)1#VH>O4_n`vucQ7zB&DgZ|C`tlhV{j6%2U zazwhG@aiM)oI3<Zo{Fc(t7MG81qkHcc~mbaEf%h+U>@OzUs;;{Fg2o1jg>cK(MIFR z*-TXQAoipm6@6JDB|H$0A6iP+vtdj~yvd(};v-$Wpp*w&iNRLNqg#p7t&~T%5}`Xc z`%@N5x!H$kf}vnS>mGE!9nt+aeiHF7qWll0>2Ym)()1@yf8?6piD>$hqOT|LB`SJS z*`5^rNzqp;`hG;w={on5rax)=lcqncrsu3imrL3f7d`o0N#45Wy=9;_<jV$0sI(W~ zXDm~94x}S<II@<eE1HDDoZS|aP#dzzx5}PH&ikwwd(tFVcWz3;Tamlb<c+`{ZCRWu zRhEu8p8D&`lpvA);9|q=zp+YDaRr+j@?bZ(H?M5Q!KpTz+*tNFRHPDFCc1-uLyplk zd70;%va#en7|%-J7M0`(yEYcHiE)eT_U!V*@a<k5<#2oX$?(VbKcAcre|UR-hOl>3 zD(2q;er{_X|I72_*5}DKcTRD0ov;((NhhvxIU~(=b$jnxbEmpZ7Pc|h>^p*$)=Yht zGS#T^cGgza0&6>JD>9lx=XwZ;vO%rnspZ=VS>jHjkS=d|k8S|t=qHT+xT3<RRufpp zRSO(>YNL;U%iF>6AY8?5p^cVV`OC84DRR9|zSri9KK+r)Nvw2>>!GPD{0_O&k;@}* ztBszRDUgwW=hg6fF7qth(YADS;@()~%5YH+$qDWnM3y?BSy-mSCfW={5tyq-<TQns z2?+j?NQcaqjtj#<{C8`(7F?RS#sYWK8FM``8NufT`SM_<vFT42CHO@b%AtJePxtvF zhSuQ5fFYy92BS2=nxI*`0fLW6s4X^+1w>HiJ~o%7?_FTkllP7}6@|$o>4c<hGrR#C zNF@_@7_zsqQu~N*A60(zo165TzfUdqiC78SwHB0hSYaP*1GB_Slb)~D!{_)2>KPCG zD{Ze(`8{0uJNA2^5>p!$Tr{r5%`3P=1_qVR`;TRZ1&eIC%>+ug6Ia-|YZq|tdTb*P z&kNxr6>E=BGzu-^w{C|tfk0?&+Adnkp>mlTHvatxRWH*czJCIxTZ_fE!+;jetlr)Z zZ*T7*!J4p_IRVn~PcK<e;7{?RaN9Tw6xb$LoC-M~I3+;Z*5*^Sz)DaHV2<ZnK}#q! zhM^yVMm=>Lo4hN<eZG8Ysm;HHUnv%hhOCIC7r21*TgJ9h7EedxPj(t<i%yTaFRYd7 zeuodAhUTqsdY$$H0)~M9Di@>-oXgqh+37|@zp!`)ulv2ieowc6A@#}kn4h)|dCyEZ zh{eAj&QD{9z)PZ7E{oz`l;Y!uqgW}2Vu30#e>bV#=P34%^OsanA|s(x5_Bax)W_vQ zS9$0!-<Aow&4E5UfBEiRiLg5y?Bn+*Wl9?_=pWAh^`S)2Pi13TPi13L%f>wDY_d@h zycN9eYiE(~q2~m>sZmKv0Gtx#9&D+ufqEbw<*HqdhXvCpJ>fKpBKt#i1p_c#xHhjX zX`inGVNOdHM?jIiQZ5iv4z-y(WG@_`)Y6qYkwPHiiQP7Oe50jpL+2rdYnl3#)sLt* zD*X8Vbo9G10fiACU$L@EfhPdd;qb>dCv-ZFK>l#_JJwSVBr$R;duVvF8fl$@BG4sc z5^71-XIu6l%pzcH5w{6>a^|P<R+rlFbr@ecKt5};W!k2(-j-CjCB7KlYGEZGnmO?k z4)|ha0T|*XO1iWJCs?vF7lyL}WBRhs^wIoVkev}7XKgEp(0}jS9G?00SnARB`S2rh zhFvTV%V~8i7n=@QXrWkWZVVwGbW-NW0_H+I6B)T}>eu@CS}$NQ+QM@oEjI+X>8_c- zG8?(Ru$+Pzb;L2kQa7X7C(&%_G-IVUm~w>HX*XcmfR*Bjoc31b4xgwLfM29`TF0~T z%wd_ieY(&y1x1vHvg~K>Vh-&r|8zh>c8QmTP%%CV?dDK7V`pjZpE=u_Vna(1K=G&9 zc=?u95yW)GdRa;JlFn}|+)09)NEUR9iZvmpgzo3zH|sqRcIy9~hMQpktNCK4r)|$y zm2G)i;=z)NOM>o=<y!X8$ISP)1OOG>XN7)10L(wBF?hfYx8B-cJ$?2&#TDHVZb7oh zpSo?4o2hORoU|QV{{#0kqQt?UOWpCy8|7_wBKqw}U$}Ig_=9d6i>YpFGjitbt^F6< zTl<0SK~0m7-ge}pEf<gv@Wkz*^;x%VV;qQv2oOa)>u~@B<bxR6VF1gb*s?r8+~`WM zU{RZTgR+qG)h+-TSH^Rktq;EGQX{(x*nYTE@WZIMd0VcBqscXh-nHRmnz*&rhBuTA z0Z)e+fGuoF+Za_&wbY2C;|YM!_!lUp+S>QcpQlHPOx+zn_F-U!j)raltP%k$b{cjI zU|UhJWl`JZ!78PV?Ia4eBx;o?*s{j96$4umwOt;pQkvdQVqnXmR*8XC<VNJH!hZ#< zqF<wTqkYR7uU1IGrl-+2#^pY?6MFMo&Uy+41YU4LbQ9m#l;Z5Q_qXKiiC6Pf(Lv$; zb3e)zd;3vGcrd!SxE%q6?+vA(zJ875zY@HA2MtpWR3ttEPGeiV>SPz!C%qwq*utw+ z8h2Fl@IKZP<xTTG&$boig~6B7NsaU}Vjo^cXB^Kt3EkEX)^>p`Ok0TwPPjf$l7!|g zyoe==#f(-sZfYlDxUxQLYl?`W-AbU}BuYODdbO6U5Y4Al^gX1a`GI?M8R_WO*=<R# zXI$DTQp<9$51pa9|6KSs{DeZ;@a+uDwx$=(c4bU4MRVg3HG1$A`N^Y6ho7V*!?WGs z{cYtu3*^Mn^)>@1shATizJaJGQ*)<{@773oKKd2^tc?!o-e#&y<n2mPyXto2qEjG= z*glBJ1-CWj-jAd|;#HEgv3v5%?!hk$MeoshW!-~fURg(caY*gRyw-73KYMe~z57KQ zPaH+OqOCAyTUIZ$Mrdu5manKs#0hXtcz3coH@2-^#U1g*JGG+*2H782Ax?|Gt*47; z2f5|F%%s@*5&r{c#YCh2tW<?SR!mHRILSUpDp82L2*3hwOH%N4OooF}J+#cBGt)kU zm9Xtx&uB#!uD7K^TOILp<Z7oA>OzqO+%}XUEB_|6M|15e2@iHWUD>&3(Cs+r_pe`{ zy*gi+>cr3C!{K(hnIRN=Yt)85dotk}`>dD$ToKAYdD$C#*^e>t*(;d%+@yH&KPBh6 zq5oIJvEO_+wl#%w_DW7n<LkKee15Z%=sdT^%bA}2hzNSYbK<AT%+YK)E?^+|_$I=L zrO64QboBinOUvy?Z?S810t9>(whriwbTqg}&t3H0ya%8B0ET$x3{(Qw@!puwnsoz- zwU)?@F?Oc|sU!b~1o+OaC73zu1{xrayN-J|(|4~dd#u}os$qiuSNlQ3<s!A2wRF99 z&~U^!;8%4VR8s{C8l%#>ntiRi18L}53n@<kr6YRi`T3qZE}f{O=M&3xk*}6LkiI*R z@t<_0KHtOZ9!`76?L9ga@Y@@j{4wgT8Y87$))-9<-PY{U^lsJQL>o#+Z~X59qw@gd zG$+CYc}=CG*TzhD9w|9<adPG!IX_Wb_gD#X#0hddg3bVUZT46Ra>WU9J%Y|P=hI^) zXJQsmAk){NrO94<|G0>9-NoVF-p$R;?$y!;b3D_`@$Sf)?|oJg@4cx$TMWCymQ2Zb zkw<gEM}eJ$3n4c$CJSd|8w;1f!>*UwHF((nrG3`U=tarBTfhZZzjgOM!d2E35Pa5b zRwf?5>FU&Q0bOf#@`ZR#h$QvNx3JB`u$`1f>9a2O{H)moo@;AE!<<-TKrSj5(wWrA zN>c1+--5WOA+5O0EBs=g-I-$D*5Pm%?I&F}axqcWZVr}mE-LhP1eF%M<G;ClI5Xyk zy9Q3Z9Qu3t?CE8(XJK1z%e9o^=qRmhc&&CWI`noHix4AL%cCety&R0X`}qvo&tec= z4J?jII|o)bAC+zvDp=gSxGXD5L^hV=xfY6)9Clk=4Q>582x#}!gi3jl7A=-R2WWli z>7~kY5X;G}P6m%+O)_P=I7X=&&w<M_K(QvN7=fvG)<EeXA6{Eoi4tv+iqc$jT$tfL z6~?NSuJ|0x)tqW4ICa2o`IWYdOS!6K-s`GajN)#mO1c|V-7IV#<(wHr&7aJYniroz ziW}=}?(|w}zOh#0*eD9Sg=KNqLI>aBm@6Nh)so#w3>qgwhB;be#8Q#+h9rObP!rP! zH)87{lQY@3@*R*?!U5q2Y#nUy4R5)Fk?9h}nq(@OS5deyn>zU>Qtf5=MX@Gng5>8C z3v-K~RZ}1;a+U)SYZ4D&zvGK97so2!Y%A)@F=S10v0^2m*YIs~>{C16Uuq}(C10?D zQ_;m|-iS%$T_%MKQuyoH9yVQdU1PZNJtSqdKcXc45#A8+1<i}2*Ge6*NIjrc=p`+< zZ#2C+LdC^sKih=wXEKbty}}7FZ`aYg{J9RRNv-5mLb!O`ygF)YV3u#yv9i)C%nlMx zcpS5}22znbSqd0&tYR@sM@|HmJUV`5!!<%EITIa4%|@q_iB7W4VR59oYUVvGZkQwN z>~<<*$%2S$*oGA|O>eba^)iDyzrIg#<Iv4c4|MYr7TxTGMOZ?qC_dfHu$*aC^7?)z zQ`l<z|Fid`&1ob{&S!o_nVB`P5~vRjA&mTF*|SzW`3Os%i3!=E*MgSRHqcCWV?FJT z|NCZE_1#&0VDRx`2!{pTRau!?S$Wk}9ff^43SAn73?l**CbR0H<S<_~YD%G?h$3=9 zsV*d>@8-vexfWy~58LABY*k08z|?ALF_+W~msI~<Y71?3?7C#8E3tR72)nF#%V4*T zAFdVXAx$ktP0gYv67xSt$FEe^+&y`4hldB{2$^`DKxio5L<bJ7ksZj%Rph%(L&C=l z8C1Q)$O(YN-r=GS(~2a$I#LB8W>=OPNEIm-@O6~KKrTvyzKmV5*Tq=jO4aIk?dPQ9 z2Kni@fixYLUYos!wkpu2#bJw<4O>XIt>vP03kE>HSY!3`(i!1Sj_Rgb>H(`m+EtLx zWAI&qYcQu^AP!`jGgYL3Ics%XFF)m@NvAJUMGA1Mju#mtM2!}Qcv?2ZOXbJbQd@G1 zgG=S})I(32?@2AMuZ|Tx2PEWQ&9{T9)cGSeNUYJ11)lP-FnK46<n<#h__g<=noq-Y ztc+@Vd#v3)#FdSF9RYm1#RUjrMM91F%hC=XS%>GhmdkLpz`>(EODtc&<(T5-F(?7g zoJOzw=f9g%_R{{u)IL+ygKqzzt20f}w5S}efT&?JH4cmUfc^TvI`%vRwAShDrT!Vt zynS?Z)V7v;PhT9hk>(fH#IfPW<*~RJVrDvfd_`~j$=fy_pr^DQWvR3k%`s=%o_lLJ z=5%jn-&hl4wihYDJ@CXVc)XYHj5H1YGLAS0!?i7n@?Z7C7&CtpF!9m~0Pl%YJhA)O z)jeOZyVhb8@rR2+{g5&7lMOn$(osA7z7$qt&EQgPXbBn;py!4)^XvmrW1uVlNH$PE zJhma?aoMpkGv=S{j}~9i)9S>#CRo?wSU0!0Am!>X1pg(=WUi>CGJ?CeCN?#$4-?$Y zl!mUkvZwd>7oi{4e){|Kg&)yvms5KZ42xv4Y!hwZ5bbxn@V5`29{;WTe-(WIVNU-i zwX5qrwcGD^^`8{Arw@8RF=Z2t7kySR92~}en%-Tjb5)a;yIy_`Y`*&E(SxV2j?e#i z{Y)sW*KePko*c7!dvEV|{djNh>G@Ok{QSi!>vj}&j!SMVTyh3_b?@2BI;uz<hmUT- zb3|2Xc`!=9sZtN67jy>4ruJxNEj}`UGOnND+Cul%G`%|GZ^w0CwYsr4b8~7LP#A(4 za}lU)Un5Ukx6aj%AUJP%AIn?chb!=m8*jWYK3O*gL?<1*mj37lf~SsWpW+d{$A)V* zTO-EHTJ9wjW&C~;sMoO;Q}f@iuA7ay{lC_1W;9w4#%;C59zLuk-@8lOzBZjkYfQgK z7uN7B(5{UZ?g%0a*-P+Fq#*9hEANy4Hiqxq_+UGL@X~Nx^JL*QBU+8+66~f6f|R7M zyILZJKCurU@~;BU;h1&f&@Geih=I~^T<UYzGV3ioX&I1-mqyS&Y^<6K8yfHBV$y@s zyzta=&P-nN4p6Y~I>Fl14lwl=_f$n}diSmoAjTdu{K*bjW3RzR(MnF&^sZK(XD?iU zxR~Cx*#8NnM04(Jj8CzZ3&y{J-d<tE-MO}%xqG3!@0c?lg7fd<N?&t&f;cd681YE( zrUmYz^~jxdX6E9?yB%3OJJ^nO-E?Oc*85fpvNZ?EcHu?GbtdD67zphvwR2-#H%2ww zDkXL&m8z!s)jmUetXYN#d&w6bU$9zriaR*^km-$f18JmDWK2REU3^EC6#p!kQMwte zS~C&rKBKq)c7dX`K$jD*0S6JX=X3EJTu>K68s;wX|2CW1_D4L}+gEld1qNF>rsqM} z!9Izh=nJ$TQK%!{K@Y-#8Hgg^{WQKdfKnJSVlDB^aJ@@ZvmjYq6r!hMf6QVvn?dPN z&T$vjuD2VlLB8a}Boz0>WUTC7Emm{*W9@zrxCFShf)&mJ2{AIPo6BJ2jM)XNKQ*td z1-Dgow#(|LFfM28sbhV@h3dPkR)4!hHk~Y%D=+rE{wpBD&iEPo{5Kb*`g?&vq^17) zD^x3D?0{Zwnw`5_YjQgtYb~xa9>9~iJ+-cZ%kINx$hgkg^OIlCFJBy;{j=5L*D=Ma zaplSwQS12C%kwv{PQ!W(S%inn`s0soKfiUd@j{G6yKP@Q_^&Zjuxh$9`3qc+Uh})l zb5<ZSq0E4|oa5*m$CUqL=7}MDz)-0#Ob3gM!U}%~ogY<zb|1u4HrBrv`>wr?So(5q zFJXiU?JQ<=!L*wgYg>z(PN!2Blr$q5=2i|mxET&feC%F?CEh<6d%y~zP2;j3et(p# z8fe<^J!)Jb)3PRzdmeOj?Fs_&j}f;Yq?qb*QknrbcR81KLpZxoXBRACsGC@t7eIfy z=i2R&z%F`mkuLKdv@KVxXN^oB`#pRIJ>@qB4#c9_XzVt`PM_EI^6e6SiJ)3jlwCgn z)bw7XC5T0pfejEEKOAvVqwB7&T+e|HZYsOdLdc{O-#QCJ4g&sQTx;HSmWw0^d_WfG zMx`^E+1yvFtxqy4^*f+&vU0i87qVb1V}Vez{t#-!9dX}1ZKRYIufrJu6&B_lJ8~T3 zzNspRI9g78jEcI3^s<PQ4C`Xz#I-#~Vh6GClTUoS674*C7o?}&EdV{T9w1Vx9H2O6 z$Ys+ZFib)C9D{)=1a@`fZ~g@J=b80%JILq5_!Hc(%zX>ohja`6KDhRN<B`+m3uJ4Q zh6lBU8*`X*nBk*6zYMR-mCFZEDjyyVM;}mOP|%Wf;wAP3x@p4pGL!`yS<TrD{Dlcf z{8-_?md13-f5i~u)|vZ{xyr#;c<6)s-eu6-tzcC%X0X9W1cTg2b>$eHT%aN8(!IZE z>{vUE_oK#c4gCWE9`D=$y078c6Jzr6&Tyt~J=TJ+G7)y@TV@*aeaGDf+o?5_-2k~z zueQ})kmts3h=dG<Uv0<7V6zCYLIjRcE7f=F{wNNVR6Sdz5hU2s!oss{ezJ|fi>V^6 z;97}Cd?QQ~>nEQ_`mv<1ulSxjjPSs+MLMbg$cV+qj;e^kBZ8(6?-MF1CWOAkw$MSD z*voqmWY4~YI!f~{>!-$ieH;;hwp)x?K%G#_yprE4V96rwd=Yz-z;{%Oz1i8}?zbP& z#a^_vk#&eewB5#mhi^nMfgBx+pW;VsObcd-=B;4(#yN)&1p9(Q@C(_%0h0Yyj*SUl zo^d;v@+HEk!Ix~-<O9Rt54kWSoqi=$eL?;>NLtrf1IAEdM=Czx#!&=YjU7HF8a(3a z%nc8Q=UyW2+H0l44#o#2Re$_04B2$7`VP0R#xTIGu3xErHPybqgLXr$iymM*pjwk} z>xbCFBWr%c{EfT{L&WL{yu?8>Jj>5%!I=l1ha1=N6o&S-F}G&-2d=Sj+pg(Y*CWQC z-*Lz3;L4s&{U^BD<v{H&{|zkzr6?XdjcEG7_W(jUN(v^UBohQGX75z6cO}eSVC%#P zQQluzZ52mMt{ZLfQB*0ffx&sT3d*P!_flF3cKGIq52||zw=raJOuQEClNm(hxD6Sn z40rDwW68fHBaSj5R+JBDu~ggxDu=&#iKh@n<pAof1-?mB4Liiz83TUMA7q4u@t{zC zycpJ!#F<$H{J1mwA{K|1i$Sv>{FpTRA{L{HC^W<t!aua5JSZ2<LNIhM0**`sm-6%Z z@2Crd@)OLnKe>m1MgVLBym$hSC-LHC&+VWrh9qI8A<TT#vIL2WCos5vv&_3D>Ts+Z zMakBv7E27}$iaw!9tla~`3Vf_WFiP{M<A#?d%KwMyvF0iF8Tw^e0}nNfrR-2ove`9 zQhy*)SwRgRn)%H<K<o0?Uo3!vzk~2JC^Ht%M6W!U*b4wY=fe$DXPqr<ysB}vn0A=& zG8#9A1w=XaoYi-l0alOe9I5(29kHpmcItc*|8c$5O7S6VIKT>76Z+M`TWI@n9WXH6 ziLo@BLfYWrLwwj|_aGj=FktCFUU5lfQ4t)5nGQe_zkouVi$e;{#S{rd+I(gPU1N>g zVbW_%XIW~W8GoO~P3BhMnv_4?W-D^$#$h}ubmJ}`i_nd`%;o>zj}`c8;s1!3pACbW zJ`Urt5*o}P?Uk}8QDNU~un-2sm(G#bwD@!ZFdGK6iw=3>-6(KCu@*;<iy8m6TKJvn zX2v&s?#G~5h2Hq=cUe?F!6ba;qAIGlRW8D=gy+yFoDul<b1kbwFvXBGGcLC?tx>vH zvddU_xNa}7m&T2tuSShc9Ig>l1k%GbVnaV&CX4IeXG8scHq_r|L;Za=)Zb@AeS4z5 z`?I0Mk&j!v9VfgVCwr4U-tdfW8crZv#*EJ(FCBa7SSE1bo?(RQ%nd(WUU=ZHnNx8$ zwB>?#4AyOK+)LquxxQytCc|FF<%tGcnvM&wjFoq5I~G31b1)s=uxIltr@>YOHdu0w z>l&w0=o}!<&seU9msUg9n+tw@wQ=K^JO&oN<<XlL>=X}mGN&CGG-)f%;}$!9_4<!D zC;#*OoN0>EZ^M6sQb5_!=_%tC&)A!1XV2dJ_Uvh81o%2#>>tNO7aE9U^Ofr%UmX)V zYr4Wq<B_Se8Rj%B)5UAO!yb|XMxGPpu}8z6n;TOz(R1Jnx+`$qgP*PxeAt25u~VD5 zw%^y-$sqc3-Yn6BX4HRD;9uUaXz&@vkQkAtSB*()9p9Rhk3iDN0&4y8)bPv$l!-xG z(c5Z|sl5YraG-SAj?&W=);zym?ZQL$0{nd})?&X%LBBCSS-1d$rwD!tejPAX+3)vr z>Yd@v#o(CnU-&-8118qcJ$=?5?jv^58ahP|N%s8f=%Uvv2M^{38jfB+VSFt<C>oHH zYXc?ToZFy}<`BpN_k)}0rNZ}BN!i}<!P3KniKByqv%8a`>?c<Ng~YMMv3)?%lgrg* zrZVPkjTsoii?_>Qm|$6!F8jXjoBAu;^I&X}3E$N+O8BPEZk8Y1{Nlx7vZ>g~;I#Yu zvxE#p5A1n_8>dVx?MdKiMQE}nbtwKZ9?iuS=o{SH9XlGo_-Jn8p!T2f#+%^Sc)TUM z#N`qs7(H>c`!0n#j;=F4x=w=8RRw+OPK%!hz53x2&^H(537`(F|9Q{{K(09}4~9CL zANRH$E~_BZC!y>E6h4<(9?*aUGz37n-@QDXeF_eqO})GeZV4#|D1H$9t2ZGe6(BhY zNNG8FAvEp_p>f}&H7-$uMQgb6>$P>Wa)j$h)h-?=3dsWyHPlsihUM7{$NaNAE7bVV zdldrg3xNK`I3+=8G+RMp5=WU-fT+_Dhj=f|Vs`1?fiC-VWx8Gkm|YSk9>mXKf?F<5 zJ`__36#(~Wz^V@zFuj~Zd|MrGp9ZY?fW;kM)xi#Eu(}U6dDKe<xcfBRZUh&i9Pd62 zI5Tok72kZ(V4-dT#S?qEY(w+23OZFIN8WHCfK?iE8for^`#xUPV9|pPuPQ-cQ+rWe zGBkSFsfr?i;@tM9HNes%PgP)qfKz+vnQm~e2PqJN3<N5*iYRELNyH<Y4x_WODv(0j zMpXgPn#`O~hg1Ma+DJT7?+}luTLU0zEFo;FAA0u94IVNlwjNgiNe(Af8Adm%RfegP zqYBTi68Gg2XFF8@PL4BG1;v@T_pKx}jk1)g3i=Yyr8OO25m$hy(+~qq$t5#9uh_p1 zjJ~998zCGq1Yg^Z$EGGc5qdet^Z7~`NrH|r@{?UsY~kjHy!*-43tEVyCiGzx#$@jz z%Z4H^o*c2Ol?%^+vMfFN*65+4CxV`?l8C29W$AHJL1Bd$ETUd=>*xlkm)}d6q+W|h zb?N>jiQ*k8yGa#;yDd>9zx^ypksgJYW#khmTAGfvyfqzT#>85xELnrCktM%(E=g85 z40p?1fQn=VmuO4-YJY1)QT_x)R4*QVmy*7asHx?cd@d?W*RTv-7pi)oyst<X<<?Mi z^<&9^Om~rVVQJHKGsNsmE@Mp*qpv{><x)|^4B{DyQk}%Rlwp-DJt|F)z4BrNtwj%= z2I=o>@jOT={PA?81o~e+c@pufl!@5xZL+!&+^cx}{N<^Ci!Aofnh734$a*S~@)=1x zDN&ShUN8~AWf>^3NJ)WPOUu#8rha#r$Tk&u6np~2SuN8P6poO#+TL!rtMP7+E)dq* z8gza%8?hhS5&38BbQ_*0oXxk%C1WCn7$3b1tFWbQ#jm!F(3Y5q6raD_kws@UdSSSg z%qEUx{1PE9bM0&I&Tw$Pnb*m%OLi;xkHRiGThr6J@<i!K&Mqj;cyU*zcZTH*-FM3` zI{n+zyYl>R4!?Y<Vpv1UF-dIuqi~GQYxlZcSz>#XHy}l6DX%1P^N+$SI$4jWwac>g zqa0pA>AB(D??DaISr}e6*1>fBkIXN65~A17nT5z1jX~*LkE6t_Ma16ih5h8L2jM~X z)zmyl!tWwINM1DGdmNSu{J&edA!m6g=4pv}pCXslFIvF5Qt5^7mvtrcp?y6$&owG$ zom<SiAKN#T%;NPV(|9u9G$_gh*)nGFiM$_~TeK(NS9Qt!<VeabQM8a>rCigsw6$bX zw1;Vo)THPrXOvhmtAkZiDa?w~sozZfsOZlKsW>}7I)4_$&}t%r<`cJH9zv6|!j#I| zPfrual~y(*_WVg0R`VK!L~YOhlXKG)QN!$H^+-<CWM&!;qar_oy6t8E$%%4;x`C3N zInSXEw{MoDZF`dd<eWW4n@UaMk7TroqRgL44}4mJvQpCk>rqzHC&k%XVl9Ex-ie~c zq^ad51-@5<N3N2f*w@WpHZiKvnlkT`RRl?9vnDzm&_Ih|`}QW^$+aC6OM0or9{EuY zs&28&-Z9;!jr%TehxV&~62z6tD6B^uxzwbu^@*h>^31L%Dx1vY%S=i*fm(WAY3+qA zcssXjVGEV4;05@BoLGrrC1y+}u}Qygyb#9a+b_Sb^)TdYT)K-L^Cl*r8=N~#E}<q@ zDy=aM_!7hb6A$4I7qQvS#9evd^v>s|({S0`o`N>QjJ$<6kNC&E8ZNt@S<CkI%wFcT zfxG@1|9y#@Ci!Y2_zuod!*A2UMiKNU%kfsYC(uO%?)ft@dCND4LCu^t`01hd9CK`n zI~a|Ks!m>7snRm?Ozluv7tZz+ipn>hM9Vc*8(pG4A{QkW&i@oJ@OyPJsx7rI;Ei;$ z=SO8u^*sXx9K!v)4jPY~d7dAYS=IMJ6fujM+4)hqQ*|>(0dF!hIbiOLoBt4ARo<ae z%&g*RoF9ih)i>JE{K=oPiWSFwyw`wzz@1I;pcnAq<mK_{+o#Vi&!3&0`|I6_?kq@l z=6B~>enW+=D-sb5Q}h0SrBlv7s3ca~gp|V}KOO%c$)l>9sz!;;;I9w=g@={VOn6;t zTqJAYafXgb4~UpZk8)cqC)JXMFa8x{rC?)9T8bMlBQVvPPxQk`q6N(bL~=nA;@f2* z#LXSwV;bVrwwFv?b%6<-8$6)f;K!MS@zc_rSl8Bs@q4SX>8ZYSqYDVR>Bu#DiS$%r zXT*6p6tPK5s-b@8VSW&;OVet7$@*iIx?D3<0`ItI{d<5mUXpppa}bwY6-v-QGrb6T zkQIAMAupM@*#z=^8hKsJ)8wHZ_fO7IubraEq~|STR7fT=HbKRJrec7806*b`E6&2~ zSL)1`U^j!g>DBP6Y`<8N7i;b9(Dx}`=(zqE$+`4b3`OQFLQ0Z)-UL!Z8mV3ksmb+C z2BT7=b}fv$3XM_U$B0Ng#qg5M6K?`9mBwq}<Asq`K5nIy#d^4DG;aH`UJSQ0$b?KX zQM(EBbQ-;3(4|zyEQVPr{j?rtT@o{#|BNt;(p6>#H`(^7P2fhFFI`nh+$OV(z{$2k zZ2~vaI_Wrp8Q~UXt_Z$KraCu)8)<WNoPQ*6qxiNx8_=a~fZC1Y7UZ-Du5Hf{bZJAN z_6W=<o^8({^k{>i_T!j^xh;Ze+p`Hh+9s$23N?yr+cOD0+9as^Db)Ow7s0me*@GT! z57Z%v8^yQnS%e;K5wyS}n2r-+RFoa$-gl0-Dci%d2|8%&p#>T`mi(X`JlS5EO<+db z4lU5neAT?fNs(<!*#ug&+0f$dx!ik_?f=*WVzkZB;x4+IgV`1}Gi@`pehf2_p315h z*^Z74pw*`hhBio}MQ9e;evD0^MH>q(?xY9VG=g8-Q^$SURA|F2Vid==r;GcvozUaD zIAj&2i(fm|+&ngCv(gCwO}2J@6XejQMGsW6I40!MnqGEOOxBd`spCFvD0Dr8m6E|~ zd)l~98wtIe!Ai|wwLMkbr)`AZ%V4Etu-cv)?$ZWB?`N>mGgxg=3)99y547+S?**mB zYI{0(K$`}AKaEsYs<x+p2ee_(hiRm;LbW~JJD`n1S4ktK%aga^mKi=5ke8|rONzyT zBF@ZTqK5}aPofO(B(C7IYF)N^cLO=@0d1v%Q#!!8zP!tw>n^?6Fh)+Pal0Nlw3WgG zR1)dUl0?mo#VT62MNm>|T&+h5ZP|KyW{qA+T8a;4(6mzd!1c(XO=7Q4uc|9aQ<;@@ zG4e|JA?uMx+t%KYUI$l}yxda`G;ND&fwszhm0G!0lA2Ph*J9LdQA^O)y-%O7P@X($ zy;+Q)?J0|W+B3izUTSq%0Y(1tOa%)qLb~+rX^nl_xxhJJ9zK<!rf8u|M922j!#?eg z43hhPOLR+#^*0ec+fxtwwAU~gWY&O{psCcdo`|aLsfB&oc^C|dMO*oFr949U_MHD` z?_b**$FYTBxW7L93U~V24Lm(S?3@TyWQGE&i#=7q0NvGd_w<#=BnCW{#2MRxs_DDl z-~LKIO15O{6k?}f_U?*pX=$Cbv?MJp3AuwUHpjUoaF}bK>~+Lf1VDFi_L=2W0QcFG zEb^;9dy=xdo!ABX9|LE}4p4CB0`pAS_*<Hh%xJT%Bvdtoa-Zx`C9(5}|I5ZP2LHEB zu`M-;I^9Q|wj}7Z-J~ROW8@p3kKJZlX{am<iJoCw->3NTj4Ukn<2w`wswQgJZxYqc z=o9A(Y#ig9D|9HXTU|J)6FJ!Kk>?}KEG(Xnbm~1o^oc%oJDGB4=RCz+<DBz!mgj}` ziN19kPEL_IPcb#jbDqxf=A?b1H{FKepy-^ZTr50uo-SKNTV-{c=zh0J^Qv;b@y)Bc zY@2kI<s8wyZtokUxm&_J_LKz*r*B<0FH>at*6$Gq5*Xis#X_qvfnAE}P;dgTPjqBE zJ<J3$?A%;gW>Ja;ZMK-+f@02HD8X>xB#=tKS4R4HN*_y>lpIg#!ex#SJ?Ab)j4r#3 z0@6fA3&mHEB1CTzy})j(mn0r7IuZB*&MDHYqfZakEI)_^hlhd`J<;)tF@eP84a|Cz zxEk4o&Sx;1;R#j?$%HvBC5U7hwO3@SnHWiQ-MY{rO&A#`3@z3cIX*JQzgL-$L|3s3 zozqky6GpD?>|%ipegkavYzEH?0xLW<ZSWB}ti}Us8Ke+zKBk;RGiY}JfdAU}vl#Dk zv64^z1!oPhlYiQww#5LAkUA}MU9t9~Ii0@>{v&wP6n1SfAGosXi}|22Tw_eWQ%^ez z59QHLOB%G`U2f?T9o674CUP{AO}6ECE&p!(p&cG-`sQtuLO0`iOQhQKCx$?zK#8v6 zmI85gIXFz3J=&=S?9&TR=;NO>Eks8{L4{zNqKC|%`z&dT7Kq5rX<{Tna8#9Wa1?RK z4z;BYyxnBrJvuqL8lHSO3bGLYa-9iNYtdpKxfwxRS`LoKW)G|4p6Rj~q30NJ{PyP@ z7bt!;FBixMWP>BQ<O8zL#RUW7!tu@3`{A3T>(k-o%~g&Uii{BXP;PJxn0_etIe5{+ zcyaXpri34q=?FJJh|_}L=yBme;HC4Uo$DbVkIrr`&aY1YK{FbZEqZR25Iy|hxHIkW z@$+<-4&Ghh4*K=SAz;Wa(V&fTgO-t*n;XO><=}`l{lN2caHE^&#xK{WZ+^MDz8PMh z=J`Q6roqh*@=Rf$d;mN;{_-6B=oRqe_<hcR&{9Wp^MmYL?_-C~vj@AMgCF~Oen8vt z;pqDBMEy`S*B~Dz500<X50gI!Kl%myAnAu9UzB`+JvcH?JHY-d*0k5m^W*gV=*|06 zqIM{9NXduhgJb%%L-WtW4V}sjl5Qw+OvwlJgVX@jgZj_H4I|Hu%d4}%D<dg~BHxsp z93V(XKur$tEbM5}*+J3{MXo71AwiG=fu4}yIoQ!Avg6|F?B<_D^-$!Ol9M0=X&2~8 z5T1h{1iv)l2SGn*CtkSuLH11d`^aHm%!WSGw6=$jw5L~BDHlj9MI8AbTD0Rd+`J=u zvHjR<BP4rx7T)dS<Ivgp&FRlqM>l5|=cEM^Ly^x$PB0Oqv>+y!crH!a#|<x-_P&2l znf%huC2_NY?7sHVrNhih!1J)8lUom<uLKy1yftznjUaUgEs@6aFr$}WM=junDsPSK zyY|tvB53lCXX_>X95+rb&WUckp~zDsCkzQvln@h!JQpka`33fX6{JyEk(WkJQWB&^ zp(H7JCRXH^*pH5H&VD89g(4S?oERlY#X?Dp@=VMyXv`pJ2JLJiH#5loY9IB_vZmr$ zn34C-5|tqNXZ$%~TC`)0+$<!zxIxMpQUaJ~W1-%}73%Wz>g@8}@a!a)h$cu2ql6XY z+|12<E}Z5wv!Y93MK(=Ii*`7an-%0t&&_-S9{rhF(W9^;n;a!bfTM&J<aE)^eAXZ1 znOU(<VMR72ON(~6mYWqsXVqxtQw_DAnH7BsE3(Ojf>b|BSV8nujU*#9o=NEWMxNlB zRFoCRr(i-yG!=@xGjjSOqnXR8q(3(&bTTLMmO_zhM$VaJG;@iZjOXTrLFPoxP_z~K zW#mLnMl+W^s`cEQXpuQVPZ+g~_|u}p4JB!*mVwUdsOHYGwG6xv^;cyGh|`iF-&ZF4 zmUbB2hN&hfRM!u}xKPokHR2TuA=qucfL-=$PEzi76opTalZ6H8$%x6qRwV=OOC_F9 z5{f2N<YZ??a_l~z>@1eW^9zIjI*6r;V_W;b|2JU8|1F0}x%aiBw<#CDB9c}#Ga%=I z3o_3Y<$;UDT@K$Mo&X3Y#-oXwfDd5)-~Y=3kf=Vv^b*GFX8^Jq2v+H$I-^Y0Tdb-s za=%E}!1gw#JgSCE@(kNBinHu7D^ES7B6534Bo$OBT~(tfd9H7?i&6!$_vb#j`@x)C zwim`Gg~wypvIp|txI*fGT}6w1<h+SNrpAK2iR`l8C(9am0lss8zuux2xhRGxYenl; z<dl*@63e2LlIvw_h3=st*;+*dAUPjqkbyIw50hOKI<eou5yhLBj~3h=TYi_@2p4u( zJbKCv&7J*D?uO>2s1NI0f#V8@XpWG0^Q)L=a@-yiqZ{CgsXFa)MQ&9muMEQ7OhU@k zdYBz%LGWLH6bS^AgsPE%yhzn*Q<J^2kN9F8x#D7G9$h$ZAR-JbwA&@QWlUZQYjq1! z-@ZthZ4ltTIVq8Ib=KR9GZ;S;Qe0`aTC|%Jxw{5g$y)tfN@R3*>Q4s1BsGI)_vTNK z-GL_b4myAQMGdItA79BCAoy?aXwj}P<mN4TH4tW8CMHFWcK(#`qop6{KP@-64>`m1 zPsUHiAH||5&F<WyU2(`Q0rHYsJHH~F%HXh8%kCRm+23;3<;&Xrt0FyKO?s-F>VmN= zHQj}O2f#Q!GtPg))GJ@Vz6$)6T&J5@rmNZ0sWrAu-<o_W%KlWEO+42jCr{=VFaG$q zEyY_7cw>&s-t&2ON@%UH^p_^F8Mui6Rd_gBxGpFhc3|M_J~)L<V!cYVw-Rz`iSx1C z&Pf0l%XlE(9%FVYHNw%!^MXEQFHn*+23xwlk?p;=Fg;z|#(_4^GCavAyOA|5e;?eX z*s=8b7M57;Cgpt#%#y<Smeyn%p-KV$IPqUCH-3s>gO#9ur~t-Ky0%Ra7b@y>hTTr{ zV6iE+;3W*dD<JF-Et--ie=KXM9D@zBk0fUEmGB_rcl|X;-d5s~kpGxnoC794a}2k2 z<#SUFp8-F_`CN-NA*slUEaO?2LY`;CleEaNo}aNW0jCV(9fM->)R{Y$_#LJxl#2c! zY(AJ(dv%NsyUG8rLfqaiVK&`{RG81N_-*BbGZ~|V;y#Tv8<Xd<=%+l;0ymdoYRq+E zR9jVs7V^za!F^OkH#adYCyJU+Rs-50>szZ$#y#ANuk-TJHm`kTwU8p5RBPN;!p>KP z2C%TRlCCCGv4+JLw<ob;@v^=ov%Vyd5w9+*OI@NZNG_1X;!>-#wv@Xq?`36aO;?uE zL?3JlEp*SK8vkElS&7g7zpQwy`-+EnBzxu7JIDh|r%gQZ@Qti?EM>i8MU1ZeYKQ9e zj@9tFe6{15*E{mA7sCXK>84^86DqF5F)thTE1FOVrID`7jH*YTQMHK2zp68(%3q)T zGN)S8IaRyIIj0i3d3|M*Dy}i0mUAiwuZv=aI-NGv4k?PR;PzJh`^lQm>tv3tR&HXq zGR3O&)NTnAyOlAz@>4st6T21h`4wTnmYbRpTsXG5S#UC&y7{asF-f0%SQX_nc38ej zo6q}X9qCeVf7yIi%E@nX^BJC-vs^b`JJFnlKVSINUaBg6NuPX>8K$;EvL|r$O^(b7 zDDKaHg)%{@m*{S>8lOHfSh@pmHA*Ft&gX(ZRko)o>FKbV1rZvRT7@Re5K~Mi(qGYU zCAVCYbSfn&Pfm!_?}5q4FrL%^9WR#qvXLAKT5$h%xVoFSE<IJ&g?xm*vyUgN;4v?3 z(j^}yjgtqk8d+7@BKqW6E4*$yn=Jx8HeCTv$S}y`cfX%QJwdznj?hv(ArVflg(D>7 z35CfYSo`U|qJ!Uka%Y0~pyxAwq&>9?{gj-=v<p*q=TMK(e(9&GIQdQX(`QO>lFhnu zXHVukcN1s+%!$q^mMWiog?)b;`#iJmt?|9ZaaNtUW(~9y`~>}AR=n4Uy`MWCU)#hf z9=w(JVKn&^G|aFM8D)wgtcxXHEYr3l6C(}}!_c&CWMiz)d5pE+Bh6ZjZa2w#lk80% zYHiRO_#-a+f%yZz+blyqN51@YcR?|+43>|azHPso%NUfs<g08gG*+Xcwa>CFJ}-T> zEM@@BJhZYN+u=QUu9KZ^cqMc0f`CYs|7;mM`pznHAnh1CMx2yo`BsDXo(CS=A;5M9 z3qsEE4byHB^J4MZ5nRO?c2Z~wsa}i;O8`=umuhw9MCUap<hj9qKbIH`H7L*R&_ACI z;lH7T%Zi9_o579{v%9sDGf?^LZrqk6qu&g+L?U(8i!sTa%3hsCF?cPCK)R>3H!FhI z;c(d&VZJjM7_n?eLRKcL_zb3|AOpdRv0*Vbne+0iGd3+=V?)ja0B>TaCjwY^V<UKd z5|^<N<~xJ2A*T3f>m?~Zgp5sA@fnPbU@C<dGm|-awK^ly<~1_hDF)Ww#0Xsm#${jx zdCss3EONeH$hhF@E_BH7#mexky<?E>P}eQmwr$(CZQI&y+qP}nwr%dVZM%E7Zol7K zr|O*h@1iPID=W`jN#?3NNyeBnV`^jaguA<#mJV5&zRhjd^yDCRMZ`|chdcUcmdyss z$cmkg1fA&1z!XIY3U{lU8FzNGh2nJY&`0a)I}sCmf)b^rAf9xcRV44tOD|NQC~^l; z`UVt0x)yDZ@L(Ul7#T{@$Y5#raQzBl#1IqGAz*EP={$LrFV_#4ovrJ<nmdLh+W)2Y zv?S#~N#buA+;l@siR{RNZ1#I`qUuigq&F=Zwk9ZpS2JUO;r4b7ax}}|S%P!}<10Jf z>q<=?bG}QW@uVQ-cogDD9<<_Bn2FrxD^{7fCu9!SSVbVvqWMjs+seqBT(3A9hGh)= z8IfTO9w!19Rx_*om+iqxS*B|qrn}<1GVG_zkd}h7-+I>2vZsP`yp}0(MOV2y2*wNy zp~v>`hj361L|2;_3pd=8v8d1#g}beZNf--<_<*VSh=8MKy%<+q%S)Tek(ND;71gBU z?0s<Wjp*6CAY5|9MR&5?D8G36Vp1-i6nwmv#z}_$Rc6hb0a%BE{I)B!5W7=5T{_-$ zAK=6c%K*WqJv3D6jYq*g6p9lb1*eBx;fuiySKo_;99sGj_j)A|vCjDV98ku7dSM)B zY33%P%@$ds_{=q1Eo!>ZJ%f`20fAuW0aur`NDG{cQ+j~;+_1%SWFn0CiKOH~W`Ncx zJ#FWDi1Ww15kq^xyK60p5}%Wcq)ouiwUC%9o<_P6wl;R=4145Cu2Z8b%aalYD{k8= zo`jz{KZ{LU#*TKiBdW6%-L1&%Mb7m}ox+0`@1eh6UZ?Lylo!hZr2p;Bj2a8|M@R;6 zc!@|;JI0s3u4YN?`)cA)5Yajxk7VF`htK?5aLinqy*cMNQZLMbq~NN~F4k5qX~<>s zVawoV+ZnEW9@gjw{xekPr>yxwb-0XXcZjFq<|<<(W4wA(E!kFKRnj|R4t<PsEFH{# z<w)g-L>S*d$7i@<g2dUuag!DKbO+CZc@J3>*E9Q6MqE)1xkqwm{St5oBx)M6ahm3U zL1CIQ&E?>l<IC2_MEEoO=Fgzh>c2RcG1QWtzgb7P2|Ij)4*r%bU^PaqwJN`=QB2b7 z1Y|2W;?j|b@{xb8B%w4HV5IF5(OnF=(wenNm42b2j84ntt+*~{0+Zylc$ysxx{TzY z3GCv?aN8;JWz$eXRRNDuV!P*<<0k0s4DL}Ch}XSpAm*#0x7s|Je#3%v?b<kQSDJYg zNA_6{M-L}VjfrhsQh`mO;qrc}$lyHr;!6%DPjz8v%kZ-pZYA`Wo}=nfqMh$L`ECSX zrbJY#x65R2Y-<`Gu#z;jM<g8jFI`2Que!~e*PV<6-16-6x5w_mxw6|QENLEYUFiy$ zUPwWJa-HMqm1#y)FvC)klna<k#zV+l8?eTtsu^FKltY=)A|y?yk@jM&70_Wc@k#;d zDS=jzzZGo2+kc?{5lNCw$j7-~jjhpn9Ibp;tOvMt#eITAJKq=Ff^$nQtv9AhnvMU< zww1DFV}mp+m+yjN*h~9?B@tHMkAFAah-yNuEKUos#kE#?$H!(=&A_(f<<rnSF3d)= zG_h%lB}PYDc0F|*l036UN4ir=F0o8+#<f;eIF;`KaLJT>RY|&)^Z~KibZh;T7+T|+ zDZzoMQJgRF@6{h{+GIRw;Ukyk6W>-s&4B0eDe)QK)&e09hsFfsEa7aX+G%^F83gr= z{HZ8e1XV|Qf`VueA0SLpl!XbOC7nR2KW<hJNR;*fve_~(hm4lxhY=NN=Ycw1a7?fc zG_6%kDLm4BNcSM#4n0V)4q@GlB52dw48G)=9`cJ%%r<;U;!yd;gnRBoJ*vqpE+%9J zVHY<6EQ!=ys&xQi^YHGoD-8~k?r-o$r1m8GjL366R6Q*JFk6KvY9-^HIKs~KoB5yf zQoHhm<&Zv;?v<-=^*KQZ8%^|y4FN*W{4`&{vXlZKZj5Qf$*MY>0vBi)y&iD3(AWP$ zYW|?Vh>5(|_VT_b<}AbtPNYsynkRhG*a}8j>-cyDlF4fZk)$?rQitWgvp=?vO!ry6 zUPESdb0Lr*lF-A~M`@VgC#jDTVW;lq#=qOmpTswg<I%OHi?Uhu@p3|MZBG-LzrbD{ z%Zh0II|1%4v4Hq_L{DiLz;OZ$h<S!`2yV>8AYq@VCVRLGcKYZOf+?W!2HW3y50S^q zwI3t%_9BbwM#BMdHCqkj#F)b`yN7km<tPPPSm_{jfLn0_tGx3B>RzDS&DP<pqcu&V z@$-jkv+gKz?BzXw&~u$4)PI>ThJxLhy1V5NE=?VSEy}S!q@Usqh4jf$prn_to2A=@ zos$zfa9<lm|7n4<wz@rCUpe}Nt<SKjRbP{5mNh%Y)@$DFVxV1?VU{)U+nFD1G04g_ z(~e5B(Q!z$8fZ})Y&rau$PuN%*3UlGVyMY6#;VgjjMCJFE_HE~Ic%}?zHhS{Xts^D zz|0B_Q4Od(F**?Epb2<N4dA#L&US2KMA{t5m?MgnA^zhHb0L>=Z)CJU2=d8%+9tf( zS!M<a53c}S65BmW(vnWtXbI!{IX8H(zn?eg7VqVs-P2(O=q!fTt!i+$0e@ov4Vc%r zAT~S9ePyx7`^mO49pS^zZ5>>Ky^+NGf)9u7`Qi6lh^_({*@aU+A7I#F^|4Q;dRu!8 zSNmqalowdJeMVh!U?f{(TJuejQ^6j^(cmCDko0<J27J>|*6rzzlmI?CQ0hACrso)+ zEwq=}PGq_tnhossz)a%hlGjg%_E*>}9WpYK4b1L3;#f5~o06MzF+3YykiU{j5RY3> zPh_68e>+<xg&;47fS>4W^odV3CA$k#96WP`*PIbsPym&9^7Rzl%S&EfzN-xyJ^e6( z6Z<wc`+TPe@P5aup6t=kZp{+R<g+E*R8FB^E)boP{Uv{W7kU)r&W=UQzrhN`)Up@M zf17^V>$3j7@1)2Bx7+$n|70)3&gbRz8+IpD6fki2_R4xo^mD~@@6rA@Fll4uXSo2d zYTo^~xAf1AHO7P}-IIAI7QlX{u$Sv80RG-<%r5q7OdreL0^5s*C)iuEw{CQqX(Dj- zGI{T9>ws0W^jz0Ic)FB32hPDY%lDhK!w0S9$2D2U7hKI3gBb%q6fPGO*u76*hG+r4 zZ#poV1`vkxIY#q1#u*C?kJaF=Z`_V=)>f~em45K2kLM$<<0GxsqkQeP_9w>EIfnBD z#*wFBv>-#vzNkT;q<Fr#7{Mwm5}H6=Ha>99Kge7T!FpmpvciMN0-@V@+?==Gw9ELK zJ77G3*%*m5Ip;3@@uWRwSmJi@!I&w&XJnXD`hL>S=zXJL{d!`6PmJet*^3d3bCqZV zf#g?^AZRrEt}OI2-=v*##poo(aAh*zOngSq*T9A@(eM^1#Hk&b*_d=eyAIuGjV=Vn zPdQ`TX00bz?h$hrsD()#|H&BjtR4F{jJoc_<N@d|#sqA}PWXiqjG1isfb?<(rf6)) zPT~d9E~V`+AQBO4*Ss4U=ZRjGws93NAr2IcQQ!S`MBh@vAU)KfC}f^sDcqVTHAJO- zGc2Vy7wAuOR1C2#L;}Cj`HK+!`H!(T#6mB8T@x7JcG%c|C6DDgc0%Cm1QiVcbf7t% z@*^cFx9f~_$n3ZiZw&>nH+o62vTa!-Vyi>)2AyO<a6M(O&Qx3<Gucd+h;H7e-*~xP zkjf6w1R{B&PcWS)PZebIFN4Mmg%IaYnxb{GqUAa08qo+(C*shnEdt=sVzdVFcsPLT z=TCpa^up-6x?N;cER2ANNe(nFxwe=6-}|FriV_Z&eBVH)2<iNhwx|4GT~P{?7s-~U z`sok%#H+DkQTBfjZR!B#;hwV=?9ddgj?|5wT;C{lAOJ!@@6J(KG&q#7-a{alRw4|A z-N<0Jc8UDR;NvZnjC`X#pWqg$awA(El^6D0$U=eu#GbzYTB30w?wfIm6>$S5JfA^r zTmIHTzhlP4?O5;JDWW%R&J6KH@g*Zpk@g_)$LQ&5$qX5#`;<Y~dpYX^uzgZZQ)`+} zg6CHzq3oC}cs*k)C_&enzH<W9I`i=H9ez1aicYOXCr)+IvIrL>PsL~a$5K>+PG=XI z2aO;M-wRD3rtfEgDnF&wVSx$D$QX;N@qTdJqh{+tAEe<Kr~^;~q+Exd<(Xr9!CW>A zI#0#$?%6Q03N7P%!q5t!2dbt|-};Yh`@#&~9(qsJ;OW_@sBSUF_eP@$zz7uW_s2KS zh!OEPA!q_MgU1-7EW3FdU+3Qv$~l3c*Qtuu3b37mGdO=y1*-b9u|`go^0Snmva12) zKvSFDl?zigllyUTFjq?rNW1=^U@H}^nY|SMo<i|R)4iH4TWk?3zCB8RMSnQfh}cp- zw&IgQ@$XQuX7`Bv6m6n@2dOw{C<9dk-Wa1xi}@OI-(dxSDxk%6Dz=;&qeaR=k|KkN zuI1kzZRfejY#(I4iUFJUh-s53d)D|m6JRn_wdsAV1$CRTlu(4<^OQMS`m|cZg!O_f znIoALKnal3@1D|VJ3B;XvHvrcR(oWnQM4`fToVs48p`@l)tXxCSt}DS1+l+kK#n!) z<^<ZdTxppC2m@7p!nG0hdg<o)Q#d%k7--a9&B0lgn&A`d6LlVlD;R8#Km+$k6n4(w z_JGN?3``A>?gh%`jht;qCQ=x2aJphW#u8<#Y|hrGlp;echAXJBUYSM@7w0oo<T&C` zt-yQNNasq)g%%%;I80lhuL2J6B2`R)ps~|%JoOpu_Q66~)+QY#)I8!~t?E2!=ZI7q zymEwV=ig|kKn_&rV7&(QMdOHm1mQ}7AFmOktK(q_$O1&+YJqpJ5u_{B7%6v*7z|5L z;Wyugp6xQ*cX8m8tEPUDGTnU5kCE<;vP=!@eiY#<fwq4mk9FQ#+<$(1(Y4yw2QkZR zyrXF>29bv1ZPnKYq?@j`6Rr0BD1)`a|LLcMx!N8V81$nI#q7XuTniba`I_SXrji!D zhPpQ3FVVdO{mN(kdaGNwwrYOr6Z7d$8LBKOeujFuG_@~+!4MLEfp+G1@4s$}$1s9G zt?+#iM0))>fOPR|rxoD%90i)e|C5Bt@SZ@)v8PzAn$xXz%$kFpho@`1eUMUOYx{>1 zE-H(*v@P{=OB6ANNCQ=56qTON#VJZU!`+RM4iyLS0tG+o;;5M$Qe@;I3yMHgy~Or@ zKf?C=P6UoJA>6%)fT38s8*P534)o|<WYpp?P90kj;rgxq-O|`jesdkOUj%tzLHq6! zFn1jm{@&JHkt}S$%FF)Izzd7V!0XO)4o?1a@Cal7mv?6JvC8(1-0^E3-RO8MKi8FA zh2Pi?a+PMz3{6N43JYa7V8#Zdp#{QO_PgX-#F>xT-tL+H$+&SoJv%cW%e~F<=GX{e z@v9fF1;z^<o1J#cnHY9_4HO~a{>2ml6a1OnwoW@+7Fe~cj4$2bi!GyG|3Ot5Nj>p) z3{Y;j3ywB-p7hjFG_VU3X30Sl4tJ)HeptZ48GWG)EeXuPdw{1by9@aMEt~X$jmQO$ z>-+oxL@Z#LXndAE+iFhgyRdf7Tl1|380B^|2GaQj2v1AR<h@P^D`zd4enZ&iFtNDv z_dM5Y+3Abor%AXtfxA1RqR99mZr2~+?x7#9@&0L?n!eIpx_FMS1A(%*Z2vIaoJs=B zZFBC?-{^z+*4}Q8?MD0x)&j^`BU4<DJR&#!b-OohgZ#So>X3Qb3jMNVd)6;8ch9fY zs*2jWwp;IYmF-%%b8eeUjd;TSpyzXRWIt>_oy+81g0yaIzj4-hK6bOBlKd?FEPvuk zExdl}z^do0A-c+6er^I7k5_PfI^A+ctiA7sHuvC9EU-9{$9UfelXs|X4lhR${Q)e+ zDT|sTct22p{NG7jM_0xnhfLuNsEE<MTLoHB=6eg=V)bmim)UG%ce?qvdHs+Fvohk^ z1lS59AooDkJ^`>C6nJQToP^2&HsP$c102jwXR|kw=)rS2A6<D2o^JL2KzKi%V0;d= z-KBT)cJV_rDuqn&!wqiX*BP112Mlnx|9<{-lw<a@$~7PJeyR>KX=YFvxbH0@fXOrq z?J#>63U_Dzx{V2tf{L0vt-jU|EZ_{304l6akj||k(PWlsCRirAnaRoL&C_Rl>$?LM zW9P56ppbP0wNM?w+G6VKzx{l?@P6Zv41XoBg6|(_uK$C72QJ_*2)g2bXU1KBY@_i? zQ6W(or36@lI4B%I>JLQ1X9D>AQG-^UjI0D?Y76{B9HatpNdjNBe)Xyx=<f+UGqd0% zlpm&sYIkqbx^d6Q5M9%(m8e3xd7>?p^)%^T<u|8p-2&tiLFL=n%L7<Ket$L;CbMme zj_#SRN*iXU6IVjDb8w6pFm20T!^W=bWckjSfk&!}X=S!C6NU0k$f|2)cEd$H^@yVm z{GEDedJYGmd+LH~DGoLMsB2*DxTbIAab=;(OuM7NC8~5Cb*inrC+bT?=q-$dQmGLf zDK1&_5Gh##vDp+wlQ1?$QPe|#k6y3P4|3XpvTO<-zrVabq8dBWJZax4IJg%{Qf5S; zN#h7Wa0>x&B7zssQUW2+`kYn0rA4b^EBR-)ll-Zvoew@71`M6^>E0Gg75s{rX)kct zUkGCTrS736fL@?hRxL;bx;@|IuHAEPSd3vT?}#y+ig|UeHK*d^g|-<xxD(`{?g5CR zGS}k0GlTW7$ni7U<NJ{`^RA~#9=He^a86ODT))8SkigvL75w^z$i({#3xDTmO2(xb zso#(ax}|LCm&CvKZ+$2t>2FNr*M4{L{O{<Lcfn`tDeFn_N+g!sP|e4|f9tL5OK?l1 zXIrpMvmwt-Huw!ItY(_}cN7(~fP|ahpjI|v3`bi>VP8f7>nn8{)X3M{vO8;O0^`j; z2{9k?B0GL2Ctt`BkNB9E8=PS;6cy7t{F`i9OL-DZKjSoyW!=Mm-ziG0+{!TIk$qS* zrM27I%;}9x=6qlTaK!zG6qngUn2^p$kp}5}3PqbCb|K|B+wltfAjma6M3;W+DkGCC z=}@ab&P9v1ZcLYc*R_V-)jzyr_%e4`xAGtv6#;R4t$bGdrYiMPKmP`p#_WklUdUGf zD4#s(y<6_NG&CG*CJYa;OsAjmXr}DU2Udr~K(>W@WojC10tbm#8%^(Pr8>X2AY35^ z)uIwtEJZb~4C|P0zlJj2&3ChU4{zvrrg(wA7IvR=UT2ez@v?GTTE3*9CpUHwP?np8 zZLFY|Hen^Y+c!6|xqe#6k)51AK8A38v_J00U_ZOq-Lko-wzv35{KBH-O6_*#s%LDj z?-Yp998dBe6$N=T+rEvTPzT&Bzp*tsh1(<dHO-j)s7sc!=loi9=3GrVe6B}ulrRuK z4&w&q5N7ujtcvDss2LdZSt6y4FV@w5gQ$E2AhfW8;`N5hLTmZ=a)e~K3S1OCt=m@A z6z?Dk_PMTy(NkUi74XbXmL=BzR{PkDhnxE>?-aS`*<RUN)Gd6C<{kndpK>z531&y- zH#hPKl?<JBju3i7YCda0`}{3*`dh}fxyN!m``CZhVpfIr?cjN$y{){_o-+9bgzc{y z;IrzkR(DL?jAm}W!qi{n`3GFDx@hely1vF-!5Vo%Gp_^SyK=d?wqUpiXKQ-B>~?K> zH|ojsD4<#`#Z<1T&E+?Z2nRF3&zt>L+1@C3U{}@w7uns|2xCl8SYw2+JKp5EO5RrE zF{fuRyl0AkBTSYu?Q*@iG3|;{m)yt(KuubwZZ}1;a>_v$kXK2}_*`y+a@Gb}dtb2h zuWU3q*#lN*P$4&n-M+<;KWSUN0DY<yE}v7NF!bmQ-8%jCFX#+QGZ*5>1NcDTR%WN+ zhq+nBa>n*5w6p~T|HS;;*<%K>v=H2A1hyQE?RB6JcAyYm(J&f*H&F^~{V}%Jgg&SR z4etVj6QT|8LL|5W<A9zn8W)Dvj|QBRsBnKs*w}x_2-D*N8@O9PHN1o$udeV<;x|aD zDq=eM;^=xlsT&Mmi{}?7Pb*@e^LQ(V&+3XJEZS4`HH7W}N<dEb!WW;gweH5-j6(zu z!q^JljGqvjp7y=<!!jIZHF-1Ez>7~}!1fI*SdE$>5kQOTBU4$k3xLXSziOI#HM4tR zYB$XzywQMgXB1k94uZ^KdEj7ncqa@JjxC7KNoap)*sgm8h@w2m&ScJqp;JtpXj2KF zsPdeE7xY3cjLH<}q#$ER7ynps0I*r?9<Gl|7_WCrNzn9WBMjPZiUPC1H%5)JZ!{jw zvNM`?AL2JvcxJ7tT3%jMy)ZA#`wiHA%ATDt_Swdk&g{Rv_+sdAa6I)+i+Qk;rbMu$ zK2<PHO7mN}Uj540M8O-Fcb}hiB>kaX&1_C2{-bPWE!)kT{tfw=CUMvF8o`4_q8Sd` zfH3RbiIG|4)ksHzk4P%9Q`7T=D5XyHQtlId?HGs`BQ89b86!MXFvX)yNBn(tYA1yJ zAW|noX|H1Ls8~#89jR1Kwws@*A|^TxMO1@lKTp|2WS$XinV;7SInocQm!VZ`9&b`0 zKst#USP8eA&ZHuQ`VdJ_0=ADzBN_yz2c!u6IkZtFn7Wlx4j3t-2bE|kkYiA)-*oW9 zMwUWRQHAWqln|XKGIAZmAL54RDZH8i7xX|fkTgX~CGf3-`6oPW>@2)iAeltPygM1y zE_uihV@p$$WLIV&+n;%tns|Tk=H`8NdSfB$-yN-t5W>#0qie_O$>08eeSE6}^7B7g zl0Rh%a`WXlW@_b17<4N46I9DzTdtALl%KiE1$WQv#hmd5frG=|8U?umD2;^)%aLbz z8|Md33AXtqj#z=dpP^4Aq*?j29P(=A%#=hX36hs_tS(#hL-Y56U{lmf#6suYe27pr zJ$j<KRsvl%9$tU0_7p0b?&x+KTt7rrYHk`Wk242GtxiNMW&9S>^Eosr;K-BD%^6|r z{2Rsm1u2`i!1c<Dz`bIFpm)RjF=uBQ(!kMrPC_}}QFc6H2cq;Jgrd5vUtsBRZllu1 zs5~eEX4*<Q4F<T`H2CZ%4l5DhzG<vph`!3!JNBGr>q&i%uv<(Jzo>Cw0l_c>;!y*Z zOQDQYnL~~on!Od0m5h|0WKNv)BB)U%n}A656uaR<1;q$<8<D`B4jbR0l_<*Q$J<)e zt$>z;C!j|YP^mlv!4-8HBM-S5yj&7ihDjZ%kp135pGZkE@@XjP)ygRekvtG7=MgtE z(#|9l0*i?U!t5l(DX7gT$<?X|0V2Bni&;!&nLekXL-XF*Isd1n5mzf=a{7D6tj_u= zAP}|boG7iD-K?PJ@YilP@nBdb2?hLkoC=kEG>C#ub41gfj&TS0Cs#S`&gBmaDSZ#d z04fw*Vf=AMbh}uR{8BydCpTH`lZ)s`2vIwc!w7|m2N`i~gG*OCJe?*nt`14cz;LKv zW%OC9Rgz*MvsU8qSR@OmXb=gNDi$(F3PW2fx1%YumB{roX-YYlD8)yiNeN7)|H|>5 zQDSYh-p+gH!p=`M{EUO(l6WghsmFR;iXyAdw+D+li9HS?6Z#(!sFTVNLY{LsndtM& zNeKInRw6QvB613RwPHfX-{{G>IjG@JRxwV8QF<)G>m+pnRXYr%d#yw=rVK^2PMi&< zsv(HcByO1Dd^6YYb$R-qP_8Eqak~&`=&vs?g^iXfY!Ppie>ck!6g?8w@}aEk1CJw@ zIr@Xf2hl^+^5-r3F;#O8i9G&@0WVfoPEy8JsT?6d13l^`Yv@Hp2_-Gwaz58+ygBH( zjQ|H$6`~WhQ$w5jLK2XzpQrWfXJTe-HWhCBR!hvLlju`f%Ol{ZbD)W7Af%I13(T3> zo5c%p5)$oF(TKrJp+YeY1dR(>7?!q%QBAf>=*5@ER90dr<ET>(|IZP5l-~(UIA9=X z1(o(KK>-k1-CGbjDUm#8d&=vw|Ix{;;>S*R^x0Q<!MkJ1gxt%csvgiJQY#swz#{z& z54(FixH$dW3_JPX^58r;k)TBbNqnoKNl;5tFhN7gW(@qkcVO8_k!cI;_tuw#{lOJ^ z0>5}o2fRB8o}90&w#FLLc9Z2yB>jA|0to!2g_J%Sf)>WfJ+?ZKG+8D1yt69(<NLT= z!sExKMU`lCt(Ab7uB_B>1Jia(sYHtF<X{1S+DSBq^CWnCdLh-cAFpo5VO6WwcZc%p z3QoIHIa_ciQ`nA_6zK{5s!i-5>BT*~lEmHP#73H^`5+OQl0>-%kJ%Rx>E5{qj~6EH zu09XavcBNOhaRIAy~)SZ!np*#g-KI>%*sFP(a9JeNQU!tcbg=R-YMC3gem%Rv$J*M zNiltdL@;_P60VS<)rp$(U4mVdj5>QcN+&7bLZPYLRp})~De9zD@;#EhkOn(@OC_Lu z1x7&fE=h_Ic9$W}{&5**CYzU^(0v9+mD!%qjk>E6NloK^Qj(Rv51X?Nk#rBw^k2P7 z7_6_RBxCXYs)*V*11EeN#OZ+Zz{CwfLz1U<xxM3gPt3}}GH|p07Zy+aN|}2z=4M7v z9eLbe{qrx1N1d~DvlP-fz(skAut6s~c!LQ;;Fq@e`gA?hdJUd$y>ipJOj@PaihD3p z)xt0MwU1g!YRSc!j_@67?kN<^=5VBj44j6~DOOBST`FfJTE|r5$d7{?E43rCOzYlP z;#GiE?B0`<x<=>@uT1kETZR|zMWSkFGLCvM5*=j|Bc#qnH<n)c*N&dR!uJ_!;k7Z- zYa<)?UQa|DHd938v0xkNIv1H8@kU*{-xSG-Qnh%$9`&lkc}v>G>+&UQEwB4%C$Foo z>y3fuV~hE|6XzY-$@fs2_{FEOlSSll&k&sFgCM0P&Ou(-&AuD_uyaRk_mYlW+xNCA z5%Nf0Kvgi(Flf5q<(eak*x&JtL_W*$g!24X&w}2DU!8m=0bet#C=gG&W)TCYWV>x} zxaar9N7neR6m1w68o_M~17|g`y$xu%XGCa~N@lABz#;9IGYyI8LL<1rax$fs*@^*h zV27ENyB16E7eX@?iCyb@^Y~+g*WK%6%6C+L2xR8m2l)d|6AIg1zUp<Sjk;_%Iek`c zPW%Exy^+V`8rbcQ2W8Jru1Y#?Mm`<~(aG2&uS$AqJ1Qryg63Q}VhsYQ8cngAhktd= z%gcMcL#Jb)PJUwD&Fxs~6$du#bvuwb9oA!5g&BXpN|ebd2p9yem#p;_W*~>!y**Ea z4R5B%?ymwno3v=L6j~~ZAE!I@RKv(N6nM+8WqiHmvGi11dI^4gBe*#qU20f-T>lQe z!PRQcAb{}Bnq3Z5#R=@BHeY$dja97B^;Kw5I1Tj^;Viy5C|=VB-ClOvO0e1tYjZi# z;<KAMkeVpD^?xuY06R5@@1<q9S;$WR&b%9eW43liOp6lqz>xg}u4$RzQxG0$C6@@@ z@M+eT42$9%*wwMZPgXGf0)B+ff_|0wUg?ic?XRL=8i~^z+4ivf+P1-dTnF^Y-EBpG z*q-II*}49A$^`k-%DHbbbCQALO&fsMh4s4Yeldq{8P>9+>)pxV@yf5RZ+AU{+xMv6 zJfN@Ig8LUa48Yy}2W-uYt@EAXX)w;41*T!wk-uCeooFQujk4lkcY{woU~Any*CV#< z5$cz&tg#91Cs=7Vt(l0TSsZ!9<bQ-*dlIkaeqb>Gy+RvA!(;zg@nx<KNY4+Zaq1*B z^L=>zfYl8(=6eATvf%}o&v^aVoAPbEmfp5^8NfKj-2`m^e??^ArB-la!Uno*Z7oB> zF&g6;L2weF_a@u_;uh3Fb}QcP#y}zFWLKMJO~2KosyOq49EO068RYc67{gG+hQHs8 z@0f#`IhHmkoHzgO;ZwvM{7v_4U0z%1g@+K77M@46)@VUsGw)Uomjq9SgNn0x-GGwf z14PL#+g@v11C{adhwi1!`hKpP!C<_u!}4B&Yo6(my<Q&GJ;Jr<SMSh$<GV`&7+!y~ z(EeZ+p|YOM!WnF<PuXqRLuZJKqEyNJUy!VY49y$y^QC_XB6`A1u<sq11>|nG@&7MS z7JGQR0meVlRsSkjYIkETgf&57i50@;_$&V>bzeuwoSwz_mMN+Ein@%!!DOku836GO z;ySDUn>i0B6IQLhu^Q9UGp}<KasvJt$rEle2eR!%xvS=>Y3W{zN*WtdY?4r@MNK}z zC9JwCr<aQL-^H@CALmxV$wt}qLd7i$Nj%vmi%Gexb2FKv(0VgDgKK%abQtl^X6f`& z#SI&2EX5|<pHexOHcAJP^;Sw|m&z{L2;#|B*^G*zCfWD-NxCtAc8LxdiOmIe#J{d8 zqrKk0s}si*F!HfT&3lYC8FPvnoA4c48R*hcPQZ%@6aBR~qMS#E47|1Z%yaB!y*B^; z0y+R>RB!?xI0FwC?t-7o@3*&uh-OgO|JE3XLlgF|TZ05l{?4Wo(??(>xvSimOpEs~ zq$zWRI?`MzIDV#22ahy5C)a@6{dDwk@pN`{_2tRd%=_B6PhSYMj!4vy!Fd|1YRXzP zTGK#u-MgKem5+=4kpqtQH3j$daJk=q2n9oapM-lD_{Y<FYm*0t@-Yjy^26iFpo^t& zM2#)MfQ{Rk7uJN+{1lX*OSb5>UyDbF@_G02IWw3WJurWjxtPk>{dJ&?X(A~)DHKLI zkKBOLhJcQ2QL;%_LP$jfkrM(|jNwF0Y{vK)Hm{HUz3PaYh?EG;*k<5EW&o?tB%Ge< zB(WAh4RA%xJiTtjG!YO;pFv1-;xvVup{I8?fo`f%4;~X%hJNc*pGcowKYi~FfusEj z4Qlj|gM4GoRVo0Y&td4{<dl4h_aHkh9S9C@iwhn-w^*GO>>c?<QqA(9U?>k+1SIU) za^o>LdIoOqf@rF?OCN{H=`hVWlSa*l`*M<%F4Z@X68(ot_B<}5H(fO!>Q%7#hw|JD z>M6@~VzSe)K?>gwnaXFn?r)KW6Gv|kekYoM>6uSPOyfolJt8$%h3&U)$nvkEdPH!F z8`O$6g#bC`oWn|#ju5hr1p|xtD~WD6Ux8G7vKSr}-AgbO%y|lQrtc64!u62(L&Y%X zA3$a`;1f7t;zEO>d-F5RCWo~}^Ulz;$x2-`uy-HWWJYPSm}JYU^4F0jUNa!AOiBet zfSDHW#av1tHb2Mx@|r^U?H%f3Cy;)?Ei{AH@=ECj=kmfEksBG-OR45qm3Lc|3*g!+ zq6>f=f1}IH)bUCnE%u*UFiZbLF`|M8DuBB92Gf2rlWb1RDpqeM{s7DfsqmfQ#uMYa zAF6;^^!~W7IHmAI9`cY670tN9=Q3}*N=1F5=VGm=B5*UH5Psznx&9P|Lb<!t`oaaQ zuh>dtJ^GK*gNtC~6!AW;3z41`*x8XmO}2W)?0+gCC}&iF)$wYIXpRSVoHkNub_Zo# z=7^03Nqnn<UMF${W`sd1wJDPWqn;U{(`6YBTr~;o&N=4{6nU$PN);;F6Aa_G)HMSp zOcuq}dR5Z&Uwq+ydqDAu$qg=MbY%JZ^3!8LvICLFs-(an4+UtGi3Q10GNMa=thecI z!g`P21-ImGbelCH6~N8Y{wy6T4&w-ic2?8v%-Mw12HsieJq~icInh4RkdXft_h~y; z*6}to=XOHrx|1bwze8ZoRLv3iBcpN8?2qwNJ-@>*+!lyY)MlI7<d0fj+n)7cJPD=J zIVCSE@n+xoCUg5{k>UL+ewnnhGKi87k~mR5ZZMRO#&P*aWr)>DkYH`Bn+b%qeoQNz zKc6(nT@+;2pn-_)Q6n`lp9&^3f9EJDtUeB;$HBg*!kI%cG!^E6^)I$~#ZG+)4eGh< z*)UqHh-5-H9AOJ2y;Xrcgo_9fRiQRakV2qieWZ6+{+8HSEq4Dd>uH<w2t?m$3sG&F zk217Rc*JCpKBBCDTDE(Xwhm@}+PO2SQD-p{h*KdDDZp-olt8xhvM3}_;lr7_C?rJ` zKyyZ=|JCVn&`>rX$FyY7P`BFeDi6nW6+1_LMlj852@A1JOeLlRVxTF?ffoFXL<KRD zVgV`bfrbnw@ucqGhuoP`tCXi-ct)`(ik2(A<|*EH!Y!r&?pG`71~D;FsE7Ed!4eh8 zfE#;Ms#G*VF2#WJ4;9^A>(`*WU)iy-yiRBDiMqgm!8&%P@L<&JA4x(HseMCQ4U&r9 zCx-<Ik{b7$1C9kGF;eK_$$V}~PRuN9%{wT|Hz?i%5Zw<D@#GgkBy8NDnC$gwtalQ` zV<=HLz<-fx2(4fC_dV-@lJGyK@|X<P+)$%{@1@+V;(Nc6YR7o6K^0S(sHi8g`MQ5z z=+#4P5k{Qb)X0+hVabTp`C6Ii9T%@5`YyKri+hKHVVXH0yJ2c|*8df^rKso81eh?X z)e?lDQ4X{IX)hNlMnol14evXU5{Mcs@80{bUuz{r<__M_S@rueGdOX&28Lp@=|~l6 zl)+Ja-~n%)50UXj2|^O0ONG`spr_r@uECF<nOgmI9zFy$pi4r)Lcf!vZdk$C|L4Kt zs0$gU=T7w?p6FHu$5s>%b+lN#Z;c?8jDNbg$wb?Lv<6s7y(&^btf=-s*bKBO6G8m% zra?Gf5V+}@j4tno)C37P`iBBY6~Q$$Z9&3tH!u3^(C9!1ukh+(f;#v_U1Uv>@FH_| zmNC6mTwq%Hnd;hGc?pp)J_@0>7guge!w2GjE<t9Xc=UD1NQEV8x;VMe|3PUiU=wpe zq7O-|3LNG3J~{pY{KO1*<ml;1NtaQFAh>tj17XNnAZZ}TcmQUn=zUvPejHf2`q`oJ z^X!D<yT8FN__<VoM<Lqj$Er*0Wp_Ql;C}^pXZIg{RiU2iIBb}!)E)v<YGe?972q37 z@JzIM$74O?b)N~mE(C9?z}MB`l+<^<oS%Ed|F>rOw`WK1^8BLo|E!tTQ*(h9-wx)} zqb!y?9RdoT;Bkp*z(amdrvm~+)#{|r8arLJA9*6sd@ei}R3WGXe|m1zIujH^5AeUZ z6x{bD>3ZVrTY@7IeZcZxBI~XWK_gf~j3|#MtFO8Hh`a*w*DXXh1PoLgW_7B&C_rS? z1p%@wgQeUhbqVmCCi#+E0wIcZSpdE=hx}I?ThOx7gT2Mq-!-C^dR9pA1+>|#?O_f< zs}m68e*paV7~Cxl*<D&re|QB=+P8TyT6bD|5=B_g+N2oBZ{+W2J;0GM^P@xWrzWY` z4H}m`Pmt%bx3Xt9;LlwXgJF3H=PNoL=@SfX0KB04_Gi~5yzu}0-EQI;Xw*zJ^>!pV z0+?K_<;p|TH;}^2bnGBSjYj_9Zc$fvid=+?RCAdG9{Uns<Z$~f7)8cYv|p7a6-h(% zXFCOt@U9b+kihW(LhSXSGJU~}!3V>;q{!Mt@@m!IgF;(PN7IbAnaC7`3aYg;9|Z52 z*Y$p&lFz0|+|D{rgbcI7=-hSGnd}A7Ir9R`1AnTs)<dMG8tdb31op4nW+Qb%OXh?2 zek6ck?R7Cnv;ls5C8yI<73vffq4+$E$kND~)J+|B?Zm(ZA3CygA^cmmP05l#f=7pd zeV8x=o)p0MnS*^<5{7cYctZZY57znK(f6K+93jD><sV6Nr1ziDEg*DX6hQ0*Y1z}L zbF0)Wp2mJdJii*CiJo8XY1ihRn(Dfo?E(^VY*6bX9IWN$&ss*=dHG^Pxz7AHQB#b^ zkYBB44}#3y$nlfIIRRh!S|Qxv83Ih0)VDMxqw)4Q@jXR4zR0-8iG4*!#z6OSiC=hb z@edk<P;SvYiXKnYD}<4;Y!A6jNdD9A8<Fr#a~afp<2vMZ?Sf9(eFs4^4p33}46h+% z)&7{q#qWU3Vp5O5rqO99;M49W{`d@s6TrUx(WmflfXul<d%qje0<ak_BS3unr!G9I z%aGpy>8nl~a#i-gyR&o0d?%yu?;M*0zlPEI_m0g$KhEiW`=u8nkJpS}9t}SI(~RTR z=RzHT^%8ka`N>D&-8FgbBwy0MjxhKQCf^$xMWr=(rCJm6!ocw7A<fxn#Yf7r5x*e@ zS+h(|ka8iy*~WE*l4;)(5pBt)B%epHe_wWW_yMIO&`pyG$6x+oA=4zfm0l2qq?3=Y z$fmoq;R6~fO*4GPBT}TUl>ZYFowk2dU>}Ig+W#NUh8QWB+2(CkV|@MhVIDG)L;61t z^KW6DQDr9%HXr6uT3<emy}6XNMmR++fNUe@eY^ILJY`73<?LNJ-AleSUHG3&#hGHb zZyldynemCjUdJpIGRxqR!a_OKJd%qyYC20Tw~Fra|J=jXMV44TW=+G_Nbo9=B{%M% z>CUS!RP_c4At@$RG4#z_8}iA~X+e|EBl{)dx2yZU$=ELI-q|nf>gf1j;`rL4t<k0U zhIt_<Gd`>Be+2Ku5%fBAX?Vz9PYw>i45-dhdvvG86g+}I-nMCm@3-D-{=kF@iyRD4 zC&aov$~t#rf5(PV1MR%d+c~@zQOkvJ6UsrvzF2}YC9)Df3$RMBbwd^o9W-AeL(~1E z2G?@6);=(B(1<(cBf7BRlpf5p@)9ctwayE*cXc`IIyqC;@weZ^(#wYtPZcigNRxT# z8kc*Ox_18Pn0Ah(UvPI)F=(oA!3ru`LQ_p|Xa8knEMRt)0^5xN4`Q){4z&<o)%@Gr zG}1kPxfv9K8zgAjS_X$zpkZBO<pwBZH)4S;*q|=$E=7|3_z+CNfzdtPPaQ?ZT}EUS zR2z_+-Zmyju)5pq40zOGhk+~0U&>|`!`pr|3gA~0IWqcX4f9$wx)-cHD`~l*GyVTa zFg2GAsv(~~s70tNR*Cw5L)4(*|Cgvaz5WkTQ=43z^a3jz#fR60rlU1<@_6?pZdIMb z9hbSY?EIC#&1;UGxxf<LjKk(9s6Cb%0|r6Vr&Y`Aa1)S`!K+25GyjMSt5m-2yTGDo z8uBC3S!A(aw6Y1hwdfYa!EGbF>FH>*+h$r{V&clqdL40#6U^#abFzB}=I?gt-g|B_ zb~2pJ$CY;_K-}im&=My>AB2kkOVu=95E7nfCzcD}@M+Xn42j_%+Sall%+xS{1O0@| zgJbXZd@di;FU9TuPShW8hCene1N#3rvPRkNxp#51Morw#t;^=Hi|nm!`JbE>)lmMP z9pJy5DvRBiyql*ATZO6ZnLOYuvmdXouUR?kaUwra=ubb{&Gmp*G}p6L_*BkNw$&Fw zx3Ne-Y=rJDcislGJeJi=9JbnhjZ<EY)ZO{Oy0DmXQ22MImeE#7s5qUe%n>q<#(Ls8 zE07Zs>s9QIm#tNQ#V&pSf#d&`#0x^}oiU{9dfC+XYIny)13isOV10-fzS8{)Z+24T zWA`t%3wqS#^$aiCxU2VZ$D?t}c(JLqRo_BTa}ztTFw?c%#wNhC3oruRO*>2ZwC}f4 zu&u41Uwe_A75{g8fZyv$tdm(s)@InzZ9mG~z!_Zi@ky(zdpROBr_(%O1wn4L_7CH~ z$USb>pX+vj{f=|bmG$XeDGSz9Ck8mr{<8jaEBcf8-{>m7AB=CPJy@6)c8IMu)+ca@ zq7IhwTr1Y*#w#G9xdjAQAZ!)dAXtnW6yv%7U%~x`Ydvkj33C6y$7bvgssDt}?XyV# zEcSi3U=o*psRqSu1hjUvRrGAMM|JbUzUj3=?}Gc{`*UFP|K=@+N`%aKBniKvwLWyA zeFB|0FosAuowh6AzMkNBtqcd!2kzpzV*uhG(wwpT`G*30YrhyirhIK=UCC9i_x#*V zexH-C#rm`z%lfwctj!9vmI*ZR-WjesiHBtwim!DnyWL)Q&8TCq3`2_!sLg~|`hZ?r zXw!&rJoY1g>u&Cqxud`EjX%xg$Vw1nipmzvi_v{2$x(i@nt(YmgXuF<UiKDZ0gH>- zSaBl^<n_<KL%Wr319F@9{Oz^9x(+#Uq-WvZQ$Io^?eagPGkO<0pZYs7^*3TZ5Pysd zzwu0|rX}BGySUOykY1YncPW%67ggJ(h>6o&A0*#xwJL?%Y`v5+&%y@6u6wt%_Nr6O zkGFYc)sL|Oc4b9rWl)jVpqy5*f{~+=HpCWwPEHw=X&K#XZbWefX<c|+UUU7cE^LS{ z{A{KM#k`CalxHQh&&>$68xZho^1`4p_utX8RMPu=p%-5NHF{+&xC_Dv!SBDSAVbNG zQgq+J$W~7s{51fj4#}{L84#7FFp27(R5yn1)q)N2L>_vMOc}QB8QrVwWVrxhG!6E& zb}*$bM9ibC56MKlFx)p)Ekewr{v4DsF4Z%>lXkHfHiPbCT1>B4#rV`h$Kr?j`_{nt zrlE^<&$(bX&0>1N3f9M1XUf~$xH-57t3>Cdadno(LGvHB>C=$W>1Z=<W&Ux0qU@LP z;bojkbQoH@WdXw=xE3HT+XleTwO-wD_t6fHxy@w0ops!o)c03*-y!iTqm9L^kO|D& zk+~BV1U8XaM$i!?#Hti0Cszk((;mCmC3hQheBv4vumj%rhXOEp0<{><ok8zCKz1FQ zwKk3Mme-zU-9_YGNR><36+IG?BPAh*2ah^ZS5UcH^I3xUm@piYc+2GG%yvvIw7N4j zaykJ2$Jx!wYsK-p-3)F2=VjhYw;!HpxS8JM!8`dG6vF0-Aq%Dk(`3`01##5257#g) zpbUoHojHoamKMksAeYD1Y1LI{^M`1p!v}>MrBLY8q<xk6pe)j_fJ?f*E=!OetQ?LT z^Tb7BjdCBi+Y26sGOsw@EdQ(k0rh}<h%YBR59!-O9$|0rA-Lhvke5A#JP!Nr+!lSn zMI5Qorf}_l><BmY^1}0)ty7{~B->QkW(S=G6T&D6mwc-oIXG0)CBvI`r;N#{r6DYx z-RNmX*aDIKiDRbLGA1PJq6kocm4i~EkGM3eRmN%CvCw3e(Q+B|XhG^wvRO=XftD0z z9#js<Le$`o(}|*UWj5VRA80NMUI?L8prof<N=7H#aU%C54v7{~6?II!2p!=&qfxKN z_%y(BQEX?oaYxB&m-AB*yVwZR3tRF7pHWc|Z~5$JMei*P-$dqv)5-~o-^Vj$LSNk1 zP?ED2qQSFJl9R`g7&;lmdT7Ktv7%uNqhFdZFfAD@A&r;jjFu9I#vQNUgR+T6l0-mK ziL!@PD^i9emC}$n4knjo_em;bA#ogBE{^P#O5|+}v!8I}Y$&sJUQ{2}$U28z9vTM* zkF~gL3t|$`;-s^tu4LcfOyGU=i9Yez^Qz@cUI_<{aNGg#Vbh5u<2c!fC>Rtg@N;zZ za`f_cbaK9l4HZ09sUmpqS4AK<W!r1>{3S6^&`nnQ-Wdq2I6&r0Po}%WBxmeV{?b=i zf|&AELuX1z*GH0CuH?qJXWg(amKypDoY)1GAojLydXignm(wiUGsquXZ!^TN07I~# zOp4$Mz11CaZE-X!;1GZq;s}_ri08SF?8b>AsHIupn)aR8^e#$gg*}U=0H~oW1k96_ zJ^vXGTU6h%jbp%9Nh82BJ=!bjT^22e2Y?`A$c*jCiamm>jAXl@G39F;h`6#=(5&2V z7_qY06hpSa0YVTlu$G;sH$sz#XOtier}|ub3A9nx19>3^8N4X0*`2%g8A#}@Y7<5w zh<-5i<zz%+NEDY$78!=<AO1~>-MJ)bui>-*Gmj1qt#Jg9iWZdwfq4?n+=I%ztqESr zts~<`l8$#}_kh(g-a6PBNM>r=d6K+YDxUM}`bItT{wvX;tDx?HX%GRE?Jj8}iQu38 z(6JX9jh_>?lCSn>jKFf%=U(@%q)>ALKvhskaNIcU*@P~j%1D-f_8**hETYyL)Ecjm z0TA*CgaGZC^pPUeLO}iTgZqS;rBE}eA2Se9VdjHc@<DhAz-a<_e>u6MB;_lMU31b; zcx(cO?dILQts+g=;dk>XUk=qNAqEPHF+elthi={Xa5S$BB}ML}V;EK0lEDj#R>4@0 z(w@-9$sdUVh0tc2L}$^?wbt71Pmj+vF*=AXwUJ1n`>O*SBGw0tbpyG0PDtmRd_NK` zR6_PVXl26aK07nh+52>KcgHu=A!J;lVQSKvv@k7fg0?a^1`^BCOC*zNLz}@y!3?3| zdLpXs({YG?-fQG~EkrB(t-LxzE)>)sAAf~$PQFI&caLcmpene;%KO3UHwaPxvAf|q zgq7i5;s!T@kx>|d#k~ipy35GN?tYqr+bIQ%d(6njQN46#W=>T>gT~51lyH%S{%zBo ziK@=+SZJ+GxA{$Xn2vE(zqHlaa!$)w?`(hTnLhrn2M3Y*V7j3oRUsCCL-LpzX9w#- zVmi1uJ2?~CnGn-2G%&T;%~+WqE=)5H=?7g)^UAS6n&4c?jKI@!0$kd!WMWT&T*2&q zXMyut#x9TU^WR3sVo{PGRXsCdx>8C1X3v{w0Dg*4Wqe8z2Bs-BdEM2Hj{7Ywt~_9J zOT7ai^P5aI`)QLsrf(DmN3$d-(J8B)Pvx4G^>!`2ss;u`iPTESTE}`!RCb}qi=Su^ zbhIyy4uXIt@Fo&t&{%6f6;CVan4RxtAbW+tQMYn4o9dN|E=VWe2Y=Z^DQU10?xN87 zW_78k+FT%s(O|1+TnY_96WA!JDR`U<<i%Zj8qeJOwREW>YT#)L1L$B~RYXSz7gt|u z8{@C@7?@i0W-UyAH(p3uR<f<ld1@xK9+UdD9+7|RTjrjAnx06y-p9p)RAsD}LHE^~ zo)l%4oW!YWTb4dMsxcGS*(?~cGBPIxTMUb@SlXHXZSuM@1zXww6<ExLPRH#2&p}z4 z!F1nRbfe$hU0jO{CQ$+^;&Td|olLojOKgRmSQ@*tZ)Kzolc?j*vJhwIh&41_4kZtO z1E%o}P6T#<HyEJ=Z3>wA@?8xc4wdDMDBFhGtw9pWGUp+L5D!J8g$d~+;Q<%my^b=) z`Nue%i5z%}E3oE`SXub*?u4c=OqJ1#`{3jAB+oC>F;|A*7S<@edJ710^JD|K5`ab! zZ=P5430QhYDg_<RFU%2~NW3bA90x9k!WM!t3TBE0#rXdc02C|u1$v~mg4V?X^tJTO z;ND&CY}EFO;r9J-XE6l?Qm+uBoL>6rHB~CA=m>>G5`C@HD6;=0CfVuv9;Jv?GGi6D zc)!3P$t{0bB9jDfToi6wf2u$~S4NrF@zV_~7`IUqjf7GckJ126Q-)29RHX}_`J<Fq zi&zntMHWbfwN_cjCm2s@pK8MfDix_N9+F<9q>P&gEkX;?ZK7PLRxP4PVf2*(5qi&9 zrJ&I+1T~Cx7e*1U60MIgYW&V@2y4lT3>#?Qj&qgaqK^#DE#b^*tV*TPN@eRB$eER; z8_ZM1g&0J#KCQ^DTKev(QFJNoY78=^Hi6a<0$x}uK|~af2RwEndn;avEE>AJn-$8a zJ6$EBxPM^|Zv~=J$*Ux&5Bi&=d4WuiG-AmAyqZU#7v@pGMW>4kYXo;IsVYHNSVj;S zV)GQKTTu2FQ&ZRZ%$Q}&NTsmY-K9C4)023asKY-d5CD{o_OCImlrqe!Zq%L{cN1Jl z2z9Yw^~Xav*o4i<5M|Dhn0K=pETN?B5OR<LM?=KRUAQ0x&KZtwFDF+o=f^Wj)Yo3u zDj?at+@5&|IyX(5SA~KCknl;Hu$@B`IJF^tkwSX>Mi59~dTT0?01A{AiE5%xp}~CP zGoug7Il)Dy&k$*vYL%v>08Xv_q)Rk2$ltRBma0_1coaPuz7R0ZSEwkos9nS(MHGYy z+OMsuV+sKo7FF;;APXeZO0;0mJS!Iq58g%=y?O!QBhW$2ET|lyMxK?CHD=fmp43J( zuF}#u;ND<s$eS7G?UAiCH^vS71af%yk=fA13@N~__EAe9f@h$kHnbY11;lHy=P@TZ zR<j0tsS@yf3G!v8z-AwcdMs%ecolPFy&iT({F<xxprb!Wo8)r?xJYf#^iW3s7jJJF zR9CoV?c(lk!QI^*f<th3cXtc6aR?UN-QC??gF6I*1a}Db?Ifp8pVPOyzk92?Zq?)u z%ii^CuQ}c^o@trFYwS7CN{c2Mw*c4r10gy;$=DTjD14_1U@vYJf>9=k5F;j*PwOm$ z1{%MJUZ%<_;V6R^*hKit$*)X?3xu4otF=36A>u@q$M3Qdwisy_aKAK)tK*s_3}+PI zN+T!75cj?5W=D#j_Ig8E89DB!wb|gh8K3LiLd6J{4CK7-cN?syB)8R*`3Gw`NQlA3 z%A`DyJAj)b3=YITRb4NUS0-xicg(l`E@Tk!b1LMjRZXZYM+8}6Y2rqZA-xkJcsg!B zGG|&PWCaxxNAVeQ!q@Bdt0}c|O8EJ^Ffx~OCf!IHN!i@F64b&F21}kG(6FOULM=!4 zM4ZToW3RA72hylKo8T3>kghINEQdk8?pGnisWkZyIH54ngGK|NYrFJj-dXG19X@#2 zwuNQfPd2>#BbAF_i1`&9QWD+g_PCbCNut<+uVG*+lcUbU<m6_08nqPUY42|`Hvn%E zpB)}!88j1a6*a9MZjO_SS#_H^A*E^wr?U(eFk*~B$OSd>wWt=5=iM)3RIF{{sH_FI zj#FMziD6#O0aXG#6rA@f`ES2uXGU{sT>3Wo=fq1Fd1_xOiQNvMJOli{QE7Iaa%$NU zPNrINzaPTl!`~ZR06QsY7C0exC?ado-8LM+VN9PF@N|<>{n|gL>n?=!%d)^R;RjEQ zE0=Py=+<aTPcGRYRF}&Z#O``e{pp1q^?*H3T0bINOqzF0pFFTJI)v+C{@WNS0}rkC z*ZiX$S^^Az31auRMG#a^k?>Wx#s7PW15J!0D!U$d3|3vFM#KFCR)ebv;Dp3?g18sE zUhsLX$jgCc)DJR*Wga8`hS9&8l84XZRJ1)x%G>4>eNFzp-0E1G#QtxQNezwN)9-N7 zcjfDchuW(FfAlxxM-mWl7qHnNgNjk>oUBFv!Jqi^&#G!uZ5d9tAzB-lSPiHUsx0}w zpZ#lF9&T?NeL5Wb0$5lq_BP|$HhtKMw|tP7Oa)I7b@GDW|HBuAWPvPFXnpA}76rxB z%H{(uwRq6`SfW#ppN{3n@6IsaGQ48X;QKy@XLC4RQ0&2>CuHe?ycTNCj!4E3c1E9H zMOAObxr^lxIa-r!^C{D3md@f!GQ9SpuVgTWb&CPJe3I6#Q2e>2_G9UtJLtphCf0s> zbDmuvx?(p+Faw28t;&4%<Ftro!Ls!mqC+kVw>$s#ZKH{cu>w)nC->a=^^TQk_rjDu zB;MS6tkwDC|Jfd-|5pBrZ9VkcAOxe3na-KMQ}b_`Dv6FN{9zC8j>VrxXZ9_2zRL@I zJD<0$<T<9W-x-7*T>cw_(3Hl0sb!z5#JszEE&#Ckco%FIn^)B2H#>G^JUeXDD;l=V zssHJ8m1?SqGAzc1d)5m%`3G0y&WRD3_2|DQGU{GqCQn9h@B$fNGae+W1s*sI=gzTn z7YByl)4v?JU7|H!njvjH8cx^!Rh?`xa~<|jrB?n`b%Oe>Iw2VUkE&DA{|nU#X{K)$ zN$y`%C*=QGb%OEk&HG<bo%sH!PRy$Rjq0Q;fXMwvbyE0mR3~-k|EKC?1e3ra2>)w{ zD3>JQJ=t%?*R1EBvIE`5{X(d-{_`)`W|M6@uf**$p=P$YGn!djWZ#v$jk#U(6;yZo zyG@^G`<um3jw?~6S~a$OYXcFVH7t14Y5vQgzp)KK7c#4#iv{{ODG8-{>c;q}_d=D( z&xmP#8_|8sa^vkarNh0T%Ij-L;+IslE^qx=Rvx_s+BJ<e_&z>)iyQD$$d8z9Vov0k zmn>n^n<#iw%#P*B@S=VB%T?g*)9l5eRHLvpt2nytOM4TdbN^cDj2K<wSL%_?Db!nk z3r_J7ZRq(=b$G)W<a_?D3W;4=j0;%|2Qw?*i0^)XstPHFF8sTn<?i}!%|kDKp>F3z zVs%QA!>=U9P)HVqnSmXmIK&Dt|F?iMn=Tp?1HpmbDxM52#*3JdORTus;$FLvmST&K zEqjCpx|^AA_jz9K{w}|67U!l`hrF8IMB~Y)L~G#ZVDbVvVL#HPLQ)K0?;l+ovJhn4 z1anC)mt2CGyvY%^92oPb`_}IaiM`pSb5l%+-|CQx>xFGZfq+2e0dQ_qdVhj&<Sk_w zohTA7G{ZL@P0cY;aaoLf9#Jl(=U*<dC=W3}-<%6PA7rkbOsd6ze#*H!XYS-o$$@;0 zgG3ECMnz$oEGnRgRN+&ObPkcxA%Y(BePppL!V$(1T|)&4NVl32$1F4v_Y5&Kbv12G z{bICHMNNAqrDV+gu@!@5sJq47WIc248xl*2C&vz=F>LF%!D_(E7>QXh$(g@BpQ-Qn z8dw)?Uf8d#smxf$T+EG9t(V)qjwjrLuj#UQoR*yT>hSpqL1lJ=_ntc8!)VzM$1n(Q zs=3T==ny;onoj#9GSE;tl(9St)pD2<Q)&{FI{w_7%tzm5+-BN!uc6zlOJ$*L;wWx? zJtUJYIc?w^^VQ*x2x!*nzw}9~#U@!a4|A4KBQk}pM)blIrT$<MROT_ml``iL@y-)m zSc3a_s%9E)Y`Iwf(N(3_Hr9EKF&{9Pp|o15#JAWjC$Yz?Ln@PRK}Z*}^<g4)GIiot zxH%IeN&Mo^#!}~+nxVFCRWmz~!bmN==7}$9jcEq!NoL~;!|fcSi7~QL%u4RcT1B3o zr$TC^cO35o`MI~)Ta7Ee%?8{gZY*Av$vx7Eo|}yAw8^|43a%`36}V2sxiW~{riuN$ zTjA>sfUtdxPg>j@psrk|^iFq}biqD6G*a}dUfP*%b*Qz9(3*c-3q;<6mzyQcVx4kn zs+7a!$R2yd^s|Iz1kk*paIU;0yrKNOc9=(vVy<h~N2e9SC7AgQOkvX*pY;j#44`Q@ z38qVWk)qFU(ldQ!9+2^ZYprwJ#~u!yr!mu#_HtByeOPW03`+v>3E~H}xn@rH<qd~V z(J@ju+A9+6Arm`f^*8Xf!P%}N+%9F#P8Vx6O<Tlzq4UmShofv$Bw-v~^CLzu9LmV| zJeBkm#;KP&3X-}_r$K9{M+>D!lZXr<i4vE^h;WY-k3x*FHJ!cULd6XsC^C*gz)Ne` z^?hL{*xov{6(8;;+eX7SBRYZx16%Vd#3}F}1j!Ym2@1~s4k8@B>*#xZa5(WrqeqvJ zq>nOF!(>1c3`60Js!*#KBZhc|OgrAMGCv}~0!q$4&4PgLW3Ur2CSqH<rr4q;Qy(54 z=ogX_;f)Xo+%{Fc*zBETkve(m>M|Bn6bw_X4ouih-0UR83R7I_r^2qo45A&iVdfrT zN=+xVp&H%OSj2Apj?S)8B9x;`+%Pof38CAv>UpHyyBAnb(iMgV#yI#grZ+h?R}u^& zqZcFkWJq0Tq*3yY;;x{`2C`H6#RZK8u+0OC`IMDd2@{fuUTH>=-g}bC4&RtpO?QlY zP<o?K`dvGVgj5F%=|cP~+_*QD*GKw$1?##pT2lrHx430f$95`5c}5$W(R1mc66UMb z<&)3ZL^+ux=MfKPoa613$9%1~-abN^Ob69>IXkZ+FnQHjdA`*9bJc@p@U3LI2Css` zXyD4t0V?p29dYW+krxxBaYnt9B$xR`h>f6P^p2?<g27?!-<2x?`3dG#_J);^%*%mI zy-6^&_veJ?2+j^H%{~DDBfX!5CcEF*O7R)}CJ2=`kx^l>`?Nx&BrTE7`X|4ng3iGs zd^E(D;_s6spR?XXDS*))B<!SzR{nGk({#QQZ@t;qLXWnCX}#1(W-yQLW9~(Ic0qLp zO*|MU?4dM&<$7KAxT2{_th9)9#3@Afyqo6irWF`<W3!Q|zwO(~&GRE8RD6(dunE#n zd#%~Mtp}6~U5RDkTS|<$aO{LI;rCLA=~7Uv);)^8F0wwom@tOI<5C}nlKq0P!aipA z!v;b2kp;2_&opvztoCK(h^{_(BRJ%P%w$=P0c2+6K~oqztIh}@yif`Y<vrb#ZwhOW z95nHCZv+z66i$JwAiOtRRms*{03icJ9aRQkf&pf6zlv?Hh%N~mpd1)~$X87fIqx4T z56TQ%sk`GFP5+F_L(#*m_nDjZ@U6cnWUqh%jg8P+1&&F{sga${xItQY^wQ{@*MGvp zRV4J-dv@D-CsI@WcutTt@FRgXkZfZT_XeeoQgRodsIx$h1!L_GpR~JG07LsL9j;uD zw6s)oGnsIR9251KKLKuzSQ^$}dLReLatH#@adTiLE0p)*=3Ppc4MLkVxTPU=?i1Qg z8Imx!roQ!~H+4>}TpQ0W^<O4D4On{AjsFA;nz=no)J0oza^1o#e^S&8)346OiLrO- zQ50=zYBO7#Fm<LuGp=hjql_KfVU!fBrGeM*;N+E0Xx?E<@y%h(-1-q906EqbGRw9m zew!V{Z=iZBV*&S%tpQ-lYton8(}u-#&U294(yUzeh*wS17hI!5PTh^D{Sh)WZTJU# zv=hjD21P>o8H#%Km|QT9nlk->X4|m|-e__O18XpD(U%lNi;X?NWO(zZKBc}?PIjv9 z)3{JqL5Pj^XiIXWI%G>JJ01WPT%-fH&DT*YL<u%QBQyDZWxx<G_6fFZ*)*9}9a005 zsW=TwtjW+ptQ(F_WcDb6F_e;pX^APWB`cX`N_6fi2oza;aq)w+J0=UEA=~AWF-*Z# zxD&#JYRarfdF`VFOV{sJ4+B@NH40p{8~Mpssq$tqtu{)w7&BGrIt23{#b1D<pt75# zqAh@indIywv)wTSD=0`wOp~=lD|pEy*9;hAlsLy(#DHA^lD4YB;U&+bPa;)AwK6*h zd*SIzNk?|%eU3Dj{yoBsZbYJOA;GKrX0Tyylc%#q%ImxJiW+GWG6|}hP-CJuL+pa( zOVDXbby@R>$DpX76f9UjpS>nc!}<Ugn~b`j+?`mRM1Q{qLO$>{h{sh^6di%DQS3g& zs<r5+`yCs{94dv0dC90;hqP=hR_#anB)g#!#Sp9Y*9^hpJR7eyQnqj<c1iatR(J(- zb4eC4WwCStdt>Y+5oxdANk?$dIFp@*qRUec5-CJ^lxQsT5jl+rEw)}cjo`FAlm>mF z-buw^Em;clXHatn$kY!#VP%mCh3DLOb0_Re?JOWaKnIV+mLN&DWVNP5IH&CizZt}> z2);qe!V!x3zI%*`wM$T$`|j>;w2u)>11?cfJO(o89Qi+`Iidu|eN{B<G^(#7MikQ* zJo2b_okinFmUsQ|upZ8F<@Hf&b2NvztRbK462XcZnK_5gGs+Ds9iD6!YSvGi4h^it zb@)*yF!y8=REI>53Jfe2@Oo~l6_m|q$!7a2WZe}hD@Hq>>&lUsP+st(madeE_Y22d znSZ&;(Zd=6^*q_`o4d;`VK!?2g{MSL0{R<E47A7xuh=hv%YH()44%u7X(yJj>{dME zUX7D*&y6pq7#DW=PiHvKx~!qH&F&1d0|0!Vkp&$44*+}<ws_q2udTO%l92*IP}gtN zT$<?6Hc*E0xV4t=umSz1py$*JC#`k;qH~KPD|9@pBTHFr0dr!PoTN#Hr(z3?p3vDu z6d4E(bR#(;;Fmc@iKy=8ou(lS>{6_KODW+k`a8pF-WXzPTIS^71$nB&xk$RjslK$* zSP;t~;RTv=^#O7}0Sd!)dE~mK850D@V_C>vwh-bhR`4?2{sF2M=W5FGc)s#K=T@+T zU@(#z`hr`_<x1kZR?0UcJ0!-M)ZOMq2g42C*%BS2Go6m6iNNb6<M%*l$67a+HL!_7 zC3AKeE?SurkdGA{Y#|dqahUThQ(yhI(ah5GjPdXtPP@a*G(d5bwIR`U8OUqV=WZ2I zHZ6&FiOKUUbnvSxsI@-+2sOa=4rB}{S2ZiWzXmglh@|DpTU9TMt!wK^YjbSl)rGGB zCm;$Qq`l;<H2s*wjG~w&b-M&@IXZ^Yw6^sgcc9hX&D$l|HAc}LyLsxnB&|#-0}<Ui znEyezdZB4kk$p~qs0<wY%rz_~bp0X|@nJ8tAj>_lu`QRkmT^OVKvpWhJ?M<L;L|`O z*JagcXckF;Vk522sGG-;JiX1^j@+<P%YIii<7i-Fpx7sI?MXx~L^%|MNO)yaV#mm! z-Ex8KK_fQuVrKC^l11?;5NSML>Ej?n+I;qF7Rs;gcY`7EgYpcLIF(+9=@=vntO-?` zbh2bC;R)1Hx^&I5MW{A1h3}?cAP`--SZ7HfMCK7>gi`nFqP!@5Q`m96spMh_K)%5_ zSe7?44l6v(jg5UB43%}JQ2>!r<RBI@nZih!^e0bS5nj+||1-Tni8KQwA;huRycR%C zlGPhflDj0rPZV42ps;wGg_2Yl0LG*(A<j!m3S+Ama{DGaHPs5s3@g$%S*fgEO#}-I zrVS0Mr>|F9=Y$d$VyNrs(53odC7A$ATor6ttq^M;n>0!pKu$(5LGU>qP2sc|GHywf zyF`-eU6qUgMp}k@OIL<-Q=D_lS3umwuS|+{IR!1~>hxV(*+iZR4FRGNDX8uDK86eg zIH)wtu*Xyy6-8R>1Vr4D2s@XC<l(Z6DY|e1%5-8Q*=%IUO(SD$ucR69Pg-&Zn6;wG zz}g2$6ITHotEcT3G@C#YN*#%@%Q`+2WE6OJN``|}Ty#@^M<chTSt}hT-2U+(EXy_E z1Y1im9{zdkqD|pPibjl~cZYsO#j-p-KbN*_2BTnsNc-_RwIH4sst9UI<n5Yutt_8j zOOcvapSa;51zAt++Ru=DBLj`1v)EFtkG4%JzDstfO8Q31Qr~&WIzm>g0chGKnBrbz zA&P@Vq+R|iYsf?O`V(Q-K@q)DQWEZ0wU|5<Cr$39!mK1X(qn@xHbMPXT&TN)EVd`a zIZ*}}noSr9(MkJD9_!xpd3Rb{Y9zQYA9ZQ#qdKajXiplO>6pHvM7F9HYPjpf^XSw7 z^!~B3uFCbFfCQ}?H9fB};MV?3;j*jFrWC79Q(?vLNA-~@VpEk|Oiw!~C{T#c2R$7q zOSvV>V@O8Tee@m^02B*LiQ78zphgywELBC9!T@s4c0j*$8uQ2ha0#iam~;{TmgcK5 z-mO*MoM~WjZ|=$GT1bI1o$h)VP9ej~7DB;5uo`P?NLud06>6$lh_1qitbv_87mw@^ zfCIa#FV)5MhDRsiLBz@v5b%QqU`AVpN^#xmWyI$~e<S~bARhk`{WC7@{q%{PSVlgT z{5zH<j2Civu14Kp=oB~s$PJIJraZQThEOkPsnQQsUvjnB9?F)2+v{?Il(O|*Descu zoHWcf*J(%y?9*Q(hXr2s&QMQZ&_HhYGt&^H*iO2c!O=iqRVQBgLlRH?`gY>&=V9W+ zh!?q>$pu>u<w#ik8@-)eoq3#vOI#?Gyt60abIEt6K((VtJjtym-uV^qNU6X{yN14P zk`srhv0>=@&xA@Mx{4!SXK=cy2d6S+dZVcBsfM)D;L49S(iOtR>6sX*S(22a2y5f3 zg+KL|!HIAvsD)=FC;{X;UdneS5RtOp{43$!coC7hXLoiQkddZp88rMI9n}z!x;J)a zj~`GtG;{j;u<;3eWBWkWAjbOo)L6M6orA?nwk1`K{Ggx>Y#lFoprBPT$)TY&oE<?_ z@+!7Nx%yxs`3gO)rzf9%ov&|Y>(f_Zi{q{Qhz}YuNT0R$-F7o+3PY5O;lY$)kSTaO zjgKgd!$D^E6{BDUT51&HLPG4Kxt~tvo*U5L2<PO*Q9(7s82fAyVH4um<hkmscF)mY zg;A_t*F0beB{|5#I$LOoLuVT=-C=M#L%~aZ!gj7PV1s_28)3G4hI(dB8UfR*?Fy^3 zF7CL@{gI{f*I81BkZaKEb}6Gg2;fjow8I*zMD8)P*innAT;8UGTE$fJ>0TDae(*5w zHlf+b4Yq$|I=bb~eL_k*Y>`46dA{Z<eoGz?S*0eTS-$Cw2V-^ymaqx}Q7E!^BFUFg zr_vcdk=ISco9`OEqVA{N<U$;#!{*M+aWr}vMJJr%sbvF=`dZtIr$BhM*4^rdl9>@W zH@b3Vjvx6|PndKm8)zz58-em|Yh}(ubaFPv&7<g(qMMQBs`4$CQQ<@F6vw`sFZapY zPu5}68j2dO<oAHgnw{@Qh0WsYq+1$XcAcZov`(+SB8L@U*%|kIpG2oMFzitmv8Z&v zJ*<7IrGDu8$tJ3dZBZ&gU!_EYuU^ZcZ8+d-by(p@6DZ%H{A%vlZOtb5Z8-x@?f0@a z(Js4ut+p#1?Ly>RyvyvrKI`AH>g-cAivIY$s5Xd9CWG-OJRW$@AIFZiF}gO^Q{pwp z%=f`}r3lPbJJqqG*r57Qt~TCFj)a5zaC!vaLfQ-!g+Hz=7<Z+QVuogj&3Xq}i(<hn zZ<0Jet9tEUAi*Lk9!*qzRZQ@qOQygW<`Yl1OJ}$Cija!%Pu?OGO_o^YAf+%bza>On z<VDeMrufDa(;Pn+cJ!0M8riI6`%~YhiKr%InO;M0VJ(=#8}s-C6>E9pPE{xlaTyB| z*i~f&GwTNkT#yo&lzb}|h~16NzNiNXmN}DVpk9S?<;$jtf!e_!_vI2M7b8!p1{KNz zZZDNcHKCRcSK4t4V~IpIzxW~K3I-HkZ2>OO$rYJ#c$BTDS&UB}J#F|d8bTAwEgjJq zZ*EbF0Dt1?z*w*HWEY$#M?k-oF%vzO&^(cZH}VGe2jhYSLDFSuM39sDQZSiso$1V| zA!d+DkQV<JY|+Z?F=DKmWvM7;aarAlTmPsK?X=LkS~~=`?WsU9v!W9s-x0$YMp8ux z-MKVPtjW%ZCrd;IjTN(nJB%8L)Ix5R!jKNLidC|mqFjgK+Ch%0#nZ&NM5RWif(T4~ zHU>*|@NL$>d=TVA)LGLnfUM1(TLr>Qu*sl(rIy|4Qk)uX9BWv9&JF!9^sRBJt)%Gl zH%K$v;(`&?h{lrSw^VpWR}!bHjqfFSJPl>w$hzQM`?b45D_hlvpVKruT4MQ{HwNT= zpo(cSY7&B?c<OMN$$kT8+3O^8knqthF!pP>H^g7V0_=S_n*}dU2?F8bB)gBdbl!|i zVED74`VM=4vO`Idu#sAni8c;(D~0^Tm$<G6TKKqt?mW%t{=MOy*82a^00`U=tN*hT z)mcRk&_h_v(fZ>Q^sj~mTntkCF5`4o&;tYz7TNx~{u;)WTfgM}%Kp0}<|j}6LM*Wx zHoPMyPtA{AaM{ViT|*B5?tj7mJv}O2(UV4E_cyUe3vO@z2WquOCAs+t2b9KQk<y4` zmw7RZN)1bEHDk=9+%B*Ml>^!Xi`&`1y8sMQttJ<25d6!4hirE>%<<Un@PZ9aME4{` z@Ps&_h$>{s1gU<-{Jo?lNuqTu@%&0WN^__}>)9+ytG%v&5v=<o*bcl_j^Ek0&K8{g zZm|ATtH@C=Y$1)r%q%{4lFg6gT}@QU8cNQ^r~7hb&Vt-~L$t7Xf8y|`<FP&~k4C@q z@GmF<fjpp=eKl&PO*7NhLdJJ7$A0DI2OCq|-u?9Fa8au;VC6VU-9kbu>ptGzYh8M+ zhP|n&4Oi!*Y3BlXC>LGOHmpSVp;fbe?>4AYnYjUd;7l@M4PnF*f}S0DAA_-@Ut2*7 ztz8UX=5xRN^;0Jw>zL3JLUg}d#x%JcD$RGKR}(dMK--D^!4wXVQq3-*ud-(_hm(KY z*O+Lcnie?v+8{XrS!LZ_AOZ)32c}bwS6=2wd9XA1E_inY`24bmca~qDZQfBxaR7n? zrBlcLE&cwR8#u!y@K0`_<^DY*79aYt8A<_oY1hYmbMir7Sv0rNO=x&dx0NH4jj69t z`E72)20J|{zYO;}QhM-S0ko_Hd<6nQk|o74l#eIP>pR<wk0%f_RY%*!TWq(@S6N&3 zO}yS6>ySMe-jWxM!2G*)I@6m@K=q;Zn#W!VpI<rtg0siXVei4}yZ+~%2K4p%QJcA- zwwU6xQ(+hQ=i3wL2?DVOo9En-y`kK`<$x#n9=p!$m4;90Hu6aL%Z>{-{{)UL#D)2$ zX`8G=03@r;ri@JpR@dSWIlu<cI4@#!ea>xqJ2#nxE5c&lIf$lCb|@@&CkQ&P`XEd% zws+h#v2YlLw};8%YP~LqrY9wzw{AapU`J1%&k5knx%(Y<-I%tG6kFKY^?VDeZ{~vd z$Z@8)z6N&Z4lcuV-ppAt{rPNcaAV``%~5iD$^XR({QZ3D!{M|uaFEZ~cA!#ruoL0R z)PF$9h?M^oO1AC<+V3)dSJ{x(^Yxqc^r4~nZGcDM{IdQe2>`WD=ndxuVHW|Zi4$(K znf(!3rl6I*INOe+x#<F2boLvHD+IneLkJ??1%~lA(4ydell89VpoC8#kcU=W_v!AS zDny1Tvg5^{Uv1bV<en>_h?>CdTpUz<nw+qo{O~UO?XkO&`GrB8IQ`d_-Voy9GHxit zE*Wfr<L4eBEBC>&ZPvS7Hp(7+ZocT*pb@yj`}^z|L3GA6<Qlwy;(<LntcFc#+}k+R z^VOL@ybaJjm*g1o-ESsyJ#D_3@PV&pgH7G{hUv|G_+TIU!RSzZyQ}4b)4)y>oe2X{ zhl8yA9<#B;t`22)5<KP6!!9`IyWYw(@&AQOhCo2vRCOi{@fnQokZB{$9eOhFPUgA2 zt_53rs%!Qoz#!fMV?+})%mv8X%bP<WEBo2Ti@_kX&71omXA;D1VtzRu(^q68&|UeV z>tCwscx_JB(4olE2lmwOPgQ{cm;$^0Rz=ArMeW0%YO%)XhPVfodh&2e@7CY?Iolf4 zyA_7jP4niB+$l0X)FE`N+u?gs;>tn~<Ks;T^&ViWgL!!aZR>GT8146j03o7p51`SH zwl@(D9*e`bO?!$ry;1&{+>~VUqpaVOc#sw6Yg8OlOzM^?s?k(J<QP1Yg5%v}zlofX zc_VI!dWETJC7Q`6d38n}?R-{q-PHMH29YS!dTzWf^+KT{EdqFD3KC10Ln&5oc?|T3 zeJLsjf5A7$gu-S#otCKQJO?lTw}U9(2%Ha|&zdmQ($)a1ii{_CMIxU0GM-@Ro|kp@ z3onxZLC}~f6`z1bY!$WM$@z+kC_1gSQ)p*c;jhxgYY!~O`LHh85fP9Jm{?=0cSFww z8S`m7Y6l{u+z@hp7c5^2<BcNrek~mWbK*IShSRUNu;bu<;y-EPA(tE_&_-LxcYUa+ zpb8fn++e*}24(I4=>8BgxQfgKzX@Yt%@a+%`><QzguRgqS-ZPhfN^h$xK$C55c*h) zi-RFQ29M(q=O67ao^H-pSGtWk1JAk2)F-o3sG*m)*QM!jJeT)Z_UaHeYeQon_>Z@4 zsIW<unzrOG42!aK;uSu8c-L$7c~IO03s@=*le9%W+RrT}O#`NWxM?OLf;ZOb{5iBb zyIfyqZuSz{3R`>(%nMEpv%BGaemM$;dMWLm1fvN5Xy<F2sk`?yf|Q*y>?H=poX_A* zwcj&5(pEoX{6ez|s7z2A#t0Ss?B?y~O(ysiPOlPWly_x2=|i1zTec9v6Z+trghK%J zcLV8<2a7zchh0pDk^CQ@jUOq~q{(Vv?8c)6B?2g4E`8|V{4*$DYjyqP1Vv~^ur;_! zdi*T2v$iweeBE4K+?|k^Fs8fY=zpM;h6>zN`EadZ(V@@MLV;LY%;3LMl;g)Yv<?GX z$>4xMn-6)mn3zlw=(t)c#lxIrjIPeEunb*1Lfq}*vXv5n`L$&eQPFQZ6?q{|-Ezy^ z;Ux5Pa*?hd8FkX|fiodxZ$EU!5;S}T?iXYt7;NjlphZv?g7cE+Eu#qm-eh*#C;PFL zs(A5+f_C-Av#J%|16z2X#MivXF{SKvmQ}uj)jB@V(QBtL<gE1jJ_5!w>z!!xA3?%M z$nr7@D)3=1N-?Lx$)twflww}?!Wkl8$YA6H<H-FY)zwv-JZOnOe66cl$R6Ygs!&Xx zM=ulHl)(IPYg*;)){6&t{dFrIQEU3_u5UWj)xrCw;q)LVP^Jo9;z}RL$XLMDlbMr~ z^-hmpi)zmx-n&F?I-oacet)XZZA(_p)Ct0$`6VaR#KIi5@~wG?IHsRk=U367p9oA; z8#mS&OcYEgH&$OI%*%t&?yu^{je2axC<_p*PplOJ>?A63JA=Vbq`YH;_d0W2qo(N2 zyToOB-CF@%qe4ZP+1A2sUfltt_ZfM!0y))zA9uePbtFseD;RvqKq4c2(h=hEXX?Db zZ#B&Ie{I39m)p^PgZ>IBDXrZQ9reZl_I%R5MN}`k^`i)G@9CArdB#|CCq<2V)$G+g zU&Afi7aZ;=>gjlS@kq+G7()&9oWoa6emwcYi=Mz@#+bvU(}=!np%eH~$AIblldF#y z%*8cF0wVyrG_hc;B)E2`d`ctfV4u)D5c%>ul9F^qxe|^7Rp;gyox;``Qgli)<QLPR z?X~R#q(?UgyJe9q?ym1}(Gj9Dm>1WhKUHS4<34YOwq!^yp5Sd`e1H`a5c0lxOX`CI zKtl59c`Cyka{XdB@W5uI&t(Sjm~(D2@@}V;6q1u5{C>kEM$8IEl7(!HB0+@(Rci2A z1S5-R59K3>gal6GS0SjtMw38s=ATSS-_?wf>cj&eKE%#*^}O(R@^p3cbm5VPqH#7d z>oLQnxqRN$-cRGvCPl?Wh#41?FaBwn<;`d)wiK+SWTDe1alDA^2y+TuHfIg>dBC$H zRE{dvFx>UK|3(BEj#*Y}y|pGi1QfqFF8^)24*G$D+$=(UHkvT4VtVHRtCWop8N=R3 z?X(m)0EdiXpce6;#-b!@#`NY3rZm>vT41k4MBj)^T`?)QKsPs!T@O!3{4jKWVi4U> z`8N`4r{r(D8^ADoCP$QxuMxZt>@5`8BQY3BIi<(ezSH9lloi!r%2kXXcsU$c<#ZnX zocR0Z;@I4j5*_9=cyUWeiX!(B8@aD%$0ZV}VuEP><Q4Xgp7fZs<UU594!lwpVb;sd z_Z0k(-y-wAcDQLl)ZT-ZObrbpX9zp>3W|FgUW9r_0xFk0U1(yg^6>Vimc?{{K4&Yq zfWZQa<rEo?{a?xoeEc>+G?L7Cfh^m&DwL6FqL29+VCX7ng`Tg)5vH7&r~D)fgv5)B zkr6G(T*u$aw6Gab5sG^Tm+47qPllIEE*4XfVZtl40K?$I=xXDVJ{UiE64#5lq7A`_ z0_ip@Y9h_in!kVgEWjryAb_pe?BnC&M?2q=;TVMrR^|pH?;P-|`VnHP>8Nt`-Y{_Y zs1G7ZK7_5WzYhgkEgPiyCm9KV1A)fiDm{{7AZ3SR1+GZCxY$dt4Kk4&Qm@!hGqDBB z^{e)QEyLpcH`OZkvLrkDq_ygAgO#**eQ851SE6N#&*A#wx#Ed=q=u)sNynNvWk{i_ z5b~h<d7rS(9ixnv2AE}cVD~sVjH-l#3ZP*h3fF^Djb=5?i2#~U&5KE*V?at_Zs8>^ z1(lHaYm35Yu9EYM7+8v8@SxJ_7e!bONvK3<TP+=s6nDOUiU8F^TpNBLKH$LJ_N6-5 zjfD`M_f;>GU!3XfBuu2#LCrinhiCnFg-dbkb;F@R4Vd$fIvkI!03jj4i?T6@>z9D0 zD1@bmVE4iF_MaC0p(N3S_=OF({dOf=J8-EJ;=JGP`z-1ZQZn4*&B<8QDD$p+)*k@$ z!1x5D4fmu31-f`7h$gIWfjWM0h3G-?$+7#CE+sLrmQ&5Cm=QDq<Ww|mF`EihU%&mb zJt>bv`hBCBx0;2d+RYdWaFVKE)9RVGnX*n(QEJwND?OJ3=?KbWcF<C{xT&edxu>R1 zM^a|iZ(a%a>$jS`pH9BF_^Ix-_$588XX~z~=p0*9ehbW966Mvf;DSTYfshd8bvfqw z#Fc$BX(MzPp#ZO)<JXn=1HmW6?mD_Z9xeWKuw-3MT=gO1lY}^q1-UrH?r69a>vTCf zQ|FWop&1|w`bT7|<6!WPXsiMuMGIHEH)$5g5*{qfVN6Igm~g)_UOL&fKgQ<mCUS`Y zYTR{r8Z(7zQ!v{#zY$ETG%XD}gbusJ3^5!rdYIB(G==MuONeMGI%wyF+ipZ?!m*ty zIcjZwwNg|Z1+9lCucWF*TL3rNcsc-Vd?aac&YeY;2G&@+ISFTA;#NK0)f(4uIJdQk z#N72b2{Mgkg~NTlT$9T@M_Qwx;r6@dbq2KNLZWPtA!~2;tWV!lt&N-Xia_2&FuWl) z)KPe}OjR-=2}bBacxWo3q{l-)T9hZ4FoshewS`qkK*(C-FIcqtaWE07QmIoaaYIw) zh-BFii~?N7FUSR$<mJXm;S|E4W>8dERTxnu;|TtUh{A?={SlF|xj|(xh$(o{LOjKT zOeT9oLPYeeGnRHv4F<D<($rVW``6^@a^F+j?v~(^IQXcUBpJVhZa;TPQBnkM-6cSe zBR7{JR_05AM7z9U1zz1{U8$(X4N<A}dXKdUl16f*A187TY~dCj!ie`d@@TyJ2kKf2 z_8p=l@doOqJ8G8PL+R1FWvyVJ&`vaFQ)7lo`_(-ebL7a}iJ0?lPacP!z92wSuzhtn zWJ%1dF&Y_et&QN)tqnG24^{^^33U#d3YWHX|B*rG*1YBwy1cwhN=F#=HNlv#GhWIu z0TmG!q@R~totkWgfL2iqb^D2+lrZpuL%?ok(nEFo>s8j&r0c`Y%XDg5WC?hlW5&$5 zp{~Vc;Akjd=pjC#hRSF*AGe@|5^HLY%PL!R>jt;U5AEW_W)a8hi#s!*(}LaB4{XN9 zW|ew;#CVNge_euwm3~-WdAyqkRlcy1=!_DQd8xnKXws0~u{M_V?Ix|2G5(#S*(v&E zq&;2cjZFdF4Q>0x=8&3p)bz;lyg`#Uvd@Us?OQ#Tff?ubp}=d*gM`hS--F81LNstm z5_+|u=QAweGM?mrMpQtG5c*EIekkYvX%p$SABY!HG#Wfd&t>IYT4lwkERS^~7Y7v_ z!N{seZ?3HlZF>E!FHegScay(eLr>7FnsDV9B3nJ>w9WKRxLWQL6P|oc-lv-I!R@}6 z4wrqF%2;bM60M6Li^q4zQ*y#C{GTmnB=X16#YX_dA$QQK$Y{uYy!oCGfl$=MxVHJG z(8dVIfN*guq<zQK6%`$J1tvN17!kB#3nK+zAM3VISQoT;B(wPGN~4d;R($|Ug3{1U zKlJ4tiA#0VAV1(w69A?kpB<CLid~5uy|)~NLC|b6x|ncdCINCH+*D28N2u_Ob@YST z4*&R%sT_;z1Ctq4m_8#SGn=_U6C^8KTq~oIdK*TD)Iup`ZBQ65E6hxxgE&lkkXrP$ zyX1wtV;>$K5fHdSRZo~SF~zFr+{=MAj+Dh`gHJWp<U^SKC`mYS7ct1{kA6+$B6$=6 zncsCb+{n0JQ-$SBLy|2%$bwU6U+}ghqfOr8G@wxO+1E%eA^6IwS{Qq8?LK8lg}K_H z&?!*AOK5hY(un|vNTsu7@WRgoS-Y%U64^TZr!i9K$^Sk^>Yu9KhLclk#7_<3Mge@C z91r(cVaQRcZPyEi_gWSRyfxw@a`h4xZn!j58V`VFlo?*$uh95TTVP;U9w@S56<oHm za97g<7!VfG|B7sh<H{?;iUMXu%qmqZ+&~%c1Hxj<U;nuSo$P;+>*avD00x1P#qOg2 z%6OIa80RLX-4=gt;AwykCls#qn8)&{hz>V1M9uGjFb%v7k=R|(-_!FcG4vyqJb}JY z_2hm}70Uj94ff(xFaPzTFE17WqrDq{ZR#7UKs$Q%CH!AII@9k%3@_t<gT6L;mM_#& zdn3a;CcmBS;yo;Xo$blrV3yy%{phhot?=Zq>9J*z<x=NPImi$NHyHhOYLjx%HAMV? zi83swB0k;m*p|M3x={yz%t(4%B~aHHnZRa7Wq}ue(}&BXE<L+*m&JBtq~X3XFpT^x z|0uK*27)jND-7>nMOxwKuKtW#;UIURqQ(J)S<a!GJ85W6N}wnXg<rou^=)m<&(EKq z^+SRW4`#-ANKU(6%msK}2xulg)pFSaklqZUEDs<c5ePk%cryih-$NX@8Z9q_eB6MD z1UsbY&+XDJc~*0OCyzv*ZTCG8Rn@tl^ILms%-;pOKjhk3O3X8Vb6zRNTk|H(Fh{rl zRTTbW-F83N2HoX+Z`qcsHs!%Cl?Nn1&x@yFzM&t-76ZO$J77D0+O`v^w!&Y->eT4_ zgGs=5wq8Tu=7F)f)t>6vMP!E>i9O872C`=+j|*<bkl3Alu-8wbZ&_DVAQ!esJ2KIX zyD1`g_s@eNGk9%pS<Vk+z~?r2_n0mXVEk&YHYW@K@1kFEVzcH5`+`;GCD32o*6l7G zuwUGQ4%KfRRJeGj6rTCIHm%)m-CNc<yKR1c=$e00uqn6deslnBPyg>Y2_2+NAK?#J z)0-Q>r|3=xYKZ7v5T+{6f(c`>fuL`j;4LEG^?zk0Q2z@nf#ly<3Bz3VRlivYq2#t( ze_07UKvshKzkw}1_kv+ZwtD(bYU!C(1G-}*iH&Zygfi2<+;<)GoipOZY!>)12c7+z z_BkAL{vtoKw&q_1X=djD6KTDc*W3j8^9(9Uf5XmBA?tlD5g5vQecg@iu6ljkgL>P{ z;G53+VQ){A&;w4!5531*o0ze_wwotGzdtD=R2bx0Z~r=SL>F|i@Y1pe7IIj8^3PEN zeK1F$4qzoUU8!PlWX7LgSRQfvaS5#LFxu^`E|HTYoUG&o)~#)AwxL6Ei%5=OI4iYb z@Y%O$1`EMupaCPzK6a24IUsP;^Y*6{XJEyGy}4hL<llcSn@6YKYaj|*L$4id72jL$ zF<#%W@3?I;`Vx8if_%4qX{>t1CB@`Clt<dtSsB03zJ%8t8^WNS%Gy)t+RpfVs*Mic z4f9vji~8Re^|1dJMLi~r5miv=e~5YoB|qEnFc@aH{vqm-N&QpQ!|ba3kE%M>o|7g1 zBkJw@!Tzp4qFyIc;P3h^>cu_$sXwCLbvMNIZ&6Qp>u>!Q^@RVEs3+I>4^hwM-$lJf zpr{uOUILV5N_w*2uSw*GLD&TTc(sCmCRJjlDIcrN`UOZLuuo~k(`3(}ODTj4OI!d= z-L8#0%lpX#oQU|E<$|klWHxaYVTk)g_28ccH4B9jwT;JEVOUeQk+8E*=F!u8Yov!q z{qhm=mA|LQB`EZhzNH(^kr<(-l*^tgmJ=3kf_>I6CzO+n%6k|hGfSPo6t2;poB*Yv z&PPe$Az#NuWKbZ{!c{>nfHmcJ*4_TaZ&%D(Y~eqNv8x=I$R%X(N=EnD+PdJ@#~Bl7 zl>?{NT3x1zSK2@{aYwoPa>*LM;J6kN@lff*g>!){Di!ovj{<+MKP&oC<&Y6&Htulm zM#swAVXRv#);Ke`+2O;8N0u&hjDAT!biELCLd@2(8Gp3;^){sRJxG(|iY&DRA;{-F z1EbYz)hU)EU@|Q_Kt$TT_K(RVIv}|IG*?JJYcrEI2x&aBOvCY=j3p4CV@gk#T#b)e z2Zqs~9K(1r&E4Do_TbejiOx$<4`FJ~j}Wl0k^qmX-H~fO>wVqDm`-GYMkFtYC?+j_ zkBkO}fN%{l;-`2@Ogm92g=|}ER2K}bzH%j6Faq_01olwh`?Rp&(Ze2A+B`nx_-t3& zfU1~`Yaf3P72x`H#f|jTRc!^Aw(dk?1-HD`rfjep+h|!iFzZBS3jpT8EC<A20fKT# zoKhT1qVh>yVwk}`9zg9<hJG3R(YJKimOY_6B~%CN1|6uz-&Gb3u6NX{o%71?z)E8W z%d}@&HO2-fIU6pSImD_SC1oY_6)m~g8?jI|X(~ff1|>ErX;yBLl+~J&RdikjokI04 zD&Gkev+(i&1tox#ije<fQ+UX)vXINpg}V!hA=pl@K@1SI6jfP&ML1TKg4(5z3Orjd zk!2JD)J^-)MZ~gPa5h<mg~p23(#SFlPa-s5=Xeoi7$}03Wt|nRX>gLFi{oW1tDuVb zJ;(>id8nJDgTEd1WzP>m^iZw~c~fC1XRs6ZB{Z#q^`GC6oJQ}>#Pjcb@;p&?4g2(D zt(6ajv4nj_WonQoj9~-fpkaq;DH7vj9m^H;p-EF_ru&Q9w<}=E-CBQo@q3*>_|lJ! zO7FF7%jKx$`&zpIv%*hz&R(`(GVwLSp(@U-uq{QV?7qNRbZE-ZH3%fd&e;1Daxn1) z?$q&1<h!hni7}ihv{Fo4xuv>~IF-#G*b-n#TI(wZfSokPGd}Tt!e5suj`{dq>uVm# z1CZEHR%QQW#r!2pdW5qdqcyvjvi0Co?;6BiD<YB~X3)sN=%;#2`Y_i>*SxLR*z_N9 zXwp@aU~_UH9jFNlJ+Y^xpBmNIjP&>O9Hu<Tsr;t=BjMKzYf!LZscPGD4Ey&Xu=Yr} z6xdZ%aYkTiIS1N!2;oh>okFFC2>%q){*aXk6RlQ@H6!)WkmryZQxv5c1rnzA*U*Rb z4VyzO6|)&0a<c`)MKRPS12#mlZ*b;78?jNtx7Y<b2$jzRK@dDHY00&OIZh<C+3TGl z%nRc=%Y%(ayox$xVzc1-Y>8?Si}-lf1{Ulb-^TCB_s%$d;<E*+MnVrH>ky~DbmFIz zH@mE-QI#<ikz#08$)(V~uHmJ1LS3$<MzdCK4bFOV7Xaeb*_Q8zveH&Gka8;n10!Nf zuJCYP3h@#H$Lg}SdxcSCC}wO7*Hn*}mwWfw1J-+Izc5sf_9}XFR|Li@HC&6~Er6#v z#fxwDSIG!{j)tVu&jFaw%fTyt<f)VK#HG`_CKxD<UBe{OC0%JfC4JQWr3tjop(AbD z6t#y{EiUOj7<R@~o&%U^i+%W6L`*jmVJE2hR0gWOb_u3u2zm04_4I~+hglP>v4u;M zzd1nwP}CHRqq27cP{NvQN_fK@`WH4f06G`-k=USRspn2Z&~b~OtX-O4j?lxv+{GX# zKOj}Ky#egCWdkdg%j51b3877u1y={`e?Yf$yVj)q-1^n#ozRZmUz&P8*5+L=`8cjn z?$ql29C1*UQ9)JW4jN)jla*9K<v|_4yYeBdNzB#$gPwr&P*i}b9FTD<a6FLwV`OXv zbJj8xE|t$w!{rrzv#}XWqehS9Y){}gO#}Ea_a7q>+^(NKxjDS*3P^9l8v!rf*A-YD zP&X@)<<{-;&-Xex_&HXl2a=~PL-ph5*oRIdZslI1vuM@BrMbmK)lL|xA=gje783=X zcMrelhSM1~R%Y_~D*bs_Ebw6s)@M;F4+>N9NhM(*ar68iWA&*PJMUa>$vK!plo+Pa zA8t@Ul+#NFr{*&vwyH8Rs7hQxLuAS_Yg1BGQ&hOr6!f_kTisl*$rl;Hlo_THFRv6~ z`hP(?7|Ns*{bMWX(w!&&dmcr7hdGLBzLTZM_p+&ex{K&<d>87@MTWxmu5R0?du~CR zS;ITjrV@qRnE7_G+oHch@0tgHbBX?~&3R;~p!(a(P<<^z>G^#78(ze5sxTg@6uvF^ z|8F!G$VZ$3dJ_Kkv$<vrdYV!Hn$2aC?}nwk1XRv+yIXDPAUGR<8cni)-0zIasgRzY z{?HM3PMWZ_hCWe2&7<P(T#U*?lzC<R6#`Lzn}?0a-E2g__N8_^<Q?1}rnff}1o%lo zTfb&u(L_}D>-VT(HJ5X90s$i|55RxH>}NKVn_Ttu*q3`CL=1n}3g$>d_8Cw=+Ijyw zYIr9QyJ%oltd<H3h=R-SgFg@21W|6{VSYP?TKNxXe=`@<_J10&*qnzeKOi+>w611( z5@DMz-<p?9dR_5j4gHYtc6_zqN02(l_8c)_?F?HU-Aw)QQz74<p@(|C0)AZFu)sD+ zhnX()w|8L-Yy1D|U1<J-b8J=%3lH=zxT8?~-@FS=&+wR5-0l)S5Ivvd@#Qa<?OOVJ z^e&fSvvuZr<hvH_TkbAy-76O7);I0fAFIneZWSK*s>+I=zV|>L<yIH_arw8;m$Zv8 zcyN72vdw<IxO?E^s~d{)#b-zbwOX4-Vjr(P?D4OiWMQ9fMm`18M?iwthF*!@Ssy+D zPBtuTTiUO6LL8B}=&OGT#qt%f6-V?MO)2AOmRI!L*IuIMT#f%o=%r7_EClRbMw<@1 zp`v$Q!5Y0+9u02hI+65)u(~Jv@QLJ9?%xpg!^{G`z;EsN!GKBLx7|tK#tZpvCyzdy zbHdGFCx>N^Exu35KDORVOZRjP)HXh1imLNSO;rqv4qUmn-|cN&e!eVc%S}$5T_Ahh z+MSIMaa~?)Z8)9Nd$>Huy?jVL=K%uv+%`9skdQfyNi2|lkzfucx}69~7@+!=9Q1s^ zCg$hTo8irP(xIt3@I@MyM}8#!FGs4$27mtlGE&WC+Zvns4iu`T@V}U-hVK#YQ3`5s z=Dz#f`w0TYVz0#RYzC#QmdC*vX1ibAe>upYSB9}z(dwMQ<LB$vi^+1j=H<uBb#P>| zoy1JY*YC))D7~L21Z}(P4SW(eBRD!9i1b&5ULn@&E}A>XF7AoeaYyelESsSPPrc8N z9NA7`_*#CQclotESr4Rp=25Sd<0>`P<O<kD0bnc$xu<(<@Lw=4`nRCdy4xCHt;h>X ztuWR`hdzI!8R`k0G_)Vyv7t2E)KE3q0V%VygC>1MU*Y+@JKe}<h*b3tfWP%W0RE-i z_i(L6Vu$uHG3GedYBL;#mOPhBpj{St=!3Xl12yas81+uB%mBy#QdaUBsrvn&={~N@ zK3@DO2S{pP*mu7_r6&Mj+U@yUY6X`RFAo$iE%of(A@6@nJ!}{=<Xy1wQvPb$=Qmhw z>s9*D>W7X{QIwGg&}75>2yMDv9*`qs*rDSvv63<)=V+loDQ4sAfOwXcvrknEs+wvR zkeNaJhIdGD<f<J53PnApfPzh7PC06Fa}Q(-4FJDJ@yC1QRX9xNgnKBK3*8WU14;!g zp%@0%egT=qC235A_VAi0pJQ|!JcRdhz0+aHY2&6q^X)_yLI1#Akgshz+O0(L-y*Ik z)DT~u4CCKIFx9hO$?#5;7OpDspF9FWh-D>jKn8N$=QG9Od3E5)-%`vNBW{sUuH299 zjvx~lWg|qDXt8|er`$P%zIvTcQ&v=yvlLRod^SI^)&<+?NceVij0>yCSWwy31b>w7 zi}!R6(J{f~3(x}sn9+Z_$DZiqluYgV!a?!f!g2-*%7}OfeCEEUl7gSZpOtyBu~H{{ zkSY2(Oqy@)ph=8d{Y?If2%L{r-fEVLP#i@ymQG6nGQ)%^qD+3b0wXFVQ<cVGaY69L zI(RcghpM1Se07AFVA;>QU1=zKjTr^lquqHW)S?cN3+5p7_`+0eukiVKmY=VO=k@95 z(ZT8WP8Q-$IjCV0oZ;T2`F4-svW6Fs<PWDNeJOO{pG+X9v(SjLA^n#=ADSnBx{Of< zAGTRicYx8nuuX0T6=xWk0?CuIh5c1VL7b#bW)k;U28<0}Y4@SW!M!+OCP~|V0AbUY z)j=|3i6<4q;WQXSFaXkrhhrzP<7b+d7Cc8zf8`vG)QN+YgFILi<XJo^l0M=S$6uYj zUiPI$0|S~`mv6*iij40GSbB8Z=9i4tn77zAQ0aaL>QkSVjNdx#ywNApzx2HeIW*i1 zZ8W_VG|Q*s1riDZci{EXMdc;d4}orwJ%-rfxb#)-b=o&U@}>LCh-00GV3cvTrk~o~ zRx?1#)KemS)jS&~9C-)CTf(}Wwy!^{q)|l_&#cjb`TX4LDS_1j!Db0VAT-DusAns* z2+vo=5!pa*$pCF+jm&Nw+Px8Sx&jOX%3s-)M9tT4a;k+(g7U*(5hMEf*nUCL`pvcp zV?TeHEyfjt&z+~W0*}^$@#PL;2z8+X`M_h0nJVje4|Sd2B_|0(shy+%(oMl9{OSu0 zlCx}X7iWT5?un;8{N6F=im=vk<5timbnCm!TGGtsyAU>2Ng!p0=G99VN<!c3rOY!7 z6V4Ytakn@WwmWy29RHE{DK1q+aUY2@&9@H&M2?#wWh<#~87rCv7e7A+V2i}3IGQ{2 zYnDLeA@hoLEE#hDn%k5O7*m$GAB02dzhMET{-~VT54YT2!u4wv4|$*xW?&t%k?_cQ zcOk?EeOI2TF*l`AE(m=CVOngM)aaXbWn^mbvT7i0Gy!pddQ3$%#4;hQh8{<CD4Omw zxh|r#E>-aZ2to7)q}OR69E0Z-2V4ogVP1Q+7Q3KQT7Sb$EEtVPN&dX@MbJ7ri=9&z zO?wvzVZkQkBON^xH*K=gShGp-iFe@RiMup5CG-ZtLCul(sO}s<5IRZ{h{!=xY9Li` zkp9fH{gtGmDSWFh3NZ`71k@V+%iU2+n^^eCm%>-FVUWU}0{;(lX8{}87Nlo;#%zz7 znVFfHnVFfHdCbhr%*@Qp%*@Pe&rJHgmrZt+-E0yiQlwI=PxraqSL#yVdrsB=e`@Bs zUyg%DKMN=?l7FtkvP7a3w3Lt=))86(aM@`B1zO)-Gn16u2xOj424dhD5x>!28pSYD zBQT2WWR1e;=sBk6T}m|zp0e<O>D)cDaIL0RNW=Oovp5M|9b=b(sh9yrPwFmM#f;tn zI=8dxMOHbB;4y{M0)~*`D0EuwwV)CsVx)?OaEqFgBf>`6wo4_8M-G8P+0B1}B}%N5 z+xvXv7{LP-Cr4yDL72ak6V`5DpA8$1N~7Gf2>BVub!YU0f2&wo6KbKF5{j+U0Mx-9 zS-E}xxE-bzR%RM_%C)>nb^{9$JCq$;y*#7)d%OD&s;AZDa*;;z_Urt%CnLvy1S%&Z zC~A}nX1ow%l=QEO4f~okB0v~^CKh(iR}}t?52Ia?6Ryb%)22WG1_D~RM%z`y&vC_y zx`OO;oJYR?ftrSbO>l7Tl_rV?tl$mQtIELOpbrFSyYS3ZDS)BA2}4~I#4n2nc%9oG zT;|;-7-0I0yWeQ5IDzpLh2&@UeJ5g?+eQu&JG<7c$^jmGAP-;2`F4kz72xv9#lR?k zYQ^_mXiXpm>D*?HWCYMMNGc8qfuTb&;IE^ksiVzGV%e|+%2W(Xq@m|j(`}fq&P3Af z#)lfgqBQ3c+5$B@7UqDtK|RlZWX^uHJk?Pv<gYF4)P^^}^@$!~_fz;8)TU-fLK(ur z;L^tNyQ#Q__R=#va)|RDA#G|xjZSJgsEmr#baj<5M0Y~J$8EC`0ri{Ds~NLlMJK!u zvHty`5&i+A5@K@2W&D@=fufYCm_K~lZUsLjF1}FMaTrR-w-9@8k+f#SDZrxuigI9P z&K_!C(g>`&0$dt8O689Z1kO<g@#i)N*bAM&D7olSmmrb@Aukx=HQHpueun&sadVlG zw{OQZxF;$SV#CxWs{+M?^zjCl^DXwVwu$%Uez7eoPv#DCg~-q`xY>#Gp417*XS7P8 zDQL1x-1fEl!$qC3<K!UJoz4jYN@_pNYbE%d%PIv`#&OYYi7;IIuF{osf@5i|+m_l_ z>}Xvyu2l&la8LXh#RF{G5Oq~9E{we|__W8XX@>cg&!V2}lL24Bk@s~eT~YL?hr-hl zDtX#l;rZkj?ByI8CHKqg$xr3!>`%oU#`)i8^K72*!1!#AaqiC8*s>P>xMDhUGCsS; z-A*Au0EV4SLX_!}Ua9cZT$M`>KOt=%SsWN<pz6veA>$dQjja4lq$QC&llc`MgCc{W z6oN9H7Cao4(LNc!+_+#%HeRccT|u*!4$>{G0+Q-=>Z?f5=p%n0c+U9Q*wp8RXIB}q z4Kuip1i$!~uNyZ@w_Hkuw&XASYw8g4bOp#0noc$UWnc3wAbJgsj$8v+Ine&md@Njl zqeMKbD}LY$NmdOl1Ud~?ze#tAZ~`;P^c5p&biop;TO@j19(~wYS^nfuDzbC|Kd$&6 zv0(T?KUzLDhJDL-Vmna=buH2z2b_nXI6DvwK>Ehr(%{L?+v-iRgd}H#y>_H!<ju)D zfwJ7?&7XA$#q;<<%#~%@LP4K{iTFRmtyc{5c}+v!5Ji9lG|?A$Fj6NosE_BBo85f` ze+q*T@q3lSgAnpxF6b2v@_ffX_@>C>1vSb$lCE^`k9|nY!)IZ@F2O+?alpN~Pn<|G z(uhppz_uG!uZ{)ic7kVb%=Byd#<m;h`1otqO>*l?o#y7qE0Qo((@uoE+`c?lR4<8o z;zdXcyx)Po;x5On@TuVS#YyKehSHti&ROr5LVxz{5@&S3!dPUPpITVUo4CXO-`W8S zr=Uv|s_=Dy0hWr3#S*|MEB$YQK+Ru50HrpAe}n{osRgw6(f+GipaSrg)?4yF8iCc0 zxi^Klk=W?|(Tjz!*>GQ@zYlq%DMqLfEQySpCse(+T=88uOtG!9PZ?n20Pcx<USFl1 z_KFm^p~8<!FA2+XyLVz4UvD=;ThI|+(DE|$Kp`=kkey*BJPl;htxCx2zvMDI8LYW# z3ysEm$-MLHg9AoSL-I%c(iBnsysCO3Qr%74DXp-a*^Vmw%ZR-$EgC;B0^#`cF|fP4 zw6t`4GZ-2yDx3rBHYV$Qrx5sl$E%L?(ZFsE3g@dAb$$vG3Z46|z?t0(!Z8c{pE~1a z^1sv><=X#yozcc%;6JJ}uHgPtXT0$$`QNKEt|VH0{_TzRnfIT2W4YP^ys=ss|MJE< z`_IsMpL9p(e>-E*#{G}ZSly;dw-^5!ou_nrmObO9sU-6_><67!`5&yl9Zq9IJZREj z%mJ(KCb>GKuD@2_O-e0r9T2)On&Q>a9ddUzhtESfJ`37bj%#fpgF&zQ8ool%J-Dm| zA>2okOPHIa<lK%lR;XIFW9-q~wFpl`bsly{8%}!PU-o=~H33^JweRM-;B*4edMA6) zaNIB5eu1k78gq-lK3K8*11H^n>`ZvqUW;y9I`moXVz2rTYyUH#IeGVg3N!~-wT1-~ z)i?j|_&neLIX;hI==&hU{}p`R^xycre%${6pGR)dXY&_6&p!R%$LG~0{QLMkm{|Zm z@9p2<^Vqtg-jaVU%GKd~+D>ME+5V}|{)w$!^1lc)=RNvgXXo+%TXtTW&}H(Ai;*2& zQ-)XmJ8&<d8DWgbH{6Z6b}Yd{3^3efCk_%#mJS#G+w>6-2ix7lWUN4`RZnjXYma{w z8jHgzsp4yr^Dl^ypMQrIFq9sl!9SrUw=YF}^><h=lY55;`Gf~mQ%BRq*ZmXA`3|7* zb#OG^N?*^o?tw{>Zhi|I((fyB=Z5O=WKY~Mzk%0VDECg`)^1j2O|B+$mwH1PRQhRL z>5B#b>ZuPoIpfVWBYH>9ow{Yl3M_Js(<h=BNF%|o;)%gJojqT=aW%poVL$}c$hJ&w zBW*Y&n<v@&YaJ^D%LaCaG-dyjH-~=vPUh#0=WqKXxj57Uw!GxG)$qIQo%|wP<D>2H z<6m{Q4>2pmJNFZxIEh^pa~em#WEFREqPo25yLiAn)YRJQ-F&90wyg|K{&+h(VMc2C zKZA8SafK-8jfi>1G6tr<I*^>~h#0rO4nI~?WW3RMCmNFq>K<z)rMPc#%be`LGBeqx z5<b^$HIf`?g&h4yOWh}Z2;_dSn8j`^b`z2Vj~?nkkqgmr#x`yhB1e=}R>62?s?rz= z?0j>Z1ilDOU|^j?2GF$b$u!_2Ek^<*TKFb$Ru>x_P=QOl;gH<b%kXUN_89}`f^(Ux zYt+}6g7THwTe=<W?%uzw3epj9_#+I3cju+&$jOxj3JPY6iJ`I@*mL)ur`O-9GyVut z?loh|i!G4l5jc%D_j&uE@`k#wJEAf+-`9PSj<mjtL|2*eN51?tg_rXhS<pKimrxd| zE1kLanOg&J5y#TsYnTX3$)>zzQ~3DR{DJ&)%p{je!G}T~9<2GqqoE<vGngKC9I*nQ zUV$H&s5=aOAmdm^9}S566m5V(u!oL8!Icps9X%0!1a8ZrsX`<XeQMU}B`-<R(aKW7 z9x;}jGw88iXzW9@!_%cJx1m4CzE!*PDqVY6H#xa@-|zoG|3d0XgKL#9PYmk3XPSF| zBa4$(mJ%g|yIFgZf%HK4AxaU0sGL~{Q(OGoQ-Cv#`CodT%fu5><Fyma#NX~*_i=>t z*3cdT9sp8n)dZ#Q0M7wPr>RJ*fE-If5L=q0{im~|TmZg`d?6GaGgMMorLCE;f~*`J z;6Xs48K&s|_$(iGDWx(2gnv&y@J!N+sI4bS;cq5G|DJhR&xNf;WM(~|3`CLiwmjbU zL%`6}KdEN306tg(bW#wa9^AsFnDF4bK&Ha@VqHjsIrS|=fZN39>Eo#za}x;Ifo0MP zgC7N>I`QSOvwmfHJ5<hW6YY!FTUkmQu_Hrc*_ZssFRfga^M^)Co#Cnqv`M3BQxzST z#)=z8GnI~~DoHiw%8QbWx{yXnkq>wwtp~s>43!HgQxz`=#p<~l$&@BQaVhMT3nqZ+ z0~#t75LT>}g!JJ?s>M<>6;PUH*9AELa%wKB$tZAxPomK_{{AU!l?x=MDo^L%CH4<$ z>pS}WCm;k1EFID@!Q4#T0rLB(*L$WtpNB?!!sY@Of{Uss<NgH>15J%+%Hk`ca>Xx} z_PfSRwc<AEZ7{?b4vtL1`Y4>ilR3#ioRO951K%^PoZMYa02%7w^l9uG&Y#4QKXS$N zD@-;)cL2ZC2~|OO8@~#l1YAoOHd`3><zs9=N)6V`(UJeOuOPsjEP%hX&m|S)5C$0` zcxlV2jH*sXv}qm-NDE8CevMRThxHuFI&oh5=+0*2?~$an5+A})t7WFM#^#ZDkTuxL z7zONl)%4P-AzzzcHi;<`YPIZC$sk7KImD<nqPj9>BP7vQU_?{ew5GJV`L7~+^Tg=+ z^}^>{!IGNyc~4>?^vn4Vx4#Gs{19^>JDjlkp0+CR@5og6=!5|g{EKn5&EXVq=3x+W zVKKh`x;TFVNGKaXkRr>M2!{{S*h%La0^OW3C#aEjh=aHuLi}k4^KgAg;AoQBQKn5R z7>){DAlYN(BnDO1LiH)q9Av1!;t{>$_~l9PUQkrejE{!nHIOS^@kn1`#6xcTp6QkV z>=EJa@7y3gx&o{2FE`Oth&OGgyTwXpQ6EXxz+ue=jV***OmWMGg1PFtP8}b#XBkN{ z&1Xgp!vamAdHgz4d1aEoh#bu+R%d~G$uS-veh{B&5KS`3XOA2rlAutptnEb?!iqQ} zTu)N))rIO!`uOw!MB#bJ?jkcCF>t7fCFvmy)jx*GSkhM}ob~~$6DIIc%b<)vyGR)D z)u!qtJB;Kzv1axf>>9C?Sz|s;5FObW2tb<FJXxcC<i@-7uRk%Tgzsi(&cCC0099Gd z(DSqVE8Q-iL@9=sJd9hSt>R~}=dT$ZxsL;hk|6pAhwE=CjPWFB#Ze)<5L_iwmk)DA zO$OCeHja=~(OinOQ<@VZZ)u{bR<1)#@H~%E<T6&0Aw5C;lH7WkKh&Y3LA~FH2rpIU zBsi5OZOgr+b(tnkGy5(Vz<0hs+8>H%CYjsM@NLwUEG~2b)Q-id^dUJoY?Ekr+N^m3 zReZ(zllVCbs=7OVOrdH=+1@nY8gY7<sWi{~=DL{Ch=34y{3vSrmojYS)YO<!w-I-I zkuL$+WgFU0IbC!#w?d_A`g^Qg9DLFO>Ao=!r^oPl%Mh+akjW@NnUk9ACBH$bBW5|L z>dy$QZ&^r@_e<6=3%m4#_@V_+XqYw;L-ubZ8h(roQz3d(>%$ytS(Mr#os{c1X{jmw zI9A3(RyB3DG=tFtWwd<*X_wr<ViG)%HGX~EQ{@;_J%NY1O(wTZ(uSr7$*XW{8*Z9) zv<q>Ytup5-2Y+<fP{K-ZxbRn}9oLmNxa7c0DEfz%O^ynv;-nf#ysB5VY3D`A^~<m% zBuGK=iLiuzTE84B9B-7hS|9WuHzw&T0x|X|_t)?^J^3}_d8h+7HE+aHXyhkE(Ltnx z&6-WV!glA119#Ef;)_Tu3(+^u_UwI?fFgmA@kfwy9IN}k$5!|&^6W4M@oNM~2DYmq zIO3)$&hVft1R6k*OQU=PiEG$G8mcKKS~E!(8>-22>7ZQcXTS<B5Blqw3nzqYgt}Ro z;r4IefUNu|mk`R8=MM<ihAJ<&r{5<}!lWYFba(3nNi5=~33Wmr4|(tjWlrirpr4+$ zm62g3JJ20*VNg|PsLp67D5@0G?vInp&(Rg}y^yoCAn>b~)Z^FRbdd;M(ujcw&Uy}} zp+_A03CMw(aFIgQ?S_fkzd<B)i@N$%#XhBKZZ7(VL9Hzg^b9nJq@0&hdl_r5<m)F& z2ZzINkX{m$<QwN!Rh)2A`JTuyx=5RCky@Rm=`8v%W=tg8CP~zBH!;!t&TbfDuvqpj zr9R<$RZVB!Rk2P9uIC_VYu=ze!~_-pG+8)MXfRr_7(LJ^rD4L@Cw?wAE;L-NT9r0# zRNXXXToAw)9~T>+(O`?8{jkm+hXDVHe{5L3-ocO&ep{@6OBz&YZ&r|qUutYc2wZGw zR}gtX);)kLpxDYaFE_7L&lB&jIK-Q#0`$Ei$Y;WpeDB!;U7qDisYNVaHZ#duF{st^ zaSGqLjS4~6Lbf+tWiw#Bw9oF^&I$ahqqT*~cJDB9q@4^YFJsRg>j9UGHGm24J!uG< zWJ-*;Chqg11{Nw!A?_@~Gy3b%P6bH0=8p$W!Z~FXMKK-a3)zPSp6O;*nVcUjBI2xW zu%<$EHJ1~^{U9{rS;Ig?emJtzz<}h6x~BTAnQlc4J5ZV5(6!*Y0w-_r7y~A5nQ8^v z)%x59O#o_KLjLl^8<8V|Wb6plR}n%AH0_Rx{usg~o`NMX>5X_(9$n|-Fa=_RkF5kv z`OS7f{zp-ctR8!Ld_5W(TtiZUs&G~N9j8u!yk!!UTvh7e<57c77d1kTrbC12z@o%K zl^h|rfP-nNLd5WS87i6MIl4@l1Ohd<u4<D+x!r;%VvUIsCFu-ta8ZxgjDot{d6*eZ z5oZ=5;r^J$8eu#3QBtrA+W2=ZL?Mv`co~DV%m*|RdhJvUJSo{hDCu#KYx<Sz<@Md- zV-qV=<v1!Dt>~(tHz?$kQkm%fy-xOqOcxi3R?!t1>@3ktgZdCDpse#XPYofRO>1in zQhO33rBSb&AR`SXl{mYqoNj-ksBs7WefB{=UmL#8abfN*)kN$m0;;3g8kI>ugvk=p z&CTPPh(4p!cuf>M<nky}gxPQ)sEgKGe>?<)9d87#Ei#@l;V!(H(#WhILOmn`C1GyC z-Zk^U!OCOz!wJBkLQc+b7`fa)IfL{mfpz*KSBu@}T8HeErCX8&h@9eosrS&WSsor` zB~H8;T`W&g$S4&r%e_WSgM>W*8dmxA1F|xinq!UM)ZSGyaigV*@nc2J6qa&F{FqZf zK$U1XVwL4qkq*K8?iqwHvvvkkMPdeiPb>z#@g{!Cdzl7)!nKqyjoE-!y2?E3*(~U) z++$(}9+hF3TB$TWS3lhKjielrBuwh@%phwj@^~&d{v?`$C5fXggW-9e>GrUL2B%fv zCoVm<jZdLjHyS5PE(N5b);s_c69!jw=E+~Ic1-Jf&Bzoh4UHKUBAPpH(3}L|t(!x~ zGMQJsTt}0J-XU-UJru>~a`8i1BM(8bsP*U$&taVi7&Is~c=Pxm+BCqA0uSmyW;k<1 zXlVS_Vt!W9>C=8`oKAcJm10<edTm-{06Z03Pf}PK_*;9n5Tx8x24q!G)Bg0Dg3E`c zc=IdA1_4FsshHhPE@M@25J1QHgB(w`O3dn;S{(@6E2q8H_fX}gSv6;f>+R6O%S<KE zRrRwu4d|cCJaTg4BA_Yyfl+MpKxDa`WFW+6{XyIc30s18q!|sm>okO4Ht8T}3^0%k z-M#FpF6h+K!ie8>YQ0_J7Lm-J!c{bnl3W;&Jv_!Yc1dZ=H?;J{#geV*V{4Ms4IF|6 z7!V|lz|^9R(4S|GQx3rfE$c0T=}VX|<IwdMt4u*9)jQGvOyvrR#$`e4laF^oZq&$= zNOJh(j3g}_Vdcvt7b!(~atI9$3W?t0LPyO?8100FfuCa&k`k0PxRjO8<0B*#<k(?V znR%2nXcv5AW+#$lxLX>6^B4x#k3obL1KHbR$sy#@u`43U!V)3O$Qc#H7Tqu@3*kMA z`V)%9{mcm(Z4>I{v<4YD{fY!s%f&KQM&cD?Ss{W-$=ub6{feA^8^_DR9a2Pl(xBh8 zYgyXbFU55+fC(Tz6gl4Qb5w2;g#~%5v{ej-uwvP%++#@xHzbYm-HBUvrz8r_q^*xv z)y^`~eFmw+FrVzS2Z_1Zb{h6<=;^g6gBo#D`-LS*e9@x3*;2O;<`#W*FRy=y3xOK$ zL~=q7V&qD{8n=UnjN&3+<&SNG=653v;Vyaaf*gJu%n7FqVY*3nZj7oq=Y<qmmwXi` zUf+Je(UBkhL8R&kQ6xS;Y07K!JGt0BX0hg1gCeo}4GGEkdQ^r?y?c^Q?GFZ8wWK-> zQVL9#OnnD9jnW_VkpEoU4Hql1=s>GhLsEl`0oU&ag@*CvaFa$}EN#R93E;6erwZ0) z&G^Rry^3_8sDbJv*QksHn<Vsv3YsL?fb6FXKM3({8m3Q3N6Ho%L-7dp)pMdX3d8J< zYD6Ld*<n@*7>Q+63Fv-VPp_kkqq7rouNJsbu=gZD|HP?)$^{RZN-oaDbX-yzFeq9k zh=^);0oJ=EeCd857pqSq%O#y7EmAqn8N$$&VnZ&fu+*rfa!0;urUk5U4nE<GPBU@@ zqe8u&DMHcij1;L;QTc@rx32T7Q^4~_grxH?cLFNq^1CVG*#HOU3B<}-Z*0WsVyD&$ zqw0&P>VX598Chg1a(tBPndK7NjS^pfRkal(4Ld&n9o+ohgI7G|P5*-rF#1yk)-(+K zY7N?lA<aS8w-BW@WJQ_mG7LLW2*wOV;a^cZshSG0S@pvtR%G!kRhqO{vTIx0Lr=Kw z!9O+xFDVjR#)ct%9`d9+IP*6iMpJ^?uWfigg`mXJz26Gr0-O*$#*YT#Driu<v}6}# z$FU-l_~j{JV>S^3^5_fL?>-SN31BPmV)>lhYr4MBen42A7W0QgR5sxcknFx!`!^4C zK!6E`8>hH5^Rv-$tW1JZ(u_w13$4zI*dmMflgF2cyFT0c?h%}-)wXEEhXNf;uTMKV z<L%iK7ZYL8h1Mjma1gli3%RTauzT5&TE@(|Xb7IZJtg3Bk>#gC!V1ni*;^3-$!FBJ zKzWW8fHM#QsH_lrL-9KIkNt#?+CyI|6ii~sd7R4<9HNTZZ&nA&x2MWvw2Fz{UQn~= z1V6DO2FE>H;HA?%Sq2>yOSU_nm1EGQ&+j`ax-S+aRyH$=Cux&cem9uV-<Y7OKpS;* zMIquz)wV`WtQ5bejx1FvV=WL~ka~5Cc8e%CAk5Mbh<H8FctDag{#NZKK@OGE1jv*X z!lUTr6%`o+hJv(#-^W*QtE8dFYa93%!oJjzw+P-BqU<K!VufL^HQnICkEIQF<y)%O z<53klST@R=!;A~@9|Q@(h3_WIsOSoZR4!|xql61Y#~2k6_!kmW=6w$;pD$>L+FeX8 zOdAWDk9|A@NN6{IZ$L!wc_vVju@EHrcrcLE2=(p&a7smdTV3&q^4|bDSxZ?h(r<?B zwi*_Y<H0M&v09R^I2kd>)^>ylAT+|XYyfYPtamIo%3gR)LwN`~DNZ>(QFck$!IDc( z>QRHr?jO-vp~>f*L@0$bEF42xCTU`wGVnN7d1A((kufOc5+<2bXzDS~s#?8uPTh={ zA^dR*437N0ia3`DL!;$J%gCmry4(8^YNf-7A_`jr-&7n_J9DcF>abU8oMVM#ttOq> zKmrKQsVh5OGC<a=joc2oa0RbzOSIjrX!P=`h4ZRiP|5$4vt~#)o^FB+ZRqx>E7g{C zzZf2KvZ|A->PS{)A4jrqxZ7`QnALw2YN0TK>K7H1L&?S@htunPSd22L0=GSnF-mgi zgz<=yog2eO)UDYf2m}GGiX&ged=ny242{S%;Jupitsw6yREy7<1N&~kLm)?GRY5kD z6PlINlv+&I0mYM_%Wcf-W$K)0ZUR*E^raE4EKE$)-X%WPNRwQXXzPp=F4OK)IshVV zaw;M$4VOV#{o7THlE_1}^9^Q#aXKq&<h#rK@wGgj5{7XdC96nqhP2)n{Jb%cO*b>f zy`#+5IZLTR1w28viC#}4R@M)S?qWJ&Xo}EA*@^z1T>>(Jev^oW@#ZiP;3k&@{71}~ zED){&Xqmr!E05$#(XEFDDwdohQ25T4VIetq=w^!CJ$2)aQp58Wrtw-}A`C<%uEj%% z(<jFOdou*7)sqK=xJ||Yco<_i5)u4_F>}k!6hIJfWPc_&5J7?<ty2(J*WePb*Z_hJ zA&&0>mWL_-<sK(U{@ORBLNt1s-ve{4KU<CwB6#FXkf_vn5RzlfS2zsTl9b{6T@y)1 z_Q9f|vfkV4gUK~Bj9<}C!!S_lJZB1$nQLe!oNP{8?-BcC_Grb7myI&ZgX%d~J<$}* zEf9X_)0?+N^FHEuO1gqpsxQV7I1;<nJdx*cBfBN8vAl^nU2>YrEJHe*Jk4_lfFc09 zb?LV3gw*quo~R;D#cPal%(Ihk@XYVJLX(M=ID>iap-;EPop>Ruq$i21YkB8NT-I1G zK7M0%yl6G$;1x-wV2ro)C{-8CDE}_P1q|L>QqK1v1?G_cQN4SL-k-66)HAUG!P}dR z2`u)gsnKtA`86i=K2Q$?T(mt!0>DW<%(*@+nmOWbNOmG02=T`lFAoC!+1KcnV8wlw z?3w06pkcugRE(4P7Bx|@BH>T)qgkhYniSYn?`|Lg$Vnq4fVl5qg`i)69MT?16r3N3 zO6pscDztmUDdi!D5!9+~%qok_zNA9i19DA+QC<cN-t-z!?P~DF)?`3CGG4<$JHURH zZ;!+QO~A%-N~(F-{}eb_8{`d2IB5^)h$Nr5O_<FdIC&&hSxdG3Ns-vWAtYVjUpYdC za;Hcx5sT)iXd_@y43E5?JWQDJ1>~pl&8NxZxFaPT1w09~RPpaRu_EWP4aL<+U5T$f zC~4qiwQl(ARgZ8gJtlg0B9(*;L$zL0efn11QYw@)i*zh~r(coxi0bnxi(dMvbB*bN z8lFwQI+M@_NHmBY$f(uR2&2d+)2Ggtah(RK1imX+hAtIvR!4fL!*mEwh-!3d{!=s< zT~Pj8Xq_NG;3at_agHv19jg4JX`vz%sHtY=(pY6AlcbDURy%4d(#~$u<da~Q$&gTC zW_22t>YHj-#}`gvU<jBfO$adA7YQYeNz^83{VoQu`5HgcrP6HZdSC-qITLRuRIJm2 zZ`4UHHa)c)Cn$&ySlM7D6&0awtgHwRG;66gli0Z}x0OKMTJv*eJ#}_VMg&wia+7*T zs~cm(tg34ql?Z8yJ2{|koP}N;R<1PJNFEfs;A{6X>S+n<T{<kJ!`qNQgQ04$g}S4k zJ6xp0`^qlw1RoUbf1zL4RIUCno;WJDeHHvo*?@atTeWRtT%k&zEZdK)EfwO4bLq;I zPMKaFg{NRIf^p$mHA!QFzyU=|DMcV5DJerwL|OIRQ7@h6hCqaQ{F!vMY;xAq-?62u zYHABXH_4TJhhwVXL$aD?Fo}8Tl9;ZYidl|ToO3AIh^i5F=>i^TO;3CtM8!a;C_N=v z<0Euxaq0S)sU17?vkYlv;lntUdV=LT@qw*BKhJo$@<@=~IohiFCMc1eJCI+VtgzUn zpI0N`as#y3E|ds7IwJfPgEm}fRcqxXhdtc>jSzBj8x4)=7e-B#(Ck$8b+rRxDXe5L zwYQh{)RS2oKWt`5%_WXwxcbp;ypX_nnA$X<pkb(nDm*1k<<+-<tBapW*2>c4of5N# z=`yOCtncGRU($+_yp*IEpW{KfCLszY@5&|t_wpH(E!JrRF@Z#TD@TF|EyxBD2^$-E z#f6EJ<s3}6k6m)TMQmcjzYNU3r<8zNu0SsU?1q63TW&cM_X~NgS;5lLz$v1^Ekr1` z{X(oRH#`?ul=ZYyC+&%Cb)rq#M3CA$Vl4GJ7?8S4!=jco0Y(te&nXEMaY-a`a8C`k z+6g3ViS&UF1c?xWJ?jmhj;WA(shA0I7KsT|O^dqNf!c*N(%iu^Ziqo}V+?ke;S(eQ z9l8YuyGkjHaspCW+3m!&aIxu%`N|Qt7Nahf)wY(Wx^=0l6gi(895L61LUD}S@xATY zd0dx{UR<xd{!KS`Tl+JWTpfbj3JzKfHSCv8Mhq<DaN8cE!UL3VW^Enp781G!$XohZ z3Te&l!)aWWVwyPvZWv6~4`CBdJ~zxKI~qwTrF+vO2(R5Ib2(m=hHIM=hDQ)(2`m(H zYQcJRI;+q>EBa^UgY|k756s36!I6(Xo=ivD#j8Ujm+DOSF`HYO@2VKys(;y-ZfhNS zS#^R<uH+og4y{xh$n0+ih{M7odx;+7QbHVg$+$wpN215)+Qu>HP5rQ%aLne^DRq%? z#N0upgq7U?a82;wBYu1!9Auj@?sD+K4P`CG%}RGmzJweFowI3BjkERl6*ZARpE+t9 z%<)!}L;w=D7R2HUG)>42PN)^x4PS9t>x{P6`e<~XcW%GX(9{h00spvf{^E~&uUJSR zs4XrI6XhsSZz_NDG*(bP@3V*KgIB{!asGCk`jZNk?$TusOr-1CA<bmyk~`2nn<Px_ zjL}|FuEdn(jnta@p1noW;QpA;R>_n2-S+NdQXC(t!SViX3tiShWlSxk_NV(9mT~YR zDn&WOiO>!i4J-0CagV5zRLj+vO4<wLhUF9?xk_tsHp}wk#h8nCx#)_JBM!`F!MK+( z<AMyDuy{aJuPJR*zcFfRdA<8vG}opfLM=VJolmTyzu`ij_NT6s60QyvxAWf(wEXz# zZ1ydPzYKM75!d@Q`UsDvu`Waert6A};{VMqffQhup#AS%0{w)cjhwZ&crTL9G0|xM zV%d*0tUlJRIPj2eTm(XK16C=GH28L>JRx-9aW>ehaG8@HcYO@PgRxuGV_^bF^J{L~ z`9$h*n^VdUs*WL9&&fJ>>bVMcTdwmGkHO(cH;&<u<T=x0E<B}B<h*wMiE8u2uwoRC zm-^}(<k{;9Tk@{orz{fYzs(;g$Xeb=Xxol#zEun)8c%3CwFeTh(!$%|@-o9m6ah!B zWx(Vc%ZP@dKMpm?CDN)_6@L;CnF?quE&DZyg=NC!0GYq-)*V%#$QugA;vHze^l%*V zQ=K6npO|2jl0Wj?Yu+c1NmB?PSNc+DakqDN^t8W`AWDjdzM6nNqj`KA2s6v*H&QlR z&X}^3EJw1LVc$3B<<3Q~Hl;61Fy(z)C61`a18Ek7;Fr2%e9nC|_Gm<xn;yac^~2ad z(HkE{G6M<pj!pY=r!b80BO|Op1YSh>L<><wxIt4PXgYofsF%31A-fA=?=|BMR{NWH zGSlFzqd?NGv0S})`b<g7Yq09m#S>mZWnZ;%Ffb5>7I;lp7lZ2^-Df}q)DV0tV=iqO z?T@#V_BW<vAjJ||13?K~wleN}?%1m2_jVM)TLIVrL65tRbesrn%WLy*ng@k>@lF=g zBYS+j2ezVih^a{GLw~S|UDnxX1}M91X;jXr9xO4I)$>W(k9boH#keX{my9@)WmB@d z2^TY=v8N@dCLMTn>ajffDfaVld4eEml1myEm43!Wu86X}SC%s#P^j}k_!SA`<?$<r zOPoNcbV?*3T?45!g9f`7IL&i$9WW`O$2mskb}}dHh=_kekz=c94*2?4NG*j+$4M@S zdK*IwzV|sOUo;RN+Ly_Fy{{EcV>fZGdfU>*;c{<m2vjn)rf(Teta=eL#&2lTTm;Zu zfQ#FxbSFI@Nw>;f6uz~mV`^B<R1)joi7UqY(9!iAW^Z=~{3vctf=s{vlCBj&c8vbg zlpX_>fG-C(U&uH%ya|flmXW^wsWg>GQj|f-5s6)Njs&i^z>r}n#p|?7oa;lfb5x<q z>V0a;sgt1WSZZ29gV-QNY%dscfCdTq^Uzt^E0+-D%UT*IxX%|>^v5meY~K?X2c*1g z-;#%CpZkW%a$_L<H!t5wJA?Y2XhHdWtx3+ig=r3<s!<(F19(Bmg~aa0Y+H%EvbZ8~ zVVBnIWJHdfjtxeEEVM8-z1N9RYeW4bVj>LsQX(O8p*C%lD>fR|n+8T`rmSq#Sbd@= z^^Gsmb>ky#A_DMw68#|~nv;Ngv0wD(xomXNycHEc`;KPO%!`6{t>bCBe^KX3H~@a@ zBW&#xXzF+dK>cLg+q>tiL4z~1wy7hvs>*)$WVx#O?BOB&l*zOESgE0JymzC(aC|Wi zO|EOqW=V^Cxbt&sL7`!OHz7rqk-%zMi!;Hi)#IIfquXXuiaaL5VpUV{02)X5o?P?p zOgyp_%?mtRGg8d)m6Z<vK$N!Cy94my-GMh7V`BQXnbdgiHn%xl-iEJvYIg79)vOAw zlSx(V*HX|FvY9UJy{v)<G2e<N!~yjiJze4Y%(>duusNP=I5xW@Bo;w(#Dw=ee2@B_ zsNlz+3?2?D#Y8CZ{zsYe`<?Smh5Cu#*FkMq4u698QOHUuW(o;8GYPtDY*~CD2DIB! zo-vI#^t&{6%_@5lQEEJ-UN929D}?;|Ccpn<$CZqZwI(V=1*IUO&3S;<j+Q-JudE|r zCuJ(3=DFjw#ht|5GN$N53yMG5K4s<b<*`7k7gwzJEUvUwM4`1{fEoFz$+t__*=DJ$ zba<SIPs*hc*&lP9x-!!R!cl9`b_8ue?$WeGna!w2NmDz`!GF=kDmr-FZzYUy_Ntu7 zH=a@NnVNRNJ;G;O*Dc-==a>?)e9xzuATzzz00j;;T24XRZAHE4iOSF82r_Rhh!`d7 zf&xjeV+^Hu4>G@cvUspaNpH=_c|?M+D%V7o0zVjH?*k&h=RF`F{`kaf4eGIfaY5-T z2`=5}VxdBbeGb}O7Q!wXIdr`+Nii!W=s+G8mLT2xm?h|hEzA<aqdPwbbKojZ(n8!z z0<&N;m$EU`do_KOVwHb-;Bt!UB5*hoZG0nmZ2DMHVecrsMe>h^UGu?j&STfS!5*(m zRCs<K1_FI~pt|RJQp8svV=l(jboGMsgn8$Wf&~8pAbqQ1@-giI=SfNLL8wy6I=V2) zXtR(cP5IO(k);6*qGtVFmV|_yy$8AVhG73EpgNWW+{cNuq$kdRogIK<&COJleI&wC z;fYDr8$y$-`0zOgJ~shpHv`pg7tfvpW1u$-q}dDzvN#Zz;w2)SkfBAfm(TE(IOrAQ zinvJ3X(&-*mm*H!apD-58p@f7uoSk21;&WfrF`pyWMwUOJ7Tcnt;Y#$-h;VV?B4jU z_zUGA9xLNcDS3#6>5^rZmwWjvlW$fN;_`NYrCi0-0VL7l#*|ZNQ;dAaSQD#L<U9kx z3~eA;<Pay6R*iW4OM-Iz1t+Oh!5FLs`S7Fg$_Qtqad^~4vJ?iN(Dc4QF$W=vWGaJ@ zu{{_Y+4iYZor<IyIghb+y>^c~?04Mvl5v7%$TYfN`tX?9C{DDW`Z&bVOaW}K_%8k^ z`+Q<2{iwlz+z<Lc?sI$ChE7)R>UHSP_k3gCld=MzpJ_E1VQY5Uhi3L4+XRNZ11+t~ z=c;$t%dPs8cU7)u+L;EiAI1=T`~?xvBD{NwT#u@1R62-fAiuL{B_vrRA4x`NPd7^@ z6VqUU#`FeoOLWUKUnY@CXfi^nHliGfF9?lSsYMW5tdnb$D#zhbJW#Ka^CUExC$*V9 zw8wYElhvt~#}@?)X*M4vM+bC?|Mh}JeA1YxYp|MtY|$8sdTWySLrg{jMNELVc)VDh z>3N*;n3%7dmH=(h7>RnKvbaCuyR<j~yhC}}Sm07DU=tFwe1UBDo>`oKy_9=kyhI@2 z8I<T=U*!*~6~G^Gdw(rplj5nFxk&z?(giCJ0=K0o1i3oe9fV6(vOM61Fg=ShVo*JH zOS#xn(mNYL0wjnBw!@s9po^M0JK($yf9A}$RT=y`7zg=>zg{4$r^}N-Q(!>F0Z!5i ztr7S#5gfd~Mk1_cS!orHGWR3+UU5Q~!?pR%Py#FM!|$=sEJk!1nsEWL&|6QP@Zb?e z$zu+FAc?cI2x|+6K3J)SCPy4C--tR}w$R&;^EBs>I*70!+ww8EmB<xojfKGE%aw;m zLl-X&?^XF$<3+>cZ|LnVR__w^9rF};KeN=I<BKA))QkEoZ9QoDh*Y~i3FF`^O&^k^ z!$hF8PE$@F77d;=;<?`&4VJ}n7S`(s@edwdZf8`O^mgG+FM)PAr&nutb;D;?1ysUe zMJ-ZYdT`xxTGgx=_2`zAR^B;*2zQj0jH4q{npemzu<x-UUeHgYkYdJ7(^MX%^s5m^ z9IAKdkqL2!;4l^N1vVs93^2eX(pQ8cpdK#AU6@4HpA8|al^l6$!po(}4#lfS-C0Rz zs!I9Ujvg-zI_gO+p4&*<89Vypm1D)&oHe%7*bC(-S(!COi*Vre-wX1rwv`@R1jx-d zSRJaKe3`rZ8Cw<NDW^!)x&}seBUea8(C^#WU(n4U2APxd(Wv?O)aSy4Zx!Nks1?Fo zBw$CHb%=>L=9l#2Hg_J_WS&i#5UWRfZ8R+-fq-uw)E+k=L4{H?Ll}u}Y5bHBz%)c{ zXaqn$oWk&n0e80Qzjro$xxu`?GVP8D>2riYwu1sp!t~!WP475M%c@Dj@}98?&q$D| zg+L~EPcO()lKcuQwLz{=;RP0AHz(oyA6iR3!eQ@kWs)QF9syIykf{YgC=-fDsC6^7 z%e6a87-y>NOO_h{I89_zvcSNAvZy6etE|iFiF<X#4yE`omywO$IQvrNcq-eqC{X}z zwnXsX6p%i9QAtr|mI?_#R37%lsn*HD3rjpm8m;wZsU@y4sUVv9QNqbeiA#8k*NH_m z=K;<8HyHIdzyT0Gh91-B7oGT4-^ObMF8p1l@W;y)4L-zitB)~128Pvwjc}c2#%LAt znw^*he@2)@M_JKIG{qRsJDNsrkwLbVoD(vr+Mh-$Q+>~gif6`HVlW|I_nN%+VeFvJ zszRH_On=3)%3)0~MQ*T5`{e&&O6Y!?^7J!;8|Y;?N&gc9NHZ}xNxpLE7JG0x(h8Yo zJvHUKHfm=h_gM$$Vd@7)le)<p%ZiK<U#l|$T6v`|BS!cZl|?bJ$hIOjm+0P_B>sbZ z2W&}+7)7o<#PRlZ{8D32xZ8V31NN|G>C+cD76euHkkJno4!r?(J}M?uLPY$SoHlp} zkp&Y!<KSTVn|0y-zdBoxLiI6!RJdpt4C!Ewu;h|!U7K$lHGMZXTIsg>Xkp?K?;C4x z`Mbdd3-#{?7gznxa<RzNF$>)`Ee#7He<wqRoQ!CJ53D;pG6pIehmug4cy!oa;>88k zl=V9+l1_H;Or_vSdo_~I?~e<ZoI*XDBhl_j-&I&9Tn>|Q4+g$^{C_gcw%=l<9<?WY z`P_T1Wsuw*ZcUAo+$APPB{Yd;n-XKjbcrn^%P<m4L6X+c5}}cl2ORid#%K#HCrM@T z`U37ih!elX#YaadbA8rN&cs`lCNzYDr_L(=RRMEXq?`%5^gPn5Ud*>Id8Qbb8I7~B zly%3DAxa4dAku}80ymX%k{jM{biMKyqen3SCl#fvvuZ}T0+JqQ@&d-!LAe}YE})Pm zsajO2uW5|cFAe*<H3g{=c|du9QwZhq<p&6t#!7c*J11xF37l`RuDQGm%Y5y_Ne2$1 zqbIDn+?j0Z`=MUxY2{9I^k}lWyfg#N8LWuB!W`%zR&w<6HNK<}trij=Os?uj4acLp z4})WqEs$V(6yrFPIbcWGAhq-)I4HR~GU;HMPMbe!edM5sP-C4wINGsXIHuPDs9dvp zFYI84?1G<Xu<bEQKl+fCK8s~;pFJT{!RL5mKDRtGZ+>fbbx*N_$Vs?W_9}`6=KMsp z8owk{&hLGz2!Bkl+HVMZRR-703VjVHLWR76tGa_4m}>%b3S)blD55=Qe;dQ}Zp4i$ z^}AdUn+hxo%zeqbYdeIPs#{3vuL6Y~5P~JHsZ3kk2D`OSK^)WiAaOf@*YX^be(ZBd zr7LjJ^O|^=ixl?;SD~>tk(EPNE3<h$NbbUP3P=VWN>9xK*dthGt7A!P%lwIlsC{te z<ZHx$zmvcnHo@uS`HEB;9At2|TX=-#X`53`Jd0SvMKgH(oKwSnnZ*^yBS^!NGlYZy z>lb#iNcG|DcS6hdri^fYGwpmqp}BYIZvZV`@FERz@Q$GOH4B8$s7F71Xidz0RQ%aA z_MjDJgsf8Mg1YlL!Q5V~s2r+A^hRjmsP}1%Sn5e<LfDh>xp}wXLOWYg1H<{kf`Su< z9Utl}XK?W(1X(#92^LBGc{qYr?VK~lIX-;RQ6VuW&-$KD;hi(aK48pEO@eR{zS}HH za1kY?*^pU^u&XRmUYZaGrv$f89;GDrg1ZD#L6)a1Qh`P8yKujPK<rX~k&VoQ(tiO- zt}=h2gUqLLrG#1w+h}IMzg#D$GvtXhEXQg5>kwe!i{LlLsm%^uvBG8GB+aAr1>Io- zuZ;ZUdM9df*w-0GJZ5r9h-^*!%FODcL<`FelrJrMh0u!=NS@#+`gMZQk9n^(Cz9hQ zgQ!Lp6Sf<Lm@M)Y(Ok&XO0*-^fY3X;n%5I`GlqS?N$NU+Df;DDsS1BTS=#IU-LP)3 z+LhQi=53oS(q&pFP9L>A<<Og;Evddbg`iC#HlyegvrC~35+r$H4`L{o$)thV5<N`H zson8juSyq;?i2L8!)Fj}eFXp3a{F!&kZO2;kA0pIoPKa5Gay&gO`}{?xcylcmEO32 z9ssFY%#p+wNd?zdG81;i7rn20*$_!QM(srqC#%g4h${lJB)(|!Tu<t*QG`&UQ>LI` zg(D%!Dy&dAp(1lJLO3BIT1<vWBK=gLxI{=Csv*AUj8UkXNaC+NF-{F9Hqn0PCzALp zPZE@aU#So!Fm>k<yt+y9i%{4gFhuEt;wuh0jnFOVMz1nL;uoB2n4^?EzpNmK;z<W} zD}VniVmNL8E>X<!NB2e)x;xn#xR<VTxxo34hKRhCd#MnFZFPZWJDW~}LiIvL0qLsa zg3T&Lg^DP<gb&4fS(xQDiB#Gp$}%Mp4oE<fcam^3X{U}AGGL4i7!Qi=ej4chX6p#Y zg6K=O1zvKXf!ijX>KQLOh)atQ<5ou5&yW=19bg%jPF+Q7JBbuDR>sKq<Lu&^*lAMD z?Mp}hR(Byav3{z#8v!zmX9+<S0Sf@pH{^%#2wSXBEX_6hoIL$Wk{5yi{NJz({>T)L zUt(UOAB8xP7xF~Y=<h%&k>$=0X+um7!G!v+so|I(GQ09mYFMrjx{h#$U_Ml+qFas? z|CJhTpcT%<#;`2Ja9%DjEJp`zg;|GL`5{Mu)W{FfFugPVRHg;bvCj3$z`j5?7I$co z41ig#*JIv1_0@%Vo_UdAgENtWQL%p^_C^t`D{AXhWLItkml}W(1}<WOOo?)qB2-6I zW8~E<Ay!vJb=IgmV%)!^j3%(FGbS_peu+h$ZUmxNSJdQ*3N97#^As{gTk?<QfV2Sl zwW)7ijZYo%EW8+WiZUw|8e|GFR%{2DRKv`Xq)ccWvT-1Zg2ob;IwGRNq{ygs9r5PI z4^--L6GW&05)}7dK?}Ccu*FU=3pNMcx*;h_BW|Ovq8uZ4okJ1|OEp|N3N8>zi9c!7 zXH^<`*taPHwDPj5oR;5?pVYanP{_#$vWj|=tdolTq+h<RP|M2-azcPmypuyFNww^) zPyoj45Fq98x+==52j9lTOhg(HZVtaopw;}@|0&M!1_vj888~1aD_Vdk*}y}Zr)=V7 zo&P3LN)?4)KKZgHGp`hX%>Z0XxoHG9EQj)$Wa4$jru3w2>?O@#KJijk_$JW^$A3qS z2e6md$A!I*RAmgQISr(c^bKcv#OW480|&dGK(3iC=-PoP?*MEAa{d}!_rPz9gPF~U z?)UR%UpcVrQ~!*k-@~t&FjUryt-^rU68t^%)HV3XhJXjKGDX5$n1hI9GZftTvpAQv zzNF!fO8o2J;}gMedM6`mPlXTnv7;q_2Ngr|dOELTa1iZ3nA$hBovSx9x_q3OK_~;_ zIM2N4KE`7W@t^#$!H=>E9H>|Z7w=Cgti>%8F7GoauG^w%bXP)(j2o%ozJ-phmNI}E zasf#doNgK2FJVGqZB&-?4~{4c;4KOpa-sE)#c6ADab0Bo2h%?jX8~}dZ1mvUKIG~K z<QID7S@pk}MKhFA`@A6+UjH2O3YZj#9*l<>2nTqk9X0ScveyNe&Y(>9&vaT#!Tn<N z;MC}T6BCLkGu{7(Y@(E8S`(3f#U>KO%b9fn|NmKE_{}}A6-$%Zz5iDU7JmgR_z2&& z`Nmw+*<rm^dJoIUOWxjR?20;<8!k(C<@tv|r`H@SbD;&g1&4JYgbh!;Od}*)jiRt` zv$og4R-i72SCjT!#R(T?k$mT`0*itvs6XM(Vv7S})veHNrME5kt4%*_pl^gfR$y9^ ztczu2ybid)4We}`-`To;j_j@7doM1=Oowy$IP<Rm6t%rI{B()e1^W!}-_SBHl@*+7 zB~}RC@~P8T3XSF++19qe&(Jda0{so0569Z$^<KH4SN46do@s-q&DwIqJfPRM^T+S& zfL__Vt%%<?7uCA0M&6~ZTGcT-H?Er_ZZh44ZeF_<GAz?*e`xr39a*kB?-#Rqmw#Bc zcfLF6KVJFO_U^8SN2?sAnD-xvEV{bp@dRhTJO`S@<m)v1%oX1o&J|ek%xwqSVbzko zTqT}pCJv6UV6Sw6Pd;F2-aXeLupANSm8`6>3hc*QX*8~Fh>kcPc|`X)22Fbrt>%1U z*6F=M&s`WC`b~>Ha=JrmzA=K^dD9QBeX_aZq=2SC&b8h{06b4WLzy1ud)RzNw?PMM zy`14fs&sZeZn-sX>d!T_Hfx*ms;++sD9CUvwY2beZ~HF*@RZZ#k9uB=3~a5vd|UIa z&3JxWeZ5{zqwS4b)7L@|Zu%~#`uN}>4o+HJ+)H7>SsZ7f%J6b4)ql`^MDDUP{<v!U z-)%YbTw0&l6*px(a-ctO@A2+EHKRF+8wg*;@`3RQu?GrOM+>&n{P_KIft)tF+)OLl z=K9kgzKIzGr$0mm+8}U*D>%)W|2*$*&9$~V?+A&%-(w?syFjOJ2^>8?vEf|r&qfr& z;_r%nv1|U#t<5D}n=O%;Y_P4W&EVVbXmI>l(YY<nd_qM7Ctc$N-q2d_I?%qrRPAYk z#qIwMPGs1R)^7*L9p%sEfcg~4$1eo*hvu{HeZu!z#+7X4YRAvr<j)h5^=QxL18MK3 zpS2nOrc&NI?pxhud*R@89nsa+Md$0w_DSUrtApSI{Yq2e<(`0-CK^=2ERVg%-!(RO zN?p)ic*Y+mGo(fFQ3WLm=0s?JBubJ0U@``_V+7WwC%Nb;MF0F=)Y6O-wkM~5`W4Kz zc;nB}q-&wa_VOZF*^ZWhcSq&udjQ0^rvRJXNx+<8P^Qk4>Q}IRq6PkxV<8QHs>-6< z&d}yuJ(@b4|F45Z2ir4zs~XvcF23`hK*_r!aeVzd+@6tpgm3-{)#!oLv28$1EW9DM zfBqd>IGAei?Voc4Y{PoDKiS0F-CxO`{U=*}CD8^0+Dc9h?%>8+RDk*X`dnLQCe~8r zYV{*e_hZnkox6K?(br5Y+5(9qQlusy;|Lr68(m%dH7G^=CeXToJ&$C~f&DwXHf}b| z><pc6`}!YyX6|10X~Vc*IY(y}z`p}Y8+I=f3dmeX%;*h*vL_dzn60l&5}U#3;85d^ z9xE?1_tyo~JGm*$j#y?gzscyZhEAUVS9N^3KABmLx|g_W&MwJ*rf-~cD{*a`S(d&^ zUtjSlas4{A5c4DT%HvDqnadr=J)1R#dopVP=d9(K6WN##ychF}`9J%BN*?h9f4*q3 zM7eL#I)2<70A~*R!w3TVW(zJunH-%Unt>0PAEK9Bxjs&e$V88=nT4-;<H!N(VCq_T zJ)k(GtgVqZn)>R?VHY!Y2DH+#3-(Ve)A1Vvm@&MMEZ#mY_Xh4y?Iy;X(!4{{&6@^R z<KQtN8RoJxWM0D4qmVttYMXs1g`^q&NZ!Zh%4il#{?Qi)(J}W=)rhMJgO@Ns>?vI1 zk&3Mwjq}C~EdkA}1|orF0M4;<4LaIp>vZ^a_>PU?6DNEkx}-uW)yQBg51V)hrSG#M z)fqfw(ZOYEMK(EW1PDjxN9fRT!1y&AP*fgh&U<4y4NVHah@rR(s#Md4=kI;#V09pL zgZeh1S+;oNUv;~5+^eN&O=nh?e4anW3CY)FYU{AQYJU*;E9@}p7#M#*FcOe^MCtb! zD|o*EEJg4ktp%y<riFDdc&@k@(hN&W20oD`%(Zfej?u&knuEBcb>Y2<XuISC$iu$; zwSHxG1r;G6{1@WhG03uR+ZIjRwr$(CZQH7}ZQE9*ZM)L8RcU7>>aK6^b0YSO`{La= z@BMf`R*dL<V2+qEN5tx_kJeiko^^x%RoQR*CN!2cA9Wuz>V5y}RP6F*=^%~@TAQSI z1?DoB0zZsrzqna}a!{nyj*pyRFO)WTh};@;p(s<Z3eI7tMHEHP(<N~CYOp@?-V)69 zN+=*6Q;;yMEx~RsfEWkK_M%)4^<e#^gMT|S6tIyinO3PoUdjOE9`>e!%d_<#ie7es zj((on$!$tjcwo=<*7bW<E3)@2`=AO$jCt`Cr#JpiwmR`tXRXTk5F+)X`!f`3*$E<a zZfOvJvVusefBawPr0n<Lt60PEQ`i~PgMk!dzd^io3&VuHJc7UaFmVK8q(G!0<Nvxi zPVMiOgOr36k4M2dep|}52!jl4WOmol!}FmYO~@k#DuO%?QvK%|(-S)7pHlUON%Oi+ z{s|#8ESRIdojlxK+$iqQn~9Xbq3n0i54lDjFu~P$2Ee;$Il76~A#*&7)~2p-n`qK! zd?DVk@@!>{_b_PDf%Vh3oR3$OPfs?I%@3{t+?$Ln5Xj4hMsQsMevCHOyhy-G0q|FL z>98{z7;482jR0+S42+Pu3S+ixtO|Vy=`Qzt_aye-ksg{u!Lw9b!Re39*$%9%I;o?7 zq(Um0VYLx}YD3tkAA)vrgR=Qv;Orw83XSQdrZSdF-6L7*TIES?eY2ER$w390SC?Er z>+AJR%|2P!3`exD&a@c!X?OMT^9*Bf)`3ERhH$jj`6x5x?Ud4n#}4Hiu{Y#!eY+$o z;udB+CX?%$^UAFKql(n2AQe<?kIj{Ib*E=OPo98~UAHEi4F`7pQ?(%BGb{z_CV8y# zQD<IWNY8lAC4=1O6If`4-8QkV?Gxy%qkDh){;06_i~6Zf1*)LCHTBrv)|Q_2KE2?d z1h|nj=dY~$Vc=#{(yl_ci>crU;usM<va%6(2P0txs3GFc^v12wfa|N3Hok8v&y3^S z1Fw))u{dURw`C^1wlP?4?Vq)vNeQV?vNtnb*e6kt_C9?9OoHISIp_a9A5pVZpvVBi z792TxV8w^yy=uqjMn6XacAFwo^g&KM07R^!+@>5Dj}EIagT;KL>O=-L9;QTwO|aF_ zt@j1mB1Wa&{H@~RM)wi!jYAnmx*k{eegA!a`t<o$0CT(qdyG1$`!mzCClc#d=;YF= zuuO!|NXJLP0iFk<c03Lf8+W+I)Lb=}IhqH|-TmdCQ$R8wK-d)vhoktUVKF&>9SI!N zvj=4EB8$MW;V+$fm?-=BTlGLagsxK4+dJrSyc<X^f`B~z=|ItWo6^*b@uT*&Um9uS zvJl2cp|D6rYBYJ88sk*x^pcCmfyJ}DfIL(Fgx?(`(^Ck@gm}An`#QU9`n!6B#2A?f z=nP*Rx-R1ULE(txuTTO@sDV2J2vqH>N%2Gma+WUnbb@FF4?`iH;)tKDv!keWWQa>7 zBz0ztj%5p<QlArl0Lu_}uwRh=i@0%SkxJJ~R!d!5=em}}S>es8rVV8$59Z?K?o3L8 zprxpV^$*qADiTeK3{L_j(v}3nWP~|J56iTQ`uZ+`Yy-lJeUN3`5Y1YIayb<1u*+)b zVqsD+j15@hcpj_=A6b3?_jnm>E}IIA#U}^>NXwSQPsEHa<|@m?FUlTjLZ1r`MaJgA zs<Vy+6bV`oxRZhB?t5*CwkS(nD@7EQg+7W(v|QT2?`}sIJR6DU5ox{aeBjp#E-^gN zb7<H-8khRRA`^%;*!-#(-iQzshL@C`kSIo1TfK?N1|m2}(a#tmDcm$U5ad0)rik4o ztA|NKzbW$|IxP<%!<62luK|Tor8{*<B?eM(dsu_Tq7Byn=8e_RW^!?!J&zx~2J{!@ zUQ*Z#nHi1(rA}En%ndQeQIuB#^{I)Ysi`F+^@!;b1z$*fRZ-TmAu|9cvgB2T1n1`N z_!5mXHC;$58S3#r4DGMP6G`JLlZZ?mK-o%A4h?I=wss^gM8Tdm;DF4bf=~4FNCkvF zlRd>RQq)M>poZnGb?DfsEj<wgXiR-wg#$|p{vNBHb3k8it;oxleQsTHo!w18;Fk<Q zRcO#yXRs~!tpsLxW*~V5N&cmv2lLHUz?#>(1^y@nR5<3x&}DpcdMwv59Mpx^OF!*6 zRtHgCmCW>ma!J~x+(!ld*2FA~@!U|Q7V{Nfmw4^>6%R$8?6zyqfeT*qr^SulP&{m_ z`0A{A;)vebK}_v!n4fI;BU4ee(_b6O*a)G^t?@%%cE?iRu?8MP$!X?--sZt-n$g*v z3mvwNwT>fY9#QABlw>eCBRd+H7`QeV)yQPxBmg3fZ1Vwa(*?6^gjU_KatThWOB~D2 zq;n@@=$r#?^O#x7cce|Pp`_Z8gVr+LmEWnD<n5Q|q{B$XqN!pM`0CCXF<Wx7E1yd# zUQVp_J|~*ahD<E<+Khs?^@TPLREl!!D?V{uG4URqxTFr-iGEaBgV9tcGco8zj|S*O zgXZoyKme}-;udX9>}HM+w;{%z3f-1=RwFkP%}U&&cA*LVH2YH$(db$)(PNy+1*3}Y zT~`6Zk1sm+>^bkl-(=BuLP7fZZ|q<}soplk(-#r)@*f?Pgw^Z6)>gB2mjg-=`|J1| zpO9Ny&!3E_wy&$s<LN#f)^mK*d;bL=1l}V52Ym4T_e$T-af<`>cJCc67lsWwnydUX zy|lHB;d+x#Hl<M7FtCovEJvYbDw$}~xw~lZi(6$G)_h|%=I2D7eqkf|!;Sxs<9jju zNnqWk^_@5f5MSowL|<MmPhj-Qe7%)EatF;zVJc#BFhmi2X|Dt75J_V6A&bf2%KnN_ z%z6syen+UGC0{5-@{^V(DBR#m#wC(i^_0|%H1TybF~2kn<J#=fo@%<GbfQZ_Yvi$N z=_x`$7lxQ$-oLwckwP6Gh<!<^9cf}3NF%+||K-kA$2dqLy7bZsZL~>t=_7{PI~9G? zP}y~W8jA6SVkA2$Zh}exSN^YuO~VU&rXe1rmFlTu5ZA#r^~u6A8z`#ms$-I3nDr{e zF>5HQ)YZf&`>J$E|I2Ww1{fNi1vsX)`K3Me3=;R&2ckByjo!%*#;Q#IGg0>?rM|TQ zch<S;zunX$Qij{1xAt#jtA`u4CFUvGTK)s)RJuxFjY#jO#k9}qr41jTK(cXB!(K;! z>nL(Vl)XvgxJm`t^0?5$SQQX0XAXMUBT^#3O8E%0!ezUgtbde^OS=C-JVZ(qS`nu6 zZjY|^SgP4PsqRzGt5>(@CM6YBWcsXQVunan8WrlSb9eFN%2TlYih>6XufrMM9Ka*o zomRUU<}KFkRj5Azf9q!$%1uJ!;_GN|<LWN?>!tYviLl2?W)xmX9E0mGQ;rEFvH#;T zMYU|WNHKWtcM{w}m{)=muCec;T>+ap*_t^w9i^G5SSMs#)ta@31l0tjiEWn`Z0}+R zC5MxET@;nb^51wR19Ptc&n@AJk0>7Yd4f9j%GG&<%@WvreG?@G;l2s26}L6MdmA^L z=RGlqWEUHry30aUb<OeDQ_Z;6iLdO$)S)eMSwG;GFDV`wVAj_Zxohj!cqI+}3$6JZ zIcp~QJv{%40BU#hvjrUig4Er4JUj|9f|>*62*vJ=(0=>3mi1eRzq;db7R~wrp*e99 zu$)`h<)4-Dx*ha_BF`%ghM!qNsEx?pY`O7wSktc4akVU^)L)OE86lwkbN37AjaYa^ zA)uESR$D&)lFP*Ih}p38s&o?gs64?M(>K?AYwSm~O;NjPfC|MPH&D*xBR3x8vlCI< z*eONjA_qb8kq5l+H>eQtq>TvsjXxmTEIOgO4z9sEJj(u7y<W0+L*e!5I*o=2z27-@ zT&0B}K)iX}3=UoX++tt$xz=!(?}jLe{5r(obNt0V_lvqwQ9a`7T2QpM_VlTcN<_b< z9rD=*?&8GC@rT`a$wgOJ_g~pppxY8kMURn>c=K#hliQmgDYqey4Mu#y-@V-lUHYk7 z6D@^=v*gU=ey{m^aTB~6e>v=t)>Z5ya<Oj=BGp^z?zRzPgGu^MM~b1i*C`d(H51|& z#eY$`#}WMrT2$69Z7e~yCj1%-6q8k5%;osmmB_*5NS|5?UZ#CeWMPP^;Q~XFxs)x~ zwI)>cgKdvQZOJg;`cH6?A@Wz0!u-tvgLfP2;XQP)Rgs{xexzDpF>~%sNdSKlpGW*| znI?6{T%;c;BRBSv1S`vR<74M{nS`4NW5X@NC)Plv=h&Z7Oe3&|TkD-atk<0-Yi=gn zT|eepDio;+?OV>y3tAaJn5Q<eblN~UZQ}XQZ@lpj3KMMGH?3Yb5Ek&~&@QScdo~gG zBVHB*ndmXP{3Lickk_nkOJw%=*UPX{#Ko)-+Kvwu?{g0Y`OHf#NG(lKt8XM*M(2T1 zuCG~9KVki6^VT77f_Ta1<`eRUMdxe@yl)`;?wPk0A%h1hE#tkHt-ZAcbGTy$4XIz` z&-qOWmBwC^Ow6S_n<l?J;!SzS8}p9VXPxcNxcnEn<LyCg${OrZmD-^zx4@QRh_)#T z9sXq@COG8;cF7CvP!wvwA8-J>=LG6##{$OKgIsa|xAI^S5&=Kg5%-}5_(N%uoCJ^V z3;T9_uFNe?GNtFM#s?Eh8tBr`pP3$9ufDT9|6)jo1n=lIc66%PjJM7Cy6XugzEE`V zVQ{X5K*^YvlwH0FfySd-GndXH#-qzl(1@wcGIW}!tURH`epwEx*-6Q_t&!*e<>t>b zs#Vc><h(|neuMqUaSO==TO3;WZQPpLjYu{LGp|9ysF0eGY{>8k@|<s&|NZ_=b5^eD zQUVU2puGM@U!RP2Kp4yID!u+Z)qkq2)pxt%8?Mc<5$!tNbhb!R>w3*V0J52yLNDkF zVpaCzLf7cyn7=+<x3>^~peyEj09GtvOR52svGv_UYKwf;ET(g7H1sv3IT*)Pp4b7Y zc{grf;}!{ZPEN4{E0=K>w>ghw^5-v*>=mxD4$2q%{8oImB4tK*hMK4Q(fFS6UlOJ2 zi~@IkJn+016F!Jy?6@N&i~t=Mb4To31O5K4N&MH=FNwj4PqIP7V3`N8z*sp6N6u*; zA3Cg~?qrH2b{ac6D@<HGoY~)WRY$|@>i}}5C*K}N^MOh0j~adDJ?-uwmaqiRB0mnU zaJ_kt<`Gv|Ikl|x4EiS8`E$3&HqON-r>S^{yA9mRblyg3mz<dNJKY`R9Ee9LaC!zE zrfaf1>jNRQUoGD4=@t|*2Yc`y3K>~&LPSUdj3lw>NS{bPNkA?Jz?*tNorOSgJs^05 zULb5RF!M9iLMdaeu|mSYI$q#~<6+Do;NVtgXoX?sih&-)z~%gT+o<~MIGiO!yJC)^ z^CUqeKEK8cynDVBd!8cAMoaxJm^J8Q;Cw)bTYX54L-wOgyIoI@-*@hcI!LKOh>bOk zbl6UCLmDp|jy&E)Z5*rNEyN<XVgJbHJp5R{?@HRb$Ynpez&FoGqOWu9<G)qvH(Qmx zTU<jODf9q=rqg#=-iV1Q)zav}l?qD8L?b3PqQTf{h=?`&DHm?4t}=A{Gyx8L5~ajw zh{rrmG#_0A&g}{}bQ=y~J;M&g*k!GVp-n$zTsKET@SDtbp0|jc=aEi0#(uM9rfCdY z)nCV!E-Oj<w8SLOiN4o`i4JRhslu<YiLTrbWg(Vw&wD@`-yd_=n77MY5u<+yA^Hsj zpHsLnF!yejhbqSd3793Dd4k)Q&1pIXOo|SND_weD$g><F!eg#W6(4XdXOGukjxf}M z8q>SCfau%?1*L(G5?YswaFCK)P2W8%d$g#5_~bCy=I=4Q369;&G20^huxDhzeF4-x zV^hQ%M3#!{qFxpVqKO+7I(3;3ifsD0Mg@52;6o!N9A%1OvZKRo{0m67Y)f=csYD12 zMwt#>SoDI6-U|B1S7PjP)Qz|3s4sAsNl@&#o|uFNk|LF%oXA?K^SJLq&&OcTmTy*1 z@I@{XDez9C;Jrfk<WZE_)$}3i6fccI4?pd9UnlS)P*E9U4(*p7Cf%S*Tq8tWslk=K zqZFkfmo%;J2o^?L{C1H-lB%vvaW$VQnK?jBcDimnW?Hjws0NeK#}YH+)-is!?|iVV z<NNS+IHjWyz$gS69g($@X+Hh!SL=OSkkwAWq=%UIR)gqqZzghYu;TOl2l{8%r*JJ5 zp&klhCz(K8@*A7%;Sx=hVmFyUUh*4W+Q?Z4o<Ij#K%ndc<bO2He?W615G{@n8A1>l zq7w8_3M8a`v&rwR(?%-vQ3@2KedA>gUG)$M^^ye#$lpQ#qp|)9>Q~y$LSScwtpA<B zILF{)N!jBM6Yi^BR+Gd_&)TiX$v7K?ThrrUfO%WzICTha!^i)Z6_aykrebs&RfGHN zNj8x(lX)+=j*2DNZvNN~mcuyk<@}i6SF#`bI33P$(qH`y>FrzA5sQv=>w!ebe~9+9 z8O*lK9~A4{(Ec!yn_KXEr;^_4*{E)nvBA0uUJuei@Cq^+<qQ3|<`0yjRT?@K-|7*@ zPc~!mU`=Khxn~jTBF0R0h3L~^G<O>5=E!^6VAHUAbZI|@J^%Apb&kB)80GWBrwxmg z9vJfwJSxr*;k`F2i9T`a9v9-_NO++Z=_iAZFkz$k^Xv7GU=;YqCblwh1qSBY%q_um zGm2l>eI~o!Q6KrZ<o9`X!9g1(B;|y^3}DxxF^@vru;uPUoYGWXpLhP6$Nuctn7V2W z{OPnnnZinDjzDzwpeXn1c~3>B^R9iNsU3{@Ys;&5leSf8b-gm=ESrP?U;V`0jv#~% zXT@TMsUGy9{lRjhq`sMiLjk8m)xBB5NY``&=68YV`b^s<&rZWw0jFVs;rI6;-&4=` zFq``AKpCH@W?<Q0dL2$n(GD-K_simmOQkNuG|7_+Ei4==fYcHRL0N=9#{;Ur_su++ z1f!|e>{3ARCOEG40vsLp;xd8Dc!GM|{Uh&QEc8Q5(}_nEPuGm@Z?E@Yw%bdJKTN!q zl)dncQkUFd#HZ%Z8pfe^pw34f$ZOxZ#-5;`4tnqyBb0O(KTa2=-|Wkx5xHiU_!*H} zzATboyo%&~b~BgYEFmIVtprSGge~nX&;?OlYSnLP9p7o8xK4GBi4Wm%N>33uwN6Za z))+M?C$uy|%Dv5|D11JQqF1_C>KLR{4lI9u5)=^6Q(rkYDZsUF8l!44vFd>@S<d_S zY4?&`7Q}vxa7c#mq9<M`%#LomM1=ok)`?klWz-h2@pTQukC7ZP80;d&Ug}KJUIfP^ z1Rm_;q3+=o+e)Dqp}GA=BEhC_7yH#Arb8ShHJ4S5p^Hlvc`WxQ#sscNYJI<hx<n29 zBA7;7?DGbM+ga1~=9}d@JNyJ8gMqBW?01WKF?{%!uC0wCojcLhmvNlsw)vC69oypZ zpXkM?(+HuBIOn{BoXj=Gxy+)>&p`Wm*YoJIeRR!5_e)Vy87^Zr7jH!+s>Dm{_^fe? zXVN)}+>wA)yg<VJ-_^3Q>RQ7%I9|2HNAoyBhZU_7P4pnLLj8pzwL?gD?$#Q-c+*AL z>(z_g8d@y_X&RiA41O8xWC!I~8|jME*AN+GWNALo?1{!Yt7E8nqciUnFTtv;cg%>` zVpG!;AL&#M@tNG5XoG<2JAIZ!<Q$8ALCWs`{6pjqKz3bNZ`e4P^-TA^zhe?MF{vjh zwqN{-b>>RNz^z+Ixc5oArohni^A8y8^@#|ywP@axL|0d|pY$e61_P0fo+~$}^{_jE zJL7JZJ@u>mRpg@0eFMi_9o011sq8P--?FEF4r8WWFQ<cT_$zk1S)cc7bJgvLU1!2_ z$ooO!n2SAESlV%vv+jA!(lzN_D^Sz44H{<_kSP=uthXG&7Y50=>)o>G=*(7QleEv@ zTMiUM!H!`B@>qD360Ymjg_K>y=p^bYyJn#MW|=;+lNvr`6c{5rxh*3x9c^AXu||Gw zyGr?A%}k<Y{cP+P#!3R@n{H|%m9>NLN}}q{Fv{`K!;@&JlDrTHS4Jjm%RTs!B2{Uq z5&$aH+rdTNyvr!0?Q~L#^cqPP%V_30!luz)L9t&os8ggKZ`#`Fd?P^6nz+7YkJX*6 zs2rpPYRD&nYjfO5<fgkGTu}ucmu4Gc82g4RVi@Y?eNl9s)Df$1S(HsGTBhPD+G;6n zIn!9*s6dqWh8*GYdg`niCb1%{F$6)|tT8w3#=G}NkqMSU#gP>rF_J{<m}cn6k-EN> z<9SCy#c6QQ4ueH~OHe1&T+7{I5r6jISM>*#5Wg(!E=GQJL;sv<ngXSXdGXUL%~XQ( zs1{<7Ugv$JDiR+mRfAD?HE@mxa}HEN_M(t*5Z2L4jA~R0CO<6#Ic|s755QNUu8suJ zux=F*R>?6tc$s)u{7T9(zmCVlFYP@RaLhTwgl&__*OgQ;@SNI5771&3XYB3x{{zY3 zy7{>X#rQzngQU=1i8W*@ygc0kWx7Ik;v$M<a3bnSPOX_Hey;fCti4wjhE-2VeOn!a z4BKQ-F@{w`Nxil*N+m$OUHUJB^B;q@NAX|4#;zu2$y>`EG5eSnzw~<pHJ0G%$eXf? zfCj)V_eA|~H=2o@z_rbR-Ri>PaNjW`o^~^QB@%3sBSV*pqclvnAW*|{CRZ-!_pQwk zH&z+vVx;IF(WNL=Wv-(qr!l-)T~{tIDWR#yB<qeA+8hr}Z(p^FzeEVY&}#T2#Xo8) zZ8&?Y_3JKiSKf72(pgzpp1_80VNgwlpw;10f9p_2L@ZsjtQM>@8J$-%*TT=-AN0zd z2=tJ4t^9t%F$nzon`8!CI%qfqRyLxUw@oQue@EE&t{57T69|0)m1tNl0zdTamugNW z12&8_($gPF7BS3I{vrF&d+BDs#ndFdjFX1k#2lm(g7t8Mh$=C#cOg4@PRAlmoYxVE zx(Rk<@yI`W$Wt|Rw}_6sP+o${6%d=ltq?0Xo5DV-x~~6%F&M`Gk1z()KQM;eKQKnM z)c-S#q4;v2x{jM2G8~#|$<B9qXU&;&*A8ER@v*ZX@LRq^=az5imqbZe&b5cCzuGwc zb~<6vADeZ?Z@EI}O(=dG1xe4?lg<+f4eZ6#Z?Es^!JxgZ_l|h2r-b=IV0WpO6~TVe z%S0ZEUtt2o7$pqI_@V*EuO9iov+vP2#BOEQ-1MCO?_rE?+MBq4V2rx6|AH~_2L5*# z1L^DEFh(8x{{~|mWdL9dU;qCvFvij+JQnm|^VLm>1132J3;lV7tF)Z-Uhmlt(ueq! zetBH5HnlBc%kXYY6LosnF*wLELu5l&6Xj66s}#zs8cE5EVvE!+38XKfi%Po1^@Yfm z1b<_p;xcM$g&YliDcsC<44K8?#o9Zi=6YyaZgAuo>t6}9HigT)*~`e)7xn!feuMM% zk>6sJR<BN&{9D-f6Hvmriv=AFBT_;OSPHKT{rO4+ypp$zHL25<BYi;`xv|zI*jX>@ z?|Oe#NO_7d*MC6z!x`}jn0_6?GJ(9mwm5ucy=*Vo?t)BNZZ1`%CU9)JKFw`rd|{c} z!_jX6q&CM1+<x@NJI#-`>e{z_*hQSjTSmLBm>FC{-ii8H3uR)!;s}=HT}9fqyegO7 z5?raoPLhzYLF&5PS9mJi6Xvt1G$%DTLaV=$Zkn6}MZLUaL4Sh_o+;RY#tq;nTb_^4 z9u%FiBl5q3?s-1#pW#7MMlBJUvz{rw*%tRf_gdy2f<2$4k)KRayl!ozU0+Rkc$o8c zH|6QSOIvETRn?~rwaNSKl=nHP8qx+j<^1XTmnFbAWPkaa<Jmpuj}Ck2*7BPDrL8$L zU`%^eJ;1s&@(o;G>kfZY`FtnVi%Nam`wk_&eb5w?r5x5WQR0U2$Mdpp6)H#wYX|V< zmpQvh{<7Q?$vKnzfBJWbf;5OxQuzxuf%Pw*G2hRRR=<vXVP@og%lvncf#cxUd_2Fm z?dv4%dkhFNWDb|;q7-Y$1g?|c+yIe+8cM;D%iDhPCosb#;eRx<mxxXT0-14w9dLjs zM8HMb7Y7hzq>ognqZD{Z`|^<AbJIl4IdcQn{RYZ7M*NSa;u-Qgw}2Jj#sa}$jnFV( z|7BLe^9v2`9W1v7nV<JR!;B}a@np{+9L2f6GXrsoIlivyc@D(|!!B}Z8I;6fz8~#P zza(0TFE+S;JP!Xfm4>c5-riv%k@$`SXXb|&FHLoE99&mhS_v?zrhZ7LyjDXE9dq5Z zUM#N?N!o7+hj6N!%N>}Vf@)T<V2_73IN!6Dl*{&wF^p2+8f;%alJxlF`RnO~eaW#l z%is0aldf&~o&oFEZ1x4=&#doz?~5b$SHqsu@0hjifcxwZMg!NsqmADmOlJchi))tg z#tGjr@dOon^_Ku4w)IE{>#@(J3=;6*BeDbw30DIX4?4y8PZXi(o|M^aM-Q&8I6f-@ zo82ejzc8`(!g#gaZu+}$XQRk^PIA~g)&iw0sH67T(5F-*f}ec;<ThY`RisF!Yu!-R z3U7bu@j&;JH|h$bPHf(8J#GoB{N0P>oOlF$H9lXfcUyaWrk=0E%~W@Krk@490l+R~ z)~Le`2T=%7_9-e#h)XRq6N9_<KU^yoDu-$aL9h0f;<5(q`@bPh8##GFYJx<50NBRs z)grGm-|cO9!m<=U6MsU|M<-*o*y+E;ryhy8&10J7&dig_rJ#vJ(nk=s*r{^-OgwHD zLDrZT2EX=Q`Ow!E(lieGyJuZU^EiE*XK3M{B`vdQ2F!mC5|)(b*wb+-7~t-I)q$V5 zjduMBA7<=}Kd>IFF)a<5FIQ#KnI5`HUhej!R~GLs1Lt}lvr=|twr?&n<BzfOEAd&n zLMFQv2)lz)HhOXGkF{w7c0;3~%+GiA8Fy;AN7%&@FE1p+`!YE00<HUZAY?T4`>#}H zz5B9rt7HeHON#uUD+V}@cA@o85MxbDsO~nQ^=I%Q2RbAV*Pw=13@D!f=Y~%3P4af3 z?qdwAjBSwXWZgo27ldxPO}{B?(hQnwjuZ9F4ChATgVb0u%hA83tfW@(o3voJrIE~@ z4+ZG(7D6-JL1X!ZIQbWWVGvDOvk-1`sx#njbC0a3`?hP16u?-i8#$UNKhVU+@~3EG z|E7?yaUI>ITC*Gf93zBK2Q^b#Hcl+y2uBP#tk`_N4Tiym(5L%<yXV>G<s+g^$Ju9f zET~TGBquVk8p=(E6C3)Q;+7I@31vzGm+SYb?grR}?`py}s66u56a=<czbwP!Fw65P zl~};0KH)e_tCdQJrRro!j-H~b6ik-kWP)n7W7%JR;IP%E7U3nAr>cRBD4BKNlxB%s z9$Uds_tAi3ag->$a}qF8)L_G~BWarW^Q?~?LRxrOkHGv2&b4)O8e{N;ikWP_-C_so zjdZ$QeW?|y%~WQAgxT09WnGut5fhI$B?|KNE$|*|4`P1vN4N0pm5UTS`tY+6g|=h= zj&<Z0f)LNUe_k59!`{0s(I)|vp8YIN@tn;dux}-L+YUZ>gbg>;XZqX>K3Kzq>u)rA z`vpF{r_1#DH9B;LVb{MMe4U}&@Z|#AX6P{ZGTnK_xgfw1?`O#ar^MJvcsA?iFvz>q z34SYghS9^Cr+fE5c1&-~x-kp_ekla}_p?uaijMhimR%WAmRo(iORrYVVY^ubSaRaO z3f9B$&48b-Y8nMHWuhslK_{nRaew0(ZhcI^hbGy3?7pLMND<5zcnDFSIdGl&-BUeh z>*o30f5S<$4gVtF!@S+I>Ctv5IAu`^8u>W<9ptSv4?e@R1Z6O&7t5B+U;+VKGBKSQ z^*ZX>xrJFE_3u-b=5P2Zuv&$_j(IyC+EGKMcNw=q{Bz8Zd;>O7m|0W$vz6H{Ei~-w z;&qghc`c@&aW?_-9$oOd?=4Z|h}NG}2uxi4v-IPzQ~WyS45NcFUw6OH(;*1p0KfU4 zI=IvQ?(wGkJaIZ}g?5V~;GrwbR2<1)*m6BBLSIou4*l+f;39b`_hZHqEDiZGn*Hv9 zJ@9Q&0_C%ICQG34Wa3I>j-_$slWQ)1a7t}AVqpW<58Ql~VwQ4Af?k|`u&(Fr!6gbS zLz+teIHT-@qFk6&b_nWz1nhJcuyx)7-TfQi|DnTlBX5rRdbXl*n&xWum4)QMlWyV0 z*U{EFV{Ga60^XYSW}yC(_I8LNf^sLXfF5wLetZGW)yZv4DB!_8*Ru&fMUoImI~ka7 zW*wK|+;!r1-u1F~-to2+Yy`Q<S}%#1(KhN(0ULB_;}wkh_lat}n%(0>vmy65i^$UY z9H5)L`BXZ?!Ov}k%{S+0wn6pp!Tu#eC3Ic{zQ9tn(u^Uu$nYZpF-76-kF+q4K|pWZ zjc=CtunAMTl*WXPmK?dQF&PI6gA&PTTcX?PAPqvetDFtGDhl0Mrj}>Jy7jMk*drbN zOILNSp(J!J&Cvwt`?br({2G)+o6pv+FCc6*_n!<Goiy8rv+4&JzI8C|`>yI8-~}~9 z^ly6T4ufaaPOt)+VY+vKA;EM1ry+P-oivi)AIo|A^&h<pw-0<0upYHHDDhc`-~^RJ zI3HMi{g=<EqXLlzdR0Dw-y`|bU2-1OT<J^#8#DftZzh}j>GKf%p&Zq+RwZqr9G8Hm zF_a@)*2>fo+Wv~M58eUVW0rn!wv&9FyB&=8EZyi#kA+v!!!3e6IL6flQWtj{Wph7c zUcw#PemQ+!!VlV>4bZp(Ces(ieT}vc{$D3=qg>_2Sf1fY;#~A{3w%`ve5xOodpjx^ z$#d;86OmazmijCR{w}j__6f=%12SQgRxI$|6666?o>YV7h@hpkjZs`%1$T(C#P9AG z2>QIoZ8^eR)faeK;PF>aHRjPIB{M2TZO&dkM376y_S)&@LNMa+H8pqc?10}xPu#K2 zl-K$-b8aw~G2LqrDDvtZcI3#|sVL@76yVbf_lCRAHXkEIfH`kXRJwGR#RVU7tkxsY z>0-uzIVF^-|50zJa|=*kGsZgYDpxOOs+oSG0)}q%DAn1{)JJT2Z)LTgyHB(TL2*H} z`jP`Xu-nwccp+JB)7vFQ^ywUm4BZTSb3R3p5hE#6<+FIMHDg&*<JZDF|M_)lS5KrA zRcuxn5lv*&yI1JUq?Xy~$i|a%w*nJh8_k)Y3VWt2*#=&Xm~};`jCO+?g@HgZBdH3E zV&O08rHOEfz;eWCJ(aL_9(N=`4_UCVWq!}q?UoLt?#j$5>tI-%L{rnfCkf04hIVlk zQ+SCGPv-JiX(6E!dApD6Bhd5ucxz-^7e)Gp|7lh};K+ICu|S4AzGX=kYE~8JyJQax zY@H^HCgP!+%;%fsi*<Q9LJa*d(HJ)3d73J<Jd@u~&J_?b%_j|u{BrG;TIPYR2dBoQ zGJtoe_Nf+|N-f8iH{Vo}8YK-h*@ol&hpR^F;4rp9LD0w(ySaomkiy)2Qu=mSXuMAu z9HtX_xIF}_BMlV9)gE1*!#cRF8=2^!nL<2j^J+$l%TlDeVl867{0MU4!@!zP3@fiH z1A1QZHRWx{(`r<Pk7z=w24$?o2R(rWT&z@FwImg|QXh^PWaJIy^;)Y5&3ln4={3XG z8C2YmHWHi0f+{kUQdRAf-bviot1FXvPJv%~J5!^^LW%u8d{NDH@*IH>uJA5pQh{S6 zQ+^Dc<-lv^{Wv;eZ8TR2L8W5?+Z+(d!g>u7AS`+P!lY%b`Xuo~dMBZkCd<^^eLebn zDM!~Y#onA7MU*^C??Y!iN|9mM0P)I*GWz0=fz02Z+ZM+*9C6h`cd;MzsNQ-Qk8h&w zRjSemO?<%DT;Gc6xsHSdGlI_!22~98XT)Kt`RNGUjpi>597b{+nhGLnassj^Wk3wK zkQ6766hGT}E+Iefbpx4qaYL#Qpy;Ebvcy{hnGXsSHZ+<0kmZgfLzv>b8#JZYqUCCn zbmE)#Bc_zHs-T}3GVgneI84I>RBLr**PF8r_O`5O0+8M9Oso3hM?BK4eZ75dL_XVH zBD<DyvSVTMmqPP4E1P$}(eC@|W~>r96qZvhS75qaQ1qv=VjRMm804UdkhN3&qNqRW zRM-(N7%~X47GF`szH~|SSFCYtKZjD<1(2<(lcfctd2y&EF0_<nfdwNTAuEF@;8rKI zg&s%dy|zhP1oKhzU~M+Jg?y|wJMB#2cy0_eFL0es*@O|5F}^SuK`R~i@_^Jz(}5Dd z?93%rvo}X3d(%j5IJ2!;h&HS2Ok^~B^MD=8JA=4|dTTTfrWPkxD@`eHC!de|!_}yI z#oC3TyMMMva$EEeJ!I)2g@GEhe2|_rhp;UuY5>d%DQZbdzI>6)dO#7!wP2n)tCjMK z5m}X@-OokJ2J<6brIM(jFQ;r!5!|;~zCWE86~S%6Vc5aoQ)Diu^zjsCq-d)2AYh_< zIY&XgOb7ErqgeunG@-RM1SDa*J+kNXN=`qcJe5b^!C<W1{7RzlXmLRCu+kn``g62) zSU3XKJO!;0#b)-{j~VsOOreKOBvH;3ZOHFG1nd-BjLz&+^ly+n-iGk$jS8+_F$L(g zg!bl$Fq<OVi3Z6gL24g}U8qmN)ert$qKm>T9E)w4Fy5M1T0xukN$ivvejgarS$e5X z5)J*PJpL<tdSHk?ePDhM8IaCqP>vtaE64ih!JhtfeY!#z16+#dX7h2XZ@r|B$u*K~ z6daoL!m|dOE|ol?jX;WFV*?WH%7`u3*Xd`+2wQ>;x_q?`QSdKPHyb37d6lDqHCr&q zIA}<7mIFvs@ho^VWX3T3JUs<)?G9vhPK;GtRZ_3e5L7U`c^WL#m}yu#dE0LfGJ`os zh%P8oWJH`@l=zS!Dyru8CQLZ#$F$L$V6zOJ9v+DwrG5N0ZgU@bn3|GbH=|xv*N^j0 zR-l!*$+d)7OvSv-5Y|uRJ~@m>92eQu<~GY<$-yeNn}+JOE$9!Z?<8-IcG*LLunPMi z5DX2V?X&_A@Yp2PqQ!|4DMevcI`K!!7-R_}jZ6jSp!Ou&Q67i*j8?zzus{7Wq=$jm z5RPagXki_L9^3AiNX5=tDQC;5KNww&tkzK0;wYmIiQ01%xkv^{McV@scDa<q*7A*< zP_{B6T2O42h8C5&tfdrVW;WB^P?~NqRo>==8F-5)Xdo<;P_o{2A~Tb(825w2j+;jd z_v?u5naFl4gBhq@Ji>FWyxG*GABvtEDJ7d#JPnn3+;=M?(+aPaeF}=hH2k)LYddjx zyCgc(ty<MT$Pg#JYag+7lJYkE4>!G5c+R-iDA#2KU1Wdnns&vuFbG_j0NuJ>6Waoh zF4`Zt#MaLx$ln_^HLU7PLcCCtZ5TP#$Vm*{g~&Z^1tR@Ke&Hxm_uL$jII=+(EV<s5 z6;%$0*S#j8lC{!&Bbv3Gc2LiWJhTJ*tLrh`%<X2l*VvLRR#bR^Xh9mGmQf;KCt<2$ z?FOBP$<Z#MgB2f6MnAsN^vF%Dy_gzR81oPWZRKTOQ_LXs5W>dat(o*&$9qX>Hx>`k zW}{!fSsiy!mh6X=PX1hB_{Ty{Jr>yukJc%((9m<ew-DXF{s&1+@+b^ct<l<i=fupg zuH>#U%C*9LByTUXHY;X*E`648_dV?Oh`JMK6Lx)x40ucWepFLv_~H+IW@(DF5D%up zc8d<ZVG4jFAUYb2iGF$#S~`ond@XJ-@$pns<)p|xq7E_99Q>m#6>FHk3&VIdt`|o* z9c!^=3_sJ|6p_|44S1P^`K4iTUPLNencZJkZ>p^Ww8Vy@+={xefEvf~7ofiSI9eP@ zm}~*Gi*&wwomMzj#PytE9%03EkXag3h!!&A`M!jnU?dHH3uQ}B7}LVID;JpD38U2s z83C!i&$^}Orm4zasDH_6NuT%&9&kC{4R3H7C&EX!^-+a)2va{Uj^K$eX-eGd&hf$0 zuwpvzFl7|6Z!$`RBDD_da0S;-Z3JZ*Y+iEfFxe%Tmp~NJiQ^hKXFWnd>ZC=0a9lHT zWR-{#?dCbyjuBz)ppe^sK#Wu!%2@o6oR{(N55E?rVLajkZ|_-1T1r<R+jUkSqlQm> zqv>Um>5#MOrLrkUc_!1_QE$1{@%r2zbr|oUkZA18g{GRH(A;u1%qFDd^c}E_bKa~V z1h05aY?h0ba0PhhK2L6`I)GEeA6l+{M{^^Db-9?A30#cVFNy3P96!c>rA7mT_}T$x zgOSNWFGoMI!KAJUt}O})D!oy-*`U-KGz+51U<)o(Ln=_nsszMf3NA#+;zMjXyc~-T zq_1=i$^)zSUW;C6O+#DI2y%x_3)J^A49bmLZcz*LD?7}_0>;V9%l+UAGX)<jCZ!ml z#lywmQBI?gnts@zr6y=T5|~3FDO8RQAz=6`5S1ZO>1&jzkh-Z*g;goYX-FBGm+$KG z7$B(;D1oYv8Wt3Kl=wTSr!4<cY98EdhYr9=mgcT@ngnYNdyxuzWA#Bk+rVd7Sck=! z_bEkB$h#VqqWaEC_YuH2;-6*NLuo|dIJJS`RL!-s(@?lrhznoRV{C{3QH3J{+^^2o z3+1;G5I3F);POH~Di6BCB7E!%3XeFbqlJWFxxlLQNLoW+YRL7Bg>kJ9eC<HiO6nD< z`>>0r1iM&NQ=@gDOfA-R0y05H8D}Wv7nRzEfsUG%k<!BuG2tYyGucGJ+{zppa*Ee? z?T9YpsVU|ymOzA_5CKpPGu<LBGcYYLkPPj-vY3ky-iH{ddGRQS)mf2}&DB=YkX6`8 ziPf8tRO0lddd#I;(~y%b-O^N~>_F+KR=puan&_(fSVXEV$w~o+$!#(YAd}c5T5KsB zcRB<X5vTnmLtsSLWOAE@Q_ekllQ9IM<iWVjmR7MZkXf?HimApQvrvYQ5Hs8Gv0q-r z3jR9afo#s))-r62tQ?{(=2MQ&t|2L=-C@X!hk?w#A#SFl%nCc#JsvyXSH<cBzJ`sX z4Ny+I^C;amE978MqRVccW1v!XebHvGaCLiNpWdYBQGo*0j%(Fb#_}^U=w=TrRY$3i zQ>owi6Ip(4+fF@tq}}~XPXv0^;cHaoxK(%NSBq_XB})tzi`LvBd{phGce*GO-EZw$ zktrko8fz$P^;NQ1^GdnU5p&{Fy47YmT@%B(A*Cj*xh6GBb+_?8u+dsU2O~M2)KTyB zGEwjX0k@x)jWSaR5z<oHwU*ivtvbK8wV|rA!xI0QLszx$T2=R4OBb3dewQ`roo+4- zULf!O(-N>c;DrL7Io(nc{6oqgumh6^Ak!puh~L`f+|2cs#6;M&mRVD(PJ~wmb0+F| zfEScE2^hYd73^d5>aBr6GG$_wHRzpgEl%5(4^VDuy6gF8hQ>%wepsMcL%#Xt%q3uJ z)p4+BF{l67HV7Zy>5tL$l%^oaEYVu75}pxhkKtI7lf{+s&K(j>y7;+Wu9I#8KNF-5 z>(kjlkN0&Ls4w+p?hyguX`UHPVrfP-doPN18Slzx2kZNOUst4n%l3;Y-=h@e<y4vw ztn+vLa&YK1S)T#D>rd7<oJ!BqKwJ2U8t+mq<8;Lo-2gapQ*?<T+U1uo@y6|0XJZXQ z!NTY@X$;Z!riMpso|RpHI&mCPN$yFALMT~8-pt}PZgXySaQs?W;o7kx>TE9KN$cPh z)h?U}B$aqXn-2$NG-tGN61X1_svZwgNHLEBDtE9Wk2FA*o5}i6&?)IEt_2*~rw!1) zdYH8Y9?N2NosM{ZMwY^{tQ1WL?lX_%T$l;^6|!ignb1m26D8!CzA_Njo_NlT3tpn{ zH?BL{p0TsL5EQ*`EED~<NEc$3O6XENdK`-fT4gEXhxo1eSk^z@F%o}8b-pLdv4M&F z=_BoUUSFEv<H-&hh|U$Ki1<3`v4vjKD2O1aLV|*8OBNTYJebxP%i2)k(#Fq5MGGlx zngC<Z)p6UD?*l)P12acU0uK}B(Jl?du|UhSQpLJZV@*;>Q|Hk>_Qz>M&2v}7x>#mO zLYM|3aAk`rRUGyxMvaFd&GV*MtYVd+46#$R;TFGj^G)W*J~;wOGmyeA^-=+)@!m7V zy;0Ma<Xw3R$s1@xjdiCnt5wy{D^e)T9>c#VO@*q?7CvNtHWfz%((@XR6_^Q5HsHWE z1BlzJKi%x5Ipqe~X;Ch*NU@iDpypZW;cYa$eqp3OHBAzYXKu>7g**3|oBn>uson8s z^=l_w_Xu_<sLNv4tk^<STk6>Hmx(H@#y0C!eMQfE%y&e+r|4z%W`ZnyLYX4k$>V7} zH+%J!oj4tN(au}<_ol>H_W+?BL#1r%=TV?jl0V{UOhxIu%Imw)({IeB4u6bgN=@TZ zlgrtTqUpPhqXwM|O-|BVRM6L`5jdnS{W~QjhESuOn2M51e`?9yEJDa2ft}5vjJRfj zA`THH&#p>C>oJfi%VnLOgP)iQmL?p()JI*Z&P_EXr8p&_q{k6FMY1#5M12}EMwLm2 zB5McJLW5&A2Wh-Ug2=`pKSeS=QZ5ZHxHFn&K14?kNyH&+p5$P{T9?M-LWe7?-i)k< zkwwW7L-UrzS+|`c2bLzUl`V}D{i_(p|1OReo*Y$pg%w#1;+-m;AH65!VOq)<BMlQL zrH4gIzHuh{8HxpjJVXshHVoz_X=f5>=JE(=q?8-m3|uQ))hXa=7Is2RZUat`RSK!0 z*18pebXr1g<Lv;>1)R>-gg-G>Xwt}jbul-MH#t_{Yr7%yi891oM>aq!f&BM#eSRaJ zcu>X@aV$D_jEH7^R{D_9SIhKhrS*HsEKw|fPesBIG$xcb-OCy@$dJE^42+s5DEfym zbzfy@UdjW+4%ujNzLhu<s5bmpkD{}7rl{~Ob{&)}G^x1+Xcp0rNiw1`;yshbbkLn( zX}9nqbwSonGA{121KL=V{JcZT@J!hzITWTGc~|c#g3a7OV6^W~G)i2P+`?r1In(@N zmDjtj%(F@`YQ1A=8ae;d>aE)bx3TjPH8?YI9#i#2@$y+L;0Xz=D&C`NO^VUmSo~n= z1#C;246r=s9ZjsT>sWr1$UW?ejKB@M>XMoH`*<v&dtOsW+(Wmqtb0BSOTFj2uEIR$ zz#;J;W6s>)Zd6lUmD#C^VDh$kf+ckOLR*D7g@z=fvJmjChE%}f`84M<wo>jjrF$|u z+Dn4+BM+^`@nWs5)RWnjjs)4@XRLcB4XA}DMuP`pIQ~{?6jMs`<l+wYn;W9u(q3f# z+Z)`$=t!vU!oA4P8qhC6&B=(e`0g^-q{Xmm9NfkBW4ua!7%6-|T2(%@g{k-yBoCac z{Bm_+Y7o>E4^_^qHEarw(}%J_R{*3d{S}#O1qbR{z!ZWE5lz4pF9&KnE+s$Ye_P_h zRK8j9Lm6c+)7vWRZiSn1vPCsIRXe}v!+ZeC9sPSbjL@NGH?;h;O-t~iJ3jodv$7Y! zGTT22z5i>OVj?ty@{+rz<-QsEFul>B-|BiOJI^xLHJ^(U+)me{<qPWd%Qq((5@%9H zggFwLy(--WhB$eqVVaHBZY#8{hW~U~9k|=!=mTc0i5K0=%i!##ZaO~5mbl72ad5=d zd6&KD#z?Sbn9G|lNY~zN)b>_R(!~c%_){AH04*WDFF{}k!(|RXCJby@7gKgI!0CUK zo}K0DOC3usJzEr8c9EH76W~^rnayI!79<nCt}qo}O=70Csd?Hk0RKXiAVC5(23Zhs ze4Mc17pQTcy6h|To2WUBJpoH6!YyaYh=5X>ja+=*7`(ap`tp1Ct{Y-7C7CFBP|CX5 zy@$Hp(RF6QWrI{dbnS;L;U=a!zuOO)K)A-(D~%{{0NV5`8Z8$fL!T*pY}d%*04iim zP*<KGO)>?B;SV5<ALw*}?10$s2+=tz90Tnedeq<^M@i~+SLH~9(P?c$@}jR^6zdGq zpx%q3u|q$H5kd?8tq4?Vj`1$)4hi&t$~K+oqlZn%1j8|ap>wh*j@@cjS0WE?T}%sE zD$%U-9@QMA@}afhCJZ`0B$l~LO-NRov}HbSg1;i$Lj_gU+eW{{9QnSfxE$KuPGVE7 zzWKvxO%*5lR0rEnBmnv8WvnFP50VWesd>k5%sjJ232=X$R-DhRzyh9c{jvaBy<QDR z4g%*^o7UMCoH(4nPy$D`&yt17(!Y@lxR*)L10_p7m^n}023(FdSQ@ndoLwB{;SbYU zL&7-~A`HwTJm5+37wkq4L3trbM@;>u3-`qo$|z3Ez*VFK<l8F`OVuxl5$krMiw!{D z>}$ky7wsI&52a9y4vJ`^n+~)p_poeqpmCwC4RNa%<sj$P98ViIG%hg1c#9$khcE<w z?W5vDEOqY<jhE7~iAe2_;iEM=m9(23D0CsaiPI0~OYnCE?RM7ER!sF)62NA6wE96g zX-ne{6a%#ktYXw^j+Gk3wsTZWy)K%O*f|I~Tsv*;KhAOH-nM2H$BMhVcAxwu;K{_k zYA!@v--h^!aYtV|Q%DRE+<{sD%=>3G{8sHvLz#GpRd{8juGUez1*RGM=?3SDI`3)a zlvRwF{N-`(UK6BZ2ZWv1O&aVRRCddmTAp2+&OT&36UoOKEPF^oUDSAZ>~~S-vaz+E zCs`Fgaz;-C71gUbDF}d#x?3!U8Y92w+iXn)(Fb-;$pw_towM&`DrbrXL>m3Ovu@Z5 zLL^o4b!*=ej2b|{u`a5pO#1;85aQq>ATGnIv0U|1_s~bDAoc_lu(qE-e8t_p&a^um zPV}v-QsY9!zd~^mMYVU{9<hjNSm;rDpU&Y$*}LZ<oL;Wf%>GtfTQ$5&!5o@rTjxs} z$BD=}5+xyqT#utz^98g8s_Pu7WWm+r1IdxBswc4;k=fVT9A)(+i5v*D`56;12#)qQ zh>6sL)wjulZuQG(4Qp96_@_NxaDlL3#hf~JY>^-u;vgb!clU_>4azHM|APPSL`d-9 zk`Ojx;u_hz;%M&g!fD_&n3nu1VWpu~Fv}B_4*+{~^^xeeSK>3@gg1d1KVnlcz+_v| zTWC{I)vu_kTT*isI^dCa&nxdzQ1$bKS+lMNbh~HU>{99dyIc0?zq@}S#vvw_d+WN_ zMGNkPDcYUHt`JUJN-}<lDTE)O<)1LUv2Hb~LkSAH);j2mH_rLU1ln9D_4g9Mxx1q{ zQGxo|?gXvUwW|LXg80L8YVC39#1jmk;2vLp4bP^J9#&Nfchey}y3=EbO^JepVK-Z^ z2V!RtTxS`CY)Fnp>}jW^zW@;SL>JdYp95xjYV@*_!;dZ?z?LxdijN|$_;d0Y3dchh zV67B~HdVU)a%AM-Pinq|A78{XcO|-615Y#$T!-oFncSP|zA{3P%FRD8)o&$|^jgO- z3n58Z(}Ror7*^%TE_-z<TkseJf@X*&0jey4RnCVWG5p6N2%49aav2O63XoSud9vkX zmA;o8_Wa$EJ{o9zXp|cLqpl1R+?yn+K=BE!Vb)>uQFugyiI`!nz^yS^gJUH+3^oXj zBLD52OMzHE66H){(hI|yr^W6vN6qn)!xzx1xKre#)}h$U^$GG^pq~>+;8ul=ZZ!x8 zF6z9hpN-yMXkx`p8FAzxhL;=&p`IY43B(5VoWG^Hbnw9;l^kg<`{^OCi`?UUBKWhY z?ziJQ^VWY6!ABLS`cqdk_>-}vZ@w5pY7vvkXF+vQ5f(mZD87N20qdc=3nycO`gqhA zzj6Dl19SjKna!b!L}$)ejo${r`K9lW?GT(}iQA|@t>A*BMkNbSU`|SN(qe2(I&<Aj zeJXoof@bGSNawGo3MQ1c(@NwQS7~ZF10nK8uIyJ(*ui&Ha?7tA)->pyRhyG?2b4E$ z$Q`6tj#|t*d~|=t(o<LcfNd@|*+f#A#&T)rX4C!owAe8*Rey~fr8jbK`!0~3&H#>A zz~|%qetNlbvf$jbyJx_>Npw-lSG#nrpG)w}>#R2L#L{N7Add~p-COVDyw&8xrrRoJ zFKm#j*Tb#2zfda^h0}HUl+bl1jhC%XZ3-)MIX6^WA=PGT?J8Hb^_itk-TJ?nd&{6W zyEokzr*U_8cbDMq?hxDp!8Jf|cXw;t-66O;!QGwU8j{_4^Pf4h&z`9|Q+qy~Pdw{c z-K)E6RZ-9VyRQ3Mto+Bi?Ts+lGRIxlCYfGr%Z$G1M->DEwAE7T5;j`Z5=_N_`6|5# zeK~BPZVEb2GNVKzy@j2G><7JcaI6KNL}_cS;_v-!XZQTRd<?Z(BVuH%3knHidx|wy zFxJC!hap2PZ8g1R81{K%1Z>eR3HG@Bt##ZN#NH+`yd6Xi3~(_tqppds?55nJQg=Xh zyetnh3=};&bSaAS%n^-Ba`U-x5mR0C090!JHJxnt=I~=WawvrrTh(XNQaDpyRdsT8 zd)|bAc=le{3NKq9URWViHJE2aOO=y7S|+6jUsxqfy)#WAfb}o5<Mwnw0f8N?xJk*( zqJI<k7q~Yh2X{wmsmFf0x=ebC1gz!`3@*eZv~QnGgzyn942hx_Y9yCv^|)2awPP+- zioOFhHvzI$08yGu?{fF;P^7aUT-7-$c5j8Dj1L6N08|9_J&{fvOQkINFZI~lRVtHq zDipOVa)AZ3jTEaZPvmgm(tCJ^s;Vu}auVt_cuAO^;yu_REk<6vFaEAt_z^WCqG1l? zjvSG=D~r~()<LHd(`E6v-F+H~e3n1YuweagttkUl3m<f((Pu<E$>W$PIW&@gQIFX` zGQ^$<2K1xzpaL|7xTwFlD^v(#Ffa3T0ID_Ij#r8=GO{qaaCC;Jy^1CmhJ6T?oALUL z_b{tiN|uOo8|zS4n_$I_0pmGktiuOT0CAbm(b@_kc%$J=q&!HQ$kAlPBjW;CO(>{T zJ}&xHRF*zK8<V5p(Q!^#PG&B@FW}Eklh0$3{8-dgKP%U-T|_1^zuo`*1hq3VEli!X zL%>B~ItdeSTv2rD6Zn*n&Kom${xaq7O7P2bRbFHx8rpe<OMSpmj9ay7*-VPVVq`ST zG`&V2vw7DzJaj=fy1YD`h!yXKK%S&vIspS2cG*R<(HpMm4a^&WNv3d262!xyV=;NM z8qU@=OZ0`HDpLUvRgnod|0XH}#7;;1wm298srU!#@9JbqUbJQt^b+D`P$iL4`p)o% zR^Gz!qhhX~DB|~xx(lLxW=FLMDz#$|V3$`;bi<snm>XH-vmNnc)%8Xr@w9ns=hsFV zOeik}UZ=ly@N2t~;%u%D^+uX(7@G`m+x3FY$Kl(iL?WRLi$aF>v0AQdx9;}ynv>3( z5U}Cyh7n<l`#|+gCd=B!)JG$TnV2I5_vUj<f!LUPae&DDC&ek9Syu3Rvw;$xX3MEq zRq9CKsn}}<dXy*tk>U$0b#zU-3u!W3&}_hUIiC3#LV$@mDny^L!Quy4_KT&EeAaaB z=F{G=&7QiV6~rn%kDcTYh`t?l;u7LreK88h6;)Y*s7dW7qO#y=a_M~b05+EO3-4~J z`uYG<Q5ihH^cjsX{!;uP$L~~YU=1-67wNnHX>h`R4%<N_-~<5{$uCnSF3a8`{Ew<( zHi2elxgnY`RUDS)1|oQP(#ZC>u{jiqhN2UieL#iVEy6JMNd=u)aB8|ND5^XR%z35? zHH$j|d9oBiO(*(ET&^n99Vk(Y07aNg&GpvZ<QOfofz*Tg{?V$E09@#iaaKr%^=ED* zQ%y6;1!Ojk)Oz_QJ)5?h35kkv!eV}g<uZiOo9gtw3yn?lVglYf#*|l8F**sl<?9$K zD2NaftdH-!ZMmh>bL<eT5FtoNhe{fY?b+BV-UAXLVx}UnrRHr@tcsuEa~uk<b?(&e z<I0sESAgjvsE9sutI11)0jf4`+{O0z)~xi^(=|<BsOc}{zT`>PNl3cpDb`8wM==%_ z)5j=Wpz6M^M?e5;zSC=rsMA47r4RPM1f7>ENmF@QKuPHl*6>?Nrzr&5>>-(;$_2CD zc7I#s{gF199(%wqhpcq!Dl96XzrjhO!;1Q1<F4|&=g*@VEzy)t$VjM9)`-y0Y<V{A z>z2|YOTYd0sD>~ubx|mRnfmgnn`dALABQ%>Ut@b{6zcL-w@ibu;{1&_)CZz<alS>| zAY)nT{Q?_OrV?d)N-{e(;mBCCCRV@@5h9qd7Jn_z7uJghO{iEh;GkcXbW$dtLSdd< zt(7bgEQAbWtJ{yK4X3Cn{Mx(`D<A<IcAfcSk^QM!#Mv_{oJ(3^XZpGej&&UQ2kPUW z70Y3Q^R-Ms#^%d!3NFnHzWx=Gov<a`Z5EvUo%pgxo}6fT6usV&PDnE}q{gJTfc?Vq z46oU`1^k2`BwKPEUw-@hiIc@VpizDaS0=PvLx{pgHDHpOB5Sim#mAyg4{&+x{W=j| zs}cB<Li9=i;{$+w=NkM?pTnm-GaRXhLzP2r=#ovvx5?;;*Mu7@j~9{%(g3Qne=hSy zfh_hPJ&*D$i>JsX?NM|;QeCWQGtfr?iV7(<pulxb&2EjWpZ{#<!e5Prl|g-fcJFya zXP;=RiTql4j6WK@h&ruGcFQhNev>k<YOG?FGOuIIwt@PSWzyn-Vt?+*>T;TNH}Qv$ z;5ja)87bP08&rfeFL-ree1?6x4b~J&0#>msHLp3XRw-9r-|qI#%C@_CS(<%`3xlvM zvBzMkqg~%4^m%DF`D7S}J6Qv8Eg~7=?+6hjCROrQlv?tY^HD9~k&*+8Q2E*v*7hzN z2!e;P=FGhn<=1JJ@jvGvT*cm1d%Av7__lDKXPE7}HyBTBiW0r18%epEjIoxu!-6^! zydZX%uo<l`SF3<%4aNkZ!rLzMd{arD!<5`UNw#u7JDSib9e%-dQaD{{o-Vbk$C_bL zxfPPfMHF;w#s73k5rai_>yn#0b9ij<V=C5a8}#=uvi7sqiPi5EFVMMcY9(Y!I^r2& z+?y^-bBajk+E0Q@qa`Bh7F+83^T=+vC@<oZDGP`$6&mc$q9eSn4Yxz5LybW7AFIAM z(tPfKIjOPPi_4&<GiUf;m(&`3Vf`V5v^HOVIKv?~>z}Gq0yQBz27fg0sd2`VLp7zU zKr<UX=)Q%1r~;s>XdK7?NXGRRxwYo5OJ$pPhrve0?khS&QLqVi1%#jujy2*a5YJbU ztTTNxKY<lIx5U%$Zmc!qeyf~TUZBOfd8u=*4?-em91lt^3~dI6*gs<~P!vKTbQz^7 zm3I5<aP|^n7oGhk2xU$O2G-${*5aF_@2@fpe56!Cu+qK&Lt8)HSc9T3hU3i!)4A`9 zgjS=ISWp2lU;<{teV&BvlprP!$PA!9^D@Ai@IJzuIqFD9HNsStJ+s<btPIj9ssy`G zF#`H>v=I^85c&EodeLGtC<rN}pFsnwUbNf{3Lm}Lctgs^@41))YAO)PaI`)Ga=6SS zbFpNK>C<Z4KGWJNiB(8SXNEWq%JZLJMMmiQ&UBlynF+8Cfvac$dC93yah3+Z?#@ms z;_wnVACfhquO?4oTFh47eF$%GB_atm^dBe{&&sn7Q0x}f-P1{=BN;8@)5o<{jtE1s zb29L4e*^o>Q@sb4g~IAZNawF+gPNTP2a1Ql^OQ`)Kmm5jQ<0HKNV(uhL;`N^Sban# z;4GG}&PIk9hR-DQ0H=2fp-u}H-SLLT&$f3&C(qaEh=b~-d!pB-Q8W_vvjKQ{4I))? zXaitCwuvX!scJr$w0Udfnu&Zqz>O+4x~&SFi9dto8cfnW0I;mc*Lu@(muay~&JPlZ zbwb`FlW{I0%utb&IB_|uX0d2Bs8P}lQgn&c;zNg(Mdg@K9)O-a=>>HBS_RjNgl%e$ z35jD-eYiTAT{&8;0t;o_MVuD#?Qvo4b$&XYHuoc<;+s*++K9OMMUwF3AJEUFxsp^u zYIjyX#$c2LOKm=HB;WWXKHie{1OoXm6vnTApIz>Nq`;=M*Lws`><0f}lLUt}X@~Y@ zN1Qy$Egsq-r+`Lpy&0bTBs;M+@z4{U&A_BrYfNXtY0tt9lyqdm{u>|$HiZ&n*gL19 z9XawVTwXtcfm^In4>7IH2ZG!_4*$U$d+7R{6$;|7>n8a3h)w!7RUFxJL)zEY-vg7p zO+y0X)y_|Qh%o4y3o}VC?tatJ<eYOyUsQt8F{{0tY^`R+^9>fawcJo+qe+Q2Y|3YA z=zAg{L4`@m;12t6o9>S1A`wAEf>ZclozAv2^3ieg>ah0}#i<&R<hU!(kI;TunNuUJ z!~hQFwp~9pBD&zvp9)g>d{D1YH@ptD!;tH8G0a7l<ZaPVbW-?>L!Ea;)=^=0Z6h#Q z@T#ic#o%afHAH2<Ig&2XpSC7yE!`_))caJIQK;J6%bje+Oo=z{_#EjYx{o#yGV*4N zynhDaP*fCe{Vex#6I-f(r=eD^|IknyutTHUgeIU;xvxaJs3x^^6CQ^R=Asqbn2R<; za~@W3|Gq0G@xYu92zm0We2Dl5X8I=T!+%275OAV%)nsTEXfLk25_$0Y%SMkuqg{~) z$-g5U5Z<)lhyR$m#^NGxvJha)!yHm$TkOu$ih0GS^5HKV_0>a#pP5(n1YuUt=iPz0 zXxbWR8OQB_vwtlU6{z9~f~pg0Z|tp@`0}op%av`E9p`-kPJT8Agl&(e3nvxYwoiZ9 zX3=b%BCyh^d~=2+X1cz=a)q<wEM=|s&s5Vj|6lBRUO4+qO%>xHcggcoJjX}vP4Evv zeXEwF$W(7E`F=vF^=!9f^xYhLM7T&<=_JyGlhW^dU$G;_aQsimc<d}%xoP=*523MD zypgo|^u&9E%y2!Wv5a9FE4eW~b*Z~B%CdLYs!@J+OG*0_q4moA-+eMrrlbm_dMEb8 zy453A%}TnPI87*Ci`n(rz3Aoxm`As$;Ny6z9YO*to88~Ov3#7-T(MAq^3outU)U5N z-tGRvpkx_zlrJatlVt#O?h`z};4^81c<0ba@op)BzxzjP=qeOhXpWPg)kC#NU?&r1 znwYLm2Rb<7Guz=5jx`(LHQ>Bg`AfWIH~zKPU7FMN8Ws+l$%@5+*b9sjMo|@<s-N>U z$2|(+^`Sc;P(yZJF~DpxB!8)Fg8To3nqog7)~+G12|%g~xsn(2*c?cmJnSwfRdhY{ z|8A|tAne4gVoSo1$!xu&lMYxAI1CEra_+p)5ijzN(55GAUT#?whhh8p7Ln=rq$Q!) zEcb?d<t-;ZXTShdK4AeJ2L<YSA`yEuE<*Hp?@v}(E9+GTY6X2IxK<zeR(bDGJkCWR z_&dB(v(l|*$@=@VG*->Dc2=ASRniiLkV}~oJy@L7liBC-n}EaNMhoM{`<aCi0fA88 z`CQzca3cR4q64w`T%m5P5Hx41MC9fbT|_>%li2*o{r?S!YX6r&)T9g&4+KQ@5&jK` zTK*?MRBG`r5N(qBKY=K-Gzf@(?)bk0qTZQ!7hU-r|6hTq>mB|D`~L(G#ry||3L^fe zKr{#sOg!oj5Uu;~0a3C4X&^fNKL<pSw`DK_RlolQqBf$?IrsTVN6YY>dF7(2^K>3o zmw$n%I{7(W!b9??x19M0s)j<)F!~P=oz^k5s;c48`U6DG+;ZwWrgi=RQM^jfwA=6> zAo_P21VsNe{SOeW{{uv${slxING9?mS$_QiqIQ3QX#Zaz>hMswa=a;}tlUQ(W{X18 zvhRkTH$AoZByZ2tbuHd8fc(`_#8i-{>q;#8@ZJ%Y)D=+xVdT*uT-5WFZ%IJtef*d) zG=G3_PX9c(14e^HXWNU5gE3*i<+OjM<l2$!VpQabLW6J93o~G9SU?_w$n<FU>+WY$ z_elR2#wlYP#^0k!VEd6hUov!ySEh3%Ms8Gw=tnIk{6>_>;fd7{PDcA`ASrIV{WxYN zkt6~3KR8;oNO<o_ucbCPjTj4V2Hh-%L--p{H};3^ejqA-(#y2`+TA36u=1WpWpUm^ zBhx2>yA_`mev0CXdgpk%>yPPUjUs8)=%9l9CKBX1<gCsON2H^<K!^BQBU$}KA?8y* zAY21wDa!|z*tKYhPuSaiEuw&{$XITH6JN%ciZ1ewzy7LX`i;yy9ml4Y?6Mx7bnY<T zy#(jdR0g(F-C)$_*wwrir!!`Kh-bIMI8oLN7sbutdl>{4WpES)1PRTNFSccivcE&o ziqp3bd>s<T!5J@CCa*U8w_C@)<Nn_Zh+z8mz6qX@%&IRu9T5>?4aBv>W9q;%34i{b z5`cpcG<DM5FJg7ntYjkJT^ZHbH#b86V+r*x#4VFrEk?Zz?1-UO4N9RbVjIa-(;qNn zHM+z#61}D&5>(#j`isp|Yl_}17u`V8shFV7%yy~*(?d*+bDE_DHe|YH&VgyCP4#u@ zb{Cgof6~;d01DR{Lp6JoJ_)U3h|{Y##(~9UAR@9Ws6OM9<6z}pXTf}S-}sGNU<^3T z1?Ey9q3G2}%8NC9^4gNeff1oO@k(VeVem^NMMkU^$cAuDZ%Y=q6g;1=!7PVgWFjn^ z&y%X^2(Bhl49~%cj1^Fv#ymZODXAfhx0_`!+)DbXD=m~R$2G_nEFgaR!;=xQq1z-~ zL^6-hWkEm~IPEmoNANb+8Ka!NIl1HPmnGd%0@$uH0<iwt!#V2y99~pSE;pRerE5e| zhS{%P&uG9q_OqfUtXe>#n?B(WhQPu{T{uc(@3AT>)YeMdXQ!U@ca3mtDR(uaN9jiu zGC!JzFQ!TEKbjWW1p|H;D`J-wrVvAp!kZ&L-<jP8$PC<#){lP@<Ora|om^jc3kJk4 z;w_sZeG)&tCGU!{%y)tbgG`CVWCbR?i!@U}M;)CGAhJ=`lS&+}gd{SG96VdbD+q#{ zZfSpgFY3?jM`s51pBOy)`8&x%ZLZjBcU`9>{5;A1kr^T??T1C_^(q@WO}Bg9zI#RU z6Lv;YxBU6_=X24g2?mk7SM!KTIhMNI1S&B0Zh^qxa~5ETMd?Dc<j=C)(`@aDVzrMq zGTXr_-+i#cObyKobZ`*4f8FlgADlch@LlQ@83BhsgXtyQgy*n~4Z}!H1@A+C6a_gP zJqc!{D9}TTn{CszFa<c9Z)mcvISnjPzJCaQ4oNq$ZK)W=ui@^7*L{v(%%|FxOJa7N zT1lXqDz15cuEjz$>#h&QvdKSyGI<uAwuj0Zl^i-L!H{l97Kh|B<kc_T1-1R#4mZIy zGg|OYWM`<?k5Ra;@|b%Rmg{Nf<dwvgU(R7bG>Ne__oW@MaaClHmplT<H5D%H1U0oW z!(}$oGf@^I`>!-z>uEaU`E|@uRz5<vg+P(Hxo3{ztMPQb>cszio(;!ONOZ`NB~i6H z8Af-n{gNH`<Q;AwiDEU+=G{DqewzDDhQQ)F^j!>>0o~ZMErC1?tX~G#qD^0s(3m&V zTsxp>;`NKDx5|#D@7K=o&a%8}kwoTG*j0=AQbjoJ2Dl#(hw7O26Kk)!;(S{L&LMfY zA?62KJoC^F<tY+b8%V)(G8S6sxg)wgP<lm)2UOPA+6l|jG&I_XU4(EP?tWT!KP6BR zM%34bjj&@Yo)4HTNm`akF9vtuN95D|jB*Gc;&fIrB0{yi2;`$`3mFx#Lgq>lU7KX_ zB73o7Gd>et66W|!^-tTmHrbk;!k^G0sB^jdIe+;ABiy`KKMySUke58Y(lse1{0UoF zTlB+%vqn-64oA4C4NY7=R%{aU<%QeVv17qx&}*n+nr|AP*D`W}C+YA^kPk(}v3*#v zY0U2zABl3FKwl#!bWK;!2g}0v%$ItfGPe|b;hjD@X{!k3^hYBBjphX-Q%`IIt7ghQ zW5)}>1ucX&uVshZeZ{)+DifN!B@pPITH_yEVrKiJ!R06Vn{kOc->hpp9Nlz1A<E29 zc!sUO$CYJk1#3DSZu0sF;ao|w3~{XxqYYNLv7uY3HnyRUJH{F~idZE<`eyiiip&yN zaSTkXL&{-*J=w$!D1zvdXn;5TqrBkQ8Lud+7~2|9*u-p@M@>k-oyNf7Sj_xmQ<Yp8 zCT|$-XaUtTp>$rD2IY~1#LJF%jVid4m+ohj)`t@;kB>P@1+LaDc#l}+?~0q>`QU9V z3}Q*F)I5pcsRQ;!IgW?B!P$IKsuSC(DIY|3*Hm<9Bv!K$NZ9iaC$XTv1g>n20?%!} zqq6)ea*<M$f4?rAiR2~6k)G!zvrioae_+Po%QIS2$Lv3iI(H2$HZllX=$ss16_N3B z;q1XC-X2?V<7@A**&CeWAJan*8<#=U;?pO)Lwu_!a7Qf^Ker1(XvOQzlLk?Lsfz$z zKKU2Qo8Qf(379MIH1U%DL%dMvhd;->-kp4f8FPxOlDvP3c;Rpl2!_Vp`V!hlutDW1 zrUii=R*u|w&^ZYZ!hGfCWKUfn1Wf$^esZLXuDi>>VZQpw&=Q3Qq|-C`K6Mu!vkmLA z>;SZs602K#zzDoq>e8;cqJRXd%yDCe&=$QF?Mzy*&ff>n#_1pyj;jaN4McKA`!B4K z;mQ)1a81DvK?MTDN$;01bbL$b`D>D}QDQ4f;liN_GFMZP(PBx(?pDbiX#*Rb9VrqT z#kdv-<U~5_vo)ur0g~=o;P`k0x)!8C5u7RWThbEf!i4c^`X$r@WyoIP_0u=a-2o+) zg{&#beMCHEZF4sa`x24<K{2mbF{grLh>Fr_=H~tyDHJj${&UtL4RSct<%V<}yhM;P zOtglxlHT=cSghyp{F~cfWz4#HP;knODFKAW-z=h&qiFJsem|cyy-o5p%~Q0hXGszs z7h&j3&!t>#sv1V0B7K}5#8){#>am3&w-A$&d1G^NzmE9qCq`%8A3KKjXh+m)PLA>c z(25~^%A!Go%Mm=QGc+Gfo@Xo`J~{v;rWS^#%!W}ha;lx<;%?Ow)(qLFM`U$%s9<Up zD`HNYVLshePePj9&+jsxz?j{x&d!$Ym7W9YWzju>s^oB3;R3Eq6QU(xSmlnI4608` z1j+kCg>jxq3#x3&w@)wbT+{X;+dyM?B6_=KqI<YH^J$nHYEru@oO**nL*)_jo)0<V z2-?*CcK5#pe}akRGRQJSIm)caIw!j4(L2lkSfHeUQdJQxXKXjDTnyz2KM(3VOYSvR zG-c|=!YBuy9>JFZ9igCQp%Ek!<8c;n-pqFjQa1vWFuodE+^uL!w4Wtawgw4J_oV_H zN&*=-!s)fZU*CHl7gZ^E&f`>nZ8ZW;XDtn)G&>;_>2keq+#lF~D%AO5Srp_{Ak-UE zMTE}Lx2xCZZ&4Zy8T?|;i6k48KGm$U)Mi8c6k@RQiT7Su_GrL5Wy2<&?8qEH^b?z{ zj>^PMzr}RP$58KTg(6JrFRBTN^2}`HRE25f5SDr=l1Q$@su)7#gWxur-$j)9Z0Gmm zGU<!D8O%<S1Pxj$*y+L7%gR5i2d3b2o!Uq%dIzc|6~k!lB<moFL6)u9_VU`UHJ*yz zmz9gC+Hd1R4I$fB<G)1miV^zB3>H|i+QBy$d%iY1EZ3fxs5_ai_3%MO5-qG%94HN_ zMQ7e4N-6Tcm6h8p*PW=U_Zg&PeHzxPpT)fc*JK>3lbtbM!JK#QQW>i;X5g}e=jtg_ zp}VRZgHNf#^B*iwJ5dn1*E7E+tQZ-Z<$2`8llI-yw=VBLOr$n4Xz)tQ$11@ryw0<a zPL>=<hK5F^j5a;6FVZ=@!Gaa1A>`-5p^NLFLxDGzjHYN&z6ae5!{WA!NQRmI)Ufyw zdS8YexQ`a<p+^(K_*48Rt;u(W5>{G&EY=ta4u+n2<2#MMUB@E(i>$qTFs&ip`A+&g zT;>8n7;OiE2qs{kiE4a@s2X6~h*j(}_GWE%)uC-rRqfoGsD0Sw6KP7V@GgZaMsv3| z&$`qi9)N_-d4B1WW|Xr=PJx><mJ9oQ_3#+gWW>7E>-^U@!S)(77KO7CDm~@mPhZT9 zQkE>O1!5YwDlGR+#<2@dz2yumvtk-gGIQmY@w-+%!)rp&YvgXHjLFA&UaR@kh$5En zvI3ZGJ8%#j;KHY(d15Vl)B4u~GLv8*=^00-=3tr%SQn0Md&ig_(M#+(gRUFWV%ulV zra(@H_x;-WyC}O?F*HVKtf6Al`vvadljWrg^>X{)79}6oWk0Z1lw9O&qO)06z;Kup zg(&;%l9yy^_?qw0o`zeb2+mYpVI(rgDUs^We%ri@(thu51opj1mVS2^=1T|Cbo0=} zzluJA{TiK!wq<V?+s4UP<dCHfD}*{lXEN6F;1Ef&=KGOO3p$m<$ykXAfb%<OzIVw0 zL;70ac}yW-`}5%CPuupm#5xBtIL*96Jdvb$YNV#O-GiXmfrf*TRh`9*sQ@PzgbswE zk}x*+R!li88`R*RG>PgKtQ|d~PnHq<m?44^4bH;iI1rO|!X}1q_(3y^;K{yxcN2^R zpBio#r+fO!Qyx8ziWYms2`(k!TYIsvz+MESPgp6QHbY?hgvhoa_Jl6|pgv^T$1ttx z(To5wRpBY@QW|j^Q$;7k%OR!Sqa_nKp|)#-M+b=laR&IszjL;|Jtj!UE6(#4WKmE5 zXo%2P`sDo(N@dB%KR`;$D|BjfKjR%u;Z)=dQ&yJnEC%bl`Y=iTF%e}@cCl%*UY@iZ zb}u_l#?Kt`=1OvFcvrS5G8ij^L4D83lR_ln=kTuF3a_C5Gj709E@Vg`Z00TuF_Co# z7dihd;=|1iq$0Lv@Q0Kl#KEQ<qSiP80VwjSN`_%Z2UM25u#7VA7H65Y?wyAUumDW% zVZ_<lYt$r7?D7$#=U*@ordXjyJykO1=%=toCiWvd5mI%6k#^`~(uPX9<^m`EY=*CF zIAToi@liZf+1`VaXAI>rTlbyg6|TWXMwAV&pv~!Dk9ByMG7i98J$vWmoIgvkt}Hcc zkHXaY+DCc%-Q&UOc^DrO0Nk9JgS#k4D_=zoa9nXpz>6<mPe{<Me-V1XbRFv5f784P z2d#J;zTLPYYrKB*Vb8BlB=Q37&yo~=B$sGUG8D6$#n%znqOa{_Pn;6-RRDgDgIrwt zwM(bR2=x9$M08TtvanpwrbFRqO5ciNrLVosx>zv}<WD7ro5a`VGmND{K?<yWXJ5lh zhT^B7qhQODBtxSd?^q_0ZTn*wqlHN|d~qj~vBw1_g${Q>4}Nfne%s$`96zy0+2L5* z$=ZE5#04yx^E(mD_@dHQnqA=Kw8fAej_>$NyE!QuCF8k8&s|hvWtCJ%?sDYi5*3=y z1$s?&EI?R2e2Z1O1dI(VUBOb=<fAxZj+c-N_RLNP<CIf-;aR*|0QXLqri^|4-`u36 zv>pKzDW-SV<V=wDK=VT~O{tK{QLKy@zhxu|?=(=;Ty^y2s%tDpy=-L5@<5w>x`Bk1 zII7IbMBBs%V<Ul2JSsGpLZu3YPXeT``M+D=#hlxE{<PStN(sm~3B9>!i+$l%1dt5A z*aaZ{DSu;jz9QI}apx5v<ssM^W0Hm-R#A*|@97T5jWd5vfkO}PB8>okYU4<HmQ7nE z;trox?x^oFF_N^iJK^(s+`FAxtegM|T1lBL-JhF!D~m+cjt};xUgn(C^gX^S##?x- zof_o!{#JXIZu}g5WLwnEBmL3MXvlWr>O!^}s@gS9z)APyqBS~GcTEMo6wg{1x`8;% zw!4e>L%`4Eq)s{M2PpV=H&8r4dsiutISAgMu49f`GboCdC-X-J)R}acN?YTX6z`Fu z-0!*qEi6>cvnvo$JOw;%i?OwS+&CHiz%{?&C-=}&g)_~VA8>l?z820iw(sNfb&1bQ zB0%~?S-$&d{>cDBWm2WzXQA;TBf>Va3KNIshRy1>kiqxrQY=y0;Aq*jGz9LH(Imuh z$?T|M=D4hlfu+{0cYzUj0Xl;t;P}s)wJeS9y<B??sAWZW{0*K-=Qox043~Qq3+MM{ z(3VQdpT9@vaAuUz>BBb9h8leMA-3KS#t~(2jY(cy)LlJiz4j}04HY8E4#pZ9)OGg2 ztN?3Z$drXFVtf*K&{r)NSEASn_*k8f1VFaljk@vDwZBf24N`fyh0hV*;Cvz9FcL2X znM};HDrUr8cRJsBu$LbU1oHC>7yIU#^vQFhYR4#^BKiEJNbAm^`Hz-z@^dw~csp%X z{22;}P6Q7?|IMQ@2mMn;q^+^Ixe7$mxg@jLY-AS;sMhSbIEy?oQrtykWI2HzV`W&~ z@=`IgAX^Kx38xw?g;QDdpmB8_Rs+eAIqaDVqW;Ss(sd9oR$`DD@Tu2G*i4s-YfCOX z9Nw}sVczMa{>Q$Kb0qt&(J?2%asU{WZR(g)@2kxSMfc|)d-rAAvYj_kBc<m0#)YlE zhla3*f^Y;lynbtE7UYQ11I(%`TN$!mUDC)cYLaqeTs1ytU5*M0DALyc3~|x2G{29{ zo2YHZC1xdGz#zR&%s0TMA{9ob^g2(-4fNzkj<3>HwEAc531v->oAj^)Wo!?*S`q!a zq?FPGoUQizEU|6&@aUEjX2y!0V!BMU>nQTf))DNE+0<|#DEs5vP?tQL`gpuZyq?#6 zR38^%tnS+Ko{JOn$6q+yzeYuU`cdA@U^~XE_l=i7x+w@wtG*D|$5=^R0ZG2I_Ub&h zIo}v*;ePi35^n|?`7(xlNt1Ks0*>=|bc<GRCxv)DIwMS%OuwK@kk=EGULcLDaHq21 zn)Wl7iUwdw#V`LccQ6UT%RDHd-nj5$)IzdZF5LBJHEk`m*}+dmA;jx0>|4EqLP+Ns z&A`i?(97q6aV^s$1+CGd5<}A%)GvjSQRMYijPMh-Ce$g8+aBrP7_N>SU>#OG{NKjt zrTpC5z=yKnW4|Z;Jo&m|e}Y#jwC{eeH)Rio(oXYXidcD_b#QuJuu|^!{RXd7-i))z zmj8mido$y_dw<-kwAD3*QO?RTm_!E*jwv(Id#8MoH1n70li+(Imh@0yL6ywpXJ^W> z3+(FD5Yj{?bL~y`=Nl#SKRvk4zo;96rWl<~qh#w*<&$k-;1UfWXY6%By+{<hGHM$W z%)-tr_I{KQ{J|8`qlS~@U-lo5VPPum<8U#kA|yCQlMc?fk#zew$D;2C{fFbJ$4Z#0 zUJ^BW?M@S)BcTbZ7FCkBEVSex$ciUZ%{7oin`9X$s~V^Yu;fLx7;Wa$ly6+9W6oB` z>B`@i0*BOD?_v@`@nf>JpodH*%Y6aVeAm-Ng<J}^ElO}I#IVAWRpsj^)BV!SE48D8 zd7;%=Ggy22^3FtQO~~ohAjY1dKqUuWpP<1xD3ye%;n{p(Qc@*H*!L0${_ff8jwSVq z&K6iG8N2ESc(VE!Q`DO&sNJ-f98wv&b;YFV$yq}3V@ql}_uE+qdZBwa6<tpRLVW~| z6N=;I>FLEA)aJwIOIzjw27(QvnK??LsIZk%VhC|PXq=miMa|lo3kpE;bEN--m01%m zW{{E!J8p8}m})U;{+_j@42{%Hf)06a1qQ|Pq8;?&_eP-6w}Gu3Rn};H4%JYSbl1>U zSpc{`7F>=I;n$RiXx98^;-Rv{7<IOMHtR73&Ge2;%Me=tL=*g)lb2iH)|@fvO)~@* z2&ADFHC>hfT(hUBw1k$ZpnG&n*IM<?;U-_D^XnL?SeZ=g%AhhIt<>PmT%*aVgXQv1 z-Q81qRnVF7%v+86=C5T45$+<CjMoV~y7B?MxdrtkE_Zh4ls5WGzVXlq<!mtL2o6EI z=5()Y+CisX*z0>V+jeV2%aPSSmis-Zq{EWROOo2uR3>`Y2U%ZA0;{HNtzaU-16Ubd z8yM@@X@jVhN)*(6qz<CMssq{Y`Aa7|{Eh2IC0>op6C+IW0kd+WMQ`#%?ggZzaH$w3 zH_=NNWJSrBCH#+6zAkLywa~4-_093*7tY*iD@Jab@m$nFTw=_KHdu@;U)@}{%(!(S zsCvkEZt;*;Sp`#%)ZY+GQWrdtEY-}OV?tW{7#lEq1{RG?kDX=&pLBrJ`+k~7#M|cV zPtVl58~a%o^Xc2XG$cvQ*~8u$_|Z1qpx<g{PZ9FaW0FnXqfM1Vr{$o9bS@dM)$d)~ z;rnQvBO;p*U*;pV6eUt@&zJJhz=^x;jk5_evL=Op?#7+|-P?-7m7<cdWTk9)*+wc5 zoZ`$idj~Uh)hCr0;p_t<?rA+~gc02Oc4^cVW$VPZ-S{_g>rT_moWQs2IZnl}80+^_ zmE-~!w(diT*`}ozjx%n)S#BRHCod|sF7xN^HI`(5a%C*nbmaTw>O?;V8w<tXoM;ov zk^Jb3OC^+`6h<#=?CcqzC_0y%)F9|E44dZy%}iSHF3I+0n^sJPGEmq7=t)(&4#G5o zxs0c9iykRoo%uy4?H^&?Q}o>{)|%rHSzRM<h;qiT`n#VNDtz36s;7Z1$#H6b1>|B< z8)ipE${GzwJwFm@#`vd~s540){v25&Z=`ru6ZDo`eRu+7jgNzWN2;jsA3+U57Ul0C zNt~Og%>8d?k%x=?ZZ72F!r$deLGn8zQHWLX<Db4JW$9h7a$nFgAjhK7-(`Ae0U>Fp zv|WtNAbq~Ti5G6@fu0$ZY+wAS`9H3#Pc>0<oc>2;{hQoLiShq`mGxu1y}!zOK*zt7 z^_0{9psY7Z{a4DmjP+k-U8{rXzoo1@L%OO;F=fbCsEcNvjDwfYRcZO{+2wy1(&99n z?X71;EAbeIh5K~izFOa_{D4k_E@E42FaL&U+VhCPwR=?^o@tx%NVM^g99r0}C6zb( z5vsfU#=4wDZ0{doT`ckc5Y|KXKc(RRyRhE-<BzV+@b9`h|9_#YGtC>}?3@t((bbvb zmHz7L1c}I8OCVjHu~+n~xY1|99GP3#{KzD&^018-cZNuBxSiH&bbHyx?u<wZS^to* z3fl2do`Px9G8-y8ydq!wGC2++k+-gl0ZaYjkC<1%#C`z%XU-sHS6tB_eh4H<4YRkT z0R$c@gZ(jEi6H`~QnOgih@ChOComlc4K>;HPleu$)_Y5jWW5$t_Fz#Evk?0Fbw+qe z-fUZI+4S4;NfCAJ?`_Qk_CYhk+m1cUmXYkBfXB<!-(OBNE$e`Rq2Jn(^q6+^Wimv? z5uuz|jLtpotC6<Lud55if~^88A`Er68?3P`IZo!eRzC2bR!&)ki^*La=k3=*DRn~I zx}PKhW?@rX_SG_N6Lr>L;@HR@=K-7{F%_Zxp)t$iY~=&<ZPzktU&xugP*hc}CZt+F zhAMPRW?*Ay^}JXvh#>laqL>`PxIK9Kc8Akpn88BPmR$_P$Pj~R<E~o!W&mnMeD?EV z%Bl=Mz<$AO9{5BiTUM2+Um70z>Sl+Zfd8uLBN1OQbgwt*3PTe8*;=G^sN!9rIF6y( zGv|O(%+e!rDYMUHf2-vE?J|&2vB2`vwWO|kShb=lKw#P6MGD`6_;WZ$OgOm_SFY9@ zcnfUH9%{j)qrwxi9c5`-bQKDluZtDN+({fc7<khQ&hJ13+Fy_+QWuub=2JRVZ+$gv zWnobI>pD=vL4HbkmF&3UUulU0Nx0oTBGxyku3-IuIh_drD2kE*c4E?6S?90t{C%P{ zakW_1Lh3<<Md~xtYsHVn^jp=X!b=Mz=cjQG_aok~W|E-cYI%F1eNIltw34A!>5+fG zoBJ8RfLl(^>osOgqx$k{`T527F4eZb)2YM%Hl0a=PeLm2&~j#g^R)vZZ)F_sTsXB_ z`md}$ne$Jd(Z9>;K#;6XyDfa0c}yAo$4)PTGpCC8t2BB=J{{-$Y9Lg(<LHI_k-g>e zmhYQqtUFRL(QC6?s?;CQl-poZdJDEB=b;gt^EP&sTywRYZO5`@F^`<8FEL5E>X#jL zIT!H2#1WfS4b7H=&Dz|3Wg)<1y6;m{tA%3f)2ld<(I~jeCS_nhGvPGzB8gz(xzyq3 z<Fxpr>pw*FKoh>c3Ub!A6Du%4!g*g4U_BxC73$=o<6$U3`ce+fk+)tTJ1Sy_+#VLH zm-<Ae+YV*f9;#QIlw1g&jXEjYip50IAXtT+Vg|nF^u2p8827x}7j!aPT_0djniKIE z)D7DsQ3geowN;b8eodga>om6Vo3E?BI`9q{Xo=!9(U&4rCTzL%TD?MAk7Sp+S?Gyx zW1`5ViCKT_W_%j7k2>^RTFVvP!6uD@h1*E}9+1^vs2UwW(4m%eqUpJ#rC_Fn*3OpU zF+W<Z%GUPrdX$OQCz7PS`0z{VGUz<o)1nwsM{Ow+OrGd`XI{UXi}ML!?w$V&2$sFY z>%Wff#zkAfM4#-N#DsKJ8s&BCV#v653Jvm4Q#Su*N6Ps%8QH-)vgdaou7{2!jm?g$ z7!pA52kVrs_-qTES6dm$lc_(E;{ZBfW_4F2#630I3#=MW+)M=KFkAqv-VBJ4Bx}3H zd2vE2qwpLrKxa9fC1+?w{pLk2jfT-48IVm>by`a32ESir9XmkP^OCfmt#E5l!{uUF zbNFoEYh1?|R3H0EVqv24G%;;oX)bUAVbgkqo&_Sv&?u94OhnJEw25>UD?zi?Wt^4{ zkqnYg@k&B-N<`xev#Lp3C3*G2a!w_KR)8w0jRmM1fUP#aBoCGgf+Kc)Te`mx3|Jx| zKArPK&V{4#ERlZdqIoYpZq!d``Lbumf`>sO+x4KI7r`;V!*^hZ>VIM)mAC}UVR8WH z<`b#ok-ivs-FpacCdj$@@Dn2D9fUh!{*85l`)Js9<`GWLCAGc-7ygjWSYq%Z+Z{rw zHw63qr=x@Cdj=1T2n9oQ8owmXo2IsiW~)M0>w4w$vF0|kVCnj%ba!x^F}G(^QTWil zkAn+4m@Dd#5dpsNJ0(s<*^2YU<z-qhb(QhvN9iGzCUFj;(a~D)_53Fjz;HgaV>c0Z z0Nyy=?&Qa6Jkp&0sbBRn!*`<}c>@~FRTW3{Mh)`CC=J&Tn^wtdouty`cTA5V4ig<; z8qugz3y*4tPVIe&ORh^_wA<7DXhW`K8>WTcd$|<egRV`L1fZaMaTTOE1`U!p*z~(s zcj4a-97ANi*fVjCGP@MzD!FMUX{itc73Fj%VO*vnsemb&aF$6Z5WTcHc1QkvuF$m@ zM-`(0<xTd;h+gA(%(T_E<rBvC6oRJ?uVkN%3AQj!KVC|_rz>cg(v|(K;KZ9ao)7&I zTvrdD_<yx|u)!yIZV;F^hLAkLWXV5+ZaB;GUm;=07mReHbt_&tIh3W});hDjtH5tg zSZ=IyKCmP?v7~LbEjL6j5<FQZU=9@tX2g8S;-;%!YvYO1pyj5s;JB*RXV)utV)+7r z33P41f4W+QYVzLSQkc(Glas@P8=ZLgw=P6|#v_E0SJVpV47;A_j1NT{8wwK1K~Q)$ z^4dM!#PJGV+pZR`OKUbUmgq$BRjw9#EuKyt!d6GXaur+sZPnl_()(Urwrb?(C@NKK zzOXwC$iclSa+cv>X|-|{ejGZi`L;ZX*(_eEz;Uc$RjKg)dDS#1(<n-3KZ<dyc0^|~ z?6q(F{8#y1#KTOl)|C{{ST1=z-zY{zRHCOMQI*le>e5-~HAR<T;)li!h$AT)VxWI< z324#Amiv>!D8utjq`wn|DU6YHVTJHk3=^Ti>>xgHVRTgncmk;lolwrl*bEw=#{=2X zNBVulIKBrtxnXk5+2gMyzN>%_Vbo)L;g`ZAl6hM2_a0$K=XWQcs-7c3iXtur6n2Xl zARaH1V}{X>@tnqtraHztXEMbz(j5zh7c1~@-;K~ouDx^@GT4s$C)ARUnE*@zOkM9z zZd)VDqRMY}5Cs)fOaLLaap0D*$8Bl_>Xoar8T;)_)JLQm5`0`PkJ&3CDjBR+g#cg^ zFV~YHFPX7!o$Rl4Bin2Q8UO<m@YO+?kYc92<g9PN`F`m>l#rkK`ZB@{Hx_;nYfhRU zmVb$_rzK1<m{x(x!f^zN{xjy!@$~SW9Bz`+`_hkN>3c7{_$PR~!OJ*Eo^v{fUxMYn z;GWcx9-WPV7uv8)cm2{K>BFzv3TXU1K8Lz@3?)UUJ8G3T4%-*Sl7~9Pb`+`0R;f*E zIjrCWW!p|H*~vA+SCFgV;%Epn#YQe_xoD#Xj>onmx7xyCq(n_-z;k%=Xn!o|CLBC1 z9Q3f@E>U;&DfIBvHmK=0zmIMQt_vf`G+wGE%3IDwh6-6K(y!zyMuwg;CQf{sEWrws z+p?g?N^n<~Phw5(Co7r30F`VYw_O)Uou=~6x{bQk{<Lk>)nnQV20I2N;Z1oT7j*vO z$(kJ=n7O1_IKX%5I6ra;1w&|tOHt|i?1(J{Q^n{w|GBt67r#6bgAOqot@6f)T{Z^A zVK1mR*aV%u;q)6({_0|PKq5Mdk3>5hWi0VA#~NEr(VXLTqB*Tud?W?2)k728sYpA< z;*CVRbS!(b?!KZ4vkoGB)J~ZT9~1Y3F9rdC%2$HBH-Ze3uDXZ2u1Ag3#QMPd`9O7f zWuNA&n+3j@>PV!4s@!2O9U(U_T{j*?0fHwoHxd3|sVQz1t;{Ve7rxscTX>%}T=a|G zV1G*HUt72#z8@~);rDmPBq#=$^!xX%bKt_2?5iJ&v;#~$*4dLO%H2B=+<?qSlAU#; zm0=GbtRWjzwS#A7mVwWZ6G=e%(ZvK-@#Fi_z1;rk53!EQbevDjYR<~Zu+A`y2LJ@N zHi$db(CCY7>3a72SPr4v#75Y5apZ}JtwndtyI;K0Icjg=;jCd#`+T)IKG;v*f`1Y$ z{uO$`rtz|&cG9JFNBNIQ`%(5YovRV=>R-?I<``4R^f~o^C`NN|*1v`S;|G5SrB(DO zNbiFD*%qKM41Sf%;eU$MtD{u0<K`cwr=O#QnVOXm#CCQ0;b-J78$ovN+*mQ(!MtP8 z3x*zX{u;?y;Kg=9W^oqzOHg!%wLyfaQ+K2<M>ELG?VIuupAWXC`>&b1`{(=#A>F+h z$n<^OMlJx#dL4nU{c8dLHV$%q&-I`G%k>>4wx5;E*+bX7eE^EXNI$Xv8;8+~9rH_j zDQw#EQc}^<>8JRbvlvF`T>P^tY+8Q|uJ|pAh@M>`EgwtF_6wzz72!uYGr4&e8**Dd zDl7cFY&xoA1-vPe#QevFdh+wpbgX90SG(H4U$7Kt;Q_1x)&;Fz=FP-;i~JUDA7_OQ zG+l<BA>`wc)YB)#p=hlnPG2vKJ)VC3>hbxYZIZ@@cA)B2rr`0zGx+JMnl}R}Gl(AU z=6_GTC=K~nA(~M3uR?UO;6D_iykh@VAu3`1uR^r^zh&`utCj0u9xtPEar2><!7$__ z<;q+fSI$OCUK@!lLBQw5rtZI2dLcE5*<cpHUM(})8xoYcY_`A25uIH2E2Q4&#!^+U zp6?0j%$--^TpmBcc#X<$<sq&XX81`)&xK1jVE7G{HHn-4dZBD_XB+0{_nRN|@A|vX zmOvw~!NrP2e(g`3wm*UJ+Q*+ZC{)IZ9>Qk`Gb`YGfphQaS<XJj?KgIKD~#`V_cznA zyKmOXkk{6LKgDQn0*a?M13bk+2^Enyz>4j=C>Zjq{H^o;sYIeMm}Yj9+=uUqwylL_ zX<&Zq^mD14>sjTziBLM8Ws!w;o(^Z(VVPR}x#3N<NSZb!kS8erZ<F^)h}B<<_q}U; zZ;Sw~>8_N+SbvTy#Yv=I=w`dOD`aQy|6MKG<UjxeGduVBbC4FoGq#%LEGnevM%3~v zk?*Gg4|<=<GSuCSd|OlPrB+70kX1zY0(?a2?Eitxi%I%FnHQWy|Ea|rQW9*S<&VWX z9__!Ad4Z_h@jsk-5$g9pmU&@3&Yxn#tuhRGz$H7ZBTAEeBJtP^F=b_|lI)7Vq1*Yr zM`Nh-!F9pA!{)<gQ|@OyWuEF2*9Cq8UhSsp#(?G3-gCPhLY1~@7$Yml+QtBq+<rIi zYkmUH9HeX>_`lN|^Z!15C%zA94!NzBbH1nSv5TS4XZAkQ5Ogw>H#)|eP1g+abXn@R z!S5bh#XeMPt6tpYHuz7w*XG}e)llgYTDU-yY|}P(J7(1EQS4kCTh;@0D{-yYb}jua zwQyjrTwnq#Jw6)8y!Z6#b#T}t##*`<lJYmrBRgrD2P2!AC8NRgQ34g15jJ?He44M| z&6AKJda1LbYv4C(_4ahTvmo$7BtYy~_MO)>O?A=L8P%Z3=hjz_f{4Vc9m7ej;oQ^; z=&jXIujGcnKT6om6~|V~{Z46$$q-#%lp6Y!piLUUGZeyYYb5@|%e?cmFWDZCKJq-6 zUav-=UOe(_;1J&ps|GoE7!k7uHXKWL?Q)QWY8$~1NXdk~jyJ&EfkEHib2?{c>J)CL zt&IUUQ0oEcTxuDVHH8nDM4VmVfHPO9Ic0;$Am>xeVM+wG6R&N0C(2mPC{semnWM{4 z^iG_NQQ&z1;m9+hhw^w6%VAIbc@pVnPx`m%$sscS*c;+)pkz(Qwww~MGx!tXk`<@9 z7-QpPfwqO&qG<+=oJz^sRmv@Fw3?-i;~8+YHmzMh7*hM6CT^(EtvTHb1}`%Vr>M^| zIkW-?b6hA?FyOZY-t?r+pR((D6WwR0L~Jm7!jP|&5?$v+Z^t@whi-XnFqyO1J~*5j zYC*nE9ue4)Nl4ux84S~*4a38y*~>wtxyeCU<!9J{t_<ZQ21Fbm)X2{+=+GYSa|0)s zsS0hztQXbDaV>&HS;uIzlEXXb3R2`EjQ?(3l^XdY<4u*39277ffQZd!k%VsIF2Rt3 z-dA5N;b>QBwzY&Yse^l(y>V6~L75o(<2gV+7k$^B^U+T7Xir&Cdk%3vfV@-!Dns%_ zL-MFWhF`TFhcXg$C-{-r$%;-)BFP0hYTs<$T911H>@9WJg2Q$S^rgmi+bp;x6BOlw zPuaB@r~(lT;A8N{SgT{b^p(-a9R0}YJShh<(Ra~hx@4%`<Rn0!ML2c=eJT=wV;ERP zP98s|gDDG{@lGm>>D@=w^cGGscECtQ-V!==!)Bwwl#~p}x~$cZgTm?hiPx!asf%=H zBP`oG`4(Ax{myPC7pza~^yR3D4h=yCv=4WF`P7(Q9!k0B^<Ykv7x@G!|7?S$as(hr zdFz2O^_wivadnbsrQ@^;=00PJXT^>j_yQwzo(vcl5?W6N{JGi^;aC7&RVD*^`tblY zMsgBCAE*iww$!h6izTkHwi4$miX~Xb0YS!zs@QaBKE<YT*2QY%D@8$Ibz{_7ptq?t z4>o$!p+$?4v+5iq%gRYiasJt<omDk*&z40thjUp=#tJ`ly8B>Ba+~K^lIH_Dv@I#K z87*^Wklz&RmzM5*ayV%tAg5x~09%%ZzKv8BlaGqL=_y=59*sORn?Z+=BuQ$a0ncoi zDQ3d*d!~t;#6mw)BVD9|8o6yrPK}lv6iFK<K1ZU79?@M(E@fi*OGMF50^%pRV0qFT z@{I3vXc<w$PL%tfQlUS(!Zk#Oi%^@XQX-i@{}<a3l^mX_xUWS|6^LT=D|(Zzu`2YX zDX&Ps=0D$u#%}>NB0sVPsZ7E5WY$ErA&XU?i8DV?kt+y!EjQ}?qK@ge;3#QDT4=zZ zwc_5Mt#h0(?%7?O)BN^j%VUQN6yuLeb-&aLlVc`A##<+1;bX^v8`4UH3i-Y^kKpn4 z=wbP7%BAR~m8K5uOT^y&%Dqwz!z5UsaN?CQ?c{wsJ${MVSIf+}eX`*AX=mCuY0nqF zc7Ufi6hN#FSL23S?AP9jltM^fPXsswS}|Oo7C)|%P?2c9(ib`n;V!qj5ax{lCrFT8 zYYw$#{Y6vJdtwFTEQ`*BBP)73g;d13Z%>^U&Y;m#XEjlx*Z5#g$%_!7PitnMPrm?8 zO$LUiO~+6r>eY%{7!p@$XV7IyA&LV#+a_rC3rb;4T)|mHZi)u}a9<=XlmtdxI}C?} zCK354AeFeb&(YPFqf;A{j}u<yQ}#DFezJs^q4itiaCq6aTcGI5N+fpe!fDq@wi&p| zPcr*~0P1myGU&`Q3dpA~vC$jD!H|el#Q`%gsPiK8$(-nYW1Q5%B-N~>@9{t3nlSkw zbtk2q@pT=)lrWM8r=)~@qg3D%$r6!ajRYV5L8lma94-rLu4V|OP4d6DGDi<jI#mc9 z>HMSs5Vq7cx5BQ-mBaKIz=RIIHp32~KMSO!L6tmF1o|9k0#Tfk7>>}p*&b+*m}+AA ziyzVE;OR>U=eS*re*I*;qG|@Ucs~Al$j^@L-&cF%ZN=ngX%+f^dPj4Xg*^V|>UlWJ z7u?MsAO9@?K;=MxDCFY5%7KrV!Tlg@%177s+ayznB5cLxUr}pwL#^#t<bm^VkzxZ9 zw&bOEb<2H0lG+SNQZussvy3ln#eZ5=M1P~L(RPw`U1n$o^@LI!_cr7FP0vdMrRPzE z`28&q{QPWOW3i0>rtJBFijN#%$nw8}^>9LldFX6>)NQ|wfocu5x%I!bh65A+oIxsA z%Ku{S9fNFpyS=@(ZQIsv+qUiQ-L`GJciY@;+qP}ncEA09o^z5)-cxUq%BfVU=F&ap zhgoZVS=SunzJ4O)wS8vwPx)l^>|cb=1bHX_Ki)gK+Vye@mb;0r>3Sxl*bBqyYuWo7 z7~t(r9Tijuhhr&!=ALa2B9q$H&k`VptMnI~1@{g$w{5Z`4dSX6n~BojTu>V5_~m>m znIvrU<h!iWK2`rJ0Aa$GFA#oB(|A5*R{#P&fqIzM;pFl#OMPIg&GLk*NG6L>ZN&_z zIbk(8@o3Y=J7hE9qNm#Y(*UrT;BbacC0=oL;^y%fXRfjoH*W+*Piw7OBZT|LoKi?v zTag9j@`mf3suC8I$wsEPpf946%V;s^Hv~hlf2s7m{tJ~}vaKprY>Q^2=CMVzXtb~L z?wMDzF9=my1Kw?#5UB#78%rC$qf!h!4O=!Xa7K}DHt*IdV@1qUHNfyLz{Lf@uwTRV zX(dHeTwt72X~vY{$S=SuFjVyJ4L8pC19*N^@=8T`4)aS@lt-i<TP@PH1XE9DRIvmA zXm}NcB-n0&%|7{g$rUj1_ci%sQ%=7>Zp@*RO_JRd8<0F72u(M?!E#O*RJT6Sc*#ui zq6L81u5!bIu{%kjUFV{6sVy*FNX}+qow`hPI8?4g9@S?CDldin#wFJP&_HuRo0{}H znaCauI~qAux>CrH4IJO?EkoDAa(ugXy{acsOlZn7)@i?=XM890Q$S?A9I+cTdz#~z z0z)t$_H;Xzu1~bEyOegdM1movK~#|2>$*&4sU}Qk+%0Q}o#xasrV)aZR;Kxe^&m4{ zpcgJ?ND*`4X{?8fSQbfY8({H_1TTsZ&7rVWV1r??t3wSHLyPQp;|rgJweRrqb2n0= z;ksbbJR*^#X;{7QCx1LNFG#sLI{;Q!HUa(7jIas-Fc?+GZE%685DIvkwt+c_h2oBD zg~8eRs#|~`ux+ESD3p`x3MFgpWAAnBh_k>SI)Qv4HAWYP{ciBs0WY=^m1B7i${9)I z`CbLvc#?Wv{%i3=T5AVc4?gRl8tH<QOFNNu#c)~~0|+ivt#{EZTihE6<XDIz9Twb` zPrz32R)d6sX}c$vxGUna_Lv&@hHpnxWcjoBA^<?<_w-+&=<*-neNiiuH>2sb>d%2X zM)L5GO-;2BK471jylN&4Az$*`AbeIAS4hpRQXB9eqV4v?-;hJ1z$V=Mx{1czs7_RY zvh=#tGhW4DA`;}}S&dScQYsx<a!wWGoJ+{K=ixDr!ov}=P8Ij^jw0)v@XlGGZBs&% zfWhb73(k0FobZpkl-kF6Rrm7C?xpSpcK<HZM*nB|7at2RKi--3Vk_O36FP5gGV_uz z4Q{yZM|dVoKL_Wy!Hro{a5;jH&yo7J2ks#6^(#QNoUB(2Zj|H8xeqd{n*)-|NjV8M z2k&vBW-2~70iRg<`-8~Gj1R~@U`6_sa!4F&zj|zBDtXn?=W8r9trP?%&J+SsNX<Qc z)&xAK!E%e)IRv2Ccb{fwq!C6@`ZoO0!@D1s-!|Tdx;!{vrrFu5ugTvq3AwBC`;ar# z`-_p##*Zrz%~&a#F3(yh-sMo}TlekGk%aef9@}5yaD$JXU6Pnr-j{;nd%o@H#qXE8 zsaxnoactj_?nv9fKe9AKdhObUniHQFgARe}lqKYAfu(1U!RLNnoUrj{U3#u6@~0kH z-!JsV?>8#L)aOQKzOL)b$I|1U$96F6@%Xu+?7(BI6;<lWwkm89byKr9Mur^zBa)V0 zaOrZ&&(%!NOq(D+E(ILOYgcEmpE*Mjh9Cbb(0y2Z=xUAhpp@J5)K@K%z6j=!f{nZi zP1%9nK;E_WK|$VaYD{SPc^lJp*3l-tDt6mQW`!a|aYJgZ%t$NC9s}L<E!bxMEm0=S zC2EUYbsn|1S$kwd7rqVon^SzQYP_-RtB!7KP}9Z$M^F2a%XXS-^WNupa8aS#(_Yu& zotveI&u=d!S75VrMP2By?xTxlCO?qtMH+P?B}*3fp3-o$N3s5Inp`iDW%?=iRZ-q# zeUF|z*2(sUrDAHkq!sq9w`w2o2DYb*+M%z@yCA*M1i!1fq2l%V{eEKA<%7#*BDYh{ zh7Aw#vn0$_Fgy`&k1ie_0tCm>UxI6trTMzQh^*ZJzXGl&v?SAgEI^=XCc#an9zncy zlK3JF+w%e`FVGLwF&3dc-vc)N=u=_Y5ABe9G5PsNlXHabeHuJ!-a>WqO&X$4B&vY5 z9k;FU{ln%0?QmvP@F?y0+l{RW=Js;oZN<hW2@>DAXT6eeiRP`dUHJ3MrpzuN93CT( z1%R4QTvL?9u=;AkZbsbktI=gO-(!O1ItX=t2|9K4cV>F-nzt6U$Z$BGPA<gfLSHmB zFV{fE0YsnT-%;@!$-e%RG|+H-FeBW8e91P*g@+}`?5l(@k%08iE<KV(Cvhl19aM>b zj3K5;C}6!*l(5_K6I3N_I<i<@b#ADI3+I`2qY!}le`XHg+NNQG8jcZ=LfWVtIK4Mp z+YC`q{d*~Bxw%IPxDTxA`WVzmSiBq^ZvQnhTg}NQgO0LBSOX<BZdhvY?2SOQE^ovx zf-d_X>PlP}cO?nT4g0_dZ1QfVrQ{-t0sk6(N1sC^eP(1Q9_Xl<<R>t0)(RjMirxgA zwyiBVGf=c6MT|eLzXMmB`euHTrAVBTf}v9D=M9kZO;Ua-e8x>p3q1q`CmGi=FGNJN zA7K9N(@h&lQCc{2?GPuLeZdwQo17DEd5)?57_0i)+~1#{npH_3gj<QkTns$+gx-xJ z7z^ALMp-z3#xq@9_~1Lm#q}jELF5O9_FK>cgp6wNXZT=<n}_Tzog@G^7x{f4UoOv) z`zE6IQc$5hc#Q$#Z&`vSe2hcov}WEU8{}_L!B1kjFZw~ktVt=5o`ye<3_6}omS&R8 zvTDW0e>E80NmErro*6uL4EVF}iaHkY(YVN}BHT<iSu1{l89x>|)k_tmQZn1`Pa3^c zdeD+nTMfPuRyqrc3ChqRBO-11G}Lvh1}c?}{@5$EGUEQ6Q>Q^gN<=0B+b<>=O{{be zR=^K&<oOP=ET&(u&<O_l?WPaQ<&2}&`=WJu0{I;5dd1{;STz%JpltZA<~8U9uG2FF z*WTr7WUEmyK!f-EKD>`!=BjN&oJ@8W+AkxyZj$J>`f;vBl57kk1Bs+C#OYNr<9uNR z_Bir`8G-{2_SW1T?}o|z8-JhLx<R1W=d-Ks!<x=8HbM#JZ@MDf;i=Ix@68=DjUP%< zRYFmf?mr)Z@N42yZ;fBVEUE#H$s-sfhdq>;e_c<<c>E5zTEsfyDeDC4$bni5b?OlN zrF8#Q=a?;dE#Vqzf$qHoQfo&xMv02QC_VY1d)%>Xu&O0E=(k(Z7lVW?SBKGPpgu8Y zfP1ol%j7Xa8V9pqpuZ@?q&gzXAD*?GJ#P_l)ybl;G~jdvttP{B&V>;2rjsu5%)@;g zSq=^j5IYCN6kU)Izn5|B6TB19E3#&$yHV<2Wc}c(Pm(^E-S9ANai3G(V=_-Isl25K z<bErx3pS7+8C6(3_(y}EEVFw?+<@$_OmcjxMS=Su3Nlc<Lt=9>)vwG_N}NeNGeZIY z!VPD9;(cm=D6U#fKvYo;Z%E}8wr~dVU<Cs*R8el(0&a8K2{<BWIT65_kBdSoZF_xz zW|tx*1BD@8<}6xFO-n!nQ4(0J#|Yr)2gN*qISG^PaL`0$!J~G=ca$!Pb`b|R5ylOP z!jhW|m`7LS8e<Q9MApmXo^rKt5QK)lFoK?fg4c<auMO9;1wH9fa}SPSnfSrxBrser z0`uT_r9uR+WBJcuzX&EMfw?*i_eB~3g0NsjQNLM&E*DQV7^~zGMKG(%^%W?>Dj==t z={6WE6}|{p%$r7oeQKaqsHjelb@!~8dIO~+$W+NJ#sF0eA5l)DZ4p#ov#5w-*CAzy z@YuX^&ed!tJJ>AOsBJ<ubDHSh${3`VY1wy6z<%x)9fa^lzjent-e@9<GjoC{(I#Xw zn`&?&c1@LH;HdU*i$Cp2;Yz@-8o;H_oFV0I+84?a=(`lKD)v?}*_LH^ei+`C)>>lr z5wJ1)18~YrpmfQS7FK7nR#eH-H-C$UN_P87{y_42{=NO(cC$JTl4D@u^`!j*Q{NLR zRn7M<>%}hmsUYHnaB9`3MvVdRaoGwv^tx2%q?EjCw31q{PDJDMGftXFn!tp;F+nnz zLpr2Y&c<@vqc81)0cvC<m}cNkqa$zt!o`2LmEAAy$G(9_dB9eS>TwH(?|wfNxN#Fr zS%e;jGuW}Yu28bTOVpA3=@?_4ar-j~IoR2;@y{sae@sup43qrKOP=vR{_hQ|3J&?p ze~&g^{V3bpfEWKiL(35roEF_d(pjDAg|442R3`AU?!RGD_aB%<dHXj$zW*Z}QguW9 zw{YlZ$kHhWO?UWTHg_K#6s+yS!rSQmnAwim6CC8u=zp1vK9}-mzws7legy3!md3B4 z2XcZ<J?7#crGZkrqEUpe-~_a+3Q3tML)Tsj^~^E!MU14Utt^O*nF-9%a#KkOj>S<& z@DlRxr|R*}#uE{1RNhT$0^fo05Ci<F{0%ef+;y6<(-v8EZS>~&cV!$#9R8#uA(Rt` zgg{Bm`A*(0HSM0hzIt8R<u&7}5O<~A%Ej%j+<l(Us+kjDlLE;>FM1)fnFuIOZZ`tP zp)QfJcRg}!0GD0zeFFFiP&1c%s>Quv01t<?R8Vc~4kU!R$F>8A>}pp4%K$j8@|HLY zZar#lTU5v57&Xl{V_-UsTTO&_n#x7t4#1U)UC1gcR5Pf46d=nv0OI2`ccEu+00-pe ze+Zvj98m3Ht^8;k_KN>u%88=X|4XI}f-Os7X9W3SN~uNt|20!a;RihYYicq8M)l@J z$v;Q566~9GrzFN#?Q~nRNcZpZ{~0P9pCFW(e)H@xhmPd}-IzP^9Tnr?tJ`pEfw4+` zb9;Bx8>ypTiUGzn1FozJzybVTo&O2TArvDxl?TrnjDrT!#-*b0X}xtK8pZdclGQB2 za~N2wq&Oq>+isF<Af0?NrHUZ|M8hk~r@;R5PyhabO7&%l|A0y~*Z%@4$^HW>Y5xnT z#P|m)>;7LtrQC%6GO&#M|6Qn*u&n8K{BMBDn2vv-l8NHKhDs3t7?^)SCDQ*Rs09A+ zhDwV6MyRwT?gby>|2I??KA<>_1<3O0{3ldaXZ|NtnpcpsEFoi^Lq<Oa4MoVBSKQ0| z1C`rOS+;FcZ2v%|*SvGrDd+aXKTw%bbuY90TKo@G{;T{0mH$y@`3EYyPXCWkxiTBc z7oTXrj&8;Q^EJ_AhuSwijdQLQe{pZ)=lc^gd&rHx#P3Et9S*=NaxrXUD4zX(Y{$6H z>n5Rk=S7VYZAy20>UOey^*UJowY56C^N|m1guR>?$l(z{f$s!Q7dSPlwFkMskPq|s zZ26DAl=VCP`!6H#7PqznE3XH$JA=~lM1)I1&<nMImlHV3gPBZj{7YHPZv}KRh-ZHi z^7hl{IZu7y9x{ZIldQU$Zf2Pli}2pBE$29}6~QWaDB%B0XZ5K}8}b}3IY}MdS{z*6 zIWbBO&8xgcjo4Wo6v6-E_6w#70ng4ot)thgKD}p{SPNKlAds^V>SU-UZTx2K&44#l z*q`qc@5tVUaZ(W4)Lnm{uo}IcnblM@IZX4!cj{mWdDy@7rn_5uzZvzw=VktsSb5L2 zr1f$do_|01Fhz8I2A(y!@Ff6YO(VJTv-m?I$eH`0S*`V{<O)X_-3x`QxJ5FVr-VyO zVc;r(PJ<yu4GS%JL?p2``s+!^WdG_k!hkA|3j3nc>ktX$aHgon<_=INMdifD$WJ{a z4340|pYo>isu!?+R>AX~pxY<hAk4z~_uo$<GvrVtvgrI^$cY$*QEf5_T%&p<s>}c` zQNFC`eT-!DVR12R^wD7~^a{b}XxV*tQBO9A-HH%P7>xb_*XUZoA!jP{E_1DtfGQ<{ z<-fTcxprAlZL**`B>}wL!RN{|&QvFys4TW9|1P%{+5czxD0C<jhi5HH`cRwK7tJGc z5ID>;eprJWfFqJ;%8(Gm#NlVD#^ax*AVQiC_Q*rT<oA7=rDMmc>0|Ak*UZSTm+Wte zOl?!^pq%efwesZj^N(rGK-;S6Z|$LBpD*&O`Fz>$22_tW-g`&yqvN-U>BqF3b7sDJ z?t4cbwVG^d_0QG-_Hb3myK<{~nAh}=Tx3x9tT**()Puv5af)g^y)|9mXMP5au7Yye z4zJ-*aynhQ-0~P=M25E@h~X8=2d}UK{J~cQF@=fyBP;wYZMf{tGo2q$Y&#wX#std= z>;>S>f?^8#+cw`+$tF71^k}>T3`2D2Z9V~KlGzbW6wv^=Zp6lT$Q9N0IzGCFu@dJ; zK+CJd#_M9W(IQNGq=eYfdiKPXCb0@QiBi9RsyQ{Da$oskPqHcglTha3M}*|Utr_Y1 zy2o>w?HUu)J;vYWA}-wWL~glCr`MFChHjSf2_tL?EKFkQS%Y?Q2U_lnvjt@V7SISt z6wt_{4($>j;0#X@<BI|uF*2K~w!NF{lk0;sLQ`hbOVL<)Z3(JZ8c<EHbSJgZN3OJV zPIm5`_}Dh;v1#0Cb7!Q^rg5xZ<wygaX_R&xYx_m+tgg|BnEkDBh^6xUdEIDQUpMv7 zPm%ro+eJ=+BeyExoO{L@?}QWHDGR)93RvgZzv`HI=^m5s>NN^=-2#h&$0%cLWEDl` z%8VJR*-judaCEA`Q7yt1O9yTw(p5OAJz?Lk^h8>I8iI-i`{6a#@b=05ZgBnhc=~A0 z7zD7iuVD<nitp6$%|x5e9Dz2c<h*F}kgTZ1M8Ejagv=5+Uu|nBqj3UX{wP}WPm@eu ztFcT@)!|2t!_-QXHA3f|?)sC^s8{KPiML;VT<>#!8N(6QTfflVlV{hTr@A6qALDv6 zWqb+bPaP@S)wv8P<^xLb37b_wh|&35ecBZhXw_^vGMYQ#$9Rm{?Z$^cw4JY3WMx7E zMiCJ*1mZDAv5gEVw9*SVKmX88ffbe(<!FeU{RIP@c{C%R4F<R}V^%<+{nd$r`Ih>; zFbrJiWLJYB{nh(|{{7j4*<fTLcCAMpXpnE2Nau*R`5u2zQQ|-|QEh%Yxmm@jQt$d~ z&&uwhcB~zB!^_~L`BmTVyiavD=cBAX+D~!)Y2P4eb;#`gyzJ6kiyp?TouOk2NXyK> zvc6|YL;swnW^o!w>-zW4G2WZay8cydDSjK;E1hiInens9W%s?C3bkw2?()0r9;bWj zyD=(u`>CoVa(g<sS(Ja6M<Ga|-1u^pGZm6*Elr@&&eHLI6s|csq-=%z$1+#{^>X<= z;dFmo0P%iYKIu_lQU6V~_N`IAu>8~=_2XtFM<4#IrspcO?Dl%RXz+xQ<Z5rXEgNoc zC;vpgsq=M#vN(h~DG#WSpy)v&Yj4lGPx!u?ad3Z*8Gvhld(>xN6vYRd-^Gy`sCW*q z`rnQ{-q}r^8%fbJ(t=tf9BzP8!7(REpZ?lJvW;;5j67f09$^Ju00~wwesO3J1|<=l z&`yRH;$m@BO(CHmg-EUeAP+07;=G7GT&n_+=R85_nfmbDQ{V&BJW~Uen(TyEk)(QH zsSJ;=tR_<4*&P1e(mwu#;W2=W@V{4gH7f27w9_Rwu@47Y0g?XeO^(bowJ@iq`s%XK z9l%A%_B5j{?-c&zxlT}*4nVTXr*M-9+<@3cYRm)0RoofpO~*yY2#7OEM`!W_-L*u? zTgWgp^pE4+R&A(;Tr>2x;fL>9zund?Zr4{#xI^TM$HcLO=qz(w5%$MAQ#Ox3dsh{% z%P%jjAx!&KVY$=*yex3_GM}sIWG6iUY9Wxu6yEP`f7+efL&S_^msj$SJ>8nQ0cCB$ z;d=Z>ey~(@I$1)=jLu+XQurEX<3}IeAe@Y=z<!x+G-ahDc^bQxd-s)4HJih%DbZ)X zf+q6bKNs=UP(6_wjCc)GK<{1ETZL;|_2(eNp;enG$x4GW%9Dd)hjyN1*61=zWAAhS z51fWT$6uZ2$!obR6<9gTE-}266DN7(Fi%dDhoMF)D0~_lJ{QL13*>F4mRAtR({RXR z$clTAr=Vh6ARAr&x6Oq0RSuFB4f?It@s7|fG_IwsVzD9RzGr#G21X425p%aj2~$b> z<kfP_4-wPA7s*4&tk#|K$4P5J8VAf$K>S!+O>O;>^2zF7xG`roO;mC~(1c{pzpte^ z9ZGj%wXn6G57RC{{PAAUhO;Ey*%j<6n&PvK4;HQogZo=U0(lcbD+$mL$fab==kc)T zZ=B{28|-i=Ak+oWu6yIlpmLg8pagLqGfx;D7O=x~_2c&pvShMqnuo=`{A+Sc5cNGD ze;=Q+4Y`AEk09`DdmzNC9}+~+`nAxM@nW<QeCP-In*mqZo;)x)`I<Y%l;aK@H+@0^ z$VpiFllj>ibifOFuC<^xC<JftI`s!ON?^=yL4I*>7Ma-TTxfR%%O0+myoYWRh3<k+ zY7FZdrsSTJSuG2t)gn;Hl2HVMSa_8O_)Z4RLVVW|26>v{@P%-KpWVpA$QDQyv}*O0 z(Q1Xl8VRou+O`R=3JTB__F~R;`Zm7?KMWqd_fL{zQ}FurT=xCSRf31lhU|xb4&a=V zY(gs?7p|FHnVUz5#?7$`pl4;JmV-Hv?r!Vn@qg=h9S|mh2tJOGWE?>QL<sL;ypt>< zC$$(1Nu+Wwx58rXw=t=m4%~HRRSUx1Xxc|imq2fp_da$U=NpQ8q-JplMYDOZ`V$)g z-~e&a)UOhD8z(T|e2q&tS{$To)U4pgq->g#OLRU4u=Lkz({o>0t0I;LDF4UdYbr0c z!Bi!z6|4?4&edJtX}{yyV&Hi{h|c~|Ow73+iTfpQL5#e)Di9L771s;0XCC=M!e_-Q zlPZ#)0(0N!2q!>r!3@=_7Y2)wTivl&JL_Hpdj+mj8^mFen-1GlV*v3&sA?)qUKymF zQ+s1j(UjCf)<8I{NZ{eeCC0R}_5rj$K!#_ZToM2`>A1{zwYKR3%LTwH-ThW+J3*+0 zs`3d|iM__aZy6<Jk>l7XmqN#EktGh`1*lVgZ~Zq~(~U~T7C=X!5+F!@)E|8kQfOQ$ z6|KQI<ak$;w4tk=8LfEkVBVi>WG#lQZ0-eN=lGf3j<ZFTjQCCX#}x<PvUWjig{c^^ zupcR7xfv-6P>jcciv04MOqoK<cewY9PSn-x!FfQC>Uvv(3#Tr1hC#DWHOX8T7<MXA zr4+|zr6B22=vqN(pp>_FmlcbtbPwdI&o!TsNQ?_}mh(2zQzdnmehN`6lkm?k{2Ey8 zeY_Kgn@Gnb9`octd0SG93@iI${z7_91U?G*ZA3IIc%<Xd$UIHJeQA0ir;Sr@1MJWt z>_88J8OFcQ!PZfdqIqOZb}ylC3fvUrlYPB2<Uf%FQ9Ii9ykFMgGZcKv$)g9W<m|bG z0Imew?;v>D1NAAF)S?<JB#dTQ6KS!q^a6#3=vd2^5*^!uyf}-EqN8)WA=ZWz!tik3 zxmuESuXWJTYaEC{Z*W2iw-=S%1NT^ZhL3}*6<X`^Fw80)1>LzJ3c)U_7*b`X<^GJU z7CU*E)<=wIIzF!{{(zvLtRW?$X=v`Eg?DbHBM2`j8Q4x)qy*HQ4~CBJ%3v(UC^jIF zNJUgi@^6OYJ!j)cqGe9<f-6Z)-4weM(ezU5>vX)Su-NK^OR4saw`fhDCXj{%7D5JO zHi*`N@O)zM%{}C$c*>aar`7Z|#X#i{OhT~$2;nd&`G<_cc@(h-{$Z?R(n2hXmcyA3 z7O&HFRv!R2o@_P(2B4Z~UvM#PmHJgCG34R4UIEsK5x0;tH{Cg!s4psl-$Vl^Ru~l$ z_U4ULrlG&3JzL+9%NZ_N{UCuiH606WCEQv8n6R-LLVz5G+l7b$L>d`9)F*G6Tcv}` z+%K?wcpGz#NPYIfshG;U0wqq8L$noshxSudfZpJYfiMGoej4<j3(cSe)@RJx@zr~u z{E7H(4H??|EG8`PpA1`Ut{G%gx?>g7$E}5U1-e)>Nb72kLSJ^ax#Vni$<k_{s+_d7 zxqQnH-yk{c+X8nsWELpq8MOCwWi$3+e+74zLGW7Ngus~krIRKp4`ydaC2dwy	`s zqIH$2A`e#;<6)x^JR4N37s(X0az)DC#@Xcs%4K0du}6AP{zWN2Gb5iPo{qP&g!rQB zA82h?U5XE&S@4IYVFM;3r1QMdjaqt$A2Tr90Y*01@)FX|pUCP>JS(6ej{&J<4#5XA zA{{I@N~9F~Ft-WFo?Vng!tSHIRC8~Pyf2FdXqr677}H7sm4lb(>``-gN_tn}wToL+ z#3!H9E=~t6<nT3Aas8Q57FAZD{{mT-6s$X9uCkS!Y`h0eQAWl;xVo_}e+vXiFU*A} z4({1@_KA;ufnNe4fuUCefmtQ(ZvsT+3o?H_&6#qp5^o(`D31K;P7ClW5_?~uBp)g- zXkRGdF?neYfIC$ZHl1HusRhCxhGkn+RBU(!m4%ur{u-k6Gyj#`1f?WpUZ|iL!2DmL z=9~#$LMqta$TrG|)BAC^h;@NVkb**Bz~Jz0U_p$+x=6Y9WX&M!X6J6$KMhD9??vz1 zI(U_c@{1ndGD<Cws_EHN%APpSogV>=cIQ<li71+_9RHk(2uoNH4Up1FYn-w&VuS|^ zJ`x*|G?}@o9MZ1?N$FQGAW@`y%GihAq<54zywHtZbMXuzHS-Uc2a-Q8@tRR6xs=LE zaS{B-e1ns3BYF*m_z+$OnzNqXaBY7k3xOTHsNwkd3)||7pul&I<p^}a#fQ_m<R@F8 zcFw>b-vpfDy#ODpfSTSMZz27r=|ec_nqYl+ae>tKvVz{w+vx;BqK3h9*AB|KQ}8~7 zQF1e_q5^iJr;s0bf48xNlIMdJ;NK({UYBk}t^ZY4wpWx`+f)2FQN#iiqev6P$cJ3& z!c@v70MZCT^}y&23t4^1rFiGzv-}3sNzZRh4j0!0mR)jbO>5evBJT}z;$@P`%es#6 zk`7Sj4EIaekq=o!KJd*}ZZg1;Dtk6JH!x*}7u{1Y;5wALobJw`e#?6&b&rT8uF(N9 zkP0szr)6d7wvf9upB0{y?bBG-UDXe1_1~@s-CRV`e6Mw>%_!&Z0~uN(DVeGzBGG^r z3}yYWRJnrzAm4hf5o4$+&M}8`WQFTyl;zwx*Z%w2<m)uH+vHzQibPW`k8R+^7?=m# zY+xUduRe|-vIQ@)0Mr*38%%gTSd&c{qT)-I4~$*q;SDTAA7Ng3BMX9ji086tw_*w! zOO`%q&a&NWQ*g%%mi|jmv=5Drnk<G_T~|;Jgi06g$|Ov46TZ=+9?*WD$bP@Wt6Yr< z#kL=wW1;UnG4TqNQLfyCuK!O)7i~Y9?z6|%pH~>It$XXVVV3sw$vOPX_a?1DltdT6 zl^d$Qa5tXrUSdbYW1fOl#@L}HYz@w-2>fDLy!6Bkwu-HxLW~fcZfm{j&7Ehd%P)!L zO$QjR=<T{{W>J}kEq<+&oX3sIYqQn1>H_PVme>9H>bGIN)^uCrQ*d@Y@QC!29PJj* zQ_(+5Bd>2_KKQRT;?0vnZyuxj;Y=TX#N%pWYTgVerZupLQTi`@C_JWfVFuIJHF~ju zt=0F!GEs4(a5Ed|IBrN#++q8_!C16~JrR3GOb>J`>S2Dmhr{bT>0oPT+JAN-egRlZ z;7JIvx6~WJhz<Fv-sNYT(Dc1}T!A&4<<gav717tqccZcZSW=cX=U5w`EqP`6R`y|* z5?g`gPqapJOTiDnzdc&?M}u685=n^-zjMBrZUzhay*;85jJegK!jfF71{Qx9$5-qM z)^l?Cfa+?y(DxK!Zu!^5eruzg+QbKBBp$fg$SV!Kb>>FM&Gq^eh5JQq>q3_Ka4d&v zX_@EwVk`4HOgD{Eca%#diRI37@wQ#?!-x|3ggB7RHJ0yFDqkdL6M&;cfgFqW89KKW z|6SN?oHE<w+>|tB(F0T4!;qP#1b{O-M(_u`WFK=iHbiiyAXY#x3?DA~1+0zrfo>u7 z0SVwM#NTwp2r@IaHt44}wV~(M`qeRg+sMQJZn{-Nxa|zW63m1bzORf-L2iIdkz@ZJ zS0bin7Pw!(HL4bP^?G|+o;U>nhE5;zhU<`9K)C_n8+rYJ;OwMt4D~@5E6oSKJ0Aj` zVxIlcAqP5v%lTrz`UOl8KWwXdhGb~A2dq6qP&j7Lqm9-;GOAxQ%@53-XcJc>OR5t} zHsfCex?0Cp?RM&fw~AK~pNxhW){-5ybbX`U&e#r4f@|J9=EWpfe_0n$GHwgH0v?GU zKARUuQOE<Omyic8OwGTtI8PRKKwF72`{QI5lZ1*ZW(G7F`ZEiKloXJ^H$_~S$M|qR z#*<#eCLUOYHwRh{H#jR`H;Irs%~=Vx!g=}hUXo>9bN>qaU}NIsw6Ae|;r`rEFT#yi z?1#XR8fG$rb?3!pU4XOVvE=P<n;gA((x_KZ?0x|r#Zkuu5Av-M+MxeUQ1o<vu53gu zY$Osoq$%2o&!9UBV+rxSs+Qu-wnZy{KltXw+Zh4{rGjqzl(~E8;KdL~#jaW*0|XR8 zn}!Im`;&{fyUTSa=>rl+3E6?+$*h)DE=D#582D$xF63rfFUz^w=S;XAwCp1fe_k(( zFsA=bR~gvjVM0Fm#?!1?w)1VbdeQ7YoNkf~3DTn94Ts@85pxg0=lVQ5*6{sY<T`OF zRL1QV21C*$ggofG*b_&~cl$@#mh}qbLRw?(%sYR{!La7Vs#F27PGs1d2N(b!&i-?o z%fm%7S8(|QrA_Q6sFR{1xXB=#H-=dM;1A{$!WVY+ejxijV)pfc4Bx_`eKM%)uNFWQ z=0|X`l9IskDd@3Bf^u#JJ3}tr$sS0EGB@Hp-g!3qorIzKotM3`ZFesAa_#J{Gm%h7 zG21rs&lEUR8=Sq%&Ml7-6>%XI0W)$~ksB*s(ZKYr#aT=QQDRgPeRkMuf~DMWFK$`@ zd9nRMD*Wj8AK}pP$-%+cRRo)DPNL&WS*X8oPZ-F<?aKwGrUf5SOQ^#l_7vYS)+|Ps z*Zsz_00_a8VrrD@7R4C^H@b62J0-whx4gFIM@iPof)-xF_BQmCS%`i?iIreet(kmO zmvW=RgrO=HvL^Rh2$KwdP^P8Qr$EeO{++WD^37~qz{2Grz{FmU&0p;)$V}e|n+vOl z<s=PP{>=7er8zxCP{T^bcjr)Tq$08wyk?(fj5X(cQu*+uFEFoC-xtLzP&?MFNtC7R zNIt^zx5nRP%dL|9choY<uJkIWa4}}KhH+1AzVRR*mJ~+pTZB^yKlfpVsR@mIas+($ zIxxH4l1~UWui#vAS22klEa`@D{=5PZBv#&h6FWs9ORSM3f~{R4kVC^3qk>qnL6qUk zFQn~Q2{5TxWx@v=@^A<GnbDAqMYEugwJVu`B-^`2h{p>@vyyD+!rv6g0c#V8mM2@= zJ>0DVMAuEzPjSHwZUTa&=6JmI?$M}EbJByGp_6ARL8FZobtR&xr0(D)`N07XYwcv~ zb+KdRiuVGX0fcTPumdT{B=p|ecJ$9^=HFQsSy&!Els?yuX@zlV{=MT`PJkP#xvGTr zq=u=WbF&J;c5|_x6>}s4c2XsUHPwm%lUwuc`Gb@^J3uncK}N=-{vzj2A@4fV#D2n4 z%9__{Yk7aiMqlF$=evWQc*5bP`b4-ZFtV_=1~dzo4JyaG3wBaixCxMA=ugqzSI5tW z)&*uR@h0}$O)L#l7pI*oqM^Nj#KjfG#I=G9yw_#xiP5V{Kx?J<VISq>Z>|sjIh13^ zeW0cOy^yE4a>E3Td5%7&N_=u_h!&h>?UA+pG!bR)U}q|<<`RCAdrI140ay(SUeBAt zI_o)Kgwdy%eI;MyNV*MuZhYf)-|vysD~;ywi<1pk>=;YG^9p`7;?J7=ea+1CE1hsp zvQw^Q^J<yWLGAQY)F3(*4bV8<weoxp@`lfuOeqMgZE2QS<H)~_0+<$QziBOc27k51 zXmL1ITXKc!OKCocN~GyVvvY7cRJl4vgVGc3fN4)ADpZNB0xv?TuJ4v7BXHT9BWpg0 zP=Wq#KwCS)%C=E8cG5>$cS;hGz8HLJL3@w<EI_|y<S#mn61YJ@*`=i8L<3FQPZ&uE zsMBGZhhOl^BAAZ~DA`FwI7|u<S;}_xgrSk$V<B_=faw?g6o2lJQWb6K_oL+u<iLCA z4V!DDXLboRGmCe%Y_kas%33hfGGP&tL&I!(YRa+7?P`jeqet-2AD@cD+w^dP4b3zj z_<aZ_kB14MY~n#YcCthFfc<SW2i9a2waxq)t<=?N(;hYN&A3u2?eZ*turWyhhH-ge zy`>5i@yJ;sN@;VyMw4uAv@8-A#twIv=jH6MFpOspU2m0f0dQ05oo;PY3j`-8Wg6CH z>GK{?!KeXU*xQI6Y?gc5^3+C}6!V)OZDhBS1$!bWel_~7gX$;VPVrvw=}>kAh%t#n zq6$MrSl;IdC#cAFWUQ@L-`9C{8mx(y&GbDSl-^kpruX__H8RS)SyAuegmlcEkRskV ziALVRxJh08wWwibP6GmsVwwbdXeB{a5EMPRQt<YzEhS!X)j?74)`7GDfknPdnAKdJ zl6==Akz{#b0Fm6kX8&Z2f)PO`NpcUpWoYa-e_=~KW)GUXp_0X)fsmTw%~1&baW}&{ z!aygGxj&u;_*T8-vW*ZL`{0aAFA`i=$#ylMIRh5tr*qua(~UQ<>-}>1gXo^8*uVC5 zAHcM3Bxve7%C`y2^GqG94vkl|zco6J^kw>*8D6ePjcS6k*}YKb&loNGJ8fW^W)j)0 z8R!TTkG5O#10U$dBnhBh3*ys^Kp4#g(SZ#CPYn=8QNj&C*oNQmpyxl9X+Gs6)oBX3 z>nlANAm?34!GHV=SWtt<6x3cHM}IzV{duHNGBy$mPiLW0xgU^o85ei6@gBusWh83t zC(N4Q)=dZuOC^?3qL9hCCHjLBw_#>oFl2r11$#1+8N_VObqzTZYjGMP$0OOY%6m<o zJH&<~rd~rLUDEJc^=}#A#`EFrdW<-2jSiB6jiW_!RuN_}IMsWXlq-hvV}3&vQoAcD zkTdrb_q_|lV>!W5VS0L(G{ng@PMW`7MW#;z&xJ{AzZA9z=Sc5IMlvn;#}k$et$?Bc zV{X?Y&M>kKVX}PjQk}qH;Wx}MGQw4c2dWO_F@HgMj24-XK1YE#Nlua;7vxZ)V~oAn z!ABr}#fab_h~+POYoLfxlpDazc;ogNgI_|FWH^&f*5*vltA7_-G6DFI&u;Hwwb%S| zsjV3@bOSqQKoo-Ba|=;7OMIcuboLTY0P~iC%W^a1iw=_2<U2|F)!P2^1;N~E5Ku@u zq`%MAK<AX;$@1!V)TLIi>T?|btwhW0w)eDQu_|ZkxHK+NKF9tN&%4T(p0*pU0B$gv zqzAEFsZNTHA<fttWk9Yb)14wu<mgI6i|)OhSX#&3$g}+FTvWTntU~h5qsdS40H?aX z?VAtK+Wj*J)t#hTCiT0hDM|J0=cP7DHC^hri7jR21$_ak4Q10b?ch^u(F$)f%KGsi z!*`q}?;}$pFmg<1hWJY3eB=N5X|7keDM11pc~z1OSK635&FP;Le-;}PWPTRM6s`C& z;K=Hdi&lJC|EV_wy7!y{dQnlNC9}YRd;7Wj-;B0yoepMfnUpv(@snqVkZ#2SQW<dB zAwzJ^kd5(-k*0<3oj`7(7?Z#0rb~;<J~WC)g2^O8zJPGe36FOvDmM9wRRbqxe>#M{ z=I-kS1(6@U;-f~Y&POF0?&Bt*?`yx@;CzKDn>*gaq1|1e6_xI|)9V0g;Ts?7Zh<ZL zd4VVkG&hyF^_b_ggF1R76$iu`38x$3dN=(_-)Cbx!SiskppqEJj}R}b=}GZVfy<LJ zQ9&fWjknBwO-8V;^Tv;}Hn@prXvfQKSG?%HrHuA^U7amY^m$&H<EQ`4&5>e|Qhm`I z@{FyW#bA6MDVb*ZA;_>*+DBKDMQmtp*I3u$WDkR_;OzdB3BKKGG5CjG_b@8ZE_0|L zf=#slI{j8Q|M;?gM2!qcyK_Ml21~+K`MI)*W4lQQynD!e)inktP>faxl@Y!zs-6`! z63!|1bnB?mUOIGJTr711I)^1NA;*eidu;C~@>+smYb2as?LOkn?63w~wZRxxjBChR zF5+FydOpv;ayV_mVeO&V#^iN)E*2nMH52L6D<40<eX_G*g)3Wx1xt$7h>D}lb}i2$ zDg(Qd&Nx&kMcvFy0wVicgk(&639xMi(e}H5!tMU4Tsw-m&?xtutH9rX-6LbgpP&0| z``5N7Tlu82liv-Xaj%h5Qz);~J!92Gn1AUvE)fKK1ke_iCp>dY^nuQ2XD9FuFstI4 zM-KZ7n-}KfGVI5XYF6+qTg7?yR>gI+DqZfZ4A^+I-9!SHe2ptPZCG~2MfA^My&7s$ zU^N^{?L2mFm=m}T>d`S!oxOKgs~QG7Y1WC6_A>f1Q7>N|KOIrhEaQ1okOlUB8DQ5u z-m9vSXqRW#fvRQElNHme&7}w4z@xGyq>h{)3WrpLFk#r^viQw)foqdOJrz`e9J}X1 z$#vQFt)CiT^W0=5PsXn~M^JkjsrIcc2E|mgKFXN;eH2at5JnTKU1BU8-l<p@dRsI< z8?q-^fUB2mVSQ*z0|bibfvj^muB8q?nPAJ+0oN|bQVjOG8Djx!oVo3F*Fv>u<ZPf# zE134`mnKb1b(9L9sFZdCx61g5UNDK;v*di#O?wRy*HNwMi8?&Dcpz&W51)neg8dA? zswt5qw}@lcU%xqX;sWJo{wkXv`4&^Ll`&+kG0DsL54Vyth7fp}jWF5)OP$4t+u2(3 z4SiZLu02n>4EBub@Dy`JC1#<=Vz5vqF7@H2Ecyq+EY1~BX$@K4v@&GjCai+@Gc{FW zhJ_I$U#Q`k#K)X<2##haoLP;&M>Tw|s=hw#fwm<ae4JG5dqO~#4Wy8dMc;oQ?kRkp ztks<#>fZb%c1z5;2>YE-cqFD5hi%st=DY@Z<F(g-JuU9VnoKCO>9gmIw-lVfEA4T< z)td;hstzpN{b{=bdFSR1HF(0~?Zo)3JLy+l$fRI40{S#>1P4f;<CNX$!Fb~8@j0~! zU~yVMFMH8o`t8|(<>|9*&WOv5EDfR;2pr*1?fG=}BOC5yzn-}tgFyttgPrMatm+lo zM8Sc!GoMY{dI&1^uH-Gdz+f?<1xJ}oiq-Apxzcm5QWPLL&}Z&*Y$z}CytPXM^Wiu; zFjPKw8OSTkZEw92UTkAq^l8j?<x$HG2i)LdH@3*@wgUn518fJ%_4J#*g@@yJi~)`) z$z|uFn1U-a1UI=qnoQqzBQ=QH?N$%ukFCAOp>X7R%B6Nt&2pS5!wxNDMBL#kuWt_h z8G%h_iZg!pu&Li&u8CuSC#Jdtryk9xFgNXK1F-*P-=9uqM(xS8_M{Hfk{w%Q4{Bwg z<p#ts89^pccFEV(oX;5v?U@YIveWL|(l(8W)<aBxarBLsA~62tsC!aMu~PSDf3VGz zBQV~T5mA1E@Uw7b&cP6bcYdNd?$3}eA&J(@?Ef53jF7ckf1icO&z0j*%o66aTku6U znVKFn*+i~N)7fc#-JAuoR&Y{N|6V$u^pQWnK5M1zYPAiZx@j3g6hy=EOVHjs2sy9Q zYERxbc}LztaP=lSf(tA{D7kxF<THN$@GVAz&U}ABg3@NnG>&1cI}~>Pr8P%E8^33o zYL7f(b;jh!Q_pds9o;YIiyyI3KOV}tFwhp~z-X)jTSrI7qdZ77zAbQVj|YB9Qr@Os zX91?MnPmLx`OUMn{~28?{GpNLgl(R}7cJ=B&LqW@+-LndrB7pYG*GPb-aD1;Oh@WM zfx?i|&(4FyM^NVoqIa3KtpWf2K7uXNeLk{Wk5^HTcgv&FCUXye^tVtrJHnS;t}()g z^2zSE02t0;aL<~34QEVrD33i()=dO`sX&VN4z=rB04Hh)|FBqh8~ljQmhjo!R7g3$ zB@_IDNPwp9xZRJVe;M;3wrVQoMq#MQr*P<f0@bwP7_^`4eVb~t8Z1TZGeunp*&3;i zZbmS4Z7WWwWb$}kQando3MMYb`$nslm#ct?qg);N+;8G9PWQ@Q?q^D@F7J6(*H&5X z^)#nOW~Xe7&h$~CGx0lAR#Nul>dAxO{e<i<dOchnMA>|!p?Z$ujY6y}gzQ<!{S)ph zD_KZ3xu>Zcep@FLTeQ&Y^wnUS`<0qDnDg>wc)gv?;wfa?a0*>c+1;lQ!X6}Sy044H z<nz*(nLRVH&aczKTQ$Z=JzX6T;Agb!pBN_ZaY6Oluf<t+dY@aildo8i!Roe8eJd}H zdB}r~cJ>DoHNuk@)a#$br>sJ^Yo^?W67~nq8up8nx2!~rK|Q>zH}nVkE#Gx_T=f+# zoZrjk{0p6hKAZjh(LVzEeH6Yf_S4Mgk8v;*-&LOLoEPc<@U-zM`!H3H!G%+8mubBh zG&l+$pl>PpkHf*!(b-!y2PgZJQ(2EM5#R`+=<l-id$m`;y<@XYlEG>q<>8W-(?Nw? z$u|@}-b)-%%<}S<;a8VKfbDf5t=B-lv@1avh%*K_I>$*sReB!vtOI~@WO~&VWS2Jq z(HfKr7#wXw7$GYS!v`^%4m}+>Lx@mUm8;ylt^TGRA4hkqKz6r5%#Cqcxy+R85keY2 z?HFic_7@4{E~rSvlwiER-7I<+1H#j~ntt||0(3MWdgx9zf#Qg*;DHUPe)wGk6aqdR zQ#3f)4bBr0dG2<S4G1{djsU?<lRZ#-JEBF{-G#ci0QQ&PzVa3A(hCT1bQu@;KcTug zJQS)Zp{`z==S*)5LFbu#EFKWxvH4kek6g#YUwOlIDA9X<u`~{?(fg6*Q|I?;X7D2% z$f2$qU2?Ykq1ATA*KA%ovbOsDy=E;Jv1@ZP%=UKnbjEPjzcbIRxRypO-+9w2G%9Tg zR?y%WJ_w&l-N@Af|4=w}K%lkpGYa$DF#@k*M4lB2lDbK3ZZV+ls%i`z55SVVVOzfm zyL*JV+1ei+8x~^rNG!i}dPP3pW?;~PgDw-4c}3Eduwd4bkbHoV9j>R9os18G$W-p@ zJh}+8oO;@?hVAqsTnirPCOg@WLhV6qj5p6z7+a5uzrc3Ib(-hXDJlOkrJriWiW;<9 zUE9qx^ka_#(NS+YzQMK^#PtSH_5$xVabg|wYSLC7;f(Chk#(|fF!tFgBEd!yG9lTL ztmEyQGU5<dkL0#t3#p0!b<5S4bZ8K$T8g9dWzH=R%kn1(97Z-t1JleeDfG>l-##@m z-DV#F1r^4SH*BDp7Qa589Y>v=f^I@wYkZ!BZ-N5OX2CR{TeDbmRUz@Z7#J8FTN8uS zop%yg6C&1i>efdi{r6cNupXCBi~CEge@b}ji#<T^DZFX6TPCp~by4X`D_M`(o`X>= zzySpn>w)sEsh#c6EqugACntYci;zWB9_9ouO9<SNw=h&1{HUU`)kyT9zqQws{>bFe zJ)p>;PUGGk`ONZ8A}PLV1B3`NDz?X(G>&8+2|SLnDR4wIET2h8U%}x}r2h+{@|L|b z{`#-LkI;UEwV_FA($du0?(m{qYNg*VJ6=J)50D~5LqZW*9}2#y3}fJ2K~b>%@phG^ z9<nc?jj+VV{WD?k5Xkr#LfA}KamuKJr!?RcYjL&MW72^(;$C*4>|#S!1p8Mp_zc|6 zxuJ2lZCE&dhkzm{4U9}%yqE^!Su%7C!Xs`qg*w~)Tq042k6zpaD{dbANLxF=s`yJ4 zGQ#9zTvZ-3HN=1Qq&(pE)B{LFhHQTI-wL-dB6^8`uq+CT+QCOT_VR}jhz!N#d~{|7 z!bjzVN8$FjbBDi73D2xpUuZKoZpR!tex<e!(wr>S%$yx<v7blPgKt#31w2*l@6QP@ z`jl#lCyNjs#{so<N9)p<F<lhSpLekjV`?^S*qPCWZMOp5#CUOWoanb0btrc_s`?8T z&{p#3$a}+w3t3}>w~u<ad6t0AY?*II?p++t`n&rjk()t^C0%!N{3|Esb{s#)XOZt~ zOlPZ~+W`k1yorvQL3(u;>pBOa3Fbt_b4bp^rq{)8-bEahi$p;MIL{4{sF^W<795Rm zl5@Pj$*M<Z6?iJ+jhi05X&>cMq2Y?>A{#%ai}Mub;=O&8PC17MPOJd2NFF#qx~l+r z?N=C1<5(3;v;z%{2==N0n|6TPvHDB)mWFN8n)>qXRcpVM<{eL2%N9*zUb69O%r&L_ z$;<NBsK}qo7d(Z?sl8tn>=le8+6Arb(XV)O^4nt3i0J&->vn9R3z2QS4SL^o0i8L! zNF_>z)+axk`W{-rOcQW+WDp4qjQ^4a9DxdD%j+MM0?>jGbR`J32|X7^1-}Y|yY?B= z1&kT+<HFzX-Ap}ahKnw;mj&m?#-8LabI><Vt^qe_c>A%wf}1CL`ms_!Y`H&)dc(_F zEJTU^F2S6i9QZx+ESw~|X^!yJ7LsvWd)P%q*e<3vy&*1iVXCX0zaKE!Lp@U}Ass(} zPgZwI&e-O@ty>Th(^ZXdxk+9t%R;i%Twr<89uoqEv@YnbFdl;LS>6+A!P;S71w{X1 z^&=Am)wNr_$?t;dC^hbnTr+fw2V~BdX9F8gds=c|h!7W5He@E!MX3dF4r3>uDI+e^ zz(F<-J?18xSxtDxw0W<uy!&UOy23Gp^_Nv`PVIt){YyT61`!4qvE6>*WpAT;7b+ho zt9Y)ZH<5)*N8?Qs6yK4}%)V1ceb$SrAd75=Am&<j!8UfLvr7$dUf4XCP7b^5j9l#t zr<z6gC4Lsxu*(`kIT(?PJE9AKk>Y@1*3ikcHYd!pG|FnJIf$bD-NoRo#*KS~#A*f! zFS(^TD@|qKH1cZD`367V{f1c7fTyQkR*vhm-i#>*gbahI<4j94Kh}BB(A47MpbC5N zt$7p&4b03qFYozGK{79A7@8&L?FEkYd|4bSsU8KzH6PZ5s`Wi9faLY$`N~zu-ky|q z&p~Aoq*sm19_09iw(h||sgA%aD3$?o7N5lwy&1nol!O(yH8cya(=W+YUdnMvt&TK3 zaQAm;68NkxIr0l;g|QJ8K>YI#ejL`LmHHDWqp3q;Y4OZc-3(!9+4I4EQhGcxZLX+R zCv&Z;Shx3FsYkN})`?+Qt7+7qfor!ebe&vSWkg&H7*fN>hpF1XBvNf8(pJUi4A(yI z$B3DXV3yLl*B5+%$>d!HC<K-<29Px3M_^t=#_lwm6Qc%g`ffiRc5}vfr|7CdY>B7k zDU9DxyQn~o7=AhU5^GjOUTb%>f~kIiyfr5H04di^{v`X%rDpQ%WlSh};BmG+;)=5a zA6XE?zSAh5OX!x|+RS<Y0;sdA+a`7#h_gID=1fVEY_EXtFq2T6%$49&auVtJWDR~m zLr2C0%R01y!_#uzuHc_wg9v`StGHVnsi)mROu{;mHMOhC8*?TBHLMqf7ZN!p80JyI zbW1xHTs<x%fbgY_nH!&4NjErWGHlv~0Z2)pv1T}%mVE}qNhFU)<nC0eg4Y4*hrk^| zY=hdoFjK8HIcKLTcv{~t7?|YnB@3VzoK9>&10XR0c?tVdq?Q!XH|z3&MV~wncNUf0 z+5C&2S@GYl8uNTI19y%2F~W_Fl%sLA8KI`VuM^FwOv}GH)a}Hr%<^IEUsl&Tl7&^| zLz{DIG<p=x6~)mPuoY~R<?9^6)8p&)|9;NBM8mtSEsDJo{m29}2s9fOeCT9^X-f{I z_Y;|m4l)e}C#VH9y#iPy<ztQS4wDFYcm+5~OaIx9B{`)otIuC&AmE63tbQ0pp_7Aq zakaI*ol<>F<ur`EB9|OG=JXsR=61amk+_=6@jpm=3!pfgu5FOu?(XjH1P$>!MQD z4#63My99R$?(XjH4#C~sXJ&bxx4!zn{bg&nwyJBUr~CBj({fME9Jx-37CXUz!m;iX zu73?i8|**2JLN!t=R_bM86-5*Paq)M(~$T~%kLxmvS#|x6kdx7^b~MKPd|X|iVNr^ zuWzQNhEi{dMy?->Vax690&_kQ5+=!qZo`#N=%_X3in|9OlEZ7_%iijIV!5{8Gbq*e zQgaSLr2bi^31ygDI8I0N47j!AfAOF0;XGU1W@^`+Zu#sPm?8)l_>08)1em~1{JntY z7A%(Q!<qXa*7vO1cWO`kID*7In2sE1Nv``=FknGL(9`LUcl_$QA$zT`b(j!dYKVZV z9*ynk>pa&f3!4}TyLLtcGdA4IO)&DESSaDw59kgt1q)sj6up~UZS@ZNIOJGMa?-b1 z4-Mh-?NKJzJ4Z_H#{hwec*>ywNzRtHU;7tQ2}iXgNO*NC@$f0qVF+nI6pGGJL`J4B z_yV&aJx|WC$#qB3;hUlu8FofyWMSKJ?*k%vG0tHEZt}{7Mz0Z0HB?`vs711~2Y<zu zl#MzfaO%6x)}o~3MvC`4a<CF9ho~AqEsP-MnNx^SjofBD7>`?rD1=k~hL2^`M)1K3 zK=3>f3w{l=H4*txfFZ<hi*E&WA&W!8s)T!DP-EZH-dms(lC{D{?ER+bgS!nx+k?B> zfs?`6|3FRx+troikHL9fF_IM#bjWahlZ_gX6PJV>><bMKehM254&!gbNH9@DNmOXW z4Uk*pBF`gP`%nR~62LZ!A%8bX|B6(fxc^GP&so0Imk&&*Gj%eH*Wo+;CI20It(T1? zb*6XY?vEk(e77J0JyOgMzt;0w!3c#0sH#@W9Vm|ZAzUFD*U02PltmTY02MuU>7{@; zhBMo{jQxkV`)||7<`xCS9xFzhF){h$<%};o@Sa$&oDgVA2?=)=Y&F$?k4&!%3H$K& zU|)jZVZ0K2MNq{_fsN>DCrP&m7>L|Q!0*IC;@rAu;?{e>ogvw|nQyBlngSa=1X6Yl zeu2e3+s*pi?$WgLybuOoZA6pSfckP!*7;}KCsmS`n=`*rJv}QT0$5`zwC`VtoS>(Y z1bcWOhDjC(;TjqLMX-@ba3?BBLqSGT?P&nHXr_7j+b52<yZ?h^lVL#jwqblykefyC zQq-XK60Ei^y=4oDVfQ__hl0ZzPH>m51r#*TqON4{TU3X&koVtk#PTFg?W)~x<0v)3 zQ&Njk1dY%{l)1$L+_OngYJYSq-v?I3N_{J=+j4)InNTWTC8A+wlWnrDw^~eKmd{PH zq;GcEXKd@z8dL*m4gZfgH=eKPsL0Y|ahzsWI$iNYX-!}MZ()f>_d74IR8?A)ORai; zzV4A}wlKazF{YVfT&GD)s{JoGH-@e`R~Ja&2bu1-+3uU;*{xSL5U$VtlYevfO$xY= zjD%wL9ejOs1Ab}-H$}?;p8n94z%sraU%eK_f)~3%#f*TPr}y5iAMXcSFN0ezOyI+* zZTI&Cz`J(0?~?-H@nY-s&Vdo|APU9;-%O)`55c?DV;TB@GrEuJzR_C_s2Q+M_Hqh% zcX$VYwy$1~z=fiZXrLXyktmo;)E8*Q>vwj_*6}tExYqUqkLQ4v9DLM4oq~U2Mc-Ow zLeVA?$mWM_!DJ~8;BSLwU=({h@c1+O8yN2V5iOdm4T3(U``YN8h3uoH2AE^=2Ijf8 z1)t8|U4b{IL2E|9S0j5+%%0I((lltr9b7H?+O7iL>2BtIu@V6t`ksL+-Y2_(Dy7o^ zGVsIIHoyxs{ip&e1iU<UKgnEnz1|&&z5##_qOb2{z=Q7F_H1F0ooxc3Bz75eR9`_N zmI!olo3a2mj<tb}riM?!U>V*wK0DA=-NTEh`!yTr3Gl!M%mz<B3~e3%6a;5^-|!s) zz~e@*MBo$AhhY&Q6L{9>{Cx@V!Uk*ypLTD(CxMT)Koa0phd1wW@Juy0yB~bq?fKq) z07e53uz{w)b89^=uQzMm4fmk#ImdO;C@_)jo6%6{3fLhu>rM3a7~}MeOjtZQ*3e{J z#(@;LvE_2>0$u^X=Dg(q(YkcjZz6m<9s!dq?cgIOlx85`i`#p5VyyQ&n5g@Pi2XS< zUNj1_ZwPGU`(6(KUTJ`Kx4^dDtxt#ApbgP`rGqOXIl#M-rv~T=)DC{Fe%}<;_q;8x z-U1u>-Kc<aK>MP%`rzlS=M&LKhfbi1k>7&~80#X#0c;D{cL#?Xy{WqcY>q}9Ko6@9 z8cpC}z*Elqg>CVzBN^G-QHnkI+oCNvsS(Jwx90$!dCo(EuLmo92a7&sM1!}1qG0!~ z)iU6fCE#B4ebMM81H1#++j<28UX9*dz!i_f;8?&j*}E+O^z_q6(eDUwwgn0WZ*_a$ zzJ0vE9$&oytNpfe-gCgB_TWcAK8Ou`V>Jv;x}64XY&}bCfdy};MfC)K!M!}M0Nh@H z;5880>0T3n9@QLt9cc9l@&f!3At?Hs;SIjY5q)_ANlJhPc4D_cJ6jKA-@vEU;6cD^ z`-xF}A$ZZ@-KzWH3=9HaT!{cl0LNP(TT$TAE8s8%e0$XQ_<nf-2C4k@y#atheBd-A z@N-Vbw{>8@-;YPYe`N*^6tq{(i@YLez_15L6As_8zEv-`{&QUaBf!QS2)<pcpTFdw zzc|0_DkZ(T%)VFY83(O0lq4}7BXjE}%oviJ)U~e*u;u-LYA68SYhg>%pNY-UnYMr1 zYy@|Ed#?E&YOz+=3IC;O<jYp3et}XQlq+A5$Y^NL|GJ<6(QTJxt@Z1_BVI-SfJu*H zUueKI^rV9ixk!LCAu5~!(f&86+-%!?FITEDFI{crB=4`nxZF;*Z|K`~zj^(oW=m!M zN!z0PTV{7S@@DB!<K@Fb7BqP=TuEY2<O6yMx$ERrPpmDmw{L#mLQ6VPoGCmDZ~3px zE#YP8|4*n^)l!;)0q+010Oa^=$b+8$*PvEPeikDO`mVRBgGCNqtK8^NMv(Vd#Qu|i zl^m_@!sjJ{UR7ri6<@+`kNt?=qsXPw=V@8)u^Vquw;g7tr;255W_rc`2c%Wj4|_Zr zgCMB?kBC+=x>=L`D6WVQ0`W{*|2<>NHR_bRF_pleg}HCoNrqrXm^1+U6MXJu_cPu% zu^TdYE>pgKI@=-Bzi9MN=Sfi-3eu}u$Ei^N36?rToCy-du;#kILQSO~gL5r`bo==c zEalo3P^FNMcp1i^7F_gVw?TnBV>Zu@qB#(%-!KGOfUSqTS}O3x-IJ0Ji3h1X;31Ki zn|zuMOJ{At#Z`6)3mD$m@8Ul>pyBShQYO@ze5C#?<8{l@JJ@5lzQQOUN1jaa7a4in z=9hb}D`m`$i6-Lxwu;yZ_Syk-X2~4M+RYpEk3_>s^o+LKA64twDcKT|Vk&9n5*Xm2 z2n{b4_L`0bHgMgER@jADcLz=Kl|$i<UHPDcS;`H*NE#!R4RULR@8*{%rYFOnIZtpO zn%wH9=4Ogi6n&oaZ=bG9-F%!jyW9AA&OQxLx=NBXih>{e^`Gp7FwN#JQ8m%t%V8Kx z%Jfs8Vb)gKzYG?ZyOeMiO^LakP@Vl)%><{2(b0NC#Hpu9;ot~xGx;d_bZLHSdRsZH z`wCPkK`yI~8lK5{oLwbe5KJKQFkWWO?@*EJVn`XM5g$!1>~Bd4gxus*!W3TGOrzNp zwLgi&8OT_3+j<8r=q_G29D46Ng)77H{Awwd_~P)bJpa0P_t6Ybokwvjxp$H-RY66O zU&T0!u7-}=7t8Sua_%a5V`_?`cgpK#%HUA=zG<cQkyn_r2(v5ncYbs)g!ty#hEJVb zjADz1Wwqrs-YDGxQxYBbQ+)LjUo%4Oh(#`}k5HG5)32;5-tHw=97K=uTk0BgQ?-=G zsRF7{L{Lj(t(#Hys51KTF9)ZM(!@|KnBnh4CvwutKvFgSOk4hAF5MYK(@#!y3qiaW zzqn9T_zaYhmV2Z8am;T>iA&3_Z6YP$pf>+xV+l*gctOn~F{=qx)R$sCKH`V7q2qGn z5U!W22&!~*{4VT{r|{}}Ag8Py`+}_x;iRkK%kKK+xfd47Vmja~Baidzf)+xXr~z~9 z`WGMj^_+L`s`uSvlaslFD@fQc`vo=3xy|mc$4=2?%*NizWsHiqw_WkPaN0K#`TQS0 zslQ6hISFwA{c_$|*bp}m{2^)PoaYu4hnrd!su6?5Kb0_w!DQ!Z%xt5+y{$Aph07>s zRL4DZ-oIVXXA{-ud{w@EhykE^CgZ1U)qZ^ex>;Shv*TAZ)8vG2)$a8waWzRe;c7s0 z4w;>^A=eDK)7&mAlA(?oafq3_(t^y2oUTzwpSQc*3F6xCBpWe^YHDM{;PxLh)PMGl z4hm5lw8fGw8KqS(iC$JZRQ&?@8<9F}Y+rN*%Q)Qk7Q_7|{oIHTvt{1bF0u8Sa{nzR z<Lr2Gt*wE;AL-&Wxg-3+)0YdnH}Lw8#T$GCjQ=4dKXkdqdc{j8Kf4;wYy1OwlTaly z^~^>r7u~XHQ5b%U*Dh1oARq7c%l=cmFZTTd&6dlsun_(#B@xdNjW_+KyZPvu&}PBO zQ!GLPwz{~H+1Yk3a>Xi@mihAOj#WW4+?q=C%j*mk`wuIT8h^oQ=7dn6GJKkdio4L2 zB<Rh~#+4-P>ae$q>0?v8rbJ;EvbN(TTvwr%T_swve1j{Mt5}_!$Do6FzQ9YO6ylCv zEF(uO5Ym8{;m8j*4h~u$PsyR)L^g~mLZ3-#q5`wyeTDpqo?<Y1Y8Sa1<;ZeLp4&z! zW})vk5+}b`Lgj;Yfl5UJ!SbV|yVH~6rP1Yr`aG)SXWFxuOVK4PeKN5BXE6?FRj%Xk z<8g*pKZg8(5^olNLzDUR4!w0a#OldWmRlxDIe7m?%$5$XbL!sUYe+dhbCN23B3*TN z+X9t|o4u+(Dp@SUHIuWXlv|?c!#2$h(V-x|m=`uP?IlYic8dvylHu|PdY7Rm2ytO~ zMp{!FTCI{554yb@W#bm4q0iN!vSgyE`keQvihOI-o%G9Xu!>W6!sHi~gPn<9;=~|F z$<K>a+4lX3y}U!87xa)V_f@A6FjsiVe)vlJ$xZP~7U|4-iIEf@3a{+PAswlfi?*S4 zKTHjDjfN2OhP{+U-t0UYPt_QU921B-6A2BbS<OMbd(!z*@S@>l1kP1o9~ImOuMjV0 z1czAP+@lSB<z#po*&Utv!?%-b>Bu0O^G?EJ;t1|(8rtKF^$_3dbVTZA>++Yuz1e-* z)amVHr$Nvr>`RK}NvF8##oB1~<A@GLsoA;y!L7jiv}B4TLEu$0?BQKNedeIzSggzn z-MfN8p(h5A09vwJY|)8yc+9*nodZj_gRM*ThE!b?H77t8$nVS?wUKjQ#UU_f)v zR_{G`OYxcSQR7(Tr$qf`x`K9-d0h#0q_Kf5mC*GOcY+7~zXOV^OVEpkcF-k$B^auW ze+hF-7mXHW<xkKWy@Y8(;~f1FC9z%?do>l`LJ6gf`JsF*e|W5gbib2!ZHUF{2>V$0 zw*%VA(<AcrbBWYYAedQuDbBM#g$}vGBSSiLu?H4$wCnHWYo~OM)jh&dF3+!c*blDE zg@RpqPvg0kQH~O_?KY@=G5GdqokzN34G_TJ`??_}j8OVjUh&%u7>L;eEvgqhF#n#T z5z+g=WgD%*U53atA=T74h5qcJQ2DlwM6Bu&(L?oJ+OIw5HTqN)NCY5lU#ao>xrf$0 znty~P9-x4ln!lE;sIjs$Lfnji0sa1N7TrWqGZ05?Nz6I5@HAH`PN$i0(#8|4by@{y z*Vt?*nbem`v8}}r<p#VL=3XirvDY+jW~|f4S*?sXugWg9GBRH-AJ6_AQ#=C|0UBdu zRY3*zZrDXfPY>Y>;DXw&as$u$6R^O>T?*^YEJD^4q6L;eR^GKqa<^E7(xlOnfe5{% z4JSQ6>o^7~173%+L`c7sf^5&wvrNS^-~|IhqEXKgKI0!tn3<wpv#B1C?eKCtt~<Dd z-+U#8RKuEfWLekUzM%rv3rLE_kQJ*tbFb~3^gg&fvFoasw*YH|1N1?M#xIJ^5514T z;x&;wTQya43K7fLf_?Z`aPC@UN8yi<d<ml50KY&x{YRD@F@f**%Z=UAbf<-sRqu<$ zBwvTWAzx1D-coOGuW$OIb;F1vFiE}QySJlVS+>)AVo~z>R=nUAI<&H8^Rh1|b!eEO zjjjP`RHS8zBjbd6&wq#xD!3BUSY`Ukab49yz&mY4Y-3b)kOx3(aEV74g4`~;d9MLr zDVu)5;Tn=K^_fr!_=3Iw_ni#Ee%|~hG(GB{adxL2Vb`<4%B<lrny`rf!Zh%8_BX?o znrXC81dfQ%#w<dh>|PTbp-qGH4JTT_Ba{j9m}S>t8-i3I$1RVBA_?ekJ>MHJ)VIK8 zND*swe-jhA91}9|{1vmu#TB{_ehURop7i2j8N^a_98Gp&WfdU8wpao3+^G0Lx7})g z`+^|wA3g2Sibx*u;<2X8ilws634aykFFZc9`(4?y(}p;6S!!vN{>YU_%`HPqOw`VK z)d8P%?|R_y8lGptf0}ZCc5}o(8UI<{bk*oK-H77*EIazuKt6^{&l*pT3})L#U|=;$ z49!iunBCT**_R4#B{fLYY+U4icIqm{>hpr4rK@7fEXU%K^D9-4YeJzI$)ee`QZ3#m zTQ)ewZ-IJ>K6*j1rA&oH4L?y0Y-Ei0I-NL(E?Xq#P4%4Y<jkmg+(K9JSLoqN_#dUc z8NCzum%WtR{heYQ>lb91{_c+mz)G{PVQfNH#5{2(|D7Fc77T|fpfdOlE99&c`)FPy zV~JXorA17sZa3y$>>O3jZZGYT081aV5f_QUh$+5h{Fx<s4RkT_y|{37JGC*08!2#U zf?|aif_I&HxH?a&p2cg3hFMc{^C2!$%qk|4Wzt>kW?~Q(on~O29-2YTncR*mp4X=U z=)LabD~nJtNf|dJ0x6pYWmtYfm1SK1xe0cGyKt6Kx~Qy05hpyn;eaY@HF^98@G+se zi;^q8$+rN#s$X)A0oEplw2(ctL~B^)R6K-ILM3A^;mJ2x7$woC0IiokNt#jAGggh8 zR!u+*@k>5)fjq9-Y#uqS#-{>-qCmYGOOre5O56a^lmZuIi*#&Oi|5NPgn&a2EmV*e z>br9THW!j(U&JP<Q_P87_zrqZYKTyfzDu0p_+F)HzuKK-&S`T935zunTVi(Bi;$!P z!u9YL5fBv{)D}YE*;-4YuT8VNPH!LGWH>BISw_SG(l@DAVBX9=cjy)t0tzgaLB{DM zWTnW{wQe4DR+27vC65g{x%v3|a79aC^z`cOdzZ>DIFklbox`*akgW-{9q{n4dcR%t z&dw&4-81KugwdyM8U`L*6na==PY1#Zb$GhF`TjZF{dEGztK(-J5o&oO#O)tiA9$8A z|6$PfWtH?W_6kEu4o%(7(-cBe+WzEzMa1$0Ht(|Mo`i^bi(^ot-GfxGr~hGeD|XRG zh`n<RcD!8iW`-d<l=s@v#m&(#t6ZfXI0-wxrFc7&9C4HfCDbAMxkVrhIRPF`QKez@ zR#IJPB>0Y8At<ywA!E;{ZQ%ZE1~VDYAQYgZ<CexIL?Q_uJsAlR&U9|d5>a-^-_!A4 zA2|dcJ(&#w&TP)m3^6R3$JvP15&n~jK(J#3?FFdNA6w+SbUWz>*wu43CQ;$XiWw`Q z7x7!oJ5KAU9BI0c3CPuRPI*iX9==}Fq?1KwY4;O@&$*fj{i;X@fBS2r`(7zMMi~U2 zVjz_5+XAZf?@(TqR1w7Enmk1q$C_kwqcX<M(zKx2G^o9l!1;tfLj0+`5{vk6NeCY~ z_J+}M?%m#A59t9JoLP)YCYM|^t#O{a_fGqEnuj5n#EjD`pXDicVqipmZ=j5CFa^{f z=(dZ?F@L|YEB63C(C$k%*rgFoeUGf&$=uJoZp9=1hIcD=FP;c<xS?P-?>#4nN=RS; zq&=q+;0|a+0AfCNm0lcD$MqGfFzTSGp1+^=Y{D(*P5Aau6Ai|S8%IO&I@>^dm!mf^ zo>cZxV{Mp>gvRSLq<ROknW+4(MbZg<;1Fs{aI5RCqDi@oI$_7ae_3v9ToyDmc1{{s zJE+rWW!7X>NE)y6D$4d{9iJ;ry+O7!WVEDY5Ol+0P{PO6_9yfQ-SnO_4zLAc?Yv-& zb=Y3~eD$!nb*t%~J$slN{j~FSh?sf5;}Dsdv6c40-}}hvGklfmk&}#A2TF`o4gI^V z9T@$`<3_fxskdoJ7d$DCKCh!%hx>@j^e0NJa}f2KZa!+iG6htQ9fq+UZ_Uy-<6C0m z0UASS-ADinKS_r02ehhFO7Hws&!3wc^U-00{GG%5_s5QqKe1UVpY%1B8>70G<DUb* z7u}51xid5-*1;E?UlvPSs^vU*KgC>xn5vaN{xM-$3DWzyrLM`!sFX(-E8SR<Z>vjE zJ!;oIMJzjhFU(SYbu;PN&P6ttZ<q2H2wDF*^f~`^BaSPi85ZE7J|CC9iK8=R1Re65 zC5RWCuK4hX9?^=99Sx`)Y@9-0==u2V$!>x{9*s=CQS@sBniL(=pa%Z8B`l`BXvi}p z>2GprV+?iz>B7N_UUd2%D5wlzB*Z8?%>Zx@;^PlvPYjS!>@$JbXCHDKJRV5#roVU} zSqV5R5Zrr0qTNY<hr(2T=NhjX{YIV0ge3u~za2?F%4?@LVb)_#OKnrg*9xYbi$0es zOt=`dp8KX~v&AnmulIxcyX6~ux)7fF;km<5K*OVx153p<+XV+A{@-5n&5Xa*Qo-Dl zKq6U{khG`EGm?3IM4dqSjQw4T<X^VPe-uDZSJ4!on?<Nv!_7UlZ7;tgE^w>nzZvtG zpo~<+yHkJ%L3g5SOF>>IYx%>y)HbgQ_)anXZX|NARZV1OAiRFw;UyN0{^uVnpifBT zb_)P%K`bPehG)7lk27U@{*1iFO8NEMCV5`ur5RXPiRDzt!sRc*>fOY_Pm&5rpk!** zb<qZ5ZTFcxktMpEN)=k&$ufswDS`@{cs;Dtbw3SOw=|l35!<wpges$}jwLzSls50l z>dzgADI|va4<9Z9bklU6AZ_Okh6w4y7bCqt6y+y(-Hk>08b<)(L95^+K=7Cr2~hL@ zcfs*1`_uhD7uQingF5+?iaDBc*HMSd<Lc9%kHYr#Kn^boLLMv_1ayNs4et_Y5fPB& zEUseIl;Nou?TrYXVjf5rB4c*5t*EmBsrTKIVB#j*p-icb@RKn|`{n)VJ)U}cM4_BT zL01X}+@X1m2qB*z4Al#xL@kg+mPThXYAKIpvKU3IyfJHaCa2is8|$mc@6#gnkCUVa zLPjt|I`@=$kMD^M5<?HVB`DI*`OrEqp-!u(WEj}MogUMU9n+$Z4^p8jY#x;(<4IJQ z4BX_mA`Hmygx%32){9e=#%D0bXY^DglUr!&j7_we7SP&vP}@V+G^sTjYhh5qIPN_- zVEGf#K;T|^PB>2%tl8zS*g-nE6gCAI$e@2;r&U;|C2!VRdoZ`;uv8o8H5}vpmCC`& zDp(ezW>~{Y!S)v&c1VY11DmRxg!F)T&upED47m2=T%A#SFeE(?Ws>NSjf%BIU}SLh z!|jBtbZDqdO2xwFA|h*KkXT-hX0rsH?JReEkVuS}sQj8y<y;BkeDT~uf#eH4+W<Kg zgoGX`pXAl)@?Li9sCD4b{LN??;lv)6evyX2UyV0M6`HBlFV5Mi>}c+E10=~dN}n89 z%I|l3()L(OQx)+Pr}7l|x<MmLg;VnnR)-Kui1li2x>$7Qaw@dGk2~-MAQl4<@2{_Z zoQqn{Q9Y``yao=*mAuP*GC%s+a*du>Bi;GFxfI%{SXLzx2Y%LwRbC8NZakT@)ap=j ziP}}Q{cNE5879-#D)Nf`oP#h#s13Izw-r)T=m{BDB5h5@vKsqvAT<%Cs0_AV8QK@| zrvA)U;+JKch|8|OaFr5^VY3VJpenh50V2WF;H^Ba%(1UbY^*YbmQSuPS$1eHX5h&x zCuJ_HS8Z<l4dy$^TJ4HiCUF}!6$vd>`Y`;7^llV8TuMs%1fle!jyGfh-dR6EqDp-< zz_d&bA@}NG^amP^Z<_F>qlDp6OaHN(-272DtBq~`GED<5f0+}3Njav%ic)p=0WPn) zEg@z(+CU>M|ELp#v^g!+W@@T7Fs*-C0blgwSHxWUI1tPuBVmSZPsNe6gQ-uvvP_b| zf^>hl{r>)ZdT~>YpIcV3#Z&OB;)y1$QqJlPjPyzMep7>sn8EDI&=C!K6Q}Ri0xz)W zoMshPaXwEVf>&&}<(;AcLW8|kK=Ab8Aum==|4PCwszto9Ab+Gc!++zKXbbfIe&@c< z?u953YXGKGNdIQDFw|tK>7sFTs?6K3u9-<fVhbGz(TL&c41Q70u-M*dBA2rD*keD~ zPv5Qgdg~~Z?N66F$z_Zm7Ma!x5m1GgAu3Pvgcb6W(yYI{s@5SYxgKFbC28R^PD;3? zi4QJ>E7%H2ySEAZ%=D6M;Omf!!FEWSToaOZ7-3$U|HMk@fWOxz+u~Xp3P?ai>RGsF z2MPy=Gt_kxH8t{Z)@~e@eM<(0uUO*^Nw1sju`hb3{vh@OkxNtr6Z9uLAXooh3jZrA zy9M#f-r3u}oxOea@#4@8kw9H-nfeeAI+1{%yWb}^N?P)SpqiQeWe#*WTF&0S@py4t z&0wwQNnoUya)Bw`OVCL+-8t5_-EO+}NHso;2pNEfnDWd4k)xFK8sVYDtvyWLM)ZZ5 zUt&%P_kCdFGH{za)sq&tgN(k}H-+`6R%kp7(wRF#?BZtYf^xyIJK^mi5g@HRAlLXL z^p`*}gi`PB%OuMS^Dm6DTG6@rU{sej)lAl&x4pKw0<IZ}Veg%v3aaEfXL6ihRA;|X zmRL7`Bakpw|73Vent=mcH#xXwGNHMwbkrg65v=&;OH(o6%ZtkN*xWz%u-q%(XLL}r z`_a(>me&avJSWy7?IO|g1Acs%*5t47d3n_x?bI7a@6V`~Kn~aZq8$0yO`<i`g{ie$ zo<pofyM@Y>VuZkSvuK3MRPPX)Wb{u@<R-C}2tcsn9r!VG*ZH)`fsv6Y)BTc!=^%VN z`YVuMCu8kFtsn85@jg3aEiQyvx%N04+HMByPy#E{8#WfeIXPjJ$9#2U@hwxzLf2&I zFLv{9S@^_;iU};3(jp`HO~)^-oqol#h7a3)M$B%f<CPi`PRn$2Ut$xVTnH*{Q!3pq zFdJAW-!a#5<@^!s;*!;2R|vc*Ev{ufMLh-e@I*P&jab%?ODyoSxZ4%d2$F138V)9q z!Gm+ZEr;sshVhD3K5t@p)r#^*{PcjKJxVOKvmhdBL^kU`@)PLXTO;w6>)h+|XerqI zJ8c<)Vz`cVVi09`9(#{lQITXf(7+jmpVMj~T@Ss0HW{n>y*4%FWwu8rmXPWriNeGO z>ICKu_w8h-0O_M_gM#|m-w)@R!^)MCw>({qTnIF%E5yofNK2<r4Nmc9h$6`8Ud88| z^9+JP6mpbIAv<LD&LQ3Thr_}h2ppPGJ6Jw$Y6bHY7NdM1ixu3=k-hE^0(*SN&<LY0 z`cy#&`8s+4&5wQT39>Gf?}jlGY)D+XeM9SaW@=u%ilBD#jMu!`UQ4Ta6^Q4+`W||~ zy3e>!SCT`zL)!Z0Y4apsb7!MNnnT)FH_;aF*2bf~-@MP=oBP@NVaEFAg+r=?fZ6+{ z&$^GxdVA2ymDK=WHy{O_>ml>`u*n_a>%nyBRn*Pf@xRo=TihS^1>oMlOciAPJw<%1 zR_-t%Wpc1S7_(9|9==>>nM{ZNI}dM{P1g(1``+$OsgVz7rdd2DaxK$zuqu<XpXAv} zLZBCstL+Ibf|PSPJu-?zk#iH^8r;+~zGilRT;Emr^C_SV;^2Y>5@vJLwEaBGlN^1o zJudo1sLrtH&u}dk!w=j=*aE3_G8?nn+_7@`u1dG+UAJ1L_@172ai-j!rB_Jny?nHa zl(LzH@l8F4l<vk&KT`d(zR4>b*zu157e=NHJ+^>$0sS+VXC`;sPI!>y&#WVM-OHs{ zE|a>A$_F7uRuN8GyHmKr#@ot=q`S`i$_LH6&a(fi<J@)D{a5|Jw=dpyw*Bwg|4;Nu z>y0>emDQP7|Ger=Yd1D_Id^F{G<JRO`cFN_$5t3^SjtWr!M?J5?0eG^$6lZQ8O;R; zXOlP3VZPlvg0iNuYhjZzuV+<XV~iC~5<an|QAB%5!Sx>c@t|pG-cC$U!^bwO`Vq?) zwzGq-t311#XEi!5Kl3#}jXTM+PFsF5<tsNiJI@=?X=M;`YfyQX+~W*jaOa+gSbKg~ zi1^dz((kXKzq}y}V_LYpVQmFAZyC<GyhYw`?MiJzqQwY{MdN(EMnj&}5-sL(T&ScD zXYWPJKYFzlpA|LAmzc7mzYo@()~i--7^1BFLqdVISoSbx{11h+jYgqLaimLIa?W4c zE6N00lIda;BA}_?QYfx7Y4K&&PAY02z187;vOr@@&dTfF!|LqK!>Gzprl6Tj=MI`* z?i1*A|8PaHwedut`FGG`+{oR{aJAX=IFis-cy<b2-d2ooH#|`5xuXLeJh^fgIpZKX z%{I`D>+Of}`u)12L+6dD_6RSJP3E6gY;VKFuBVXsguA`K{+#}!!F4uJ%d{wRME)}v ziL|?;jlaDkJPb)xF)ZgBaaS}2-ob|V^XYPbJr&qQK&Dv+G@k;e4QDlb*SaFY-yzV- zA1llpwL#_QgD%7R$}Z#uTz8}9((P#W=A;Vs86urhcsb<u;x|&ql8P11R52dz&W4t! zjeNQW2PAA@ebn3?6jue?-(_P+agPK%bi#!*V{er*>pawh(PR=`co#GJGs@>z`d`jx ziBvE7x`Y$%qK0pd4*prDcK%WDh10ty&!bPa@-1!Ak#kJ%%JSWK<ow5g)^iFWqHq?g zjQ)Q4^PO*rU{Z#t^Vm=Mx3AvfhOJ2#&({}H{D>o-(!W;bms4twEGW)FNSj$;AHN%r zP!OAanva>!%_Xguj;g(g-Ia~NqX+Bp=AJyYr_HC+@8?b;ZtE>l#}ZIl6FbKS!;1z` z-66h$nTH&QijpJP{pp1I?#Yo+Cq0CO66QW>I|xZ9F=T(9U=&`;By;kzUG(Wb2L^R8 zU)I0Wvs98y2nLDf?qi$PA|OX5;7*SAMK%16`o=baz`;q7Il`=b47G!IRFJh_P)|>n zop<O$$+aFNBJby<{8>(U4as?TJr`M7$BuIT<9sI&I+!?k45AWxQe6b%(}0pi)8$5) zSOTMRE)>e*Wb}bpmKig{>ugiuzxNAj;ps@JRx{b(!Ygn`!qfL9_5HDO;sr9<*Cm<a zuvkXJ({mF1<C&GWNpb0-Aq8xx1-?4FU~+PXelTGldJYzlL%DG_RHl@!6EJy7fE@M^ z;2Ba75D4e^FA5ru8yQbPB_kP~lQgHmj*iI?em6f=7Btn%t6Ttv2;C+oX&_cG#}Hd@ z<SyFXCZaK^^6x0~W`qo^4?=7v5Wk96gtOysQ*%^0<_k4^vv;-3=RF}^BAg@YNm*FB zeJxzNC=Ndakp+LWI(eoEgh5$ex*r#&Q8(i?|M2@=OHap5<-%|B9nUPvC<YIZ8%o3Z zMHxS8VwRPbuEO&j)91OrqdApz2q7|FQF-O=Us(ntM5hvxd?}Puqb7EOR%s_V{G6oM zzGiG>MmY9aQfFZOjJ1mXm;p0q{6lSM@*!IV@7Gnc_uYyT5Iq_Z`<=iJ$L~P|E5CeP zp`re_zQ4JxnT`Z${Rs6+{QpqVlQPxt@Wf(?{AcUOi1$)<BvAy?j*zU_|J}Hn;b$8D zSs`Wn!zMXgs<h0S${M|Ud^9%XpXgiyqvjtHH2tg{ejX&af%B}(N*k2p{b!9$2rf}Z zsc3+pCq6AM{<MDCq0IPimQHHNLWXw30;9)tcliIxkZShcm+88jdHpu}?Vp2t#EKRe zVjBym9TeE9`Z8tp?|NoeKBy|5=b!m>{Zb(Qk)hM8@|eT$j_$UGZTe6$=-*os#5Ke= z5k|<-cX=ZoW$w~<NtemtXQJE?hGiLxXZFEpf_EqC2FYbtWe+?>KmbE9y8AK2c5rHs z2M`7pEFO;h&t1a)JY);Pzmh3X&4lOr-N`!9WB_wHY<mGswwz+4Jn)~rLnQea74;P8 zqn5dEOs(F&VmC+sv$BgKI8qYtO6~4h=pPBrZ%_{LyV<FnGvoI?en);tS<_J7OT347 zC>ieZ8(-y?<F{S=%9`e}p07l-3+5BK%YP)WuF$YsZ$ZIo<msh$)(kKFY6LT3v#lQT z#WskC&5;GCmX{>`kB+4xvav0x*lYko*%<VSnvg%xyO?jmKsal%iZ>Nz#|%D5SX0xC z%6|^()%E*ImyZvI6nv`G4xaeTHt$?d`uzr*@cMIcDCMu*(TU?&D)dU8T;3xJ_;LYi zjFI5c+(F414I${qlx&gbf#09%J%+{M*M121Or`Fja_Rlj?V;Mli?e2O)qy9hc{`yp zUS#{4aaR#s1J51K5|9k%zXc!Nhd%N{>LZiwM@AOv080~ieq64Q?OxurER*c7*q1Sc z!}LFekON2A8|37m@1pW+beC47Ygs(uM~(@J_$dEr;)iVd`s0l%MT9scMBrC0&oVut zE#xqL6D72;%jeuzGusbFDWBHqn|y^GNwZo=soB}1F+s~6C`hvt#cack+mvhY6&L>~ za4&Vc)HSfF3cSxbxEyQgLaO|vVr+?CgLXI?Q-R>#hUoW6QZl&BP(>&h05u|W9Q=`U z=3Pw;3iB?RVWt6at^MU-`+mQ~YEEyUfz~M|Xc(um|F8td8M}Oux<@TxRE^5~<aqng ze-LB-GmV>CP4qsmI)^?5w9X@tB{TrBoy?o~pkq{Zig%uxr63a}d^J(5*L@)XLodiy z%CeE#!&fJYFAx8u=m(e5hN;r339kj+fLH^Ai~?f&hZ{($-@Z6817;-EO8m9JZJQ5j zvg8pQ^TEK~@`!VObiAEX9A9x|y++cB0&7tjr@m(6Od2xKhQdHIt?ktA%#vV^cV56? zB#sxGS0OsC@LPz+*HmU33I$?`HA~c=ktd8UL7_0#Wa5Srj6%WNC#OmU<yFD5?|AB8 z(*hABzDlKQTJYHlX1X76mQ7%gEirO%rAa592y&%sLQ4j&R$ZV=8kV=MEHv~Jzu=me zj+&QZ)g`W1L?}O}v7Yp<W<a@KKcb``$Jnl@QVo?$?b%cV)-nYKm!)5Oj7uD?Hv4|H zKyq2xeuvvQYxi^DZ>N%SoQtNiK7%>tOC^Dahwv3HV6zk-Scd3YrmGje&*w&%B>5af zvtX`Jf^m~LJOp3Ary$#dViqHXe*3~}Q9UQ^ZLDOlPWUHHAkxOOu0NK{HFejM^KD)F z_H@EIYNs;ZOmD0zJ}Qqi+v`i59Aq*{m*5R>p=DAgm4RwCVfNu>65D!NF8nWamLrC* zgqS*v%|PM;8E-Nd4r8If^Br*I?-`{{tR+M8#FUv<!AN=P5=MOTKqiE&$(fc4YoE0m zMI<|;?MfhbVKbbJjCR>>tjF~UVx>bEOT`5ZzX>Xir+~?8dR*cC<79jJ84=HDxcpC1 z0&lC$882r)j{~&2e@s*u#<Rm_W?`h4Q4F-URLe`nQzJD`$FtfAsZGLc3W|J49r?{x zaLatfc%h=9iYCeC{LI`G+MiVXMBt0epj)LK*s59WxzT=UueU~mP{?_|K3AUu-()cH zTy7ZHs&KLJv<jP-=>VdS8E=8VdkTA+tP!Jn+Gc&&Cvd1n-aWnlHM^WkL&A&)SN74e zKf+1G7B9JubAU$Ylzyf4_ykP^<BVW<y^(f+TMp1UiIMCVzt{Cpiy4;~SCxrn&*)>) z;@FCQ5ll7vV-?Ga><RloQY>LMKnNR4?z%nM`!Gt;yc?-4QdA22VD<{NOv=ZTT>AUS zz8c|*o_pmi(|J1-629%zk$qM8yw!B;TGx!|8S?2}^I}jbmsKsH;{C7B*`vPsqthtd z=(Jnr)zKp&FKIlMj-q^HVwpnThKrBue#U=QO4Xsbr5pv7f<)T;d%tVRcJpz=E=-jb zv8QC<=_UQ(T=s&8f#BdCdNp&fRw^CM9TK56=RhYU3c@@KCPr6Gappju_!OlYCf4)o zfIDm#H#}p)lOqq}@0XKk7Tcu^LL>1>#dKpv$?#gfc_hn{Kec{m<Sr2#f>4!YRiWz3 z@itMR$o=JEtelAKYo=VMPr$sB<Jv$jO6ZBpZhXkYF50~9-~g0Ko2xHqDySyP4|G`P zjgsYh$I3aVS%ScXU)OwCp%fBs_RSdnQyV?h)sOB+0aF13yjZvAV-ak5j=VJM6$Yb{ zOyw@#jsEqM8w4LDeBsVxVV%)Zykq!pI{D&yuH#?Tj|fRV5pXnrTvp&@cn9ZLEdvcJ z<<AAFj8=w(#MzAp`H$YGmXBV|n+{G>WtGt-2(ukS9a*HyI_uL7S&UBvwOR0u1Q`gy z;+T47W1WWv`@dbX94=~W-D|a@z99*OL|$51o3FwTnMs<4+60o7pOKX#NQO8jZyW5o zL?Vh<{<epV;Y29vrZ^Q-8MJERn74!CdSvG<hW#OKza5W)a(~i;Pv!dxf4;_gxD|;I zV<%U%75qBH^2m{&<q0VKx+zf$0Sz91e9dyLIqK&A<`9j8{m>OrTN_$4-H&e-SkZqk z&+?@{F360j1pO=%Q3^Fp?><W@9F<iW-om6t?{mvvZ@a1|i{+Cw1-4L?(1~!7R!sGq zR0{dhN^OVJlQy{k!_!ECEOSf!gtW*v*^=Nb|0$Ld;u`K<h0E)U-XykGtNa#Mmipa? zkH^-w?)|5;-?QtO0+xlZpiJ})MA!%<8KeT*I%&0`iM4Tol^GOju!-)fR=8Hvs&Uj~ z?x%5UpM=`X)_Z+@OcE%P&IS)0D67j-9%i|On?irT)ew=)YQwDnPPu8twC7W7;?Z~4 zvBZ2?-@&icXPa4n+eZX16HOvlbOQ!Sj^*L0QC)%c(RY!gT203{O$_1Q!|S*Xs(;x6 z1^@be2CB>`_{LYhIT9({rJdCcW~C{?Q%_I$A$9^-zfWUH_71HWwPl6q;Wx1fme#9w zMq$PJ;XQ1vo={Sg@FrD`kqkpa-|w|{(^(2Djw&NJj~7kjv-OPC%GGxtd5TlR8C~s7 zAtTB9LiJ)rfhMNNl%mZ8+B1I$!y-brn#lORo&*QA9S;rx5KSQ^DFGEo*~Ugv=E;Qd zX&mAnvytK$yb~nOLv3RCT`k9R5O*^<1D`z=!u#a$Vo@oRDCcIsjGKhYjbsGg-R&`v zxnnk^WAO@_Cq{Xfo}zIybK9Ma>|QSck$dASvHG2Ae8ZHkp!3+FxO72I*l7w9H!4R` z>PT9(7dk#^?5I1vO6bFj)$pRdHE&;*s@OR#@6k&?#k0=V#08m-=)J_%&S%Togt#6A z1)I}G1-8Zxd_zX>|5T1o9i>I)m^`4Dm6>l!6k!?3YLAX27JCe{+R%5uBHTeBX)q{H zP;)XWa8lduR4;+YDhs`W)RmRCnO+<6`|9HSQ&{UIR)QucEuE2$IrWjWh=5Dq>b^2# zX7qZMKr|Yik5WATj;*4XfD$5|hMGOCI1SgUoFJX>Psf4{d03g-lY>U6bCV*R#G(kC zX0dMu3PXUex{N!>n*6>r0*yxm(!1dTFEUG*?}D?4Mg*3m)03AKH|Qvrc0}ELjrB&Y zY>_B~7J^JkwtY@YV?-rVke&mPun01@`|x~af5;*fK1o!^h5STPWcZVT#kzAcSFZh{ z??54AO`u&UG%P7r?F=)WlB)v}gjuSRoJSte(7d;^Mj91wW6Xr<)t%m08>=is@`~|M z37vUJFvZ#&7mIx-{8WhfAafdZ+;ipdx6=YdRVd6lld;8$R#lziM|9P#q0iD`D4kVb zA88H5-yjH7p%%jwrz3eo>g#?T&XYjzS#UO)@sf-&0r0{N(L#!1e~ExPotI!-Y-?33 z4<1joU@^e$#-VKLq&cT&Yeq~LaSrS5m*V4H%_1jCw1)L{@lw#s#;zEJM|}`2*qOvw z3oHBig3DVLt?@)9N5#g1Y4TZ&o4+7bGL*795w*ks(&!u9(TUy{1W=z;Zt{Y-6WtTR zcazh&LLt4>=CsJZ5l%pJhyo+O?n(3;<wTmtskHF*0zUQK8gEl<>jZDR2LcBL3Qo3* zs6?Ym)<_bLA-QRRd@Y6z313&s&Gnmwx28OFg&|I4(63;^5}t5Cj&ih9El`2q_lGWE zC%l2_q9&=z5b1t!?t$rGtL8p3sKit|i5`E{6QNUQhDWj2?|uVkcP$ru1ZL2<8c-LM zYFbH=;^N!hs~6!76;{=t#1DIr^`*fF(-|f-XA{pvL`vy@d@Tm<A1&m}s{Uq@2)%^N zJu*~7s$8>p8O*1l+birMl&7TqgN_#3dLl8!ExYK3ZBOYmi91KWqj_(2yi+js3x_Zu znK&qW@c2}K^)kyuG}U7pKYdE9ITQqeiOStFj)FY=1uq4jGSK+*2AO`K3M>zZrKwtb zXin;c>ZiO#-Jx!rx!yCk0{>gPGgKs(j_KzEz?e>CFvj&ndOGXlYxbKObJpU>DYd!T zOpx!Fg4kS<YCAE=x0xPyd|tEensgDGpz|ErCpVQGaqHmlJiSLSY%&lB9M@NF1eB0V z(Y&NN{M2{k37-P=$j5nlxBbKMefiDsM5|>Nc!&jB!V^BBQjn@ITUnR6x5qFrqh>i3 zwzR|48pS}fsN0p*y@XSJIF7H*ZpRbnGauTuYlG=hUf#3aG&9Src_S7kjFG^~3=6pG z<|D@Q65+?Gan)ZzC6f@J_DrZ=Tk-BPBld;8U#@M23;bR?E`a=XVs-EBb7+WZX79Ra z2A3=u2Z$K1u=s?bf%#BSquHogLbLK<zhTH?QW%wOY6^8KcIKdW@nQ5uW!mrd3yZNM z-=hg|bXJtea3hQ-?YP42Ky(_^c{9kg3MHzrQVI~sGBgjJ%2bTXLs0iaA5OUNMS|7c zenN?&?+JKs&8O8ju1vU$Mwfw#9QfQ#R;9K5p@)xexgjfr_b!Ejubpt}3Vo6Ad%6t| zv7Y2Qh70AR!#nca(=O7=Rm&K8F`w#?OtM%gUkLHsg~!}^2cTtt7{Q|7RS*huvlpQy zze0&ZdW*nWc|M>n1ym567oM7_93d~K3I?)lt~2)tiT?deBypIwsSn;HMw`vLk^0uh z<hYGb{0$h9n=!>Fkrb+(9{NNKY@>7JiWs@GKwvzoq0fY87Wz;uaH?U@aU=>CQ&=)g z({g)$Sv7|W6w|RuCHR^0VS6i^XvKBVawt!1JUH38pF2*i9*vIpT4?Sk%FMYhSPZ2h zCIf&nOMWudM6e~1sJ1e~;Q17A+O1m>%Yb{_m5KKIFOm=*x!E%ygcUb2^V^boz9QWC z*FICHC}Ysxq8{CW*i7KbX3{=a<r;$ATHKN8sZD6X`7y(nSg2`Hs@VRVsECHJnTn>E zHl#@8h$P}{k_Xh=gGyFb_MN=kj7<2*md;=_E+oyQ(M~639q1BAJQm?T(G)}Eq==qV zQnId29Z!#a?XBK*LpV{NG8FV#W%$NF>tw&UN~iREQgxdm{n|Q=kH~#++Oz$Xw;vpq zL-~pa3yg#<+DD>(5=5c=mG+&dDPBpQ7c#XV=-k5zil%&9xcM3Spp1F+4v4I8*l(P+ zk$z8ND(X{Jl&ySeTD%p7i2)`_<Ce!WN>Ei!hg@V`KH{8O6v}KgqN5O88rR>dGCKHt za_HFmIRBTTb<Z#s@9ga%7sm2D?)OT0WanS0(8}22h3Uw;&A_DGvjEMzQtm>6v^rB$ zMeslwxp&!hm$fGSj(;{KA2pKj)05}wtnztHVVMi_q5yWa%e$WI(MU!QBu~w~gYXxr zhlFpj0O8T3@(#xVslq$v=Eda~zB|`0ozi{b^_reipbKI>=IV!6K24iX%K7BZB#xQ$ ziQvgRi?xL0T7&cAVB4Qlx8eHl^T{{jQw(YsKX0*158C36ziKWP*&Ud%&h+wB2O4SZ zU2th09Pw{{_ftMR7_{Npz+ELGGIV$^B(&G1qIioN`N`xYyZ`%7<;s`DMPq%GCM4IZ zqUBDoAxhJ<MpDj!@!6FkP#C<g#mpnY%<<>kpuaWg`G`(HwAjsY(g+UV4!V08eA^fm zds1J=*J)Ot=Liuz#9ptvWeWUodW#;Z6CP#ukDj@W3}nvXkKc4KK2*Yg9I2z*$JS(1 zm3HsP@&}p;%ua9?#~n3%xn;aGKuVkcWv6&8{9tN(xi7GLZ@YrQvMxtYBS|rRnC{s8 zpk5Bl{BHmC&caOur<`5op!5B-WoTNw(#l3qTz>%i`IpQgwpv~H602&Tat-mcn=ryA z0)?_ebquOKsz#v|ZVOh&%!o&0&&UUy(WcEs5JFqm`{$@QkIaQSTI*J&@wsm?33q|z zTQlwB^O42ZyvYsi3%pq4*Ww<}J?U*tJkl$6?N->qI#ap6gFHGKTVFk(7(vqMd)O|B z!D1f}45iIG*h_WXH3q1j`T#NCe~i_czsVGkBViWlbdxZ{(HhaGtE>g`2O@>7&cYiy zNwmx8%K8m9I8;==l7~^`mu)4jUSYdw+xwjXtFW}oic3d<jSqr)k^w+eIn8PPlB3aR zg9Xr#cQ)<d;%Rt<55Pb;mLlJ3>rM+Z1^lV;=htk>K5@YbM9BM$36U}ewTb{e2W#Z) zlMUrNrs{46=38*a*=u5u&Kca*C}<$i0xjM)FK}nZ@8Q(5s<S9QLDL*y@UmF0<iO@^ zdsZ50nq2djMQ`KUcJmU1_?YI*LUv;pHS=rC$H_<ik4)|O*x;p90?F}wWaPM2H+yDG z=zb;AbcK^ZWFO(YSm~s+`8?iA`|Qf!HUc7s5qq!m&N-J`*@Y5KN$oAfKZ9z&;QqRf z*1`{T!pXQ}IS)Tr2@L@r-pXGtcI%zX8f7aHbw|AXq%CV<87s+(VY<eXY!4c%`fMLi zJNW2A+>8{pdPDrF@9+g~`pX9~+fR?dEX?+uq}X44SSML{%x1kEVgUyY%{NkHE!O$% zf$iVyK;KphZY{<lOP=3u7GXXMinO=9Uc9tVo{SvA>@j4U)S~ZvOLDMgefi;);h+J^ zwxX%yH(ks(JRsGK^pYxU)==<L=`5g2<i-YS#SpptboPD5G0$PI^Eu4kfA3%RiK-Xl z;};eX72$suSc2Kznn1Gl(~<#1I-syt%AMS*GxG#sX~|xt>hzmJZ9AV#yATNA3I`sn ztCO}-(<u1Dx({t*^<fGM$WXbMAFKz;cH4c=dt05TnYFIi`aDwm&L{C*zIW3k@MNP* z)oR!&bcPfp0<N=Nosi2|(-8k0{u3|bj$PYxp@^`HOY?`r?EYDGu6ff{2c|H_<Gof| z9v9yoA|n;4&)uk|vmi&z(WFH-A)1-X_qfEqG&?2BJ3RY8%`6T?^LoP*$sO*OVF%)e z3lA*1wNMp(q{c*f1Rg_gPQgT(b-zig%L|5q!hTkaA4s5lik6vQ407Qlv)Fostv`<h zglM(6<I1_Qb}E4MjbnwXn(5*{q65Guw*?m$u?e6ShMDfc=@1OhjFQGUqUT^h#hf9r z)}wfjA#=C9c(tBo#qWVwzQ5#aYIL=nQcq)xv_+!PQ`1)!;!@S`!gl8uywawf=8X?e z!3Oh*W3`7>!Mq**YkT8R)7qOorkLu$qgJhF4G!o^bL8HKgh%$Fl7N!0G}R(rpT!QT zx?otjkMnvCSeE3c=k{Qj7^(jp8OKhp>pdv<4zY0{x$iJ)8mcCB;>m)}a!b`v8akS4 zg0xguHu<cW*5!2Fv?`6&Uj8rI-a06*FxvLSoyOfQK=9!17Br9qcXxMpcXtmE+!}4% z-Q6{4<1WL!uWIJqsrzbP)y(|4zwSQO^_{Lh=j{DkYa!jQTbup>z%?*2fXv4JCMEy! zTJZcRAqRb2)6RSS*81%^rQ4d8SZ|3__Mm?7Ig39Y<Pg3}*+${8Tgbm3(gwlu4bGoE z48d9Y+~@?YtUC#q{n&YJeM!j1w!S&Nkp7PR*N$m5E_2IQ*pRbXT{x2=Ti8ulB{9&( z``}Gx<5zLW%a6S^uyo}i?y0m>$j#A(w53Yz>e5Es{^$RkRwJ3kfBocaV&Jc?Yv1|h zl$4N{gy-`Is^3mgcSWtePfia-QYy8hYMXJ!WeY9l!YZ9_zWtWAb-o;GJbo9x$*WJv zT^GJEG{ks%X7OGBx7V;zJFk6<#pvfHUuM?Z>h%2n$G1(K4$VsnahY-jo0Zilm4?Qw z^BYBGL;7wup$E6AQ%TI?KMxMqqh7ZQJ>H_U8~lKm`+Fu%N_4*3Nn2FInAbQ;tqIc6 zHTW{))ZifpFT@r+QpqP{8Aq&_ojVmn<*hqtDG9p#$4f%>)eY08!ydK!Xbm;>90moF z4e5kT*GH%A;|$J{f^e!9fl-HgyTT0z7aLZd>$BfC$!zBtW`|ZCt|(9xs`9#wgSOjj zd6ZZdIw;dc*8Wb?p8c0^>ag(~Z|lTj&6l6N4_IXkg?<+skjq1wQ&TVk6}P|IPq-O} zI!OXyY<9GLo~0@f7Q)5H-_pt3DRzjBY{AD`+B}Y=aEWiBHN<IsL6V-7MzmJ9sg!Kp zXEhbK8Rwbv;Vxr971V#f);E_&h1^!Kb?4<4bVUoEdNw^QQX5(t;-2d-0`9b^21ujD z##Vn`wL;LuTKO<C?KX9Ew#w?@Umk#aNCF57PM!f~`Kce|2xiu(LK9x-&D}9l2+wG{ z8qo|;chCFaA<I|Mq1QBu>+Ok!o+lS=p6V(kk^uOvmlR;tb`QLIET#uKuEDM{2r=9r z38o1o4vSul01x{L7blrnghn=yd@<|AtkSBX`Iq`F()aOl_v~b8Ge&xC^%5=H0rA+n z{ynMNW(tK2MmHq)<v+Urbobk4KGuMSyncW(F@y0jvf*9cZ5<rir)hvNo<1rmc6VfJ z2V&ms{>h<dqJ9i1cH*f59SOU)vOJ$drSBbd4{n6P=erWEh^;R3!#GcW0)EDQo!rS| zU+Wfzb64j)dt0go$Q5jE9NA5G6Y_81_HOkxQS_dzE4FijF9<zZ!!C51zgTT8T<Qzf zU64Q{*}Gb?irDdA>@6=}Xx&fOwx7D+ep|l-T@Jnw+;E+4-hunL0UbMJYpNTZRTge< zlN{C?r0asVTdhfKomONoh}E`RgtpC#PE{HcZZL`5i}zb_enH}wo<k%;EUrMQUX-Wi z#d|-Fq0k%om5lHP_Nx_MD&`p{lVJ?A;VXi+!R5QF&jQD6_>Q1$CP!&1C1-|18a@+F z@SiWAKb|1_A8j{ad&AA;Wo-;d**bRU*|{ZVs4!;=X?wGkeXv2dqV#)k3|YPV<kMGc z+AF*S4%hB8a6B92$L$b;Kp~2?(;?++{hF6R+}Yy0^hc#$5{uQv9*W=2?#SLG_)!b| z8|89fadCAxjhnXx#N8KXJ3rHvAlzQDa6e5pBS?kiwVj<Mbjl_F*9K&!D2;nkh-O7* zxzseF$<e&=F0bxM-+Z;SiPPok4Q^OZ3LImC)gzM6-YMNQw%;OJ&uG|IX=mE8y7CpY z@>J7zojbjwG;E5?@`lK<hWH9ee&?_C9|Ss!xxmRLj4ZxCC0o}bH7CqqM}INNcx`|A z7%?Vc9$(sxe&!cNot=2|%W`h_`J&cb9-kEP;*rv={h5c-=-qx!KnQN0dzAEgo@*qQ zojqOSQ~#R4ZqNL$Y|kX|!oJeYogZjNUAy~Q)`a!_G%QXrF~U)}w7E7{s|f=^5!KD< zb6M+t82=!5I<$%9fp=CFPCazq>@uc8y~;o7i6Xi1zNbodjt2$Xq|D<kQF^7RH~O`8 zm>($veof$tCGit;ndBN=kB&!(n;VNm+>x}_7dCn6ju_8<U(c8MsrI9TA>shrL14mK zAg9%R-&){(HjIZHc&DtTJ$I}#>c3s{5H9|&w##-*8{r&<UILl87l8w~RET+#;y@1_ z@Ht-xh)({DRy4{roaPclq^p6QYqS<|H108d+XNZ4Cy<FX#WH%OVV-*KD%bNnNbiWv zV1NHgPv`LxHAs54z_m1T&1_;Yyk^kI6^6dFbIE+g$~bGp;{)t-;p>poK)-g)kh;fT zyJAwu9qGs^>~XClTCZyqMJ@SJbEfm=nH|Qp)@N&f#DzK<5<b)UbT>rYm}L#UvJZ4+ zuc*7hG@ClfT;D0kF!6Kzu5%EsGuN^Yk~`*XOWPTA0<01*bq<iEb;!xDikiCtuC4it zu5_ehTuFR#ZVFu-r%j2{wGGkCrF*b=UpXel1~G4U`a_5zc&rE%eAl==gd)1kO3{Q4 z@~1Q=%&*k2G}i-|zfR{sLkul`)rNFWtB2UPhEiOzyISJVoX?aZ7QNuwuCy}uhR`Gw zDf~FHf|zU@?42Kp^#(i(oDS)!S(I#K$G_`;8yC$mdR%>_!LfYfDwh8WbCf^9=KZ=7 zFEtS=wu+vZX!Lcs!6S-;hr&h?o_E-Zk#wtSfv%a0(1I+7IGI;baBH!=u}W@rRa>xX zAS>7jwq`c;i>z>7iPg=QZg02T&03jQ*H#prEE@|Dn4Q@~V^y1J+Ehyu{1Ppv0pZ96 zX{R!z7jB`7%vQd`tVZO#yjQilGxe4K?80pcjB4iAmK5{?kcQ;*hW%HJLrz_NL^Eme z3h27xKOR&<arW4+9uZ0*<kX7U<h4I{h~;*KyWrZ2ZEkgaZO9G#W;T4tP$xz2AVUya zI3?O9s20s`l^uq+DKfq_StKvE?;EeG8e(w+{P9#FPuTR?9~b244>MFqI6`tVkG_*m zv`gG;ip>9e<X!dkQPc5v!=n}Frj;297+0CDgd12%5TQSHb@DXI$3cP+GW_YGweGfS z(35>n>dX%RbMigm!Dp}pWs6$x^l!G!`SJzkjY_CpA{K-hVcB&N(!9r{tRhUdNB$_c zq~#yCL_N4{3dsW^>J+Q^K%*Vi{UxD`swYk1v+rJ3{QTxt$c0j%7Sh)>5*Qc{3^A)= zG^`Cjn~SaW3_#$&oQ);^KX{5TvY49#;%n17M)*3{U4C{bGp%<7BMQ`G?V3(%s_~Tc z-<`=MsH-3|IIo%ayO#alrPnf*S#;JmHzPhi_OEaEQH{U%tChL!&VEn2p~~MK4>d4I zyw$N09(-U4wTZ3L3f6mHsbx!2=78vrevYGVb|~Os0>fKWuL_B6=9T@YD1xh8C*)HU zA%Cc2gp|xm0ban`AZ}l*{$J=K5JM~MhDz{*`&SOnN%|e{9{QG8unZQ>Br`l_LG1oN zoBvZ9LBy@FMJUv(<o@!$s`qzl>GH}Mnkc(xa%W&+;;P1McI0W|N=Xg=Ke|z!t(u9U z!NLj(eZpCfbL4&%?@HDx*j454l7oFJ;)|II3Qtkg+=NWWEHYn%`*`!b=-2|M^+M68 zJSZ8~U!)pJMQ--<mRMq$0d~Ia0{4u22kqRYv|(kyriL3`!Sxm1HeNA1yGg|aAX5R+ zu*x?I>YrrbGvd6ZZh*L%pO4u;@!Fw3Q3|4?!+Rg6_r|B&+xlbK=fs-Jn0_mKeWve! zDCD&4Hf=CCm+MP18fnUbY`@)V7r5Zl2mRLt5<Cy*Ic;q)Q`&D<*iWrp1`fmZ)x89{ zO7qZtwVGW~F}fym3|crPs$H!*7hDb1z6?&!Urj)-vHs~X-zItq*qaYGxmg}ZYLnFX z(TvVmvDLOw{=U+&pfk4F>4x&My_nv%R_$Ubh<{I!n<ajX?O1bsds&6xGBXJ0YrB>W zh+)Aiin)upV{sB#TsmcG({d#7`FPX3Zewq#OfaZfYCk)5|Cw%JVb}-KmEK@B+%%r3 zb?fyR2%2#deo-@Wr+o&e#z0Ovudylqxsd9w5X#!2Sn07z-&bnSgA5aD*z!~Iz1wWq zlGJ=X|C6R0297l<S0J7%TbM!am3g&wZ~Cxx=eXHOcc;RVh2B!ICC$8V620*5Mz0Jz zCpYvaLcX*8s}wCG|M58F34!wFzpd05wdl4uql>L~j&J+1=~7i5w8cXr^m)}5R3_y$ zTMw}d7=@Sc?OS~Ih5F83e-?2g`rKj@jrth)da*4Gx4gj%iGt7`^T<4o=t?-TH0kbT zEpNBcE_k!RkZ%;rm3;+&V<dQI>J-Si)`JHm@tW3o;OqUZx7o=led=Wu-*#RSf+YCo zG43tkxBwO7OC^CU{G<QkwNnQw2ram?vk4$jPeIwg6XWy3km2+~mdhGELiaQ*QTPJw z{qCl!Tt)BRpllCc5zm?7?q>vE{A}FXE0estA@5{7(DDc@al7Wj@?}f!wYjeb2zlwu zsG0leQh*cSJTY9yMQ~*6-Q0?e6dmHOdf$iI_U^mK4JRo7qWhehR4IUmjJ(~-j^a5w zk8P!np#*0Y#9Z<KZL_9+p29uC;}ctgjF3Rjc(?6<OV3b`HDpgkJRgxJDkEdX5rkjj zS!J$~F9-M}D~Dz>WGfO@O>XSyb0gSDv65R$zWpN8O)hq5DA(LSidUu4M2$<{Z}O%q z-F@KK4p5C=b|#6}UE06rq(|dDW<_vvNUM)dJ`9n!oS!z+3UA&{0;>J0mrfr!t?Gut z9VElA=6433CKd)P9*LnaD9Wt2%Zammxm><nwT26}X?1$WceTj`O3%f}GK-`RXKra% z`^5eFGEY#+%stKD&bIdf?FeFSkLoT{LM5_YK_+{gXn2}|PQQps9|=8@HDwd9i;-H+ z-Bf2^?-MvZ%b|}6bA>)!J-l`G@@hYHsK+>FB{;B$whPw5>~s|hcJub0ajBydup(S~ zyL?z0k%pEd(uI#YJ?4mmk(nAm1uYKET`MIt>J<l{p#SEAvarRZw+RKW^iNsuCa^Xt z&@my8`?Vbaf%gK=Ga+clUP6Xeaww;Fd<%oV$imyH*AWKrhHZ77ovjxKTRNHzZtSmV z+<I!%7IS%8UoDM57i`F1pb=OZeV6xIf~uXi+_Z_fFGCwgK#0N4yK$47BC$BHl5RG8 z23}kWolu?nn~HEL=RM1movW+6j6pyAx`_c7B)hjEl>e?Jwh1)4kgJ9^?6w4Tln9uv zQ7~Y9^(_VRq1P74xQ!A0`-Tzqf(mq@eSh>L(X^%WVOS}NbU<OhV`3lIpzzgvU@)q@ z8Aa}}<@JdKU-Y53BNSUNqXd_8zhZd7S1RVepLY{#xi*JR<XO$nI*UxcT-oKPB3v<% z^I!hAzA0S;A03-lV2UZsy=#81uU%aiXKw(wpgZ6``xU>dK#RqoE8S$^t)r2^;5*dM zZ^z&FYchn06T1xkhyTgH4$1Fr6A4`Q_<+BWyB$SXQgP`Ukzt?>pO*hQ3rvdgkZE%! z6Rzy~rdQ>+uC=8N{Q=P>u-VeH=7Su!`7cNbtxeF`k`1YIB-kyilSXp*frNW$rlQHk zf*k^Hb%DtP>-6T?6d@3PM|$iY0~;A)0@fbkcR5hw#>+v!*z%U@v}aXEQ)5^xw<}h< zdO#k7&Hk=mjoRJ<I#<b;Y(-jBPw!gLZM`ojfvNt7lWu+3u8(wT$K;+urte2Ot1KJM zEhi8F812p!>=g@I=$n!uLTk(;ytylo)?c3V)`xV<)%b$;^pov7w#E@!9Rp&AmsK4@ zjV{K+THee6vo*cfp^)=T71KX=`++QZe~I?ZyiKw?p>xDBDm%ChZf0KhAllCDFRysv z0Uhxxx}Od9$LsLuAbLH@Y|h8b7%rKi>5(*vi~yw%3Ra(<S?5|(UGdWvG@9%Fo&Ux) zWnFf>-Swp00-lm2>CXFsY$sVEMEV0#_J9p0-v3?V_5j;QxCTUiqKllbw%quoX7N6t z*UHH;>h;zW5wcbjO3g-C-jcSX`wyH(*y*Cw;`|2TF_zP7%jrK=voSVnr^c}12ef{N z)pM=Af)CW6TB|8dD|qq*PDm$LmV&DpmxBJvafy);>X{KZU%56%+&i%FF9Pc(Pbp@H z&<;~k1i#G1aLKZaHdR9=-FTzPcv(5Hl;om^2@@wM-i4R0v6|Gzu#tuc6ZJ$B1J4ci z>~DG&&Gyswqs5IQajM|we^_n?DF9mSV|AKsoymMpb0U0_P<gG<Z+_wJ^_}-*8}xWj zv-|FIxks{36+=GWC_al>lYZrbFVWkXl08PQ<NeExj5@P>Ocq^ata6bskW?UT*DE@) zt>P>6#BbTV{T^TwGh|146+Gy*gX^p34H?I{I{*gN1$r5L$E@ZEW+1cT5Z?@<ZE<=t zBt#?9@7q~1Pqn_N8o&+xcB>zEbuS~kxRtxDn4^slSVyJ&v)+@RB;F;446}*pzxt*i z>74yP_@)GK4zT3KUxX@{#b7s+X*|sBa9!(2z5V89Qw4I0HmMOo{w1@7`DxP(XKs0? z##x{8Azr1cG(tg5oDVe<?CWBWGxuH)ih(~Vl1D(43*2Gp3S;4(ruvPhN3ead#T@>p z@E`8K(4Wu{QF8V&k*`L8m}7K&m`!g3I0Ylu=3dDfX0H^Jb2N$S(z>hl$hs1=k7Mh3 zDzj>$Nm{|R7TIS?+d+l>ct5gs%=d+0Kgjeh!qB{1Hs^K~9(}km-SR8ywiPli<wpSB zoyWFqx^X)MGLf4(Jn?mZ6Q~<9r1bNF-gLkDrF^Rlu+VjNR2PL>VaEM_nZ@WSL_yuM z?@#~NW<(-{BzD{(3thB(N_f$n2ucoR#9)wOPu_=R<Et|(az?e5s(HzU=k#x{Q_19x z2m&bEku3Ekr7dxzs;|IbIBS0+ZGH^^{~E5HWO&}=taVW4m4DACIPH59UjJUg?FL6_ zOKANm;Njt^H!;nEOYNo#B={>h^LBhaKX8%B7R+R94u3|nH)B&M?6?&db}Bv3jo$c8 zLg>WYbQ$2A<_q4`03zxc`Ayd%8Y~6wWp_lLF|YFm#E(!sobQL!3LEh;=NeG1-ww_P zY~5<Dkvr*6H4C)xiI;xy&0<?W1ThtTar?>eQX30kVfJh1dpbTxRiQ2ig?t<%fXGUO z;7d!xJB|oNCt51>V&fdesT?|{9f6ROoTg+77bJMpwnQxiVGq!Z`sfetC>11scDkR` z4b~j=P^x-b$X5BR=OTnSFl{115=W%`cRhK4hdYBgu_QF6ef3UUk()h5HVR_`8!HK> zF&__6Cf~O)UcQJ%zq&Ny%}*91eIm(X{<8gFSDf?GGVI&2wd_eMB~@!{MR~g;5h!q& zyV0SlJqy9lFSHcVUW?@I!4RT4dg=>|OFt(XG(zX5WPNdy*7a@;84;gtN_zg~X<v9J z6HDO~@_v%F5#yDvT4bwM!jHRNbhZ~d-0<*NKS7>$0YRzKp)TS)(+6`f1XOKbUY&uf zy|jDnnbI_JG|RJbD9b=083<r0A$Ih@w?>E`YqXLz0zW;|Q)1?4wAH&&Jk}-5yDmk` zd@+e&)MMlkDmn-vAc7-F;9JtFZVEXUA|%R-VarF+foriVMjNj~JP<@*%$I(>SXuU@ z{<21Rg-C55hGJ8+Rp|v229cPbB0XjJWEf0d<~1i7w+KQN4PTbujC0A7>+^8dHVX83 zJQ4&}7*nKJiGBZ3#(C!3z3I|Ch+uw)H|DbcLaj?uS}@bqb>0e>Jb;Y({T~D9B^OV4 z?FP#Hs$Jhgm&{1`sIPs6xsXh>Tv}++JFNQC&j#2qZu0h`VXYw?g8g;L15<G~x>KnL z1v@8*%ab*R)18(3a6W-N;zk5hIwCvgoIR6nIwk(*_Uw$NT}SW&g6^J|DmmDI<&`mD zIT|w5Nqo3~Bbf`YB2ymI+RM$uyUE4T*T>1;*FE|Z!U0Ui+Qrr=zAIK=n0D5xj~m#j zXyrD}a`<i1($J#dDGpr|dGtWrZu`&m<v~%_3i|IWfYqLBahLHNVjz8aT|40SwG*{S zvU7r+>xH8YG<tvDbz(Us;CjZOP^<wHe5X`7Q@^BbsEh1OIL!Z^R>!QVi`@>#a{Mxe zKqP6z$8kqb>X~XsF96qOWHjcrH;W2KgAE)%Hrp7q>$`!tNxeFxwd$2RRtTr>cv|5P zWi%IySqeUSND{K;KMRjn9?b{|Ly(vywU&{h%V#QGoL6r{O!El~+}aURmjj81xakwH zgh}+-5g3$O;j}F&k1h)4+uuq}FOmhw9aJ^0Z+hv<Vw{AASA=e?t)TD=$yMYcIw9`{ zI&HlepD!_j-dICET6rHWJl{5{=25GJYu-fgjZaMWR>mBCpjW}G-M>9wNpbBWJ?I-h z?VNl!R5^82zqTLy_dc~ZBvpLu%^g$z+HnEt{~~-*e}r@-eRX2H!=Hjb-kV({)ujS6 zKNZ?MZr$I111m$bS`tnqmFpgp3Msc-E1KN(#~*O$8h}?eW{38e)>=46oL7<Gv^C$h zD@O`!(YO<G(<S}8I$wFVNg^z}*M=??$@uTCODz|FA3H(j+xy&Ka9qeZX5F3no@F+$ z6_4Wx6dJ)S)lS2C;iGe3^7!XBCtS4Ll@O(LtStx=04~b3_7?!F_Fq~eP8lrbXU*V6 zcAlG0`_KGO3v`6}xF6qq;dMIcgC0c3v5W{o%2;q<?VZMs1$xi|Ysdp_n4#~TSLKw} z1N+oY9oUTd`gAbb;plc_NsRcsP}_Q(z_mJNC6#^f1Fj|}bw012Y0YxQ1`(TesFe0- zXek)eb-v~1=2Qe%LI(EHVmmfFFDJe#ra~{yPs8(jv>s4)Q$kn6|KSgt-ZP^&FIQuV zhilF(Esn^Jk2O8wS**&@Fs-H>pQj&|o`feURutx9{C8XPdXG9$3|;{c&np2*Hdz9G zM3$8%iy^7scQ$#MV>DhFU9aw>i)8=9iWuVZiMx^YbMDsig?m2%fj5F}8!0c(oclS7 z-;R`UVG#i>H_qV;+2L7DZ_ONr8i~1p`c$lMi_28F#!tuO+>T;{U%fnba;#;0e&+&Q z*~!jQC4QUpwk(wPZH&^}u5WERDqAUqwM|GWfOoimGM=73s8`tmEGBFPNd763P9rUz z9#5oji?3^I8KH&2+ih9r<gOD_@fhAdBJJebi^qu9_j8{vf{6AKr;3ksB2XmQ;18cE z?e!609N`!jAiSZOqfzMtmyNl&rY#)BtO>Knxfcqz`=#we5CP=D@WU#PkFFZp?tk+y ze{u<-1Q4^z8SD{l?GpVqGU`Jgermwx>I}dc`m#zvXl;!j=qA7f8*3*W1+G%y;z8-* z2c<#{HKz?cgyRxvLwEPA0I^#RX9u;l9bYNJdF@??yGO8(RTZ%wjnI)fv(wwaIcahQ zzN6)V$yiNiy!2_<{|?}Z9H!5D;K)1fq)x{Z-Y$3l;p>on<hczUanr)PM}Ne~bJnoL zp>5?P@^E@)tEF<c?w-|!G5AAFPqE!L-lkAiR&R&r*Ghk`TyExOZxR2v7uVRljvR{< zG=_vR-+P?yo{2Bo;|BX0()D0vVuc867_~N%T=vB&+D?|SDS3Ri>dpIG_XTY^b)7gz z**|YKk#$IvhXAhMBO&yUP{G{a#+7MJ(#Aa}r1fjk3Z51Kkv5TLGVU4{p;8L3Y@n*; zWp*4rkX9Q*`N=Ax@_hz?`^^?mT_)@Q9E|(RBu)l`0?OT6Le#q#2O^~_co@AZG=U7- z*^>I#Q>^Wkp$Xbgh@JBu3p(XVa+lBHxlG)T6V>X^{M@2$p6t9Ihq_w66TjU}3l0hM zy61DJ2@3@aa(=DcaQNHboL;eDH_~dS{BmN#wp<?7twU!4w?tBz0yJTuDj`5cha9U| znd&j17I^e(zxFR<y=skHHB5E}!gQ#0lSK!F9pTMBPT{3$c68HYH8kN-DP4GjP*s@I zrNVQzfxr&%Cp-Z|GeJ0}i;1vIx<h|*^+eHRna>11@>7<8tmo?bTptR<Qyc2a?&7C< zOozsc|G`SK6o@^qa4ULo=IIAuT$8azokP>p?GWx1GlM^Z7beNuK@<>#;>w*CvKq&c zLB)OY?VadfEwUCUV6UuOB8Tj~JHEO)_@v#iJ7_tE3B8=55nwhk-s5^7lDRT?VS~gK z9=6C{z2(vHV-C{nh06l7;0V2t;3_1*N`M-JjPSsR;LzqCJ@sPLn#IM}FMeJQp20)B zmhg=|++88uUO}J^1az@z_%Pu){5<=Tk_0pDAZRsF`q(J~W{Ew-G%dpM5^)}~l^{0} za35!${YXhqc3cmA!%LWZz5CPq$p(#h;6y3P&M^ByV4p43et|tN)#=?%4=-P9KK%S4 zmJ}Js_4srnS3g+QwE8J{41nqz50A{USX^<M#oeVts+|;~Swnx~Rjhy%(-Z}JHA6%$ z`Yc9f=^$Xbq4_hO?9Nc-s-H840;*MfFyd~T8jB$ilU5DSY`CKA(|&>qxk`w5HFS^M z@eiu;PZ3GXns4YG|F$tv!8`JC<TDss$RT6EnGw3vrpvQw{No2B?hy1Oa?a}Q*s15o zO_9kQ7hzH{!Q?tN$Ak-0kovDha4gO75E+f^#vguN2ErO-^;h$LP{zYfEu4f%HF-O^ zIzJq8g(-@%7DT-J)^RPQ0cFsPjootB-4!GLDv{Dch?CPjK9jwPNF8Iaoj7$Nz9|LY zj}iHErV6t}qY4x{|E7bqItqG#`tcw8dxUMr@8IemUd>IEYwh%Pt6BjT=k|+K7N&x` zKhFy;#pMv(c8la#=uvHW;^umCY!nI#wHb5hC&dbU%IMjD;fC|<O$mCHwR=WFr#=n9 z*`2;<xy9LwTVo5z5c#`SC_gb+Uow#i{InZI?(_o_tVqK>ip5B(ngrRwZ|7(bzGz>D z+GtUCIfTMP1{HkN-3C#rPp30NB)~gO6Mgb?xeOmSdWrxaTyniofzPm3Dm<i)9;j+D z8GZ;dKK0iRc^4fdp8UH2EYeyZc9|xoJ_iC6x{z<v#&Wud1k><&hivhQ0zGkLS(<1@ zM($Ru?#jpq1p|kockce9%QyTYk?`)}0b2bhQCaf9HAo4F2MIT!JNcnWnwS5$7a(^} zgzWrLHod}(gpSywL~F`?8J{)S!~E?xqLCe2n3foIzpWpe&`{4*pJILQ?)KiGO8+J@ zi(OMXRTWZ%u)nXVJnX#SC|bx1XkU!5l`<mT!(o5i;5X|2g^1u>t0`$Ps#|9D6M@o9 zeDh*YFS8+C2)|Vn?<giE>u4`=0r$Mw$}>Q`qV$__#~rOnavMWpxDR&NB(V-6hW|SR z>2Japfq(lV>_EFeGGf0~IFefjhz$`jM3lbAA4n>ZUIQ!)yIJNHf$7kU6k@?>j@mMh zDBEHHQ0;m~(N_wn?j7UubtE*jI#0`jcl#*bN=(X0aXa%Lg9-5K6bIqJ;ji+uqy71A zNFkHNKM^sYKxV~e0fy5FY$3lW2|KmRh_+0Xi~_>PFa(I+Con+Ee}_XnFKiC!zK6Hu z7MBxn<1y%Uggl?v9A3(XqlCV#ZJt4*{bSt;-!T=-)j)&m<P;oopS{Z_!m>Iv4;CVb z>&Yx~%Z2@^fQH<fq4+b1=?B*CajKE*!G4{K6#ybe&mx#Hw5ONxe~8<=6RSeDwf6~* z?H}728-v@Kd>|Gwn>)T*%2vM_j`e}UKa9T#-hD5V5N>H;gdb=0Jxuti*^@s`k1g$} zP{xQ_QP(dNJZ6sKREd4U)3g$sgz1#s%Y;bXSWMr-_bgtgOOhP%(fs#SOBXEX_gaUS zrdF`2nRQ-8|LKT@xvV<I5XLa_x%O_5bx!ux;ltkLdUU5Fup@o<>pYoF!`ly<i@0h< zZQg7XM&|fmVk#kg-8Mkz%6{T)971LXFtGzp#Wd$F*V;K8rTAV0FRS-a6O4nw;{LE4 z@-5}0MS-qo)_Dm-XPWK(`X==^UZqO>@w2itYEgz%I2^nb;w8kUX++U5L5fc(B7i`= z9!a(i>Z@*IC9Ckm*U%U)F3W<s1b`PGl!Rd?Na&He<4P;PIK6<AMb=q~FEo_CT<mQG z;DuZKwJv6G_*Ss4Si()zC&%zb+OnXXiUb%{@wW~%u`nWMzn8KEkGv5AuFcW<6~(1O zB`Vlj-0$k4Do$=ysJ<ATi~S&Ju-?;!Lew+TDGJdy2W!R(NIKql2xVu9g@TaMOrrHY zJY$SDOv*p^oIx%s@|sn%ms8!s*k$1cvQT&&yqX$4(dBw>M5W2qw;oo!OI40h&qk`) zIQZ0e`MCHvIg*0;p#ClQL1RmV<Bj~a8#FLqVU_U|>G(kE)-TZkQR5WuhNLI560We4 z2Y)k=lql}GXCVJQA2lpbX+CKaM@}~jO&REq<9Yn6L9So@OF*NF$Tgh_KGryxP`Mwu zrPUoTH5pq!goHq{w<huPxwaI5^EQ%B$W>YHahf^|n$vOI)wFLbwdy&Rl(P3G<ef67 zVMvd+!rDhKKrPcg5&fIQDUO1t4={k8r76wpy+Y2Aiz)tD!wcF#0gO;7bw3|$e=5LG zz?I+an3C!ia8=u+3SOQ`?k$ngA#p!>8i#FRyKuTZ{Y3O<wCApYL&f=-hi79T-m=}j zw7+Sm#sE@a6=->)GIK%QuIL7p;?Phs3qlHg)mcf=C*<!{t*#Qlh-Nj0Kk-E$e@;C? ze{2%Uim2KC%&;HWfOypT9QE+XWv!-y6Lxg=6$WFug<Yx7v%`K+y0$6M&VSFS&NrGY zs4rxvV^;nMg_KMBu$y0ajD~h5(HatJWDTE&wx<?KCNm_o(|P$cXu=h{W$yv(hezah zFrmoJ&Tm}6raG25inSa3F2k7G)c@zSP+?HF%=!s3RQ)L^qEse{Z4A4EItBC96K0?h zyTP|lPDPB8alV!s7TApBf)r>8aqLRZq1)c4TMbM3=WU8LQr<e3ze@w1lo}b?2Kqo% zXb=xdGlbd1Z5g>k1jcQuqdueJ`@pD_BGRAfX+jM{mVZz<wO<m<gQ=;eVIz~HZ|fxB zk0JzVPx_CRx%{pLN>Uhs?n3~j<t)TH@j#>AAS|zDG=QI`Gbgp{ytNOO4Oxeelh5;5 zC`?xuukLNhOhVbdps*fuhdP#UMgu8;bWPe0*OaI8HK@nqsEg^jejm~Cva=$nB18{2 z2NBLL(5V>L=hrLo-}L2e?4l4o0)AM;ZG$e~9W_bmn@2lJ+IGh0w%cRY{hX)@?K6lt zeIN9KGCL{2xYT%B!T12I!>19gyLHm+syCSo1W;zfcB-g+=&j18#{OO<S@N%=pR)O= z=&!Q@dgRn~#hDPxrLhss{N#K16jS`~8Z6T4`HEa}v&HzwD#4PG`hNQ6c=Tc*Y?B0} zCHa9bG=I`4nN+$m3+9H_a~VZ`R-V}>e*L7wzU?#Cb1}B8jAC*qhat_$DdVlT8Bc>c zwZ#?#i2`KnuQZdR5+;89`&Ob;tV<PVKru@>K%OK~07ITuj6d^q2b)9<67!Htz%K7i zQz<Qj*bI~ys5qsehra{jnW@#Lb1N)X6oFVZW+*qJ+33F}f>>Q<kk&04c5JvAnQeMN zC~z8OoIoCp9x+ptww};oF=wZ9Aho#>{Oi9=1+{qB*lf#44pJsRp;ptl0m|YVW(#)6 z&!n<Ki2k&ejN%%eP%VGwTpGK``{Myfw`fcQEk@WJN-uX$?{23KiD9Hg1)1p*Q+Ha` zNf@-)&OJm)6!Hq(H946ufZ~GO6OtU3@gh9UP(CXnDU(9H4jAkDPuwzg(9II=pJ83A zwB-2}Tlx`G44hM4>w3#wb0Eh|39ZT*jUi76Ip0z!Z^G#H#ehtMVwHz1U@`(wS`ae+ z01@(xT*0+3=Xjyu4n*NB7}gBMK+M9Fo8BEStWSlP2#=!CU^P8XcU?pZK(Of<r{KD( zl!U_A4YbfDt~0B`3RlJ(huWQ>#48ySINYJ(wV?FK6;G^nBZmyrVe38Xov{aqJFki+ z(oG>_(td4;OQ-fq>?tGHsH@URHN9GAaoFwE3Z_rFM=E3yP1gv1qbY|bs{mdsIG37> z>)e=_v%e9mObY(y$gwo*(tYavDH&DZXZ}MVY+xAgNTYVPRjn_Zy(=hi5c!80?hjwt z6CTxtM1mWBE*;U;vqHV%diAz{YLVyBA<m+}qd{C@A9TH1oQYuzKSixsaUU)_?&p8o z-#`>^!rbt}3N>3S9nw*EGk%h?L|r?`$g4FmHrR#{1NR6{)+oL7+GEG9GN@@kw<u}- zm?TvzAHQ1`@FuBJs9F2YBvZ?RYpY9SkQRkxnLvX`J}ffaN{~r~rM$e~1uwlX4g}r> zny`2fQnN4j%cZ(AZW+1Hhm*GSZspmf2L&d)VmaA`7t9^<doASI{_an^=GymvLACxH zvm9_)KC*3cn5a_FDu~z%KZd1i3_CHEt0&gq>jFJ1K0bRh{q#8oRrTxsX^fHR@l8o3 z(&cq*WQWZy7z?9OpFZ+e4J->8R01tQXEtlN!0~}(nApC|vSOmIlf6&&j$*ice}62B z`bzN{zs%WjX&#$rMOK9Amt?^T+2f#AXXZAt3B($thH0ZM123W%WSJ1BH8bKz!mJR( zd0x|kf{q2nuT5S%(WasPBLTnHW@OFAZt3rTSnhK)hl>cPYMpb8?W4>#?hO?)O%k-P zvzOE7d?tw;B+_VDrwmi}w?Rjx4IN2qRMGZQ^24x|eUK@se|K7DP7+_)o7Vcbf200r zXcpb2nDI(a>bKKs9@R|#vduY*x(jnX4ku1wo+yt<@oRHO`tS5Wpf{_Va6Zu+erqn9 z{-}Vy>Nm7Z_^R%f0DK-7QXXM_GeDczo~z*{2c$c7EiI8=-IXAl_ieZIUZ1TDqzyyr zx}27<LSYyyAyt16(^h{V?;d0PExAO{tx~LZeL+UpZ%<pPPcbaDfG14Bjz>Xs#v@X! zxwmEWM>j*V{*QJH8vai<(`e9lcIt&sG&#Q2pJ-U|cK}t^-e)304c16C4pS)R6x))q zZtRno0R7pvp893rd1mpokPGCm0Fpll#J@ZhlROBHqGTfl5&d!a?2H%yN98m$K$gKY zY!+A_Hrcu)YJG#NFI6gHiI}{N<ddj1$!B8gWoKu|>RmU$r{BvLh3&z0u5oFJG-1$q zwDk9g{l?5`LIID*G%03!Nk8(`_kOSIS<@M~B}h?uytlTfMC7jRKPjg-G*RQZ<DTIt zBH<S-UHn_9Gw)||B#uKpnD>ff@!i*D2><fV+kpCNq~E=||851o)s35eBI2kWRBR5p ze6Y6(zh$%a6+ryrwlp2Y>kMw=)Oe{5&9XKW&EY+T+=7^BJa36JGHSP55%0UG&T9Gb zf5M?>+)ocXMDV$o(N&NdB<Iyz8KG5cLG9Att_IzD_7@k<wffE%J*zn@o>t>KFs9A} zyF^)Z!7ZN#O_Pf<=@cKo1roUa3l=JP-TG}moPGZhMaN5WGM8Swnn%2PY8o{+Q%KEK zYL1x1obWc^tLEn>yV0nNSvx;J8XDT#n-@{mUY!t$En4Nsq#3L|c%5KRqh>*srgsoc zL*U382blC2B}2%sfA2P&?(g?(1Vah|59D>i@Lf3v`9_h1e#{7;F71zHzVP(vwG869 z9l5<$xiP>!LZR_d>1~=vvOJhR&cyINb6*cVYTBT^VQjE}vk7yXGux1Q!~t%ymr^0W zppY#J%~xAxRq9mfCjjSqm##kGvCJOJr31yis(18b3r)0{I)n(;A1;HPw^#Qr9V>!Z zhF+d3cXR@1CUk3t$aP;@=>01N$uy`U&L*>Amk4b*QRPxWa!Yc%C*wm4<J~l+4xAtc zyR;x!NE9cBrf>H27TSN^4$L*Quf4Tx9Cc0tY=<f^nj1OFV%;dp)Ir#+Cd{>|)F_Pc zztJ-rvA?-=4)gU6L%n^4NW&e1{koq*2S|}gQAgrZ6^l^a?o~X7pJ0NmVS~?|Rn*SO znA_Zcm$TO>m}vqrPy=o0jXRlc-lwcd5S%q4CCr!+RoE;D2<ti0q?Cy)=)YZSe^W-X zpvN55#zY@(!$x#rM#QA+z(%!UM#Thxuww?j3DNC3uwxFjgYZz=t>=IoNlqK&H28YF zz`N~#%_R`ycdp6$<Oc)~V<rElxXE-Q%1pxPUUiSqFhpfDO3E(zb)zHIL`~`WUMKNl zEe-0@OAu(1lQ^qg4pG`s-)rT1OVY%aa)T688l-gyv(a7;{~6Qk=bRBWcAt!c!f4x~ zOtvxf=#!k~Aaog!H?N*lAPzkG*PtNQUKe!~y+;K`Ng$o)*Ew$=Q&V&KYP1>~s=3OJ ztqcPp5g+9W_STpqC$DByk`H+gGE?c{M`@Qj7<2{wOX4|?_zz0Q9Jez1K;tuk)9zkS zp9U^ZD=LRSk`6_!CPJhLhEm;*jayS^9<ltVJzqUv$5g13JuJ&j|I!FDx<`eu)TF`= zKIp!>3e)_8ODm+<Zk6~2n^wr-_C5FH&DPT6$6h<LZfkhSH0M!)YQs#^V_%J$vLU^5 zRc*xK=HT(?z&4^pxp6>QdCyzFG$=UDM1<q8jWV-ae@cr0nX)-mY&dT<`0Eayy>=wZ z79aJnNY7x&Kl2rCk<HL07ak8h3;Rwk_f||f3afF8L;XbF2U0E(;afxcaHsLe#l_vk zEQO0AUZJh^fzuMkkZPJgVxs30CFT<uM3(1p(wLj1NHq~MW#=m0hvTRV_Gw{B%kd%S z`mG03haC|Gl!)dn$)Wg_KK;G5sbcg~R+@HL(T$dNtkjS);w*Xs0@jJM_Z-6}lDuYp zVpd}WYB&+X9V{l~nNA&)RTJydSDZ;))d8mj*@SH<z~8F-a0y=Os6@sa%ptW*jzPnM zjKRJ?cfLWaIl(DOBIDhDi#0G&8Y@afmZR8L@_|N;!<<ViVE3zxn4L;1=-bkhn{_Z9 zi$A`6=n8}+mw(iVoFsNrLp7q}u?Qj94*c0&a%sZTE~`SlV@$6?0I-Y3F7{`V{9+_U zOojFHP&X3WPdJ7W_Kr4<B4uV`u2^Pa$i#f2ZqyRrqF?rY0s;ZCO-}y?c=4@{lf9Oc zPK$U*s`qai6t~|YevNk%cKK7okVH(y=ho>NegD~@8Tnf(E%F8jyIM0cb$OZq`>$qX za(9`OidSku&(?#&ENd#;-gl{encBir<`G$`d6_^M>V8H^vx_gT-?EGeG)J=)m?ib= z#0?l-i_b^Dq5n!ClDNn)ic9U`nW9iTWFh-j*k{fUL>c5g)!am-d>F6u8`IBb=f1T? zZ>3$cWrnV!`Hw9eUr|#|v;I8Wi@6=22iVE9s*&0FMt&qo>&_j%-q+AS<_;X~ScU<A z?)@RYeR?-zkW((C8Z1gnrbYKTWe2BAE{+;(<!3d}N9ZKClWjKg3sSDyRP#EYbmJQ< z(G7};c(?Mb*Z8~dFLiu<g76968_xeYSp89ooQU<rsQ^>J<lJuc!b_7<su)jA4lJ%5 zj%VHcRyS&FfI8An$5ky2E9vaox@dZ`pU|KR!V!I8w_~*!%Mo1E?v4enK(rOv!(!+k z=oRh0>c(cN*>DQjWx3hjt_@Mww6u$+(AVs(x241PiJW6{nSoUwkdbGrffd3N9$Fp9 zDaOEg<l`O0CULZAM$MhT2)3NiQ4ozRrknAJ*9F2EFAlfIe;9r|k=a;@ft+o;ylC(I zHgc^=h>5K;8ggp)cPD!k#8ZKr9czi(4JLBz7IG>!MwJ)QRa#E@ac;dd=yYT5R!L{= zIa8rFyF{+(3h`1GW`hF<xPOqp&$>0er6c%VeTsd_*iac+_B9b!QSZoPG2g_Vyf)Eh z-<y1bJA{8&X{7)UY*LIDY6?*8!~S>R+COTD?);nNqJN8RHaA6MKgCAgZ{IS)e16{- z&~ETU%eYv5j$X^#9p-MUcBo#1o53}22U^eWnEmXpJ(CALKfwF1yBi8GXZdcv4rf^r z2NohV7M6tXEB$$JPg-|=-xC*S2}kve6@C%bFIroU>QT2AaA^98&R!QE`CZhRt|Gjd zJhCRz*7t(!IJ}TJl-@nwcK_{O9yX5|P5EkfdO3MIhFfa!^Me=qa&n5YsBZ5M&#*1l zFxvuF+7SPsYIWr7-4LoX{KKpP*QO!;9&>gGlg*gVQ&ieK8^0~US{2uvvDc%Ds|Jx~ z%_$*dE!v(F&SvNE_t{&8Yo9NAC++uFe+u2p_p;!D2J+CVM_TotfUHdO-L#1bVNvBz zqha(#yN!#VAJ&E}v-Qnjl0Kmp&OaAGs~k<VP@gjX!Os--1TTfsFuRazDk7rCRvRZi zj!x}pu0`6#uJJ2%y_&9nwj(aLZx2=@r<3FLQlTJSaD9zY5_g|CGVuGU%ltXzp~50c z+|jz40KU&dgCA$8Tj7W83jU>hGs&LuiLFG(Ndp0?9r5~!?0e%2f&D-Bm$!#~HL&1| zPVvB&Euk-ODopBXKU<6@35Yzp9~`Ro0uGgC--?Og{+7UzZ%MQ+z;SLBwEpBzp^mmD zn)%9wdqVQFBBK8`&aV=_dm8Q26t&&l$Bg7$QuZKr=N_!Hl*#uPGq)p7^0o$jkl-|^ z^N3sKEwayTZ49Q(=b#$P<smDMTN_;VXBh?q-i`c+uCcoPYrR6iz1jLlqu%Gy%|nB? zi=Z`jJrES%p?M#HAFJ@9svQ@tdIO2K!CD>shD!~V_1(d_mQ!PAvuQL*4SheU>g&*Z z_w95j0@h;myvYA9AG`OTd~A#5vYV)SNaaZX3sJjm?E8wyB+C1Sn9<mC@TY^FUjXhu zb5o+~C=!?3mD9*hv6uJe4r#)FlFMw+zo=be+o(vKBE6#3PW3DKZPCUV7a0qYW}0=& zbC2KLqrreX;u9L1wyYm9!KBAu3fh$5bu1c$L3!I`hlgO&R0u6WI^ci9$9Ajy&wOlI zM%`zsIM|f@i%JC7z0bKc3XG1{!+kfoAC}}_pIdZ^-zUN!h!c@ZZnZj_tU$7h@gAM# zius5U_kgYpb#bTQk~42Vl)$x4U$5&u1+?!ji>EHHnN7<^{VkOJ?kAVKuZJhQ9NvI{ zaMq&wt&io~hI00ZDao^$jq8P=*9A#TflOO@N67C+l}{4~s}JfXVmUo0j?kb6BKCJ- z@}<-Ic7*8X`P!XS&}G1uKo*&x|8Zw{hPC_q3`5PKtyU2Ru#|fd<$-mI&!}r0<aRl2 z+kG~ly=iM_w<Ms*MM?6dh}d>J=JT#<X)^3GHjbr@88^gCVXuws{hja0T;HPaRNp}` z=P&`{xV5EV>Dm4HnLhD8Dp{GNcT|deLV>bm;!-f{F+)KBGZcteViNGA)V#j9m>b62 zc1htgC2egJVF1!CU0t@@fnQe<VmlL&=U!amUIG=0r!AgspnM!CNa4t?-qI>YuBz8~ zjR%fEK%qpD-Sb@JpRPZUEDfS|P}n%yK~dy97Bz6k8^QX`=&ZRf=PD%C__L%pCyAPP zu>!+ufIyxx{dqFhupqB69-jh)HUSKGsYS5ZsYA_*o6?f1RFr@?OaNIrA=<xg;N1|a z2GlmIWABoF*^<8=cAZ!R^B=|jRV?%xh>)(I;?E30lc#<#QM@1NhVJ7>tmp+Vto_VT z^h8|H1}CU~{hN@gcJo~%>PBN1i?vTqF`AixVGrSV)SraZov_IgZGG=TuIE0x33G=G z#y%`>La&WSgJ)*gATQ9~mUu4B;DMX<PhY={l@}5exKo=Evt73{cl){MbLDJU&b#O< z{1;^C$}63S*AQBD@oO~{bb_3Sk8}%S?;v=g7O)Q7{)NB~n|?U!Gpb!k55a|G9eYPM zG}*L^y|6pA!-~5&uhT56ZL;mOAtG+~cDtP!BA?4+(dIBkCaE6ojLVDZ=3<1W&b>oE z&n6(V4cxX^dmD|Np^xqsis&WztNDshpdn;_D+HkD>jIaRFAE_0XN<40pnCdu24szr zYrOK|rgVBrxa!=;$TKUu?wb)X)eGIfQ@PHgSTA?hdPy$JNx6IF4)Ac|l1GQ^9GDF2 z3;mzq&cVeVQ3CY_X?2$-&qo4_y?}dFqfVh)1eCQ5G{)^o_OX46ysuIbl&7y0t~3E| zc1*4Z3k<uyFYIm0AxtJy>z}`;CRh@*YWF0>c=M%~xfiO%Qb7Zc{fYdSK^l0w%YMA| z;14Lac)V|Ga`MrLdZY;stOs(z4RCr5GjPZFd{2pV?3c&FFn?Io-B5A8tjtLs3M3o^ z9s^NgH;t{{aE`G~jR%_qXLQyD-7s&eb383q17wDb1-IU$c}!GyX8c5GK=@E)Z4dtm z$abaciOz#?MQUm-6m+Z3p+lAq_i>*%VQFBxEfKYKQT6Ki6x{=Gyy^i<RWX(2?VPi; zhmQ_RGo_QMM$3ohXbE7l@V&;h=0WifqVQiU|1%-0>H6^hAtC#fvKMacFsN#3m}P_@ zY#5tC6RoUhz5_ms*l~yWgkSn$r+G7DnR_L^4~|XGg-vLkbesTp9A0ow+BT?^=SH5; zUl?4>(tlWB=%oCN^PZjDiJn#D*)WaHl>6Sp^Zm;KsfGZ7=j|8bc@*Y@qol5)FDMse z-3hk;e*m(uf^PpMSn#jc#s4-Si>kncr&afIY)|yWT;yAkl}^8wnZH^Q1F?X{3H2AK z1<ld)TtT>U{a*09rgR@26QCSmF4o1@5^3?F+=qZ-mOmAZs<d{Qw$+zL^beyVtOmvY z!46MC^@lUEv-n>~{{b4F;28Gp>2yGV==KDj=`@F_8-w=kNxhoJ;=9PcCn3>Pm49-I zA0N@(aK>rqjqDVcZDMD5mID^={?<@+upj4+9>ify=nkz9%0@LdAibwv%~Bx3_C5?1 zyAyhf<s90rk9rMOeYr)Pe><MG`WzNOCm^|v!AaG%q4Xx@QuZDr9H(QgF4%q*wq-nX zgGkXs126x>M()ekGkfFMwO|A&-}gGHHFR}D82~$g!0g29_;*2&(6IAHrWk|EQV?)e zi=}YVZXDhI^mVY!_nDN?>ervnuc~?}<u#-8Y9=RYQ}Ychs^A>!L?PY~9!d1=*>(Y* zUSXi{M~d6%Xku6;wXpWr;krvQANY-4q+(tXTD;{e;=)gX$lz-!U>b~@Yg7b3_8zQn zwd$;&wt~MqDCV=LNER2*DYmpo!8c&HC9y2bVePE1^qHbeMu;VUtk23y48QXyWPQ?x znN28CoM(8oA4_k{71#Y$=EE8=6Sp^XoSgmQ=I&|lvmq!yNC#*p14`SEZ4o0+)%;)K zf|$iE=wuDjn1kW(!kEQv1V{iIf8A%BMw^x9*QK-~7QYyP>33;^Ck6pNBtWSG_Uu1V z7&UaB@s|1au!lEKC;L_Y9=pH=YM<!#!!Wl7o&FZGfr}6I8&u^jN1gS8^fBd!hUIVb z*W@gYfgSFK@kh$e0%6pkmppvx`5w@4(5&C~v4L1ly*JMYmJ-UX%1IC8ZXw;sIfFml zQ4n1XL}4OYVLep1rBL_@61n9Qxg~@D@-Tc|9aQ*)H+t4*F$!PT1QmYnjlT9-jKJ63 z+@c?N7e#dh&PYyjw+%Dk>+JupOC_PU;ip$g57_s@>?yruwQZKs|F<QDUmY6a`P$kV zLa)*v=;8O8Pr&W%ZT`pUtJC7t<o(=OMo+yZUEuBgn%p2%+0fT*w<-;oTzfDL9t}Pl zmC*E{-jWLKdQ#JN68)KX@M(>h+4@)=*0EAA`vs1Gl)<owHCA1O&U$;p`g}4^Q#?M` z0iH&&4D)Zr@0QUj{kWt{iU>4u)9cOV@*T_7Nm5G>BUUF~bt$&-#1=)=WWwOAX`TWh zrd8&gS{+P@Uw|J`9Em)?fb*-J^O)3}%z3SJ^&FIa{$-YZYN;^kQf&I_6FG=~a4exh zZ<c})dDlMloH#h7cIQjNpD`qfyXcFNoqD;4?9hC9TU+>eLe9JY58B=WsIKQp6vkZw z!8K@bmq2iL3GOa|;O_431ef3tBv^2VKyY_=cjvxC^82s6+WM;A?tWFb?(MOjw&|IB z&P<P`JT94EEf+*5$S~qZxe(McoD<^gmYiV6?gD${Gl;<kYz$Rei?=pn>-werM&XkW z!z~CKqBhmTIptmU%ku!iue}0)4kqdJJ;S%pdXeGkWG`fU1(6(b9BAI;hjLy|IsDvv zHfI($Z6rUD+b0^?i!?ajF~VNA!_GWU5ypFJhO}UM`5c~*3@}Us;cYKa*P)!6bz%on z+$jz2o^Hn+O^u%I0^AmFK`jkSt*3w&nof6_-2+yd{gVmZ>1vf#J?-hPYF|;U^FZ~; zJSgdJ$)v^La3@JFSxz4B;<*feq8f{kC4(0{FkPzfpKfxVXQExy*E*oJ!GW#};Y-hc z&^(nR@RxOs0^l_3^-=d|a&%VodzG`iqPK1sCFv6EaZJPrgU)xN2wW=Ny73S5OA+1` zE58rJx&kxJM^+9MS#PV1NpFLu;>3P`MmoKF_x1a)L&zp|r06uKYF_aV%F}&odtJR% z3jH+9?^V8XQeFKt>a;7v?w3(sHGGz+mNHYB?=L;tyuAN*!2e?J=xDE~zAg?*&;cnX zB^9QXf{LkYl&5_>!$(UG_#eVmF}(qLRfCAjx?)t;`%BM^RPL&2p49&**)$h7KdY2P zS5)H~RJM*A@Z7ZiVDt6}B9^>UL6h9r5^W{G<a>g(e;>X(4E^4*C%yyHfG+#FRUhHo z5|Yxp{Ueh`b={kqCBq%hu33s5&psS6@@j>?o^etx;5kp}R+AY^ni_-m_QsztA0395 zOS$h6MaCT+zaHu_O(Ms!YcBVAc6a3yV=zsLl*80jNN2KNgm-;(^a!vrz&IE|(gm08 z$^{zRe=Zce*Ap2pg{q<fFP8!<L+|+C0lBYUg%F}To~z=x*MLW;N#UykufHk=p^kUl zQG<M>y1GH>cw+YBoUEq0m`V8_`-oY(z2{w_egAhx6htYap|9LGY+**Jyz9T2<uS^n z2`x<uqR(p@!zBqVe<<~bbMM+RbSoar`F}NPJT9H8Hrs$zP6)8DSCB<1{na_k&gFVj zVx-6sl7iHC*OVziB(3)~ofigWESuKrx4d@oMStm2b>&OK2&k!z;o*wW50D2%CWzvf zR1)YKBRgr^$1hLim!8UnTGEpWh0p&E=(+1)P!xVzzVWf_Zp4PY?T6G$X?%NI)K;Yk z%<Q!j$-dU??9YjYwVR8{hVPZo4h^AiN?F@gop(}d%{OMQos#OVWnj(*%PLzQ{(Myq zmHF&wXc?f09W_?Yxtw>mebO71oarJO&8Aiwl4dTRs4ZoyIOu*HyVqOa_X`?NO$SX+ zP2B5+&y-%YXKnoGdSX1q=%axw9|S97=_p02`d<OVF};Y6l&kYp%FQupA1eC7RdMxI zVCFc#f;-zQDxl2$5*?vqa$Tdo=#XOwWbF)PD%Gy4WX3+)A}!of;hVeEFS<CVC2oKH z0v(>6XM6FfrzXS=z(sha|Av>=NlnKLZq4Rb(@jlrS1<`y`D);EBTol9d^p%BcR3SP z$>IQ&O)I1g(|{~Rv)>Pb(r^;SVEV7hr9h<<?WI?hG|y%KE1-~YHsVJWb8}2Q&7ffK zvfSL?SCv9aj;?M3OLX~%{Ush|Yx``t?GG{Et3_w7V*hN=6v%*UT5yXo!&ZlyF_wN0 zuj$0bOqu-L2-o_$uhEV+KlkJyRAEshzxujrdqv~6!DTL{zEF$R!Bjs4GNVarvh(7y z-tU^kjgE5}-G0*EDAcTQ+q*HNr54&%!noj<)>pUB^6|-7gsT|j1VMrZ``_3aRuLV3 z`4{ipxwJm6q(?;HKYd6Fz-mVhYDh|R!>VECzoZ*E-}q`)o>-BBFWJMo+~>0PLX}ld zA^KS>1@>IKIv_v4?DoAlr%k{T{byE~Ttd^R-1v9;2xLb{5WK{K8DrNkf94Zj9y67s z<ByvWw?*=7(X~q4pJc$hfyZima$&|dqHi#`0;}TWj?zWLyr^AK3$5r<y;8<=x14{} z`?x-^u7ycTD!P6`54y{xQdx|5DTsj}phb$-gCIWR=pssza9u-A*G(PQoU-%6Egl-w z=QB@&$9vnx$9K)7l+E^bcBSnWhVIsfqyD6-wQ{n>oJY4R9m|L^pI4>RdCZVb^mBIX zt(%jht%HDA4KER^+8QfCuO|$8$D3+2lOBIsE=nEeac>01k01Fya<?H_Ed)ol5jT6B z5#u%>Dy}^wYlo;*heoKzY1cwnLe4OEv)s@R^>SMK$f@^zD0hylIvaY}Q6|7@n}6OY ztg2vEhSI@pFgIUg{KArAOJ$-)_Lw$hc9a=xah*$1I1-i0tp~lt&PM8Z)<Ge(A5g0m zi{%|z7g~xjAd&D(g3MTiApxyUKul9cERBRr>T9GqG|Pl3%LD?hVuTraAADt_fPX>} zQO`%ZxB-@AZW%idjuO?5D){l1x6lFHbbATZ#hmcbltlYxt&ON&kpvF*{FEHfI)oY5 zawGEAPZ8wCkKP<)jJhBv!nie)rvb20bOZSmb{Fn{7C4AM6T~(6w8~`hlHbY5L9<bt zt61lUTNKe%FF?TgioUOg&+@kZV&0jfu@xJpc*1NN*6MILJEuKvre*qr@XOmRXG23K zA0&lOZw651$ylF+vv(XTZEMJv%{M`rLZ)v@3eKT##8gb4<%F8y;$4cn@TmQIFvJa{ z-^2{|S!GejWu&?-L21_t*aX*BwWLYC5oKa)@xoiO$~}xf<=IWdTVt=IszSgoN7BcY zO^;Gt-<S^XDq}hCdX)9&n8}H{PZl_aOM{5|jKBoEKs|`*WTMzaL0oYU7dNDa43h5N zH|pk%VxVNyTRj3bT!tP$^t2lVYzv||%?ebuc|2KPET1(utY+q(+O#ryjM2cy)W~tZ z58T~jaQDoR3GTitCqstniw|S|nGPFN*rX8|dgvhojx1}&5&V(GU>|CR;o(FYckJEP zvaq;dkJuanySBa@yL>N6$mjcp4*h9*iw$+CoRf=6SBlvm+-l~yc-3`aaf2#Ctna|( z?TB7t1}u|+$mHXp8Z}~M#JW2ourMQcB1JMS!=A2RFL6_udv{q)HmtV0hcfDmHSHHZ z@#wmDhPtw~R71w_<P(^Re;K}q_CO}%>idnq4i%hixQ2l1!pzt{lI&AmNSHhrQB|-f zZ@%0OJ#A(-f;icU3SF$uq^NRG`7OqS>)Xv#z@S4?T86ZRJZ~+pS_$<}APe#c$ihp} zoM{A2Sqs_+q-=Sz+EqQDCS%+-VCjAGqrkV3q-d@4*9k}40@3fwk7-F?r!LOLU)FT$ ze(~!i@To4K<12109?M)m3=X9kwZZ;`0v3*mK}O`78H-;vKm!h+*&(zb+Fx%qpT4IT zY;{jE-S-9|aW0tkM`1Mh(7Nu>lTn(cp21MkLFN9TQ3$Tu4=R@-&Slg3KyQCXz2#o1 z?aKQ&Oo8ug<~?NSQfeG){s9e7qpZenkxvL`(^63q7k|1`RA^QDon_0BnqM4jAi3FT zON1bx>&9<6Y!wH-8vw$^um&c=J$nY<Ws!U{?x1D$uX`ad*c?UUKyN-9a?$$)hp30I zSEmZw*?}L>B=F&k^-Cpxul`PqoVPZ{f~zxFZWFF64_p`I`1<~6`Y6H1`Mrbq-TKGR zjb)x9Z=mA(XbgjU@@RB_jr!TF>eWXrzGL(63Cep|`C&=!KW>A^_(BzRzGy-4&nh3E z74hRl!#9UnNm;+m%O*x}pJBKv{&pBd+_S2gY+RlvC}rfEj{9&Gaax5EvsQ<IS{DDR z>>-Y5%6f05v~V#$bpp)ZtST1m_D8vUe`l}BBPTaFy2tO&Ca4BxpB^qUztL|nMbst7 z9`f3d++!WSd6EAGFa7S!dULgx{wsFQr-S}svmkLdQ`arCVDX1L@m4rwal1=h-_F!# zU^58LYKauT6<jHbnp2}$AS^dQdu3Jqd(~YMQs6Jr!}FYMbQ^i;<2~0BoWZ=G(&JHV zP?5xrpcqXqR%p3`p~LWxvlFd8lam5vQ^YoO>*Vj_T<j>y(Ps$acXiYQ)Nrv3Mp~Qd zsqCT?>0QvAPxP5%C$cgrLjm6K@N?cH4iotAfeY`*^On*K2V%Yr!c0}MNU)$W3h<bz zced27s@Hsw^d5Yh^-Ct#|4SuRfYgT*BWpRQYIwu*CpIqbXO4n*T%)-rJ7mIqj;Ya+ zW|a^xK??O*zC0qImPGpGbJ+&o_mCy(Jp{UjhdW?&UBWWAI-sz8AEEN5JW>wYD;087 zA!#yskXH%)&Ykc2BbkpAgTT@rkGXPU%yjtCo#`cT7b+NEyIbjc%Ahyg1>9r5RhN+_ z_1Z2$TJv1Op|U`>Px_2sRPVcKi?*y$ib?y(?0L!FBl}DP3)jV09}3ASrP7q1GEDNa z!EzgXyby~3ivnNswNGIaDyfw=XL}qwV@aa>rN3t{zb&mHaM@Oi?z5yfjBkt=M4!lw ztBCG%%nx>3>YpM^EAsHG#THTq=}-)db~lr0ue|jlQ_|8z4}rJ$lXRhyiY=j}|0=Gq zgN~u{Ms^dSVtd&jysf0uE8%ES8U1Ur=Q5`U6wmF|`T^7(@ZrCG@BGs2J$o9oy&ld3 z&iDA_B5O_k>EP)W=0(rx<x$CVkPF1iR1cq2isDiEKmMTdPaB;6Umul7FH9r7-Z?eq z8vqOoX@&h6QoWXRl{+=QKj|vQj+n=guzGXi(TT4g*+VLyEuYy!TyV;GopXTWFwUhy zfPce69oCClCdSD5>1!ML^bN}g`>%GP?>|2NC>BmTKSW*WA5`mhn_+8PqZ6Dk>RMWT zz*`7Sq>xY={s6(SslH6b>zS);TF>qLuJzi=w$y=JZT=%3(d~$Q;+U3vFCuMqYQHq( zrM;7<mnZABG-QF>Pk&|f@RS>+^De2Kopb-BZL249v+xwM*FE>K4aOqpQySq#q6u}~ zZKUquMajaX>f0+~?;TmmH*N)`uxe_*K>EBfE{Y2vEO)Z80gm2QdU;bhOHgeOR87{s zF$_DEzAV_{M{_08BUD&QXeV|^r`|%u^8H+xSac9YZ&#>XE(o}r%q4<LyhGkEdFNVE zI`P!&n-Ys$squa#Ikn)~)F0lyv5LEXcLp-wsV%aomP6UWV8J3Fc;;yE7(Q`?b@(ic zJ2<Cbvx7-#LM&Xh7fa6^A<qWMz_7Ax+sEcZ;G0Gk!v^^!TQ~#UpjTSCQBrx-)g!6E zNX_<)MUi<Vu9#(UnkpX|gA$Q`w5;*UOTZiQPF(lPU7aYSH{V?aQI%4R8eQ1^pesyl zD*x8yr_mA5#9tYaDeJVtw}kCn-!N_^F=ue>f&KWho?QZgq@p3}(E4d{4jQYGXeRTn z@7z6!EbB$fHfza7;k_#5O-ZkLG$3!K&+zj|9s-^e@0{p_uRqOfQ2z3njp*$wNnw%^ zBl{%OSY8bicz7?@<_aA+bTO>!Y$&IWrki)c?5Csk4O?4JySf>ipuLt5^YoWi5iJ|I zJ*#!^**6C7rnoMSYPSf~YFMzJad=>FxZ1R$C(Rc7m#)6{$;;vJ3u!HAm6gsa(;iL_ zy4Tg{R+OvJ9{L0yushCNO1GoK`KTnhR4f;k%CQ04xLVOU&V#P1+#w+aY4HUEv=iJr z7^dcsi1Vd>Ky9@qO%lK@%)_OHS$<<17{gH)CiBcH)kPOLKUfdl*fZWVr})kl6K&a% zFS!0rBYBaBz<Zro@m)<~)Hhyi$&iph8Q}|oxF5NWJA#Uh76=g@Zn0EfC6qripqE-m z`3C;>xe!qNkvrg!`)x>ARN=``s!O5v3)P@Dl`?ZlG4bZU5D^vf&26~NrfbSw&$%`Q z>N90XC?l!+r~drR24qcwE_%LA?F8tAZ*0dl1?nh8%EBtRyQ7M@?^Qtsi3^Npzsf<R zW%LEp64O58Q^B96ecZz3e_rdAE-<Pbr<C4Et2`EzK7X3_F0p7=uKS;5ix%^LbS{Ov zrV(llR{*J!O|^b2RDLn*dpPULW`gI)wB&Ad(Y7??t_t!z)zoUJ>Vxa_9dOHNa)HTG zOYl2-T@G+*NQCI1*=&q@9fLnCcTHMe>Cb1sIg(08`NFh0yK!D?v$Vg7RwK5zz%5dA z=amlE92^{las<zfvnOn1h;qgVN#*OWHQljLHJsnuYYX@Dk}~UDvl@>v50!X7dzj?G z?&(8jJX?GBWWeF!i2+NCP2+PFufNvIj=HO6YXxfkDgX4%S3Qp~Zyx(Q;N5T=Vmnp% zRGhJ>suphfFp;GCJLkH?H}TXq)akiw<1l+AM(eN-BlRY$_WQ}D7J_^vMHy&hILRq} z8RhyI&9qX240YCVw!?Ws2bjI_CEW{{h{;0gbVF&Fg><tw0eO7Pt{GC2y`4swhX$S9 z_WsJJ<lI(Vj>O!};S#wJsv{o|$&9ICr%es1@V_K#Y{Pxo<ba~qloK>6;7kbIp~~jU z3L?O8UsJ-ukmq^(LX!n^lzEKEwqdMASECCa=zFos@hRvh9gpWSL&+66I3E>#>`bcS znYCY}P4b{nUT9^`b&%*xWCW5Izw>PqqQ(0Xf;W2`D+>e~*x(IWs;7P@P{^uC(0S2L z7?a;}3^6?y4qf5{ov}~fN4fH!8&}dCx`bn^uB_yjxk~7*X{!IE7a&RT6^aKBnpn>r zqr#B-Or`uaBu_S1VMsXlLIH^iLlc+k2^BU-=1|lKn##P@{5u$Q1$G5yPR@1S^A%9c z$W1FCco@_Mfl;e_HS_};nFv9X5k{U7F=-}Z9YJBt-5_z6l>RkSY&&-?s)VKh+aC8N zC<cfvn5*bclCrCJrl<h??&;b7+wYjWVz^ISRG#3WDD_J(0f0GiL+`iXe@YtXn@_w} zV}=FjDY(OS0J?f@#aqbnxs|H7eIQvhVkY9#Qv?@4HPv|gS{^{L2*^Lee*)12`b9MT zzx<hrz`VmI)MQj$({nU$Rrm85&%HJTYKQzO;I6=?J!5qIQ@jTd)#%+RDtOq7@D#!K zr{tklx4ff$Z_>RQ0+c7eAEX)V!)zfv7<&4QLe;7;bjB!VH9a6C__x5kY)(K5k_bVH zaf;;$@n?m(h1SNgIx;|Pcl%gclYbl%7gaFO$-16ChS$9Lg(_aipOpD<-y2ZT`765s z`a}v1CC4mh6oBXM_6}Z^!Mzfg3T%@*>{61bTGOGmT!3pNkdWm~dVn9>#y=?~WtGoT zEjl^@GZ8@78pPKjo~=0JulT@kAn34B0f_<_G^MRAp9oLT{)qQXF?b0p_ezM?<k>$5 z$4+6u@hM0Z=wF#ablQXgV50UDz{E6BtyV^yPT?kyoMF^NHkl*Z=Xq7%x}I-kkXDdU zoiX=|5N&rm4hOm0yE2~E9H1u4Qv~JBL7G+ArrsZ{s!u^P7Ir3pwgEfIGjPbg=tKJ& z-99#W#(3sRLygP_*b)He_!z6x|Hal(pNE<p5#s-c9XdpKI&4^ILZ93uKy)4?CUc0d zwffu5CDjGFXkl6YmRyBg6tBi|tyIeN>#+gom=NIe*XrO1ea5Lwp7Y3}?tYL0otgub zM{1Js(8jwN(Y%&*w}Wv-ijj?EyfTRgNC`@``PUC@$0yNzBv4+Z<Uw)%nX%wsl(v>s zIr#suFA#ABi*BY$K6#EERkQKYF2Z)^RTg=G%>7z<HP#maB3~2ZUo3&GVGgg{Yn)?i zMl`HYzcvBXRIwb3sj)dP_fNe<3ia*H9=5@MdZw<crfYOy{aXl5Ra@WpLlY?ak@18k zI>D`t@d_h>{9Qfm(`Uu^?jlTaU!d!;xs#zsPrq$4rU8u19TP}Ykk}X(0m*`+7)Lh3 z$F&x$+}Bi(o<5gA+1abfO+1L=H?OyhM*^}*Ue~)~=dyhE{|lQ#R)jePBviZu2p$I1 z|L}&g|GMtAFUgl6zC`jY=ZU`sHOGK<#_77-%{P7mmy%|_Hm~spXNL9E7z1hmyt?H` z%1W+(e*d>xhdYTdKXB{ERh|l9p;%@7Yv1*NiWR`%uIC<97X@h41Q?Kl^>6#>{n~~G z7z$aHY+Er$0NZ7W*NAoeT{r&kUq$?ifog&5`>k}pUagcyyLl8-I3@O97c%D>Ee4r2 zod=-XzQeY7d#jq|1E{hVuntWtjaikXKax|Wek7Y~P;mSX4M<9}pj@vOhEQD+An!U4 z0|asNP+in5_}WS;?3BF5{NmLZY6msi6%r%wU#$zE4Qx0O7CEb594L_1XzxfMJ#(C+ z!T^ZVk$MT`l;6I~L;xx>m^?#p!nMax0X#Hk+(m%j*ryR3D+BU$26T5q#_~^5;xpQj z16~E%`)GMU5DNu8F7-)RKF4aW2|z0ImhFGAb+-@bKB~O72I&7JKI0g)x%~$<;2q+s zO%5&<|2ULf%fTFK;05q2)qull!K7Hp(D{S+C`O+@qCM{$Ao*iJMK+?JJ1o&&i5`5B zXeQZ2i&`X2)O7@81+@822XrI{3|}5|3t7pXUx0<|0VBz{l8PGOrU(fH;Z8k=^g1a3 z^#_(B8DCYSH1Z-bvm^s_#!Yb?Q;hpZmDCh%2?KeZNq~j`oz$eQy8uR%S#u-aVfx1& zrCub&txbOq|LqTYAP<O&D)Y)$5e7(zwCsC}UEtro@5;VsIME0Fi;yOozl4x_OsfDr zUez49M2peu62HO#cRC3a>Y#1F<b)Vae8?AJ0aXLp5nOy90Pm5qp=bQK1~@=nzybFE zUuElhzt21O?+{y&K!(w%a{YRH+dVE8ELm?apI-gYE4t<wK!)yrl=w;PPmiB2#9R2f zCjV6EZuhMliR2RkS{7`@NGO;`F9HMh6(58G4lWf{>s|`F4~bw63HIwKVx)Kx@~7wK z13d5pply0lODc3m4qbqa*JSC+r?h-5o61mt?G*G?t|>fZ(e*!0j<L600ked}Zk7>{ zoHsy=HR?}bl9~CFl)NwDzF~4LI|pRc4!AXN3PZ;~BjyS)2{sLUa~hXmiGIB+>3QNf z<<?w#7pHvy3H2d%JjWNRrB~8lD{A7Y(?@aE0N&vF(}5mRl0n(<G1)6dKr@#5&AmhM zujc6e`I^yDx85IUgajBv8YGUQ+h(ZL-xLPCmfY8d<a|Kq0iT`_F^zE8(s?ivb&;gP z+TXc%enb;1*kpt$xWl%RoaS!9&3kPoRZ_Wa5`XzR?vMt<+I<^g!&sw>9iMu00F7kP z0rM*be;u<0&eAhlQgk~`Vhw-1##^mFc#dLf7tm*r<!);KK{OrD@^@H()51PUQ2(i4 z=N%XHXQqj8dtF()P8RHJ*3(3<g@79y?-y=nu;bzTlSE(rs_{_*z#Y6j4V%Jsm<h}w z%yboejcjYb#g(~V4Ap~u)-`Ourw9YY0wSxCUsVD`X#nU$kmR2c$^1GU1D3F+n>PNZ z5Rmh*DjDM6Njkqi?_5ns<rUSZ=ZN5$DYtyw*FFkfM1SO33{#H2I^Ayj5l;LLc!WRh zJQ%PGuj1mdFPD9PC{P77(y7A*%*2tO4lI$=Cd}Ekj^F`-1p&*c@fmKZh((}V#QelN zL>}J2wDwo|;{Z4U`ULzzuCF2}@HXQ>;C|@>Cdbsjlki{BnE8kt77Is30MeRxLbR5B zl^@gKIU{*g_ULzjEHSR@PFVD(e&N4Uy&7ih$7o<=hymRH%HnWMdJoXoMP=dxRr_O@ z@O2CTQ*4)>&SnL{Yc1;glC^C|*RQ&-G6lS<uTw@_u7mUESEiIF9-yr;g8mp^J}?#4 zs3`)brs%etj+?RU{i>Rz2Jg<}62K~odoJhQc>iQMw|)IB4$uNrJEyrXdo4_SSevH@ zOfypIW46xrcFe0C71v%48=njSLpu_fKn7N-feAxQ-iNOlFq+7$7f<Iu$n4wZ|14aw zeB%5_bFt60yjO_v$ez8AU}h0}#d3}-3MBC+*9BaVvbXS@><p1l``1m7yiD=$>cL_3 z=N>C{TKPGi9!FPm{MenF=mXnBEQP-TSBQLW*jd&QM%@`}J)AK<ZpJgUG+h4JH|E~K z2}ZjZ{rG{S{xO-C?dYV5%L&QFi?QY0yRT)q$>sU+EDBOy!N@YFxsd(ej+*r!e{^B# zb2&B&YijbcY+TkHtutlUGyH{b`Dhc+F6dk7vb26_Xl6<NJJ!e23MB6E<-=%VX4r?1 zZ?iL5^HN8XNW?Qp`px$6jAr|Rgjxp4tl6HJ3m@;lA2)m42h+X!ooc^4e=;)C-QDdB zTIt(yEtd~jgtV-=)Bs=l=+fspV|lF{R&_3nHOi$IbWHw28sCSK`b@||xt9Hc<JX_) zXEmZ7sORiaxr(>E0NTsGw!API2F`CXYHvm~Uw(X<X~u-~tgfr$xY&*=ytB6nCSESi z_aXBkDF5dcf=OprPOllOKs|#);MVFI1#B<%M}_9^Rx=OD+Y2+skF4vv1?6j}XQ1n5 zZ$>A{xbxe9FxIE3bfgoHfR7GX+7=BIp7blZX5lW|XIHzrjIK(@o0K7?gGHs}#oVut z5_)}o>E3F?FQmEb-)RTd_PwtdA){|l`u6Mg_4Z-XMEuB8ZX&#yS$HfHd5|tTws@d= z!nsa_Y<aJt6fw$i7GY8Cjh&5^Id{%MH^WU?l}kfi9qM<&aeW|od2!n;_Dt;Qo8xwl zTN_(g@tmUON*9^RO<%Pg9iCd`W%l543ee+i-<wRW?6@V7nwBzl7j|gs_&kwY!Bs6t z7RxQ20iSBnQw$E<^+etA@#~3-=!(Tm1*Cn>hh?r2dGk&3{pJPWRhnK)iUFr>_-e$x z!1o{l-(pbcSe{t_<NBihpW_txS|n~-6htR@3$9hNv+RWe@NX}4dB=yVEsx#hYnAtH zBq8dag@^2%U<nV5ye{S+jM0a5SECVWs!r?OJYa)M+KJn|aUJH(zRJi0j}T%HX1L+^ zKe=oc?rU|6-@yZq+a9H_HEuTRv~0|gonhXVa2~DRe1VK<oqLjnZ3|ORh6$6OV0-!z z#vj%0DowK@KWC;gz4ITAQ>>|D{Jh2{$Lw5d8Rb1e<q-10<%`@3E4MwxAI^dpPVmuf z|IqZI<^K3$?uTZ|=}JZxLEgg5$cV?at=aD04qR(1*GJKX`atV1UI(db9($XaujeV| zB=$lpPm#Rf>L(oSID0ub{^LOwVMMK8j9O+B|8|;%RI}>ePqXMpSfDT=^I&rJp*WZI zoiPgYR-3#dpVf^S-hY1t5!GC0c}D*4$1flc{?qY`?xV%!*ZAad=Z%B*I||91uyU#1 zht5S0W|yii^d9&gEjOL?H|PyIhg0rMN5adUD~m*=w`p>ytKn4*_0@jaiyTG5n?t?k zMqY-`Lj*C`*AEdV$=c$pKJCdZC%@?|jpq)p86LSg##oO&8sRtnTYPfFj{nd2<m2O= z3-SMDd~(5=inC=qy1FnUygF`oL7cEv<jR{><QUU7{I@p7q@UNCe+>&yws8z(qT={p zR}uaesjpTzEqv$+{V&d6cz;w~eQ7=1(7^QGbiDJ;bU{rNAHj85*UGs7k6W#>Ys>bX zh@|t_@QmfN#y5g&d)NN)E(ZLDM-B|KOAfCM(ZOGb?Xr$_g$RFA>(+YI^BQ3<H6PjR zsq;x)zI8rBf7tmf$f}>nake$OYC5ICW_bKz1@9zCS1I&Ag(v@N>MdY-&$SY~0xP7q zstHRp{|0U2tgr1k>G#iPRC$~(<3vwm((z|-qMK&w;u#DA>7&mi<w7&Y8_!XMvf`jG z@62F8*16RtUP3!;XIO%Wj%?jOgs>lP_I}J_dIjCIy1K=%jYU)$!IVlQYXLD_UXNdJ zW)d8_*29}(Sz;*$?P=MtJG%1|Z8i(@hL|kMy0E4N-$-!6PkV9iNu@vUKSP0-IDKxb zpym!bJ!c@-uaPe6v9Abt@<snfC-+tUkEbuxljr^wo!rs+w6Cr5In*!5@^FO+XUir} zqArHWe?aPamp@nI`~jtY2*2;9JCm#N&^d{l8JF>l(=~(T|0O!PftX1<sCwT_$qYR^ zVlKaVyA1ZeEP~vXZ8>!dqMYyl^#BIiiQjI;INahSzGbjSs##_=|L)31S94B%Axk-% zhK2@9qWAc5C<%%voM&Ql6zi6|!mj=O*gDt|T|Wx#mQj6tGCkR<YS*2r8nfgsC48^6 zm&Po;c;H_!Bp*ekict(q$YOUW<0ee+vSgorQxd@oF9uH3bUYPlSzB6;3S;Zsf#x3< zU+S$Wd<agAS`kF%E56Aar++)~eEYM%I<pT%Y3E%(Y0WuYAS_)wEQYQU7k<b)>~=hh z1hx`DPNf(=DSSNNhc2iOgM(!1+v&n5GUN$mC5?G#LeJ6X8F*^&&DBU>K<2Pc^GDx} z)2hagTh_ZiZ8KTLw58!m%}mVz<_H={{5R&FHhmNfO*b10&O1yUP6c1p;Xdw1R*rlj zfd%)OsGK@&Fq^c+ybGxMprc$LVid7pk+iKLR^W|FfP&4z{?Nj(_udIysm2pw+JA<F zQ{ED-Ky)>i5S&pa%EO*5`w-PhMX<|myC+*wQ7u+);|Ka-lkW#bEBP?jVC6GpVqg!( zF%M{X8U4WuVGDj0Qs~<tc$k-e>zCiq7-AN8=*5tn2m{y0V|ZGZ-k4L_M#}le`uxN& zKArhO7FuiHFA3)!^%pMtyz-+EjW^$E61NQhPVKJP8oeac4Q`W88amAZPI9!loPDH0 zQnXrk@uzUc`Kj3FY?$%0KY34b_=-frQ!jVb^ub)D9U3mLjJ1wfDG5@|)jYuAaKh>& z+DV1CN<Sb94$+>TCDDt`-Y=v|^7dT#`0IW7&3VpDd5#xY5-jX&MW&_eg%GiK)9fx; zGoHC$nN0cO4|*eHyTxh^vQZlLf_?Pe{b~9-_zP`IcM<ZqvxzhZgm4O9V|3d{&60)k z3ymY15{sv#wB{%*OsuA&zJ{s2AHFns2;b2j;2Q{|xZ8+dmS<z)>)X2MT~gOi26qmN zBc)IzY)^_2(s_P=et0&LpnwjHmHq;WoS4h*0Ve2N4&IVKb-L+n(J|AH3vRqPANX^L zxlTrJqXHtSXLf`bLSE>KNEt#ti#LCV^L7scwZ0be@=ej+43->^XIBRN(Kz4ZU>np9 z+acXd%f8&I{-^<R`Qwt%8FI6qDis0aPiv(4i@@&zXj)yDc#Xyh+?hDs&v6K>7r*p` zrgu-!o3$oYJ_T@LaQpNS?`;fZR4lM^zqgp$T*%r(kr~a5U9Fo8Z6pkolNo<}Ugb%t z2&#Mu4oLz>IMa6!J}!&9xp(_4Sn&hx-KaoaaJDLHb<pn4R`QvVR?s_x={Gvl!lzY< z7yi45j>O;fTB{33W}^6*`%xe3`RW?J7+J3nym@%!WzV>&tT+z^8K#5Gj>?Ybv&UF$ z!#B>$cZkt#5jzs{tMt+1jQs_mXFg)id<@$j(K(z$QsnbyYEaH#E-|f@G*kTUh3q(T z*_uP3?5`?CHbv;km6vb;zGUTyyVHlGx;`3w7`K_rN;JuSy896Mf_C%4Sewp=KSz+% z8?~6=VU0f3+N+&l%H7Q0>XTY4ICJ*3A0G~CZb;C}J0<U0oVKJjvp)DWZ?h-C4%DPe z!A%w0Y_^woYxyjUQ~6j+{MzCrN+W5|W_x~I1k9)mhP#ueFK?Q*LmVu5ywAZ<kjrcl z4-l=_#${Xd=V^!NBN)OOCfANVl{b*8u}AgopTFSUI!&$LeLtm0hfV6}QwS#@fU~#_ z`gU`GMCs&81~-eMrm%l<>?m=|?hV%~bF?`!YxaUn9*O997o-5z%eOdaznb$M*JcO) zJA==&=#u7H^I;b7!u^PogGN4h27@MhY#*ldhg4xQq~;f9LaVpdEC&ru@G`>{@Fjd@ z&C1UT1tKc9WfHP==jBqUBR<6(cyZomc+MqV-_MUMZmDaZg)ym^j&k`}4`deB`cKdM zx!u6d*SdTQe0YoErJhJXAR9sY(tYnBA!;NE>2nN3BDHB@UCw2G)LJQ2(`y;}bT71b zNo6Hz+B-KP?lX5CZ1ek?*C&3zk1{iYDN@#O62y0fc{kYuA~o>jL|WNmyLt?7&~IAQ zjl1q){GEclF%AB*{WMULe$@!ZjZaw{1>?3i?`P?|p_Rx(V|rEDn}}LUn7(@EwijS% z;=YMU%mR8=c)w1DucGKAT|oNf{S{Xv{4w0qtu)1VVcQ6jmfv%ZnV$(n#3_ilg_fNx z!}F&qe;PR{(2^$7<iMc|mp~}-Yf{Qt;=*f-L8QcWn2_7z!arSqb-#-1IJ%J7(v%r| zv3-g0_(|z}yK^w|Zc3&b(pZUj^6l3AQrfUAr2Cp*P6&7Q-jYrhxBhorG9IBmJFr6> z)rPQ_Sap87S=6nCcOM3E0*e#AGB@Nhc&#sKIK1_N@fb^;3?@V@WgnA;v8dXD%EuND ztD8hP_v{1WtTMqPwKCV8Gd??8D-fs7CyQg-3|PLkU=>@GtfQz$znhA|-@1ERuEaLu zZv%oE<Q-Xm4lk9MmM6{5pRI(i*p1iD(9P0)@^p_+XTxKUAmij5YlOM^Wo+<qZqorW z*sc~w2-e6YroVB~0FR^E`l(vF97kMOfvA50ABb+wB4mW1n%4@Jzw&JHUiB229_4<3 zw7$0hEQFY{H;{uCN2{B7zGn~-?|dkGHJ(-PA*F2VZUo00f@8GsrQ<XK#63=EM7(Wg zBRyU}rIS~GTesp}B%EcCgI@wNj7)>__W7(mX^4EGH-u(u;K{*UOh)A<;QM&Vr-)fM zeZZjiJU3>b3_%Dz?E&toMdv#jv#1nbsuj^x=1bHsETHaX=;!A-IZmHb*FxM_!_U{= z$o-tnIXJ&qHc8AF{e5I)wQ2p#Up$~!pAB(3Iz8(FM>Q7p)NG96TutIGDMqDDSyw9> z5}j^|N$2+y^5j5W&sb*9m{%XC4a(+I^XA$)K08S^!egXeka1Vj-lPPs2?+~{yKJ`h zMJ*AfYvE|_p+UU|o7u{t@{>pAY4nn1<_E`9g`l?9yyL5|g)?zo%gdbvezYbTB<Kt4 z{NU{6fWoBrYRKBcyhB*D704Od+PayoqW<aNMBHC_Vx7)&wzt6_9`CN@6;Yb>Vggik zQF0m>f$-#4ZA6gAEl7?fIIVZr%j4T7i80G9p1w|m`o@@i+?`tUCr8I8iiV@BlfM=} z6pJtzJvyT(+3KtM-hckcy-;Q@xU`C^|GXDiO6_lPU~MhiS<~}I_wG6d`prH*c-I0l zi`H*5EIQ-wb(@?pSO^W@TExu15%5he15xI1`M<G&`&5<jo2v)ul9F|MNYG<9pzX@J zR3WRQoV46drun8pIt58CQrgh>uQ_o?I(jhs+1K8l-6ocf)8va7%qH+-1<PW)6Fz!{ ziX(1a-!rb{(H7@~_G&8}FJ6{Sdf8te9L2YA@w<T@5<B$H$T~I7Z?>)iTX@>voieW9 zHf&wYHmFs~F^)1Q(eN~P;V}TWZmz!EJ*ZU;#f+}D7_jTKayOBR+x*%GdHCF1j$5jK zaZRV5&dd-*R#O<CUmPmSZ%{L1l3#t1h?duDZzE@999fOk8(r0loi$@*h-UCMk!|7P zZIw!xRx1*~8nd-S2=qI(wRd-NyupL>v_lB+-GXd?U)wvGpOBb_z+44&ty_gFj{43d zUA|17Ld!9DB~>YMX}JqoXU7+Beg4FquK|&XuA{DSMHB&=&K=R4+40K!V5h!XwlJr1 zXUq8ucXu<4+!eF(ASJmB-r4D7;X4J1qHeWR1nfR!nJsE=UssagVCR1Jji%mCEmQ8S zX-r+FoxTcrp&kEmWI7z8aB;N~qK&Tv7X@R}vU!DBRg}|}l1UYx)zlMqX1^K8Rz==> zjbq-5w)HwNj-4=CH>+Qt?Klf8hn92?ueu8cvmrA_(+}_y9&CC%cREXg#HY$bOdK7X z^MdX%WOc2@#E#>B&S8DUYq(#))Yz3D*Ey3p6BE^+S#G4C0_jNL$30X=X*uAf%Tryo z&DU@J?v%t$<08lwuh5}|^{b!qw1VGNt)gcypr_Z<>YB&?A{|kONi1Z<jUMqPD>ghE z3b>}NB#ry(5byJ~K+}OXq*fwF%6rBXI*dAzZ$nA~hxvMB6Ax#4m(Dbm1%YyJ-R;^Y z$%`&Tb+?opErq|6-bY9jv%A5Aa^Hy3K|fHmvxti`BJ8Ssv#L$9f3SCdVL8_jv`U^c zjtR(QA<d1Hpdv#b3=Om)BV9i?OrU@m%jp!zEn`7ZSI7J=xyH9&_jbH?3<eho#($Ct zRh>4dI2uaP3N17(gsRY-c|l0PLK=N0>0LP)%ts^nBr3`|8I}3`p~>xE2a>VVvQU|p z$D-rF)f5BeOWU6pWxbZRKUm>X#D_v^d4C?{KE85Jk{L8q_hK-~vZ?3Tn3CBr)c020 zn5si7GC?mgK`Ww{*XDe*bB2%GfouE>KlQ8=`@|8#q5=Vx4Cd!EDh~y+;m|<3TDKDH z@Oet`1I?b$WWv4ZiGOyIdIC23w9!BD%kxODwWHrvLcP%Xfu#N$;3Xf$nI8O;8U!e* z!{;v;JfC84W0LBHYpMr;e{wPI<mdl(P~ZpB)UrU#&t!LMpLF&E>5dFj`mL_|rdL_7 zwa@6<kahSBs=>T$qWOId^%0ih_2@*-MSbB=M>+}KlxP0Zu8-R_xCL`LB-$(CA3nZN z(ioSsVTwz00fB#Uo+Qk66|^2FgiAyS8nA^lwnO4X(4&fvsPAF|U94eQqkmVB_<^9~ z^>DM-dx$fk$D+JWiH6Wih+G?H@EpGmKO3|&iv_Oa=&p>et&b2)RLk(DJP&NWezMd! z`$vktIzc;kGdFLWyN}&f8bLcB|4SIKvwL}jK}V)Ofs(Yg)kMI4ewrbIEk#)mVvRub zYcqG#-TBoZbb6Ui{0}1-(f6ILur%T;t$f^k6uXQX@Aa};-9Tx6^AKT0mFd(@l5CL4 z#6-AUeQ&mqJ>y9}IAjl0$Q=t*iP+)KLp#b?hR*`GqVB{RiuhND!%!BhZj@M58CNgh zl2U%^7i$P3Riu4uGrDBz$^aaiEym;4CsATeEbXoxL`Z|c&(uxXF=jTcCldY&skMwx zh9ywTkQ_IiSSo+6ob>U{lOdTqj)Re*mov$Ehjgb$Ox0aJ9krcS^|9rPiozkckT$70 zLMIj;juMQ}Cg*~*Ia@ZzN%$MLD(jJ&<`3n;D7y=kUbV9RI4;MnLXCUyKj$2pt(l%D zdmFXDRY&x$f9XjxjJSHeFfp3P|9%4vm7k9>QP3<@Oj(RybYu=jc&M393&!r&M|`iF z{Tl@#o1CyNw(U)~YDu}G<@#O1w;cHQ0p1i`0xWRq^P6uQ`k`#2MF#{)O^p<}eGOPC zJFhANhxhEzY}>gF)J!G8`!V~l&kAz(KQ)m<ai`UmZ}n!sO}p2e`<;@`%<`jB#Edp3 zYRG8wVy=;ggW(TogPuu`gu2mLLt#Y_lGD<c9X#TB#HZ@P+(Act{~OZ8f%8h(S4xqT zn_8r}qUSwx=noE$F6{vxD91^666_q@Q~x)qUoqf$&rdV6P{}CV6URV&x?g2BkFA9e zGq?IaO0KE=-Wwiwh1fkd9$A#ka5!a<KlGq(FXE9hAUt{|fO1SsTvZ|pg4?Ts&5}<m z@?zh<O%#_T1UvY+;2x$*85O3~m6*h2!o?5qRJm^cp{kS94968(z|UmO$BDg;uoHCf z`4}B!NtSJc3ZkXSqQFpxhgKExOH$DP?|^RE=m_P35VW}+QdCucL8GRj)DxD%=E!{p zqbBKp<>q#T2SH4R7M{?ydR1%+`ROdv0}HcNB#hL&mm&ebpa94eu(ldvfp-<mk*jQo zAQ}b7R(<WUH;rUDUri)7C}!oxkG!J~o1jEnw$cnHzuTDf(*sr5kaH>Yy_qLNzUo_a z*5HGyAdX@H7o^M~X%Sh_BZD26L1uqW`^4Mw;rSd`@L<Hy21^JeZ3ThX1o{g1!zC%5 zH#4J=1HoJJKc`9E3#D&a3~IbRgATwqdykTRQ)d7?A;B`)y{h1sqVB$0m=ND`499ZM zX#Oy=(9~!t?J!%ol$lXr2Rjoy0zt6Jb8LF1Okk=l4n;YB%=9q2f1MG4@i0nFvNEX4 z)RQ>pO@(B_P#_eJ)xd_M-BQ^@WgB|!EUBxT(Qe>tO8_6ue!g>cMN=T9-x<^h+0~)L z#nsBq?STx{_QA{RMH-Cc<l^9JkG!C8Lwv977x;C{oKSeE;m(w^<5kXh(a(0)4&upQ z=fBRAD^_u!W`%ifv}HnE8h?x=MV-Eb$2+S!3H@pH<&t}x*N`>-r-@h<%Z@QwDk1Jy zPELgtmv|^-rnCAu_D<lMFSq78tNd=E);1FLTLFJzlDo)UgGCN&L;<(6J}iMxQivm& zC*R_DYLJ2tT|ROUp5goTe{Kv!o9OYU{L(}{N3Pt>88=Yvv`Rj+R2j*6!rsS->W4bi zbsKuR2#+`B#*dq?W%Ti<cIh|YKpE2`i7YpX@6yBDAx%duAKkJ$g&Dvc-;$|(q6|(D znqU1qQBALJZ`fhuu#oim`4f*MUswDNL;5d$KM@$}Qd*(#1Ak~!_p%K?-#P~yp`XbS ztEm3t)ZgEK3fYAoW69hwMSdeTJvfF}@O#B6mST->MYIIRTyfUWbpFG=+T}Z{`qWc; za^Y`5-r2x9Bu%b|7YX+YiH{zfH)<*yV>X)8pvhuvMB83>t^9HqT3kWNa(2+qmxSjS z>(;_|8uQIN-faJXam>Lfdm@M+)5{jWccj`4WHV}R;Ol`LJP&X9Al=U2Ce-TU932zJ zzQ|vi8ZWJloUH9#TwHBf*b<4%Q><Tr*owN(4UJ<@LF(!we#en8GrQ;dfwP@R9*@z_ zbRx+f;$;Etkv~~eA(k&Y4Ie&REF*IIt=i>!Yl3#~M=N0&W4=f08Yyt(>iJFF+Q_Ag z+>z#k{}x#VH)(s%3U5ByIQha)V%Y7(Hes%b^g@7ShnQwP)N#PZ^vSKbh?m^h<HO6A zRR@#RjJauxP=g<g(k*5oA<OE6QEtrsHmfV5X=B>GztP-C$DIR**>Fai>~Tt;V3+&( z&RMp8nFpQ_L|hfrxd+lwA~>;0hdAz;B8;aeJ~(j<agTiHc|!PZ$S%qK*^~0jXZf!r zXuj9s1SGv@z38FRo%h|s$Txg9Vb4s2P4e!?7&WQYHQXlDV7{(=aUu?!LA8?&WeL;L zWM!EWstW>H85MFf)~0dRP+Uv}%A~owv~Llzv?$?AF>;xEnu$F0P0=(BSLp*_Rl3%a zm|VBTPZEMbYDf=yl)yqpF$q}CG!$L^UCtOhwWB{*ihyXxK~h)$J0KE_kMdDs=b8zV zD=yND3o;DjKe$i%ah`2riZh>Yh@KcDUm6<FuG*c=TyFVMo^7~}_+dIJ11xq^mgrO$ z?Io;yEHmn{LMZN<*K6xOzzKpC$OfifMCH`lZTYuTOQlD|D&*BBQCU$)X@yOw{sDbf zCDr}_xW+(%<lg7>PV+@Jp>$2s+9WtD3ZZo0!v2sk)r}v#!K{rJ5^X&3j4@k7WcL8r zV<z2gmLOtgspwd1I6gm-TSFc<uZgiu$H}6F&XCR{`#JRs2CnR#$ntR1FG~4OctrTZ zqHp=aazjius(&Sr_ZTJ!8fqbj$fgM}!=xiYELz-IQamigoAXfIXoj__dbef9fPs*) z_uu$#ms%OGwL3MJ;`RDix+I~4tlqv(KlD^t6c1WqFRFR%NqaW`9T1p)o-0IrXvbHj zt{VP=!ox!fmn>5)NbHQl!_xoC9bZKd^_g4{u{x$*Xq!17Tg4Jf=r~Om@HwP9zVShL zNGHZ=B)C~zBkENk_ReFM6zB-j8;F8R$>w{0OP6plb>BNHpU%q>IS<%TTFV*!VUcaU zZ`~d0=L?8lPJu<Ln7R7`PJh0=QChd7&N1z$bW+q(3sjyIy;UMT?T0sBcC`e1Va-3; z*-MV?{d6wqJ5Wmx7>pP~%ThbFA?i0a1IUyY5rFhuN+&_c=}?TgI)SsER^aov5*ID_ z86U3dh%`<+dLJXV&?;PP_WNNZyHUt}>Cf*VR>hrA+N24?!IbsjVr|kN#*mg72%m>% z(6msVbarylc?Nf0rdzj3_UHG|Wq(uOF>vHV=Kt=f{$V{4x9zwWZRzlZdoRNPac9bo zR{*#v94$igM;Kp!KF*H)*SD@|!Gdth9s7*c*Du}G*Pa1oo9jUZ{)gw7jM&yms2`^N z>kug~L7Q+MU3JpX<M6|G2`P*zK@f=rsz@;fb{3FZeZ|xIGZczic@PK~W;vA{(8#Hw z8Q4`Wvq8wIaMC!A<f^c+zsF^2b1f4w8Y;kiGqGwM)H)U}cVkiC6%#;dT5NxjEY?!+ zacc?+)I_(lk`Zr9EPRJ+>a1ZRN>6r_D|BUvGPvd82>m3s3O<B~jt&My=Ps9a^TP-l zNt{9CR-%1J9h?$Q)o8#Sy&**vK0Z56otL~08iOPB;;BFBG+!chd6>&B5}cuDoxd7j z`3OVV-}USya{ktUH5eRcx)8A)4v9l)3|lSF23NZ;s9p5>eSK`Ca%ylNj;~?)We^!A z1+#Crx=Wqy10o-s5eJMBm~Zt6r79U%O)5k+j$XWy0iR%pluRFsLLpKjHKfU)z*iQ9 z9e(%(=HQ6L@PW`G;fanA8jp=MXmF9H4na*MCV2q`1oZsUlbxVYq*%<p(BG0O2v}r9 zy--<8>AIXHI`mOFZ!Qev+}%rJJkTUM1JQpaW-`iZh|78*y=cRj)CQ)qIEp8~myxzn z9U`Z5V=Bo`6wrY0OvQdv2Bl92j^p4Ne+CK{1`YLq4jq$lUb0KC|N2G6{~!FKg*V_A z1&2)(9B`+eT#zjo{*%u|P<4Ud^BFP%Eo&HwsH95;R0Zj(GYVxq>+cGLBBrbm2x)tJ zHnwwd6ZGRW^CXFdG(V|UtxvTxb7Yhz&Dm0LXon$V6Xv4hfA0yFrcyEN#$1sKLsm2F z{!^F%yRg%QX4w~v$azTxG>bCmF8R~sZv{Pke3UxdFyvdDXq+LYaF)nCq<pGwszIi3 zbbJOxd^tQ^ISm9{lqi2H8C4d~6gHX+O*)zk0@2T*wxw8wdm|ZcOvcU4_e|?s#bN86 zCb^vzzR>SQ6$xM&5TUKzkUntv8{XMy?Ag%jw<itQNDGi8HQG>|ETU(_z2NJ_byzNv zzoair*W$dSmnPlWq~CdJ-PstN)19wMJvE?%Dtdfq{~O@&W8$3+#fw%LNOhwfKnsAl zjoL5_DSksHYxV&6Csz>Y;h`eXTMPa{mc+tCZ~xdu8T;XQd+AHpWt2dBok#032x_lE zUqqj@77q)LdlRPx59C#avs8_k>aZDO?8>g21|^LR{*)}cy!XI??bUf<3G0g(?Mncr zZT$O14$L;L`UpY;JB9BB-`mjP1R~^Me4|a23!=uq%0?)fh;vRWFkd$%dc1cZ^wA?d zbh6)nVDDSrm`E@^PIYDE&CI+5E|-r_FZilqWQG!6Pev${x^YK?b$=mi%VLusd&XKz zp97dJ&Iuk-qY8ImLc};G^oHSc?-xumb!=Ad@xhK_zA8%zspF;yBrtE7-Sk{%FDHQ_ z^o<jI-^S)MzkzS-^%IuLzp$=H<mzo<6Wp}X*0^U^+I99EQmtW<ZW3qg9tjH}(?bI@ zi+^$>18<m)ooN(%uT4vP^l&gFQF-xN7CO5VOGflts}07eiOFPs^}uOi;lg6cuzpKM z8y91O!qx_^EcsSe8DzhWCxr{A24eUI@3STBJW~@;E&|I1Lz5JSr(!!q>&pmTksAQk zf{uq{8Kj1AS<P0PWImYG!M1%b=hmi_b(#o7G`=74L12nnWAPzKrED{zh?4DoIH1N; zA?PBj{ecaEi8g`WTM%~2z8z3FKKGV5iSj+KqH@}uoFYQC9RW_ePcc^=foyAHNG30_ zE5*4Rf~-Cvy{NLdq{rN^ccpJBO8bdY_eGL?neMC5qy$pY-%vi1b@`mvc{5vm+iZ8l z5LF_*V<fCl+Gzi>b&0&uu5eCQttWMqg8o?0<4yhF0DB)3=NKt&q{E&+8Mglgaq+eL zU{b0(O}^Ly;J<SJRPgkeV?>?I4Jq%k!_lM_sQ68XfX3uRJJO>G-&%$HA+<S!e8nn5 z2-{aItM3dy9lXLX*dA;K3ReTBe8=wH#F6tJ`{IuVaGA7J$`5!OBcypSOdXLQrX-Q9 z-=Dc5F|m6P-g4M+q*v+>Q`*JGilnA|U>bdJ8-+B_rwKB=D$oAuOmx2toqf*MqnXh# zMB>SxIiZurZT&m5rNv@k?YcaBR*py)H)k|LZ<SC-*FL#-Pto8i_AmsOM{d(oE$tFG z+S`<;1>%Jnw0+6iWFdeHnKZNRdH<e_me5QTrpcV>ly~w5EDR!*T8P-PK9%e!*i7*; z$`A8*g;OOOUTD~;zpuz<NFwDLs`E2K)a{tGv9T+vUlO&@J9yjZ;<-WtGDQn@-o-7X z|3lk52U+@cY1);}thBSzR;5{K+qUgW+qP}nwocl%ZCjJSx2LDOC#GZKn~3kPvsbJg z4^G4rvG=~$eJxX_-pXqz_~u`PWW2nlp$N<j1B8swk)FrsTxv^22J;o5FA9BhT-+E% z)nFYC2GaEE9e<ul(C8t%G1%K?9m5P*z&2QqVkBY1Mhx3qEa)ySBW}nYCy(d<i1m|m z)Lje&3}7EzWEX7eks>QW8=6ZsV>}#JGAbcuw9+N^4nI>eDuWWCF6~<+ty*ekc$^u~ z)^L9!0q(KX<1NfFC6oOI*uwG8pASJ_^vqg{l&8bLTMw+E$p6#g#)+30Aq%jrbdfW& zmGehq6W?(`GJrO8a~X99mVmVAjF1xKQq^dwk*uQpY^iW`sgSa7)XmEXv-~_qKJ<|n zRMk>Rlb*g}{?&GX_-N|%SicTd2(y*NdcsW7*}=XqIuuMc5-KN}r|5f4adv1SMU`hR zDTu6oc3?rx7qXCo5S$hcUrdh^YDDSNmc;Vs3=296tU0KPR@!kSKE=5sOd<3nA9wbx zvq|;p2&@vht@>CLVtr|-l?A=cO?OGSohz(BrJ%7f(Qe%J-;aiCeEvBazAuLPpb+pL zozAu)x<A750fWJR^X-lK>E4XxyVK5SvMHzV8}<QCG6p{m3pZyl_rNc}P#5{qQMspW zOhgQvfdIF*menMFfERDmP4Rx84yvAFxQB3kL&{b3=B3Xww~j2i_@(67NVYclwkDH> zaC~+`WZW8)>42do(X{!9(D$d>u786GVe0i0v>hT}ENLKo-f;MgNuL*Fi#J&8j1)nB zx=@fh#;;Bk2K_lE@gP$Ce8HRq5tH9DWD@ryw|CDx1n)9TH}~ylz0<fpml(2$6hEMt zP`{8Rhpj~-x4rwA&Jv!p)1NCKzU~<3c0vi2@|=^7GfCV`LO0d^ABM$G6f+zW_mfDS z^@3Ma{~9s`bi?e>3OtV?e0~oFuijZ^IF69fr(=B{jM!ObY<yfmk87a^?i4j7SkMB6 zDG|As!meAveV0KGi_5HgzY@^o+CyGSA2r9P%G-s$*ZmlP$brR>m)2qjj?M>NuedRY zHG0y0Ko9iXKH1z#S~(*#$ftdOO^%L`++MKskp*Cu=+JQR=~)-^J%o(^Fs7k-8)AAz zq*_}y8Z)_tOB|u$<Y#h5q?3X)&O>n&jO}5?&R;%9=mbk|H{ThFoK`CEtblPX+U-<< z?$i94^_qC4P%g$Gi~)WXJdd4$nS+zyq_%1gfRCW3z7MyWejh}ct8fC3Qbxeke%o>C zIZ_X|ckEt$yyuKhQ20TGQq*EcW1O?-8J1^T%S`Y#!Cl}-Cbx4@_z1IyCsTuUxOWul z+uLFr%`X=->cdGKw!%^JlX!UxLu;Jscx73hJ2~)DHq#9)vdUy|)onGv=-jF{StYG) zcw36s19$o963OECrc|RT974Fx(I!6DwzCDSe;!joll_owqh?@0Wfnu)M(PCEiZsoy z#z{wg$kZo~L_#XN=nOLOG*b|jl1dBi!(j5F4nXP$hkeOu;5Z{3{{a5@qr{=+GV%se z?N=1K?xKiU*~<PG2NxSx&{vm2A`RK5tB<wMEU$?^NUwU6Fh;or-Q}|#;(n~h;!wEJ z_?-cC2mdvmDedyx%R!I6KOW9otQmae)H>}AXd%>Pz>&!W)m$W?OWG;k@p|$y2UlmA zvqY2>Ws%dg8#^;Y^E&OwdL%yI`Gtd&YWsLgA+!)+Z-WOke}r)fF5mOEbp?-7Lf=9f z3R?rohSeWx(+q{7@rq%1BGYd@jZ3ddF9H(DZaf^eB#+!pDsYRPt&{Qj{`i4q4!`QW zsL$C)+1pLm3+8BHY>y5I8DN}~;M1Xw^*Iichk4ud=h?2G8|aFD=f3`gR7U0Nj_wfH zy{h>2r46W!M$C)|$evahGuTyubXAYmb47*3p#+A1kaWyw+9yA+sE9<vix~&Wi{OuQ z?TVHNZ(cSQZ~;T;*u-%?UY$l^7^xjmSHF<n$u>SHcXXddHj`%!=y*U+3LoBW^#wW^ zg%?f6;u(X3WC9zzSrG&E?38h9v~V`uJr7g9{)5$tZP!SM#Wf19?ZM{$_IZ4I{!Wcv z%OaWrJ&tGKpANqWYeN19(8_aT1iL6~-}bk8KqLs~Dv#@hMqVYuZF;^vKm51qPn`_y z=l%^c%Je`f0_6E1!w-~vFO`+$9|j6FQQ2B;L}w#rr#}=<^B1pF<``RUj4>a%OS^kE zq^gc>c|dFPilq(gDUF-!=`*9HGP^q4o|SAX<#QjO#NwB{KG!wk8mc5#IXJmp-3L~U zc&lpgUzjeetJ<B|q{<APN6KRo+byO@sPo$&Sxn?~6%t6Ls@fkLA|26L21LhBs~!*A z$|uas#hj8mvQ%5!HMwSx9MBh5P$=4Q@o8+8gJ$=L4YtP=sK!kbxBV_CrbnvtUZUbI zKshTge#YZnkW60m{X#jc6E{Q%I$bz8Im3pjgS^8CE{ii27_J*G2E<X6BdG-x?j&;X z=yU#5i^yVl;Up~+FvATc9m=P(S0aqoW$t#n`P{t~2Nc8%oegNl_)01vHJb1EQI4x5 z4ilJ$sMti&RBLDB4Hf6d2<!+dCN7=|EXQH>S<`^?33RwQ+tOh3(fil8l3?}%m`nct zx;W62RgzuE__!+N^mpkN>CxR4C!NYE$Xl5hz$Xt@Q9&26p)DlK^{)|O1rGkcnblEW z<B2v6DIZVA>%so<sc4#*8%IKfg9v&}YD>NBdtdebZhfGKc7_(z0CTDgP&ihDmEA8^ zN=#OCUG`7PiQV;S5qMxUl_u)~$1+OEDFKRWILNwWh_-Y(`eLS5WFD(B_aW4qilDMI z)AeCv`h=_~bBP2v{);?b?fo>DKk6F0uEnI740Z3r&_){L@bO(^&bMQ}>8CP1(s>K= zoE$jx9BCY>lUq8jFQoNk$VKTe)+Ut}tiP3M1%&%(YUaXF+f)k6y4v93h3J$PA?nE3 z6x#CE3C+<DPjS+&LDYX2p+U*kr1SRL*(;^gh$--4P1t_B#8t6yRBe*&F7_z(CBhhR z$?YnlgTv`Jl(uACX&c4&jI*(|ItWavCsBmfpoRHycZ3Xwa*Fx(<G{e-1ZvwPrmtMy zy5R$a)C*#zNyHYo-8cZ}EC(#PYlG_(0EKXloaGvv+0PTO=rD)so84YEri{gqw;kKx z6^j>&b&8JJKh&&CT;nydKWvnd@#dpL%z>$FkQ=vVo2sOOpZE^T>C%@|?qF}3PG>1O zoc1i&;Vul0T6D5v*}vkq)H^*M(tHN+XHx!#wE<&dQ>xR3tAw02H+5I+Mkdg2sQX97 zE1LFgFsW0lt#Nz5d><%_v*Rd6NqMM9H;4U!KTHH$u-4EJ`L4LBGbg|yTCdV^A8J^f zp{I84ZVQP*v>C7NG*~@5#;}>|h>1e9?yW910K7s~=$Uk3uwVKX@De=)Y>-=Q6FV48 zEf92od?}UKSs*F(`lF^T%909|zQ=CIcky=x04$wxKK4rE7T!d1_I{q?&HU2s@P1sJ z;jx}uJ^dh(bsV^lzMiIC<iRn5{qRp@7^rjmf@IR>`ygUJ*!!qgi-yQNVT6h5oCzL0 z%vh0D=}S!5R9;4Pjcb5y6XX05KZh+=t<tB(z!~5G|K`Gde$doo7FX7z&FThT_W<=o zo;|B2@6E{2wTYS~p)LxZCD4A<NtJGI$9_aO)POimzR{zcpK%=0%=fpNqyhHUH%+?k z#V)Y5>4c!#IVDV+xKRoiU;$;%4}SpAGAoygv~EOX1+w#rQjT>9aW*{hO=>0zR~or5 zUr&<riFb<42zk;!8A)tMm%t#S0&$8#_~kfcfJH*Sf;<Upxxbkf4Nl&2xvR1+ts8HO z0rN)Eo8^`7%`mJlJ_D^Qx8fEFp~3<}NU-=|GN-<Lj|8wl27*SjpJxZoZ*M1?Q`)G~ zR51%{DbGO^wtyqzGgf9y78s<7nMLd=kkra^2`*;Z?t`~{&Jj~4l?;$F!0Mw1O+0QD z&>izC-TNqJ>gwSbD(<ag01cO(&7RHoxEj_>b1g;HsG^*ixmIvvxyS}$)NpRBLdlxo zE-dc<Bsf2WmGJI=P1#6Pe~W6X7I0&LK&|^IFXcz|vx1qBv(S|kXa;|kUyLjOKa$|j z4lM5Wa9xL_vEllqL@`lBYHS}}S8A0ov4N28`{NCG*%C^ukHtsIG2gPO-?B`oZUwEr zRGuX<vM!W2h>tsde5~;KJme*S#rUwKS&UnzFW#PY<WVzhqAF#A#i+hrj)f?`UV%8B z|1GNt$kK^r)Y#AttyL#C)KkL}WZBXtL5gJDSU#dx-ZJd_KE_zue`JX4>qXykNSx?v zB3FXYC+CLOsb;xNLnrVp4VrcW@0d7QpTZj8)%6)6*HWxpb~q!cGTg=91SD=Kn*3{E z(N0U?gM+Nv->c%M_VysNg{m^BYY76Zrg;;FL#kqBgrLq=I|)@Lla}H7Sjf{)!B-;2 zC;uZ}1z&;rFB2+Z>waZs$gkORjkJsE3qY>XiLfYe#&*WCzi)Lrku)(MtUuD~JnxKI zUt9)?WA4-P74)o#g`dYmTOff$5EF~1Ve839ImOTw#*3yX6YI%W*~ZYN`H4xUsDB5O zmL)^dNKgub0Rt@Ch7|F3JOT+M4lC{T@woD6z13PtG!)))aaGp74q|LBaSo0@3nWH~ z?v7v4UsT?7T!!xs#%2kAK<VPZ5bi=VbT-I}zi0s&6QjY8q!W2eZJuQ=V8q^4*wGV$ zmG`!)QuGmlQrzXXZ)w}g9;{a{yb($^pCd*PFaBIbYcF>SNbo;&1k9q}fXyqE7a<aL z5O5<(MYiQ0P3`whi|h+J-&@(~uOElgM@J2oJmRD9sVkw_!(BZ_SfZnRI<ONSvA*9M z<xTN`>AEXGK16W3<!G<BwyGZO86jOwXAOBkMRt0dxjI-iiH!sy8qwV&{xp>MrcP>B zHISwH0x1U~6LuZaV+gQMek#GzR%}VDfgWJQ-Y0UQg@yVa_+Ld0{RAO60j-wyCKqn} z^GedWwr~PJ5Q8StU9i^1@Uep-lkBB7&@pRf-#25Q6Y3oN#bhHq2&-L*iLK@5>BjuW zJKaI$a6=sui5b`;ioUp{%B=7w8Oh`|kCy5Bf0pTd2v%3uoX<_39+nXpjF&SB{XuH# zo`nUO1|)P*?&UL}4{#VN=dv&)`6drT0}+7lvX6MWaXUEM*xfb?yz6Exf9mKdx@VCH z)H>u^t?{%92BttSO^X&7(NA09FM~W`Yo0aH=P;8VTy1mCHwW*@IKqrsGL5io;(>IX znXc?Q57lJ)-W*wKe}(&r&Q)fEUs@DGp6y+|UZYkv!UF3cL*oR|op_f6$+0rxpJIXw zerUjjG^GN~EYjhxZrSmwr~iss!~`!$<izi7+rs{wONr_T|B(pE&B6)IXV`y-lXO!l ziKI>nMI9eN%Bc9S-y|`9*SP6KdrgD3rwZ()T+63e{*>D9mZxVRk`w~3`vM074|S`O z!{$4=VHf;})d?8#%r9mZ)gUtdcOHvIGJg^AV8Ee53AtGgLe|CztB~EqtKlMH1U;O? zx3g@31CxuZRf-U3rwn73VU-xZ`E4F_YVRjW$4!mIKWDxhvI#PW1GGs)-NlG!+quD} zON?e((uE8}#PVi3`4xYpR#GuMF|;$t;ocz00}(ediYg{bBDIGq9G-j2K;zfO3+1JW z>HiKDbTcaZf!VIcWS$CKN;)HYrH{&MKpQ@&Y|PVyYzDB<1e;E2>?*mC#6(`ga4T*3 zf%(Efq{bj9p3Zech@@Oh%D@w7)J9EV*B)BGpN9bzA*o1@XvL<EOoB+uj?P;~%I8li zV!rElv1v!^vz`Tt6NcR?2A%J`(DuX5nQ?3zsvESmLN!D)F?CnwWai_v!Kp3Ih&VjZ zY+*V7hc4h0#hHZsa#U_TQej*v1wB^dN2mM0@+VfcBIh(8r+~*gq2$C^Dm20*36SVd zY9H0mtc#HcOWMVH?pk#Mz@>PFVY?l>C2LW?hyH?oNTTYflM<W0K~T0#g*;3x^$0`U z06*ZemW(r{<%j;-Ki4KfSfdVFjxzi;q7Mad3L{X~*IG{0KzA}Y^OLb`$IvICO54c7 zuI)6`51*-TM+)z&TF<M&(m?Uhhn}tf&*694{X5S3PNV;m{#PvBpOrCJgKm$hn8+0d zYKg|%7|^#7uh8O7A2?PiMEj@yhaHLHWmd*$q>Tu~2(_pWNy2wDyAe*Yf!{hn9?S*R z*iKgL^rUajDr!w1<}AaXd@*uT*O@|dR!ep`EKSgGdy~V!VD-*$`WpfjGsh()7wmo= z{Ijhe1W*07hTjFFXUG2T`gF1ZDr@qbXN!KtRxS|X^V-GwIEwxj?`F%JUU<LqA+<y+ zuk7vO(o2IVEziu0jnlSFml0JReFydKNeB3(R{Zzc8b@|yD_fJbbDSH+>JOf|pLIt# z_Y|YvD)1l~pW~;4g6-2VHGw-j%gy_*zJo`!j<<uKxdw_{!~vcpofk=UmDY@=3qMN@ zR&j??^d+Vd%H8tP4Te@tZ0^-ZdHP@#;iIY7W~@L%mdLUzWk|U;EiED5Cb;%93<5a* zx_cfgb&sa*G#;<VJX~h_N<Eaj=Wa$O2IaOk3By0rE_$iHSaabGj_Df0f-o@ZI}3t8 zs(zhISE8==q(f{tVSQfo6$^AXKX-@Co|LJ-aWrn2JI*^buPt^d%xkwVy+)A-+NR#B z`9zFEy;wVV=SwS6*RD@kBF|gC-@T8Fyxc5QUrDb>dOdv(oYfo1Z>+Ao6gqa)+uJ$v zYio77O0BN_Z0)<CMusnZxS5<hS))9KMVUNu4&vtj&|}AM%LyX<nmdZG%+JrKwr{ex zoUj^7!_+9tgVR<IKMBctgrD5J=x`}69bF86(om#oIy{vO<T)BtT>)w|fXkf$MMN#> z41w%#$ZJr_ik_gDSevQV)~E9GG{KNgoG$*LT;g`x9syvavZLTm!Wx{KGgmbPHE9(x zCe&u5!ST-S0$pS1bUVH(eAK&^b1Zte>tWsHYpCis<D4}*+Kfj#u9dLDK~h_+f{=?} z?Jezee)pPU_~h&^T_RolO5Ll~w%|OmDTTfLcuKsscQ;mJ9qd><4$t;?O7C^?0WM>{ zHtwp4VfJ5Ot_^eFTYVYN20zX`?$)r!|E}V;+Os^J_tbt^fk{G>yh1&!?o1&F&RDtX zF7~c-gL^B$sn}pLB_~LLo0ZR8E|Rl~AxntWHLFNlimWbLgpG8Tr#R6?AVkAWy_4`y z>VQD1c(Y<nGQwhifUE9!*|=Y`VNRyI1?jCe%$|2L-|vZjuYo;s+v%~a%^f^B?&A9# z2+^Nd+2j5UtMtIXr=8w~j%ZpR44{34IM{2VXSs%WWgUaEh?tB42L^}tD_E4Ga~?l> zbaA(ow8?A-xm#hh9O@`t+k-zG5-u>d2adDq_qTHAK3`fETy9hTHae!S@(p0DS(5K- z&plh{<Z#I+>-DA0@D{=s#AWYUWq2;1G*)8Xl9R^9fNlZ?vP+=`x@#t5tcAyxBDNfL zrqw-pgl5w6l)#OD0wLCsB0u6(FkvO&*P1+UQ8P4E$N)0hiqOP;rH$?TZF?+9vsV=N zfhVZ-AAI1Zk!|$b@<eT9A8Z`9QH)`rMKRBs7<rkY<Aj8IzY+)Lq|x{26CU@mZS<Kl zi|~e-a^?WYPbW3iOx4BVQ11pT-X;w#4b1By<ieoozYZ^YGTA(DeHCS{N8z<v%L>HG zs47=S*54z1t)%2jyR!9IGxZ1oJy#3KypXL8Xm`1M-3okVUWO>o>ZjG+oQ-{laK{I2 zwVvK4a96LMm3&Hr$j?Ulk>gm$MsIHl=PegwDkikm;NM$*Z*wM0St*ihOr@#-$j!d; zcl4v`&L>DG#5}&c7cy&}PDj1HRFrzB<`$P}8_&G$@|oTOcsj4jzTmM`T3$oWR3O{d zzLgbt@-MuQ{-SekzCv#1L)HBr_Z^j@yASl74Kkqb(k~v}Umn>cfo*lhbp0t#;Qdo- z%QX#H$G_9&)-EnCDEA63$LO>UbbZb?69Cy4@Y<o#GOutBU~@%$D^H(ZxLykj4V(7! zEs{m?X+4|vx;ej>wq?C;-QUCt6s$(YH!dbfFA9rPWRN$_`oxb<NRcj}w|Us@G&CS0 z*uQ#%O;e*&IAH#dW<)~&|7k{im;T3$C^Gy1iy6_F?f+y(M6K<={O{a|6UhJWM$~@! z@7#!;mUf;T4TFmvI-Ns?0Sl%a%TvL<I@hQ0jns73FL39cbQi9BT{DAVb+!nV@SDcQ z<9efwi$@$CFrLYj$y<D-@1Gu5+s=v@d8>U_pc3bFcKHz(fIs%MT^M`x%g_Qb&t3f8 z6ZbPAUeE}FDkkPUMU)U#*VEufi-l|JIA>*Uy1n37h-mxjhU9Oi`@rye@!xHwRvGWq zF825;)%f0iP{PAiX>crE?+0?fJ5*1JI5AHHtE8$nn`jJT?lu|J3uh^ri_eiv&XsGJ zBk#8^-iL@1?i4Z~p`b|o7$M%qXq0bMJE&h)l3#fK&Fl<MNlE1(5OyUpV*;ckh^k3+ zk1W-wHT2Zq{q`z1yQtD~0LQqlwc>%3C^rHGHHo977az<f)$I*aTHu3V7dmj?llXtL zBXSp;np8P`BDf&sJ>?*|+@Akp?rs%9cd04FNeTWV)ZFxUcL(`UQaAc>Mdgu%u2{{a z_E5_C72F-pLU-c`&Cg~Twt;`gWyH~7IEl;wCt?{XYpI7Mef|V6eG;vh5-anGRL1Qb zzOb=W8HYKZI}MBW?n@IjzEZ}OZ=Wa3Rdo@1*>k@R^`gZQ8M4NA3_$7J`kJs`QGY(Q zaoHf@1=5aOk$p2S@bua%%2`~2j4{bk@0TeLUhcEEx084t!235tA`E1Rb%28QU;C`f z{)ckb#rc)CneUcTdRvRdk4aYJ6X_uQ-rdDPbDxdOxHmeMcV7{nja+ZY>fq9HoLLL+ zI8N3;E_Ntrl7w-R2qisk#eHsMwd<`GIOznVL-2=5VT_~;5iBQEqm9+RldfIp3I5{K z;${JD{<I<v@?1Xcku?74hszJcH>*gvuK%4I(NFN@AZL*S*)qQE6gK3g0{*_&1(J*E z9wPNNf8e?jl!sCJ#}mgMJg`?u20Ui9-&?^A1Q1@LYc6i%_U8_}c&6PV)gJ-)@tqLY zzla>!UQfZ()I1p)dpF?_f4|*38nQZnL87g9!N#=JtkoREIx@j+j`ERALONZ*<Ihay zTB`HmhQ1*pE1V^uEJ5=7+q|;vw8V|y)|t|NEWQ?}7C&)kn__{s>KlRM#SQLD56{Cb z-o6&zU>!)U-Zc{qwM(pd=Di8d-i3qe?hp-VFXs(~X36Z2LE(du=`{WWWcCeSN9B?E zK8KC)6Vvp+@p9b@Qo82WQlbxP8-=IAlq6+sIzr}UktRxRkRJk#Ga>i>OEnUxPLU=m z?J~I+cxAl4dhidaawfCjJYLZ}iz6T*5a{4kAHQWbF3@|;FtC0Y+JeE|7{akJ6%iRC zxb9`1z(uZX-LiDP?rJ5CqVs2qm`O1F9!%nX?XP0>FsQnEiI-$$InfNzvqVb&e9Eov z$tL|ohuUk`yCyBp-BRfQo7;E{t042z>#{_s{)Sf`iAZl041rCr(B)b&4GT=cX@a0^ z<?YMS=X+BZdB|QYzXweT;Gz7U!_s+<dASN^hr(Ma2`kf}MAhTSozjyu71{3Ap|r*E z(}3AA8Z0`K+{0(5yrF|Rt<q4yF7%qfDfd@LOtu9H!@ps$);$#&1DH|Q5{pxXB1z-{ z;>c@>ySNpiaV7kE;e`;0p+Ur8fJp{*DXTAG_$Qb+d08Ilx%jdsDp%H-av*Ra-Ae94 zCpQjCK@QXC`-lv&A!?#1Uati7KWa32@P|>PR@^>(iYErwgWB!`?M)`6-hZ9*8AxLt zF89?aW2JO2q{z_Se|HTPvM5H~eTgvR*w#bBa5FI9(|NsE&*7%4r;a{EzFiGi&0(wQ zk{lv^g+;JAjncCrTgYCvMrgio;ftEu`f#&-+$;Z`M^RP>_9d5WNgqbzcK^zp%d9gf zdt4Y=C|CBU%^n2y?=d0#tA%xsY&4oc0b-CL=O7N^Tn!@DD%KVt%oYH8Acxywn|(|~ z#B`}EwhB%6?RRw2f7ravSB;?3*U*%g71Z68G_Ci$VSS&GsqnCpw|%+OusfVeJ)mUk zVhqamDXA}|{rR@vE$vg~nNYil7Lr<q&{)59a^CDT!WhF5IA8s*s>36JU^I)EFZC!N z=)L;TTzoz?P~i3KC$aM4CML(1)1e@^J(OeelF#Kd|J1IBAkA55q<uink3*hRX*zs5 zn{cd|d@Qp7>>a)aZomWu?OS8`iC1WElulxYU>$8qIjFsbc*F}fDQ16M@J)9&<KLxN zE7@C9Vz<~=VHkf5%fTu11ca9n=S0UobQ@($Lrq$12uNzv@r`tl8#4bu4ZQh>U*>%{ zmYy(&J;6`)o=D!l0ou>12g*~82Y+%*1KhX5)pV~o_>HhxiC(`ynW-CIshoN5NJyPA zDH~7)de9OKzd?_?LpnW|_kbTzG~;vownm(Uy}B7M^YTq<8OkAcb7UFwzkzG4G2c<A zkhkpOcLH0PYa1YJI^9TVlwzKU)l{A734Z2T&48YCM3M^(>3({J-Oa5+^5k*SP`sC< zMB!GZmzR#k@do<%;vE%tB6_K_y~xp1yq}yk+~lsNS#<r;$V^;*%^4*Twm34BfIcj? z`MJ`z+m<feG4yh3ZP>!j_F^t~!@>T-oJwmQGY;#y-^xzNW!Lo=5N0Sd+$t0vK7VMJ z0inQ=;m&5PnB0B$q!KXzY70|)G_$G9!tX94+CHe*&*A2NhHpgKN*aiO_-BE=6%Y@8 zPfY59<SXm<?V<Jf_z{}s_hebmRpvr=J07X_K|H6>x6g=kOaacv$okon1UFlB6nHZP zY|NK2u7#LtzsJH`Sm6dm3fca51RxpnM-haxjtL|4X260zJOAkO_7*nxpv35ST|=Wk znBX~CkBDIspzWkH#*q87-rrGAeA*wtM6<b@n>@r{ckbe!$N0mRp<Q4JvU)E9c3O%4 zlRYhjhbw{EOpJn`9##zTgtnENd!TVe3#^c_HYBN_xCQ$adJ5tp-@!32ahsxwzpIO< z1R%060#hSU+fNTCzMbg{lGfpR*XIzzFNwuES~Om4OSMa()23$!YosWbI_5k92(xOU z9TcT6$|)E>q5h+2Z@@D!qpVmbG$~BM@duO@x+%n*BS4B;)*q@~;+j&qdIwi_u)J#9 zDUlFVg_Fc~Hn^BLq)O6{oy|)8lkmYCz37h4vi;W>F@PJXQZ(*eh<FWsurl-z{xWGG zLBUYh6dc4^_frm@CsVD7zKz)~BK<Fec^54*Gu@&7p1%3|p@nLSa^1pDHk?TD`P=(j z2vOJ$O{`DJ>pLad_PAYnoFvNIk7c%F<VvT?62FJxl-2N7#A)Fif9=eV=dfeAREUjo zQyJZ5Ui=%O9Z>X^pU|M6DWz(Pb>oJSr6f!-Dk>8EYgiYbF&EU0S)&%Qymr^x1j@eC zKW%;k{m!5)C2W=D5e}lxSUX<`huvp=YonL|Ak*5w)tkDZ)~s$YgKg!_{C1un@OS-- zFaHv1vm=x-kDkJI(anpV=V2u~3V_nO#>tXC!gK7pM9^fuo(`gg2>87U;ACE^xrUN4 zxF!f<{op7MieMMq7-z;NZ4f(;6cwrWy4h4H*v$X9=nj_fSr1Q`mi0Faz?=iX2)Br$ zY}saAY8E|Bzo`~IrBv5SKGEAHpYwjnNj`7f%aXPP9Maur(q|B#-tX?d6DAN-#<eLW zP@SHWTH=#S9j3XE4LToQY{Z%6)vT2S)BWWN=tY=fgD}5@k-Jnxb(4ITs;iX2F)-ED z1sNlJu!(MrKo1sO$Ai8SS_PwU^EC$YMDaJNqZ7J}6AF&Qov9aDO0pjcM(dQXHk>gi zw=%cfV>e9YJJf*g%JG2q=VstGkcEh#9#m-`DwV>V{kxZ0ylZdI#Nt$i{wOfV<L_wl z_#z|HUB&r&o?_iU*M_SNyo?|`&ykWExr|In!8Q=E0(FJC)l%>*?>EOoNIHB2_ukDb zZaNkiHd4eCkP(AINW`avr0JLx8_STc_sjPaW0`_zdJOWO0r=wz)Ay6wkP(F-eiw-U z2j(9N?XsAFpdEZBpsw1K9%WKrPM`#ap37RiB7r9{T52IARbHW(rAbm5*oSQLqr9Z$ z(3y;I3Hg<gA_hh-jVu&9iMW*sQbrB|ji_@IiMZBets?X>k2p<9ZpKBs`zBN<e*K*H zk%9fVC~7ea+IC5is6}Z`UtaR1`Ss^~NI&QLF@S;;2|6;_?QN7tSdJ>c$6o4eTzDY) zrV;$R{Q#5S7tQcZG(uf#KpAcB>DZJT5C8kuTB=zk+}oAs?9G?^hP6cK_Lp;;c{Si` z3vi?{@FjwXT0tObC(-bQbkQyNMmzKQ|1x~yCRz0kebmYONH+cYx8Y_Z`IUNtGxcaY z+JRR1zb>B4`(~5)Kl5MBLT~7N69x@CVUTyK1H7>y$tFfBgNaij8b}!(Ax-ZXw-N@M z#g7^=r(HQ&V<MSM2w!oY&DfvZEQk49M*WxezjSb|y7{vf4cK=wxr18+^8C}X{8D45 zWO@39zZYft6mz<b`SgKG!p}-ei;_LM2IS+cDf+vXM;YTi`rp-oAcC#^x_`KoQMG<_ z&?47N&Yj}+Em;U!7K{4*{4}xNRu{Lfoy~1bVPB&_Em-*2^}T+h#V~?U#&`r_N#S+H z)?N=hj^byXT(5dWJY=wa16B>cisHl<Fx@$RSU4G2%P*hn9ec8gHZ#NsW>Rc#Y)`&6 zQ_dUqNZ?@=3e|<Nj1bD${E0B9VPR5=YH+fDe=zg5qykh$hsotz-c#b+3OyLIhtKlh zV0h|>cv_W-W4bd?8a$2hdLIf*#RPhsa;8eXhm5Q2whLiQy5@7118(`K%JE&4L|pi8 zN;aa^MFsX*e0`61A?@@E-v!X5wR~1efGXJ7{FBaB@7K`2qQ(boIT;AWznU3YpUS8P zNm$jb>B<z}F!s7Up2`W@>s@b`w_O2BJDsuN%f}!s9<^&Mzl>kah8))LREHdfUk$?< z7nhk5qUTEUi`W8=rv0VmoP6a(H%5YtnIs~;Tn~)9F~j50?pCb+<)2~&+BSafD>@94 zta5@8294~7%fo$m#AWZ+Kke*NctaeR`{*XJTCu(G=GuH4V<Y%^HF)23JBBbh>0Ti7 zminWS2d$O|2MhtOH2tK9YtR1_GLU;>pU}m{ULNyFf8az#B^br95NHrc8>ylef%Ec9 zM^Dj8dwaco!X8l!&Yaw%t&5~h>V^lJ*vLJhB>di*1=bM<6wZgGmT7aiLNFBr1rT>} ze8U~w(+OL6x;QvYR)Vb^K%HP{NcpS5gzzaOl*q(>9gRIClq;wc_P8p|)Y&V)NRSi3 zYu%1jUfE>&vl`YtGt$2eleSufw_1Q*-zzZ5+Yu%b7ycd_MLI1ZG6rj$3@mn}^hH4z zi%MXMd@)I(gYaM7MIJ#e1cWBU;OC_mAT14t(INV;p3*BzGdcoiBM+j;;O$|Pya-wB zo2rb@(Ck4z=^%Y{Jagl7WqfbDT8ytqUmz?Dtz?EViza$%|9n5av!e49I^z%y{rVG= z11AIH-kRtJakH)`yQBhO-1jFtxA&bNqlo?8Knh0_>C*d;RC|hmmW_4kgydrQH^cfj zSzk$Nu~T9xfw71L%F(#|Cf$O`NiG~}={%RF{OJKEV~kftveF2qo48zcWcf;mSo1D} zzr297%YZzDS&BUyYygE!-2)-G)+ULZMJUA;!9i8OEq)O;JVl1+Zug%Zu`<gA8k=ya zEulUx6*@6l;DBf!xSLQxS~0o83%TK#O~m*vk-|Xt69!M3`$cy#@ar<1O;q{F(CDej zPOgLqxTOKE&UC}Ysxm1z{j^e+7ZQo|46@f$9igl49xH|E&!&8X^|_@#fe2JlDA`f! zuhq5H#`a^iL5nGUF1qYL{4<SqPeM=HTxxINvlnj}O^1F0$o4tq-R?;D({E2DEzr21 z9=)q^g}wDex&vYmm;(;A(N}r-ogJ34BXgZ;rr?@afJ9yR7n8^NLPWSPeSZRfv|n6N zP+YW=Ap;>1uc8-0SvL2Z0s9k|P!LZK&^x0;Dpbt&qjfC@tuD#8`&ipTP{f$(q{O4L zK73H$A%In){A@WL7xOjEPd6sJZ>|?WX_y=Ip<&DAi^<__0Fz=&al@J*0A{BEy*^+! zK@WKh$)3gWw0VJ-o0iJ;QDb*)=WPgmOSo)oPf`f+(N;&V86Y=7hkR1WuG{$Q>}UbX z%Je8dA8Vp>qzEC8=I#&n-D4@ec+KSYL`VouJMOZWWz6YMVWFWnwDT+b3}|YvTrrl> z*{*<*2WXtS_$|Tu+!?rnVUVk7btFWCTl2?8Gd3qA>)l_Kn}tBx$5M_aVs!LhmfJxS zO-H8(7*MrGAzo!F8ZN|c7JEQi<5pWIW4-><!RL<tG{kq3{T1g`k%=2{MgtlU`)Y`8 ziJjWRr7-rj%`7y3XEq<X`mjL=9q8QK`Mwwc0c91DP-S0DAh>y)=={O;&FHtR{gx$X z3JUF#d3|o;LD0F-ZRG)+vH|-QkZ2-p(389RBb_Jf)CUqkSb=Qv)>?Y3qc#NZnN3d# zY?5n!!xAgp<5&|Tce5ht`?0aVnNl&WRuD3;B8OTatlj03w$b)-z!^8Jy8n>$P0bp* z$t6UBKk05Dj+}Lssi%Fl0DUPf%ytY-{HZBR+U4ugtU?w<bdsT;y(+9hmd6%#j+{7Q z7qxo-scc0(5Wi0<q0K68{KP3K5XN{?3Cm{0Z|=+}DHJAfQdRE?A!KawM^Z3M;Utxc zt)1W8giCV3ni5r_HBnxp`DJ5NnU96vf#m!?1^RF77C!>}v`Y`#O*V*R!LdJYOYG7f zgSr}xSLqBDm4}#Bp`-z;Dfv}Uh-`Ckehg;co~s-}oTx|A69FRtVq%{+AeeKk&j&F= z6KZ}DVIWgTwF#+s{Fd7Ue9CH)5gKfSe!OoO3C8xu0{R;_c-v(R4vjPEhxJA<;YXJM zYM}1d7-}W{!i$92U5hq2<G%tY7&IK6NjDA3Dhu2Qb-;{iOo*`X*w*kyW8Da*FSOs- zPInJ#pz9Y)hMLCSDjBZH|BxWdMWQGJwV=TN@NQBl1XtB~ac<bp)M*K{5_3{ih;L*P zl5xJ@cVUg#8eJGNGD(0fx*6uli0zHZeplNZyRjA?H?Jq7O|!tZGU5l)sus^cTRxkb zTxfb~G>0<T)us<@K#}Lf7<x*cDtI9JNhLI|%_3(`DpwoOy1AYCab;$m#xBkxwW3ys ze1x;nJt~usCZ*^keGX`tXxT{1E;=u`$#g=K$^^_WIfPU5$Z4t-p+hn+8^d>K6}?*- zpW!!)mh5mn##q4|*f`SOAO=+lw&COY#F6c_z;J1i1ZF9taZ&u5Ci*o{Xey^*YGyK> z2S6i_PAU|vTN5WG%{bF;AWNoVlz^4z2u#vur>+e}&N*P}es|)aC^#>QN7BFoDVSE6 zSqI$5g_`{?1)P&gk#waeWG8K)sLwm7>(7N8wH;}2wVIt2j!3XmcWNgj;kx(1qu$QN z5z>j9;zIT3$Azd3_MJH@h@KS2&xWV|#-)&;?(amIQF59yTZxn+j!rr_PtXoKr*uC7 zoDZNx@Cc7#*mWj=$t%s8>HSVhNjZ?**hn~#Q>rgnk-{wwXjOc1k-fQ%rGx-(dEmI8 za0PbbxrlZZt(BRH-SqA<V3@)c@L%rVYt7{t9QFoye+^*7HZPjwIfa&8Hn+c7MVf{c zy&U|t&+(g3G}Q`F8Q=0|YbO1cP@@SDol?BI+7ZvWY{o?7KHJ?ej)$|=GF|@oeV>Vw z|AxczYmYN}(siM+`fh!>UeWMxvT5`23~%84&2Ef=WNqP*HED6Ebrg<8cIS)*#TO*2 zEEi{!rAYHgE%)NTgwu^<b4!TefsM;F12lr|$5k1W#?i}}MpKB;2b!sS)eYze>k5a! zBaQOw0E<Ma18<XYSmE!o2u^w#lSUTVu-@VT@Am7H=_~5b>e)rN%&f=ERqIA}^LA+K zP3N#x1@={EL5iS(`zheND1_UM<4@tt5_SF7^cB*QmFJ_E`1rfMa-FTry3&u!kMJdv zp@O!?`a6j;7n7s?(}0dPx4X24W>mXC6$A<oJky-axn?Cr;;3Xd6qK+NA1WKcix)yl zSuehqz+b|pWt>9=B%ozyDzvu#t6hvR#p1^b=*<ZS_<J&>gTwQ=*MF@s6jXX`KbRXX zC^IV}ZW_4s<C?@G+}mF(=dw?;Cp0!{57(gAQt&qP<4}j(tTwRj3^EU8V2n$OSI*C_ zv$FNP^gpuMaL*bCk<<E~->!b|96gTLSx2~5&Y<%^2(kLd{?Jmi&|O5=Bd`FsaM4G7 z8f?5v<$;~%nDK5t<h_>6UK?DQFMaDctzVg<0&-2^8LwDyu2-L-Qq|@P_MC-Vw61$+ zk!2T^aMVv1PCT6M<t*@>Rb{Tm(vhl6CwmYtD)9FmqH<(E{HK}Yui#GW*Nf&f35*xt zZIwD1a}H)Z1j(^OQcHi#;(T{nHufnO?#sE3n-ue?0LEbxvH&7H`1SGFl(7X|5r*Yd zR!8@5AnP*z?)rV~_&w#6<W(_doZEzqsSL8dINeU6MCJZb3W-a9!h!~Knvj$zApulJ zXt;s4l%6duPl+L;dYAEgRlZrsri@%ek!cPACr?NWb85|%ODM*U|HZFQ=kN&XGh|Y` z?NPWw-XTHJD3C$6m+>M(bW>~hyL)9Bztn=2P?SN_`;YldrVD#~Ja$yDFBLRHJ_Si+ zok{w|{1Zq8I(ge{xVN7Zw@_ax6I;KiX{=%!5-g3M)wFJMA{0G`S18TmPAyWB4eS_L zdG^dq;uXuTOqQjXyg07iA4m}RX(|UiOsB74_FfH$H*kx*?-+JlQ%BAQA3rkLI?kS$ zllrcp-Wh6z4`J`?K0YX%)*lbb>yuTOc5#tIzaCWnU3L6k3c5Smey+Ml>!K4#-uPzc z(r$XWo%IbeQW#%YmwGw5sWPE>FtexJ^HIrg-WUpJ<j>gJGqCq!M_fX$xMJY2UgaT= z*QaEb)cw1T7^@lZUqwVTld}8l{6Qmz%z02La3~P1*6#+(@mp&$gqfp^6@iTQES+wq z`ZCNh?J1B9V!|TU7U5+1GE(|w9tB|!b!b=y+<i}8EUsy+ZORslsx8AD7LQ)wTPe_G z6yovi66)m&_Vv_$qdnlDm|N+#q2V;7KW*vinyQ$Pq4mfzZx&Li_wl!7UK3wG9xXAe zfV~mWOiFb=G?ygm-vdblI)Rj}%<o;bre#iniws{-%D`altg{yN+4wgs=W+v<%Vw|d zEER;b_`E`=#4FB#cLf_ev$-;C=B8gLO^;q|E`_Cu&s?^-@CD1TV?s_41)%mle-bf! zK+W^TLu+rrRn{_JsqlTU2{W(4J>KbEqeqk%G=YKFPn%wNEl6BknyeJ!EdB{ugs@>` zJfs7o-G70j71)B^XWTLnYZYn?+=5D4*4rPPZ6Eq$pHC2ZgK-}CUtp#BtyD8!l1gye zVZ%WdTEdJA5NMV)h<bcgLP1D(wq8GQ`$#v2;2TX2>sN>T)$4Z)zqk42|2?NvtX}cA zRPrC0YU|RJ?YjSqEXeVXENByEBlRD$;J`=e-rLTW=Lfmj8MOUaJ3D2590*bdM;X$% zsZ9LJ8zlvPfTlj;m^4EuSM!7Gt13r2T{1^e(uqWeQcm3S>Wjd(SjeWo`1VM>$^82v zuGV&Ml2gyDo{iNGpr33!H3v%PKk0w8&8|5|HQ<Y$)&$Q%8@#_!qLMXPgA~T+9O)>` zXp=3I+L}h_zB`%|WxtasdR|(Ww-0ELq@;19EQat*55%%*G@3X_&zB4}a?RkRWN{F5 zTNESOazJLL<UPH0yV7Vt>wLf(;Dey(cI*eOst{e=(T)45n-KA4`I^J>RO0GGGdai5 zr6cBtAF20o*^WYA&dqtYcs&fJv1_ot0<d|`*Iws0>mplS?Rk%{p636qb~g<YeL@4b zUHoSbsZEnTH2&WUBJvbL;#BKXS03kjfZb7*qh$~|9#4t}<z$K?<7z=Y`BE1+`_YTG zEFEgSO(7Hi2BP$=q&*Yshv2Pbe=8Iq-P-NOczG|zw)XwQ2V6|z?lRe5{fcfvSL*>B z@a>@?-Y;72=l71G;8BO!62E5L1O400_{|v&9(~EVp=^=C^hUUrOGQ7GTO*_5;9x(f zQ2QH%o6?VXw@v88{c#DeN6z~6za%~;6&KEE*8eZC(F!yfp|8T-pOhP&2QPcxkb(MF z5DGs20k*zy-3Vc0oA9KdN1GJ?K^e`O4mkb#nW!hW=vwte#sqfe!C#D3GD#_=;N%ZM zxE7Ee@mgsut+qLOTHhwI0Iwvj=-3@LuZT5OcHi<AY@UyJ0#U7(OnHtuRppe;zs95J z3!KH0CAP*m&ISKf84rN~PXnX+x@1pT3ZVT)va$Ra-u;RFO}n!*l@uAqza8Y5!@)$p zo3NKdIVyx;fb_&Iq#mjGdpGtrsJV4VmTGM|+X~sgOw96eC7|QWBlS*;H0a#FEhR0` zUF`y0)P8}gCiC9sv4XFSv#m23ozW&14V_c3$G>J86P$(90#4o~G?K3`{G~4WlCxU- z0-GJoO|D$T+I3BEw*~Kr#9b$cs?@AV+2_Qc-JdcY)#Kf*xXTXOjL|n1A+?k`$mgKA z3xqeX)m-#P{3DG+8KRNU+7uLpZx=SQXFfV9bWUBHj*1o|2_0q(I_Lnbzj|suzgu_s zvOvW83>}I$Ga}T}G1$za_kTx={$QMYIcY;A;X5kD?rYsbK6AG3+anLQ>pO!!noLW7 zW*obYg5^Myx`(r1mfa)sm#N59#ux*O_I6#_UP^w*B*MUE9lm*K*P)&C@^B1<T27>X zmMIS{UH<+U1-V74b&%CRNP0D*(Rs47_KQ-$2X+yrU>o{!vxmP?p?{d{K?bqnF+d{e zX!hu>!&8E!DzLm`kbe*AAt#9BUW0q*MMq3;`?HzR(zQc<rNQ)Hj{J~9wnALn-Sx8r zt~cRNM}_p=3Fp;6ut1LdMumdkt<$QE++@<fg9>I!dCD!5eK`U<T;%6GH1RGf27W_X zq)oaTC9-_4DGIzA>ArbXJb3I4s{ZdwcA%Oq*8;?X|3E^`9_EvZ{tXhE@ed>vPs6@u zp?Nb#BI)WLP^0&P|Bo(*`;RUsSM)Dk&g@&4!zuf%nh2ADairjHjG(I*O;<k@#fF9D zjd@os@q2-NiRl9P81-zEH2jyst>~YkIwO>|XQB!k1y1Eao?JA;A>6a<NJD1VFsL}w zU*IR9Ud&fO+UQ}5A4nc|5~!a#7A;Wg8$SqT#YfVHBxK=Nf3nj2g_0l*Dr#0PVK4A5 z6NFH*5J0WTNUND;Yl-IHtN$wk%*wajy;Ku(dS`(woN&odpi=EyMEre{kArZaeA>Bd zfEL=P`-0Xk%}>sC)BZKH<w_YUE7X!+9o@_HdhOLDlV=lijD=Ue4%*R#4S`a1jjv08 z2M<h0&P@QBjZiX^&KgXp?J1iNdUR~Y4^KZBqgeCe!A?<4_5NG1{v>{!SA8%4a1;Uc zZ&Hv|Ff?AG8sDfpJTAjJbS=uwn0~rl1g+8Ee|UQqKZTZ6KxB2eOV-mMw;{F634u14 zk$Za28w7#fEJ%Nx^1KAHtj%Jg5~5`Cquwi<!(jphaerO+a~f7!#*lYktx?-<9Ze>5 zU`JTTsh<<L9F_kKa^hpav(<hK_|*xX6c;}hrn(9Z^ccp7tc|g)24OeyQy~FdSwq&| z1Inum;7+KgUztk=xbERf+CG%eg@Gurc4qGD7*`)N+7!bkRN5<*-9@>oTu#I*ppcgK zpv`MJFrE&LJvZUgNivj5+TW*oB#c|*Jdn=NcQm+7%ZSz%v>lq5K_*jM!x$N%I20mw z-aw(XjZ;y2v97u~xP>K&k67K^7<f_2*i~X~>mV*B_Cb%0D4!31LQe)xLmqE)q^f9D zRz^+EWfe(Qsh*1V4vWv!g%P(nOtfmCE+bDCl$PFCS6D|;pcw<oLRQXSKk-bS7%F&Z z^9_)^p%+tiU*yZCn~DocRVA;Jj%c#(BP7ava<#uDl<Y2ecwB#%$kN@fYnyjzJBCf0 z@2hYV{lVNmf2><sB4VGSWnKk_77t9<{#*FA%v^EvQr@1rCM~&*PWmp4ooUK0(IRkR z-7wfTj`fJbv{iXIDH)gkJ0&fMn`U2GL%mSnsn1k>E-bpHtzPv|Ti80NlCZydxDF|` zFGL!@ej8DZF_CUh+@J|ZdI|nIs1k9Gni)DlU8GJ!*0^vJLCb9J@qn~gz>L9~Mt37v z-iXE2rEd^d^P|$ATQ4p|d2Pb(S249-uB*pjGN~l)jJf*=Em!Zbk%t+@$o>+~UEqpC zDOc!VGTN_-4b%ryxV`d3i%=`~bZz?Yzd!$WQ04d1&$UPAf1a_8fT&xu(XO(_%4Y5R zT@SH?l&esiF0R}MOgGm_-TyU_wd<dkkr2hwsnk3(SX+Aui|t@j$xF0AZoatk_@203 zDnLV9kF)xn=sZmY45G~`(}*<gU3r+v?OE6iY@~Avkc{We4;Gws-Js&ccLso&!rnIq znnkaQuQ7M&H;_;<MNd7Om+LRGXWbMQV(CPXES>iK2}{{%Y-VF@tqfg0k=H<isb-zA zRbLRDv|S`$-?(rCSB_`^m7>@%yM0vBXsKO$fGx$aDz^?BtLC)YnY2u<+Bc;e5M5jM za?!{rk6E>wrwf}cqD)sPy$C4q!%-<54AoG|9@XHrvTfrvXU!=^F18Z#OcU7#{Q4;I z<h4rsV+%$4iYeSkG}$eZY`f$VI@i2QGkS=YAN7~MuLE~o+!dKw-c<Vl_E$otCXJR1 z6b9`L{YnPT`pCiJgQE2uK<v}la$B0FxTd(ZNLsUPUJ2DHY8dy1f9d3=)N_<7V9=L5 z@pu?p|EJPV%;PluBB`M87i>%n9t3okJS60t0-1_LcG7kR%k=R}hc#1=z+pi?1u}3y z!Kn8iwsPji3-vrVgsUk@iCNtIsRc@P39{WaX^17dMnGg+TkH@8Yr+-&Xe(rCx;5Ut zzujG?f>>lSI5r3r{5M8gSwb#U?|Mk6yW!ggo_sC*VK=cq;49m8RK2YcAMnKxwlur0 zU$U{9NyFULRSkjcgzF!qALx5mtRRRSz+BxMK`Vh8z>D$f#fX^%rYAeD<5LBarO>?L zbj|BI9UQdv+ks2jeokst!6Ia#s+DOd_^+!;3-pNjNwrXsaS@PV#BbX%%;In*#v_x* zq(_3@*{ZQP1zK+FBjUvXSqTo0TFA~5e+Kz{f<iOuBZ|)9G1+?G91j_6o&~~!e$~=h z1|w2u`Rxz%k-`B_Z}o`x>*%UH!)$2y%^ni_KR4n4To47x%GLd}nhOx;l?16QmTOy# z=xY*zj7+Z8@Y0D!-E@IwkSZFDLgfYk4j%d?a?CCDgSP5O<24~v5_5T>hH4gI?BJys z_+`r`M8cAO&7GD*4FDXF4IZJA9AZCUltx3Qq;@MJJ~@qLt`=rH5fiGIJ*mGll6rPt zoh`kgeN2hE1EwPs<R@O|A*J9V*HNLaDd!jqmTSTBol{kC=`MwE5#mfN*G*aU^EZ#! zpH;h8ug}`vHDa8HQdT4-)kdwzRl%55!OkdW48vNoOi1tBbic;hC~WOTl>q_ac|jbS z&XBpu0I9wr^MLduD%3t^ss6d*_LX(JxDrv>IYde(HOfbTqR(V|yY_SdK%W5#<vtE+ zQ2}7+)70Ky2wRO7NIs&y1NlFwdkfgco-XaP!_1s?k`6Odhnbm~nVFf<=`b@hGbbHp zW@cu_FaLMm(ag8nU5!>+X;-r3TUF;)l^^@czScRvry}mrfV#yfj2);xv7}@4G+Y%U z>grx8iM>8wds#*<LtpumKMh)1N|>x9K*)&Ltk1aGAxsrDs_)+Uo76-RX}Q;yw}f6l z2XhRK#0Hf{*9WMmI(F_?ItO<O4vPQ<pydjvsNT03P(B8<2?&YQCK*?g5rZ^;34FK2 zYfX%8Ri&V$te!RTxr2s>mDB@*xlR_B>p)>X5UrQ$0~eU{xlRO@>)1;A@qodpTl$G{ z4#e3yleBzYly_e1F^*GEzXRZx>Trn`_uD=B^R@WoVhFJ`g?)n8ti#)igH0E`f)yj_ zN8_tFX*f#+RP%x%FfSuYY^KStP%RlRptYmXvm9GsDk<yM<1SUbjq|-!j}05HVT0%z z3~Z3!cSr!Y+PRj~ePg>`xo8TpPFEJ<|Ab&S*tL&on++HZTjNPcrcAS$m0FnPDm!8x zSVYKYIpO{jCEL>0V3$0ms16K6a1t^XC-Rat&0@Cm8BzT$<Ufl;sV1ObTD^P=5t7i^ zV6R<EcF&;E!ghG_FC}gNnc{n)>*$1HDj_AYi*50<2=0IOqRp<W3OOv+Ce~091mzuw zZc`upt#zEi#3*;J=X4(p!xFjb_fBpk;VfoJQjMX|J&-D!Od%}IMciirDaPSQ9*{Km zf?5V~fZGBbddVu@GQxE<i=DJ9<amR`rwHdqCE<#(ndheo2OweoIHL-*7Zw@iAX6xs z)7W}p8LB>7**5C>`<93esMFp%W%;!n{Vb<i6Z6M@ABYX~?ea=e#;rKoF)!mWE#>|! z@Q|D91C{aUd4iyOhjK~-gxsauMNI}Qhfu`2v&ynCZBQ-Lbud+_(D@sFcnTCws1`g> z6)l=j=c+=rBk?DOq=rdl;f~2|G)P%TD63#?_C4`5Smkq)ZD|Ny6sTjdwz67glPEXq zUQM{JQN$Sn*N__f#M}tn=uQ<r<FMsej>s5Qtg>bKWlIR9kTBTRdPwu{VQ#Q>?R({r zuxJA~X=SuGXg4yV0!E<%R7;bxvSr;KPRy#rszS{ZTeax}9Q+Hm6+iJdo?7My0sQ=W z$$>RYCd@@Kh*7hupU~gAA8?u4w!TC%fk{p{2IdzO@RkB36OF}TVFnxA$|z;mGJMVv zVKIu#I7{hicDToK()<raVHoVzB$do8xtEvc?x6re?EOj3LY5*@rFHFrtp!9R`I_k2 zAdB|Mj@MHKA<^1&*D_M2HSIRsai_vCNUW>o5*%O!El3t044~`FOLN4sA>kN+f$Nx( zw3k~HN)pF4Y$3LPEw8XA^NB@Y9e4*RyoIo6fHgksy4zGjn2JhVR0rn9@@{ddFqKyA z;??cm2;{{Gj2jUDZgL74g5Vrkim0s_AZpt=QqBISGMn2<ZO=-o+O%sVh4d6-lg&hK z&J!{z(>n&`Eo}icjr(Yp+AR+`zG~~vuO4>aSyjs==uIa-U*)<#U*r&p0H&g<{*)iQ zAGP#FmEQ-++7Y#RhsYDP*Gf<}Pz8j#L-{k(>4$CMQ@I6ZuN_2$(G<n8XHX0W3K&Mj z({&^NaB_a3oX}NR(!s(26#1G2klOm^qC@9;C{ag+^n&bU=MSF}FQe?=n~>px>5RgH zz^MU87^15vr39nC>3*(uA6v*Mj8ES9N|Uq51a1AV2|D?3TPoJfL4Tj2IU)`{cO|(c zU17_U45Lr3F7H8h^}GPzhH2*!Y1xD#^ruiF2AA>}X=nF!0;4Rzt+7*{&VlbF`GI#^ zeb4A%WduzfC1UpW5jsba;lSLi_V}Oqcs?BUJx<?gS=40$AIrCMl0sJ>uO}h`-Lil+ zFE|*^$_;oDf3tq85X<At(r#!G{B$;2pzowF;Q(IF56zzrNv&!{Cm)4Esd$_a-cuD} zb)gjT0~`2yUNl9v`E@Qu$qN=M$@vBwzj0d$6PR%r9J4u5E*vO%;!1~&=~H2StD5d6 zm3OchI;Ai=4@E4E7~iUs9_cbFbh|*=NZ-f6<E&w(98VHkmW^4ut&50(D_tC#D78>d zlpB{x>Jn7i*)`F!7H8AVXWDgoeRMqb*u2VhnAJKnU?E+@kBu9SS!#cQSj*3~v!|mE z`p)8*)wb*NW1+EhCRdx^c+-2FNjc$DH$@kgY&X(4zG&mCN7^QWF>8lb$toK!QT0W+ zOCXiLXUIa_D$#!DAvhc-{$VHAmOzpi$J&wc$CgP-2plhDE*9z(U`&)lFB3r6bg1b_ zs7iz^L#<nfCQ%#ZKTxnxCnXgXGvUlo63?1EaB3N^t&f?Mp^lB~SBM#tdqRfEZ?xG! zESqq>j2n0LeUNNhjd4vE_L@o2F^$$?E{c-85INd{>V-QoPKG0h0hw*nU5-FHehOe^ z8Bi@h0lQpYIAwX_Tvf5C*At4MW6Y#$L=5rh&WUHb0XTTra=YZepGkVdm%xgbCTlH$ z;Ob6SRXtyFc9!CIzI{T@1Gq{;E81S5lO*&IQ3Mtp5c25Vf`CzlazXHVe~lXE4a4VU zT%a941^LCVf6&6Z=ElG>)C5&;U9rpQ5x;3Krrmq;oQP;#W?^uQp#}>CA>257@{nBv zl%~ekhWsaGA(2NnUixa3pgZg_g8S9#m*-N#dqj(_h-KG=H+#W4Id+Z&B>+ON!ffVI z!G<xKh8E*He~=h#cNx&!G!UY}`9Gh%i%Dx|ss4bl-q!PYa}a(a5e!;JReF|8wlW<) zk)l4~?bbg_Q_!3Q=;}})k?C9nDfm)c?k6ly>Wc6kA8H1N@j9mpZgwoEwa}0iq87-y zsf)#|LQd=lz(UyHu1xBXKUG*VdTm@HgI~+_+v2OGm;b<)Lp!YsSoE|PSgS&wG#zWB zIw0z;oQ5gV+X0fhXbje>qi1l&)i)4l+jpqu@B5*=(EazPAbVVdP^|bBRd*qsmbu+u z!jwT)*_;%5s?)iuVnua8xo89lNx~?nguyczG$TofZ(Jnm7=nG{W>3~nqURK%fjC;7 zLp<jj6^&<PZ`d^ni+#tv@DbsNgHZ6d?~6AI_3g1w@qMK=nF(ExdJa{IPQD1=xF98s zNWsedU)Ncfw{gMpmJwwHJoZ4W0S(EO7Fs}|T+k5tBjL>-&)|Q$Oz#sg`D1sP2N6*} znur)Qzk7z`F;`-C$p&NK6g4KWE{MT@71)K#|LD`>t)@|^DBO=qMK;7x2<j(y(T@S* zFHjrnJ|$mi_-&U*1xyV)T2f-pVmh~L7G?u1wv!)*I(GG1L8Bl=!>C}zu1wOKcnyh~ zPr2ONeY8@gSdMl$cN8wBA%iASVbM2-#r69zbkx1wiQ<4_rm%0Xy~@*N)bsvKD3Yew zmSj-G%wfBgn6qx2lUee_1d1`Zy^0x%h;w^P@<<^<D?nz)hhn1b7&kOn{9|;-pt3+p z%Kb^BAF;*a7hQ1L*D>#xOV~Q84}6$?f%54uC7TsUCog`@bc1<amXrv=32(?Yts%*w z$O9nB<Oqy-O*p{~-RY4;%nLQRqF*aYPju~lk^1W-2RbhH2=`w6?d=A7CM+>D2Mp#v z-TU3m0e5KQXuhbLU|`c>{*?=SO{qi75w~F<b@}AxeqbD3IontaNzK?&HFsgCs?o$? zb+~lq3g8|*KtnXHQ<3=(gzUldUJQnoXD?pvSOp$Bn_}=zKKwPb2K_E9DI(^I2HjHQ zDd)X!zGl;E<;pSY7{D=igjOCGdeh(Ux0w|d)C)Af;VF2)poTaWlH(tF+210#Y-Up0 z-yT9vu9b+)ixLtWDedhp!5a$?0fS1qM}=Npu{jLZaQudq1qnW_7zFm)jAh9|)ISyY zDbl8idU6D@nTrlqH*BF)v88l-v@K|CgTy4sG1{|aj9DTM2$5M1!2#+4IkhSZcz@UP ziQzBKoZsa&NX@8yp_3j4pUo_xvDfV8)u<>K3^w&;hdTunI|}x7VsYL&ux$7H29v~e z?r27pu-G0iz{)`nO(zipL34}3vyp>e<-w!P*@1_Wjy%jKk}{RcU~%8l$3<px4F!(X z*q)rw0{0$3UwXrQAE}~o&kmxXB!+K=<HHB)yj}pJe_K4*_xzFTY-fmdYtJ<)CM?|3 z(L^oIq2r9e+C98?3_CNzoS<GB&9JM@d@6rI<UQBw$OyFs6=*QKs#2G#$jJ#?A4OG5 zi4_RnIfo;gb4Nhdz57hB5)L;I5)CKKt06~MT}z=lBSnHu--4A>m##xQ-rV(5(3B#P zn4#I^=5}o#jkj_-Q{Ynam)MaETCCJoJfh_fCn>cfOThqn%9YnDj;{ySCqyLWD7sZ5 z->KaQcCQD~7EX~sqJ^OBJTQJmQA?dI!4pf4Dx~<n33sgPDGZx6iHx?+Lv0{)5<6(V zxzFwrHZq2K#hr=fT)}V<iiydWg2z<^bNXg_)8R@dQ4Lfp{Z3u)!^HLvFaSZ0bDaH| zQ@fw>hyT^F06!_wXC#ql`bGHSJ%TBGw!e5rD({cL!|;(rk_xwOuLl&Y5&v=`RI8f# z=#m-jq9{Ym?MhK0gu)~t%)K|iA0`x(nR(Wl8u04S^b@;+)!1WaK_b@idtOa+zq+fr zgRcDIM)l^)%WZ_Db63Z~&*QHE_(v24xVfl7*BPb1XXu~CyJITs`CkZObe3cXw-Z$4 zl{xWyV0-5jz0Zs^qFyTsgtutG;|*}2DUI>Sphvg`O@u#*7vSjU7V-2*H?*n#=~uuy zx};TJ)=tCFD|*qOJGeUr8IL|(A~gw|f~DbP$XV{sz&3&ev55DaW&{W7WK;JuNY}{u zaV2f)CR#D2Z%v_OVG*d+I*ODK&y)FG$Bfue#8Q~cv;>3mK-{q%v-8(3s{6NAZY;;! z697ZVkZrhAW<ghLq%`-gq(m)9@kOGfD9KLIzp1ao@lPU<(}|nazMr^aFa#uSTW<JW zc(HpDZ)}VV)0JqK_=)LB$7*hAY16C-VFs**32~78u(dOrJ#mNCbYetG$X5fAmpvWi zWcF_GBDRho1OquGRfG_gA~C3GynPmkXiTECViW5^LZ6M9BR7AnCEP9cJ9d<r(}O$i zlDA2XhYoZjA5Q8RuvgPC2|1zMGY4kdKfJmNJD*1*jgmMay2$avMN>!6@`TQm+yOD0 z3U+pnhX9;A)(Qsy)6#(qaU7VXenAjR2@Eo=V$wr1&;|`QAu_{71lHBp>9GPE8=N)J z{6zn^@l(-3^mps=8D~tILpMHuI3Y@FE0kLw4w14z?{sxeXRdb(eY0_js-wYSq3U4T zT9<g?dWXpE&7Xgm&Ts=|`eQ?RLU|Aor8*PBKl%8!D?Fb?IMXxxjTU7>;nCf_e+xy| zdRXr05p#Jv_?iZE>TjvT+!w7E?UC|PEr1SpUqd2@uQFGLQ28TecWg*#zujsQ^wIRP z7Nw+N`*H*tajcp<?Qar@<>iy)2|D=Z*inh0T<$n0PP4{Y*0@pZ#cPRQ5us_N=9|x& zo*|I&$NtKd)0bW>$9T_~SFJH;VlxqmeFY!K5?3s9!;zVc23B=GoC>LVsNrufs~or} zQ|>Q8L(2!{lvs?DH~|!HAOi^PgEr!p$0@sDB9?Z;Gbs#<KVVf5Flk#P-a<e$`A*;% za4@W|Pm0GAi*W#hCF1Dg%hM#({?~1Pl6CGGE?m^oZ86N~CcY=f<v$!)(pkkVTsBrH z@mYh^8XZ313_FijaH>2n8AyZc1DRwc^o9z%@m~1BU+N<DIl!6gn$W))yEZu7czmNB z3arX_tLpDb188uL&1v4HiPkHQ?x=j#f-!2Hx^8^L;*h*#B9<~{ai%=lFnq?g+-XbI zji1#qH@9LLmb{ZyYablEUu-3!yUyX_@E4{V-0HhH(}c;iavb%VFNS{bJ9%WbF=?l= z>;qoDKyCj4Eo)~^#U}Rdhs2vx3lz~1fq^%@ovG`=qB(MqHBuBlS*svMBKMYaQI0<n z&oiUPLkZ{Z5nTL^yZ#ovh3{O*i|Eo7KwkPHRH|A*4uv7LDxsC2<Zih`wI4D<B;_zH zk&Z^%2&q4N3pJ-x+eaJ(ms8mvEFO<uRPB!yE1I%zP|8Xrr4d4ps~K<UXrb2v4Lv(- z$0xH3JS*v(bPE%9y&u<vjDhHGT;K0OejrVq`}5#*f?Ia>J<%mFWAX?+glL7y(qd1Z zr{&bJ3Zu&S0?(m;N`AP%qw@~_vloc*hCT=%V3wn^Jk#kc7-iS~PAovi2Bb`~z)a$h z9#c=T_6=|Yb+KW{Sk9LP`MC7x2@?ca;{F*HMCL{S?$$()hW7=DbEK8O`x6JgGT7l9 z*L!1D_k=Z6FQR~UIQIh-YQ_x4uwd1#9<&TQ%yfi*(V~}>JxXsq5sto&ihIcz6G;cz z?CRF@{WdB?Zb4{jSIuu-I*JL1t`tV|k{{_(-U*e(Tn?O`0h2ep{jvu*om=VwdHrB! z=n^$<$6nP(RXRlUriw)5AYzCrcxa9*Dz@!Z1capyA+VLXzdT&`DX>dr%`lXs<y$ z$!uhfL3;U3JAoc==OW}DvNG&dS2zTY1)dllFn$7H$v%d^<Vp54+S<fhxpeR}f;vN? z4H!9_+cJu@Lfc$wGN@`2cQKz9gI#F6v>9*3NTV>{YQ+<ZFs@a#aueuN2tLz)RUmPn zCU9xFZj!c(M1~dg#ZYn~Go-kq<%ZOGj-A20Eit+6DwB$GO`~%(h<bpa46h%+G>oaJ z`Rgy+C!kKtw$<k#XnkOugmZK9EA8nd=}9CxqYy1FYNg2uV_$bm<@~(S11s)K%PZKi zqP3S*aHB`Ai2^#rGW_LGLY!4;2HZIq+u164ok*F5#`;f!q%{m~De*BSKi`~3X>18M z-E1kM38VLHiw>TKr_<>*4WsR0jOE`}(Q{3Y(;j%ilk74#nHdcR4Nn`Ioa6O2@WA*G z;;B-YR%sgfyAaiYUP+%C<cg2ib<Yw|e4AqCr$xfQoZcRmZq*ijsvrT$e&hJ-baC<y z0zKjIMGS)66770?-w)el5#Rt03xUVn?WAZ}ECJDy{2OJ8c-Ttvh73@F`A$@U{YUA= zG#VbL7wHSqBX|;D<Ma~+^Z{hT{Yx<MmCsgAnHTaa@cm2n5-#`*t*XDe?zoF>?n^tw zt;(*72dprBw`UiymB|N<6gTTIpRwJjL&*bqaT3n`CFa=UJ!fDc3G&QfPtV#GK*!M9 z=y0}W^kP6HAwo2c0&8fSt#b{0jj`_#mdergPG>AGos=sNFLPkx&fc<OrA;^`E{@vO zHce+NBUQSj$pKPZN3w6VgIz<ANwCVn7y>uYxefT;q)Rk2R%&N(yFn^~`n;BrZ`#2J z>->CF%uVlEDg+x;yf3N-4p=Bg!H*6C2Gtg;Rj$}0!d5hFlKZEV-1q@9hbAsDU=X2~ zGKlw-YsS47nK+gVWP+9)-%f6iV2^DGNPEJXfNNODC+|Uh(14=t<=PS1Kqq}MF(R9> zru^7v$+pol(x~nP9%C)aGDqvk3yqH|EpRy^TB57v#SQdUCfh5$5w^<K6Rv(COB#@s zGVQ?H#x5V({l$$!*!q{oA<+$0p2s(ArwB^S5*S}wHpks`HvcdnUrltjjF(DC>`!** z-kayj^l^_`*}kii^w<Or>CPEqMn1{0^GoquDLTcQ<cF2(V7#&lQMgFMUArM7i|oqg zc!!I{{#qjS7-O&2Gh)9G@IS~rX7uHS1(KL1TPN2)#29$=u7z@m5?|C7%fxevl0^U+ za{C)Ub@QzfJa_+C%68xYC?=Cg?XwW2r3L24U1>j_UHX%a-Mq2My912n58wOzk5UVC zbB>)*CaoRuQ!IA5<&=%BMDh?1h;X_ok&R2o@X~3|g#S7u0{{!t*3wack0RNpBont2 zbD0IPK4x8kM6@5I?;&7c9rkmy`o9nx*)Dp#GoN`nArEFSc)lED+GtuDG!&m)h%5jB z97|_ZEjSK9$d>HQ36N-sJ+@{AA|(}-w)0%x@n3rKq){rsG22wm(MiRQNxxs?k8x9R z9;Z3^b!}8Bal_W%(eOCSJas%6ZGrdR2dp~IQoD7##58%hceHUU*B%+mC~TksPPrNw zY!-Mnk3l=|H0!Lp>m|C$I5Y@sP%AHHkKJZ2U13s^Sl14WG^{&lBo<gqsic4Si-J=J zn1$r+6z4~vAJUdb$mVy2ZZ)P%MODg{`ek@Z(T}(b+|dl(5&jy`BtOee{zQimq41&Y z%u$PHsZ1FaEm6~`2v(J8uvwD*BBNM5GZ3BlJDFvd)YPDzjVoTOgTbkR=Ykw3K5LX% zYZQl6n=}eVM7FqlpmkG+8bX5@PI*u_@9UjOCv-4}xD!%rnxOLXr&acr=BGgL%<N+a zPpl3bo@4gDmUq8JsEJfm0WM%%VT1`kRh^Pm4wVkf*eIz9zxN&4R>Ik}vm$l&&XRk5 zKOIZJdd7kRk8PI8@Vl8{ej`*IPELfSCdjy<T;QNSHqx-nA-^iWjX^tCDf(-!PY@Ge zf^xJXRv7HTeZG%kv4d*e=yi<iUaEt@$zG_zC2sC9WoEM$rY3nnoPc6ktDPGZSL85q z$&1X(@5L<3)bQr6(@mku|65*@xzT@Wrul#-jEw4#6#W2R<OMxbI)(|Fgpo}xN#H3b z8SnCSr|7d5iCh;SndG3Le`<EMKYcPfy<&lzj)2Y4a#y>ERrJO&wu;jEOvMIyG%IYT z(fH(V4YN@O_zQu05plmb&wxe5ago-DH*uM03s99l=x=;-@y<In)IV~GP1jCi2cj}( zT-c37iv=lhZ?tKQZ)fyD=0$4#a`^;dl&rRh)DO`Dec-uh%hadk<`Au9BLs9C#3cd7 z4T;MYLgyvzp4c-ebwSBam6~!JP>y9wad7JDL|uNjwXs8psHc<gWs|yQWro6idENz` z9VV!uKRYX+P;l>=tCJUOo0A(^9_y0IlQsKbe}ttCd8$j+KlUseE??T3y_Q+_SF&@u zd#`@uLE`z(!Iu4PfTaFl^(PjA<DhT8elD`NaDDhvEM~JjNp=P2T~f(Wl<92GKpydI zknThCV+?U9k6riZu}i}V)x%=|&U9$>zc@4cBxsW~$Z<B%A%2T6p93jiK^<ROK=J?3 z*K=b>4q`>L1`5m-AQn5UL)Zwpj_Y(a7y5-`bL#WRo=`P!+{wj#5bTT!J*YM)A2)<1 zDh|PG`qMZ7b0;A>Ts{kORI7*N#hly?j}B=PvMr;1DvQ!IZ51>36O41o(qFwTT5Rku z%KQzGGajaNI2F@%uItYJt2!pMz*;SUw`7c~Cup(c+|jK0owg69bd1h@A}9nk%$T<E z1tc%M9D1ert87=f)30aI(2`@|x9?EE*TgU;Io^={e)~mV)0-e$Z6}&}_21p|&1Z>% zVY|=mfDKw|RN|ohllp+Og_)6&;Hk);R05U!fv|!Eegrzuk-yM!ppQ2o&OzOEjOc{- z{Q#4t0s<RK%T+$dkAN*{Op@2Jft3aGE*s=fWM^Q1{5%Fa>O-P}*h%j|Nqe}ejCIH- zjT0Liw`!JtX?=zUf)sjRGZ%NvUYx^~OGUNH!aaSnera+%((j)K^s^@}nW(G^A;nVD zWJDXRw2WXb{h@_K+-)`mL+SZny=gpsWkXV(ei^9ff=G3fzzTm(rZ%-RbPtr)cEez? z?~o#fAsG~xlk~-?z*D}f1T5K$Ypmdtez9ftMO$)2q~P4Qug`DVws^PPLsk6Nb_~ny zi^`0qG%2~bT;2bPxARHA;SYa>5IG^d8d{7oUZjL-c84p7QZ-b0;FE1rSPp2&=eY`e zD7EpnaPTf8)XqMgDJEGAW#cb66MWs={X`MpQ4QZaaEku{nuG|Eo26<Wyl;3n$Z4)I zM&qp|^r-<;R<q<QToGFLQBYBtJr8%UNU|(_1^;Ef85M=&;B;eRcYiwYpT=jOizvfl zCnt6tm{|L7yy!`edYt+G4_NOCdxII+kB_)->@_6FKHQ;qDVK7CXWVtxhIqkU8j@#d z1iCjqq1jfUS~x9l9nPlgyLiEEP7<%%Us0_reszHjbwON}Uw7|EOM%HbgSu>*(yze) z4W@u*6rWVMi5I7LzUIHx(rGKQe2;RXG4AN8xrX4H8w4Eo<KeZq*qe3_0HHVxB_Ysd zjg^kYfAJRA-~Zt)ZWQ86op~|U%t*P%TXBN-m42|N!_3nf=oCYldvzbAV~_t>Im4Ce z&IKS8Z8<IKeFo^Me5&x+@JCmAK7oD$(q~aUpnN8=mniTMjS-Gd1_oY)H+P(fk^=5y z-Vh%$p3y?0+xv^SXEJv-RzoA_zb;%mJ*oE|y%;O6W3iMo2>|@XQBpX6*coa9=oWO! zG&~Caari_e{lQ>vkIwv{43VxmfTzzj5R%OH?y&<pYt!;xNq_6g1)!@QjraGjbD=0{ z58TClxw&gShI)VyY852wAfUqeV|uRCyIgvP$LTz0SWNmS^YtP+lN6KFdc&~T+U<Nk z!M*qZmorwzL_%FJm`^mIowu<gXiMpXHDC{|n!3j5O_ETUa3DjJx?F!-ybgU&!C1z` z@aL^wQLuq=WF3N5TVx!Wq6fXC>74ADxS`g0?dJ+4y@B^Ez6xS<DU03(IJlm4K+!+N z;!!;`(sdJD-AtW%1doIGFx7c&ypKxM+J*5d;^&H{gbIFI*&BX^y~3(`cE2k5P7kuX z^!m1}_~wW+!2WE<WPdr`pDkf*H7`2BP~04#=r8@wPPjqqpRF|IQ=XbngO{6xSe{>n zjVbXW)x;T#I4Z0w^SZ@W(PjP`ysf1rB2(m<PdpIRR_B>l;Hld;)DBT9@-m|u3vH_N zG6SQw`{_KF0blu&0ql0>=xwm=@uZGV2g_+`uTebUL)-%l2aP7Lf>GZ4?SGsPU;8Un zSq!;LdFi)f&3qn&B?w;4uMg!#J#UmQyF)#5VT46+Egk-@ii%#!{uk~noR&<);mV$? zraGLGa~FS}jzFC(nx6)ouhw<CtZX*w`QQJFv9`=j(s@yiln3{(ol4kRnmdejr1{Rf z-*fgN4M6JSCCIEJNfY~lCzA27*e+)|c;J?DV?><El=&al_Y5u@c%K{+A{`$r3?ov5 zQ7B1^ZaFNKOFDO^%|D#RX;+7|>3sY-_OLFkT8eAa(X2ablv}bCcKT3#u)W?~nT&i& z?)Dff0q|B^rOfttS@N{#EF<Wew-;pPwXcKKum4sL-L5boE{2>edX!fH71dU^``mL? zngumQ3*;8IV{Pn>BmBKO8gM7pq=D|(A8_qR3qBcaR`LDqks>&h^Rzwpr61u6IX^F0 z{|p7&H87QQUu(T%E?9@XoT4{$XI5(!CtQ)Cr3XyN>~y&IaCNz_!{hyjxr_P`)v>qb z?t<{)#<G=KU(J|h963a^o;V_ipGFFEz8gSaQP*euT^FT(DAbpOMhdGTqmM|#Jmflf z9dDa7{rQ(3;%Ji37A{|YgM`+))5DT|kVg|FG$ke_WEsBJCaRwy<hAcm(?XDqYu8sS zbKn{P(Dcq2|GN~Uc8&nNyI=FEHf13~Q`h}qaroj&9gu&sjQVY_g3&G$9QtzABICZf z+{`jQP{p^S|H)a!_ig0EGj0q21qBltiV^Q3OW`4E-bf|ga*%pWzGPi&);KIBJS?5C zu+0?l_q%W-vUaDtohcnh&I;1oVjLlxvjuK!tlJw%a&P(a3GzL9YI=&v_M3P}rA_j5 zINW-sy71_$8qSibR#OmN;YseNI?`l)q;F-Vt9cj8aJ3|b$x-aGjixmoG*A)zcZ|Q6 zpuL2(X}RNk=~BwDYAVzfVa;{Y2)6KAB|m@47Oq$q_xEDOsBJ|sj0%Eo>(<Ta>K(4> zearGnTdYYKMkQVs^H9i#*h=bfJ=4y4M{dB28dlu_Rvo)wGA!P6EaUz#)4p9umDAgt zq4AMPnjx_C23A7~{7L8J&_Kf8_@k@K<<(VlHHM?ykfAq6K%s5jow{I~>$l&G)ih26 z>gXVXIoDAI^gZ$W_R}DI*#~YLX-~Hoz>mfFH=8NE|ELYdYvoM!r|+xjN8G2HGoHzH zpyB(;`8#}fT^`?dd}ZY*+?6e3@K7LDhlXv8FOm;Js|NQk-FHSd(mbXx81Ka+(0_on zjvj`wJTG!Da-9{d<$uGuUzw+`svzD?K%Yz2vMC%PU6v|5j7k3v^!WHOqCC0Fu1LE_ zsJ%^0Q)fG`pfIO{2l|(soDLPi>R(XzJsv9>^Z9>%lOV3dIm1a!+A2j<{=||fN{N9; z%e7%cwPSJTTU7Yfcn4KzB>Hty18Y!#%4L+IQ5=)2qc$j#^C6}wL&?=c9v2|;F%+m2 z@{Q%?qzeEc)T#pls26e{70CHuvy`ER6_8GCpn*`?OqHQI)KSk1<+cqrDkOy10wJZv z<Ap<FI<djpvA`O1!Uh`zMs_9GT2%5K>EeU5u{c~6(NkQhW|x&2)3@St?df6%fx$c0 z;xxd_Yx|Yuv;jdCBh`iG_-)WtVtkA1OS26KnqaC0zZ`dq|J_L!=%-S4m|MObfoilO zK*QpQrWG4NZCH|M0+>;@V*&P1Z<3>$z&89>0G41Sv*q~r48(+Ecou~kM%0157N`wO z&<z^M<uFtUUEj-?l0^nJ5%QDKBy@bY4B6`4l|w{WyuYU%<}OvHcc&OsmeULm+Nc=W z8Z@_ey0yuZeb6+#Y_*fvos~)+L@OOtfOJ~o7gEkUuYYh5uB%f5-Ec&VO+262BWxp7 zR<}{&Tuc0CkBViPEI4ZsNnL|gVtPrX!p)jOAj!w_)4{I7Wp(3pZSq8Ul=guUm4j4q ziN*;t7dS}j;hyfB$eo17>Vw!Di%9ZGCH(F(8HvNIW4A3SPSwA`j{Pq!oXS#(8;p;o z*6_TWDFGTLceq&CD8e^+VL(%ier=3{!fY!oJ+Khv@+pOO?bcASM#0D!FKFWD#!Z`X z1Pn9q`Y(_w`3#o2Wot>x$p*p5$zN#V<EwF}O(di&R0&qUDny8ipocAV4OHp{Mz9@} z3G3$#n`w4@Y6Zec|CTYEln&Ww8aVzzlVJU&LQ<Ew;3L|{YZR<75w3hPe%suRi^Z}j zuCxc+<}FNEAJwnpW+QJ`CkI!F%A0QJQYmJT)Vr+6Bqp`U!whUKd|OvBn3c2;IFh6e zL{woyIV2-10*m4;1y9j}ov4AHh>L}`8D4&^{b+<7nyv%bq_*BXkx0SFe1;MV+T`Op zw7CDydy1yaWmYE``5dsDT`-$exX(-qN?Zw-r2}xc>MZl^<HPhrs{^K~9o6QDgZ$B; zk=ll`AQ1^vh<}g$UStkm8H6rGlFF3^cU^Q-_FzJ=(`3Y5A3fERp!nmd1K1vq*OC@E zsT0UM34!_vL)Mm9ILC~YEH3Gks`mLi$IW<RdUVz#ElM?yWEG5yQ;VR$gn~iZM1Kz1 z8zn2Z5>cTZRz-RccL8}rKB>4IQKH^Otf6WAULq>MkfI(sm)X%g=H|6QsQS;*U&^5Q zabf<!mpSB2UfvaDr1>VMJ-IeU%AE8PU@c?g$#XP{38ivvEVyau`5#$z8kzG_ovVp6 zKQ@HmwkgUN#3$yz2hpabm4K?g2WO=kR}<xdHiXc&Da#lBPtJ_LHdV`&GH&E(Of739 zt3~UFhAK5y*NXVn7+<RDYTU43(`K22el+I9xNue#MYs&02!QMreqqd%!u_@l=)FmY zL-K%egyBd{b5RwcI{&`#$CD}Ij>mryrUrJY?DFHYA<QqprOKF)#}d{aHX#c_Y2D>O zD%8)bNyesFRQB7UEpd?t%vazBCJ~~XCt+Uyod_f(pID_dqd=Z3Ps9xDnFs_XpMd?a z8966t+Vu=W`q$~`!AX=T*~O%^EnV(%ppB`qscBKr)5ywx<rOMT@NKCU$|kzyXj@AW z|CS^z3Va%w#jm(Rg^We9+JcdiPx8M6ijxNlHx2nm%YWpGoB2`sFD(<rFN{x>QyrsK zb{P!B)GKAIi!2gXB5enrc8R*AkP1w`2d(>5ywd57EG!3J7*}$y7<1t&-OL1ow)4DF z&*`6|qjpdGQB{LB`_=<=ii$3VA#KS{mKUFlBZxT^6GyDZ8seIbQ`~bZZHCWHEErR@ zQ&n!OVA&8Nez7P5Myy7@hmBgkUtg&@CwOJn`0KQ!7JkZiyHH&d?7Mw`N>T+k{w?3^ zcwsJ?z1Orvzk}Vkd}0$?s2+@(Se^Zquv_25t5PubvLayTBxOHT)hn%V&rQk}xUt}B zl1qTNj2jtCQ&oRxcrs$^(aD3jjaoP>Fg=`RML{qBmqKl1`M{6=A#O3VV&$k67FpoV z^M8hps|@Pws))$_he8|i_K1(j%}gzHir@S8XsoAVs8%Dm>0lgGa}cJicd{^5HF#Ff zHM;1gOw~9H_KzNq6(RE}KvMZApG(Curp$lhRQK?8=3vT~G)7e-Q|Fg2?B+;K1uFDJ z)(ohSs~gnK93PJai5MRMA~8I(_zt`(9npF|Bh`7nbN)CjFws$qXKy<u#n+}6?&io$ zZC+GLh52ofXV6v*bnwp-TV<o5(4`FA`0LM51sIxu)p06BTrAUS6vxNoDX-8W;bz^H zz}flpaxlh7MXz?U8c{S750VycmOF(?N=JTmK&1jD!n|oY#UY5AROq0Y9_K5jB5$>b z^P<7D3JE%h3OU9?IkXrj(`ze@<6Bdv+gnmvfYMc!h=~bAv(aT>v%y#()litI24ZEZ zyzE7^RI-bK_NciqG(g^LwNlLP(D*Gn>fXg*HeKL)G$7sGV?8N6$a;uKpYwbK@Ip4t z#ah%nx#5S+P6Zrpf;Zk|93ZuJ^J`8hKvnSF+%(Ih$#^l^#p^<h*o~zm(B;tFK+^=L z3wJ9-me@_$gNejI)nxNxP!%rZ@Ew&*eMiXj0=L2V5q|ZiGtf_1{`W*GClsTM9N8tP zu~P$s?hN)Q7l`GU6;;#TTEt0aC*=k%i({ZTDP$=Mf&jG3^G_>+8ovrl$B4(6`qdFL z(Fs&!=|h$BB&Tw;w>G^vCPQ*gMi^Hz`yG)uvH=~uON-R#E-7khHatlbRJb&ody|T4 z6GJDat6dl4{uUgJJ-#n=A&bLcDiwh;xfV_3$6?mboT9ZJo$+t@vP=^@6+Qwb(VU2{ z=e#OBB`2qm<r!lA!nD$l>m}SnL_KjZ${^Dub}t(|osCph{+_RoBTmvWJ~@IQUal-0 zdVP^kqR%(F!LW`-9+XDO@1nhp4O>egMdk^*P;pqwWvSprVYh+zk7P$n<no5P&Q6q< zY@Tc@TwGl1)cQ<An1%utmL?@(+>U;BX(l$<|BefX_W3VdIF@Gfp&b5In1}UIJp)^= z-}TPp5jh<fkzfs3Co@{a?bgCyzT(eu3sON#XaFAq@(|(gg8f$@4%d+mq;q^q>;*xA zW!2d=8;Z447Z?<=80gZyq?&K+0Et<cGWX0xt>HyIn+MGS{tkFq=n#5|Nczt+L+{&_ zSEJeepMZ;P#8wK9!|%$f!=x6T`!ZdUy@5vZGqAG_PLT9d)hB^Y94a_LM{5AywQj{n z&Fx11GyNh2ktHT7y`jMbJ~)b{QIxe5m$4&DjTR31)qkh9U#rSqG;}HU#$J_N*fdvq z7n?~G7kY~(7^tK9qW@BG6zIa)*eXF$mZWxNS_5Ul`Qq|*aPaYZIBQpAz1iyYz4?Zu zDK)#e_?Y8foo8ree&g$Gb>bhJ1gfTa+2phRt5(^)HHBFM>)zidr$tL_q#%gB0nl;c z`|ydfb2Ust=tX!WCAVHyG7Q$oExMikR-BKS`oEP0vLDN}1XujYPz;Su<=lR=MU|Gn zv>4#5x+G2-3_5D`;lw4~fTJuNH_P~z`LfzN52e}CSsD5`SxRME{~Jh9Q+f&j5g1E* z{1JgXOZLFg(QGXvI+%UL_OROj%InCrXbB+uppnXX@+Ns-&r)9mFjTX=?jGd;nbJe% z6j;E`UZMO$C!q5EzoQdiQS-9GdZ%<h8if3GFzNov`W!iBKaY2*ahc0W{mOBQNjmLk z1y}@f<wk)@8ZLC$c(F9vn03TUh(n-28|=igcQc!fc>ZIS;Zo0HEm*uM{Sdz6I%nIx zpw%~w0NNert*}-a3&PtRYyEo(2BIhojzvcF3GS9o1l4j9@99R>>Hs9y*Z)*UO3uut z;-&vH$7`K+5d4$x%VZ@AFoQMA*Yg>m&;zBWd+6xdF_G~<B(H-hV%1ScDO8)kH_}bx zm5$NtPnc0w{SVRWZa)7)uOEOH!TuY)PR*|QWrgS&^0h%|IQAOUD%4shNHGnib`}jn z%KdNBK~6b@lV6q_${d>T9#g|i_l&<{3Ip}QBBs?WP?>rRH6`?CHMQZs69b;0x$NXu z&6&uY`D<w#xKo<jE)VWw;^Bi6gTL?i;Z+oRa#Kk9T_jSkNr*eAovBQ=*RKT>sTA?# z^8e02Fg$G{Emhi@s!5-um*D>2AP7n^W%yWNT}iKJbh4E-tsow)Hdb3(sejvjbS>o) zZ$N$gSw=eib(*?)2cp-yyqq6zEv^rbZ;M6pffkg2tK|<Q!nP~-?wVEq6TnVicKd$~ zU>DR3Mo4DT^&56$1le^6uCK?J`{YFom0s}<kodk+uO0S(>Z_JIdZXiOmj$N8+4AjS zeC~2~1bMw5P3wg<T*Z1)L1(4D0DrALym#^RY#;qy`o<`w%8t3+#zt~u`WC3VyBFUD zqTSnIF8A2kogJ#{%u@OElZ7_^q=2;M=_ls#D9dwm_WPy}@`+M<or0@py|`!T$--Qa zYg!GmM_ahi8}Rd===H@#p!`YSYXXf!A~Vf0H9E^$hC?DR1fl^P5D){6>V-6xq_^8l z7Ej(l+i|u9)WVSu^2&u$7R>q*#u_01!6y@~-8@UWDr-}C5G~cT@Zg&G$>-B?bO*$1 zvJZ(5A5T^|RexT{$T?wS&)-cYl`864#!9D8Jv(;#iuqG#0X_;C^dw`&ax`X<j&}{{ z@*4NJt4P;<^DShecdegts%XSNRb}3>(44_uNO-7ft6;)V79JDAOvS*q#&YkJrzh{W z);F@Yiukgw{{ybDOtw5WsKuvW5JKnAF^xjD)GTOeHSwk_=Nl~#XbSxB!h8o~a#dUd zW^&y0??fo#wq0jiZQ)~u&wJ=y8;@dNm-yt`+A%(ES89ESmpFJj@`UKl??YTb_aRd= z2#v)twjvu$O<!HX{L`z08PNZP_G(@9N9119?^hd#;v0i9yIpW7>+fdTjl)Yd)ddh3 z*5$C8UrU67E22agZjk9t_`LI3sZ$pC2o@92s}OSTc6J-9ts!J|_dCU&-aK{X{^%{E z{cYT9jEjiPxalmn6$*-JwDws#8w%;arW%Vxg^;1>D%p#3)PTiEfrd&F%O)tZ2dd)A z26anY-<0I_bJJD4rXqM?N(jrgv2(M{N=$LL5WX(YM8!3Acak{A?OcuToRvgKAc%jv zDg{g99WsA>G8DuC3k;Jq`Gb#6r*ZrJ;zSqmCVzNq$;7UCuowBU;=-y=>ncSvm+V%~ zM{?767upk#*a?x?6K^_I@-o5Q))Po`(ZkhG(bgD@f{;yb3hdc1)m2C5&pyEs$zt0! z$F}UK26D#JjOg{(CI=0ANU{n?u!$@8Os_xbx&xecr9g%(M8>zEFUspSS?-~At>$vm zHgR4LKG=bI55dXaXj0_@D-(s~)ZSXw38LsoX83B=TxcC$fUM~F<I^^4Y_U((_ixZ7 z5H$VE-G2}mGG@OSdLn*YAPd+&8r=QpS?TebeTte?pit!@$7OgPP=yq9E&j4kJFg2k zmZw%5$0&~PHMVDpo@1<shZ8`#-CK%(&A(Q$|2)%SwslrFnfeNh^-x3%)u(mCOws(1 zjqVE&7m9-dMpL^|QoABf;&iPvWD<IL?p;@~A1p|lA<YzSePo$tpLz;#kb}~o0LZys zAB4%2LD)6V7^mPE;{L7E`tuWH=Nh!iop;If{!h&i!Jfg(8xS1wjVIs1G=y!h)7jhq zPXO%X)$jisfSn|D>wgYlci@YpDayC*lNR|<Ve>C-Wg%EFbxtnBFH0yn^+78wWzAAP zNqt6G5FwgH(E0nFf60=ieZdpl<XZv^7Q#JmDv~LV^Zv~JsYZtI3r`EP=Dzw!Ng-Sp zv{>KjN6Y})@BY2t`_n1WG5q@g*CI}46W_75`_o8QbxPfT!y3N*TaOX0+r0ljLtrmK z{O<(z!4u8ZopiQaAmJb5_7XX|VsaesrT8=`#m-@GSB`AI{YqP!>3X&?*FNy<K|t5( z!BpB#gUuSg+9d>3${bBs*XD^=4_%ZdGWw{60%`T6pd-{_LX(NI7LLsQ(lAB@ryg?W z^%XiqOY|PD6W=!K*YVIeHQ8oiZ}JxYChO@f|4GOU=i)?}oW!wgaO;3wxrJTHAZYS_ zRl{=F$8z{BsM_{%Qd{T9G{N9sbP2m#b{or($h1ubI6^ZRGO#z~^a7M{g)Tr~)TZ(r zPz~JdOv;RY+I=F>O3QudHy}AUn<82i?Eux4{yBlVwX&HwECS-b=9?DGly$nKmBpoB zibBF8*!%703|qb?<SSNzpL7P{V2$|GIO=w8WISa}F1gF@vZ6JdH;~(G-287e*aG%3 zDF}<2L%@!Ie*da@up5uzD!f|?Xvk*D>T|LWCtC@^xYbzxOHc=EE~^=Q1>Ib2xDQBw zdYj?$n)m}*6VCJeADsQ?Z>T$lDQ{OBVOk!g=5_XB98KKt(eZ<&fMpjkMsafSzsMA% zN#GN^$CGf(J-LzpB2!R0f`oW9U=jl8iT4;T!~TOz;q^_XK>8+AxL*%?|3ju|2_!M6 z>$!IAUJYOH{QJj%p)SnYUYsAvKb$Fd0(x-kT9mi;wo!cGV9ItRmDe<>eE)f}2ghD6 z_Wu=Ve1^;ZH=W|(UvvuS|06ZNl#O{hcA8I=@m4?!`P+;VQtK)$aUCJU{r;TwuN@=B z(DYF>57>mi&d0zDjtJTcp{?E1PvvC%OlS_%xBBm*C?e6LklA0~uvyrgwBEJ5=HlE! zy~vtvmA3Ez3~Jz0X{F@TrH9@7h3$!#krT`AD$6~YFDng4wVPNo6=x0SG~JCcS6)0g zTDs`Xmc)><zr~>04m%xencw#lHUe084JsP`DmwVuT^8m%JGm+vtBZ|Lk(?c#Wc=Qo z3e~I9C8`&si<&_Bh(yq@3o3uI)j(I>9iL@6;NX&>$>GYk8R|t|Y=A`C^~se{Fvf~z ze*`9WdiTMN7ppnTfgTHoZ;L|3)VA|5x_C2koj}dzfe$Zh;$wO8U?G(n_M7owSsPm$ z=`9G<I(ZhL{Sws1$Fc~5RgKVQTuqdaA`xYomv0N1CHa2x$37)j6vRE4krP$NwopC* zUC8>JLaW@GBhqYetp=Q2+kCqm<}$S9L_?~QAJ2{#AM36l$eGwk_huK;jFnXKMYgNM zT}m_^MOvA96Rz0{Kay)^IyXGT=DrvimDbBxdK)!(G1kqi7kBb7uJT~X^A|aRez)p* z7&+`2T>?9SPS0Q9*KjDB=f*DX9XLDJW$2pcy1)G!d^o)i=C<`>#AeOy$Z3fc!ZxFC zciXSA6%GW$J>;$nH<7P%K)J|y!MuZ>5uDl`z<NT5$<V&|l{KzOqP}umRxf12ziPs# zw!pspBdnmF<$!q-{18aC1^=HMZWe=jkptmN^n@Z`=l<((6mo%4pfO60hDd1)a^XLF z|1;n@%?}~@&<I@d;&=hZML%0x7fx5>LxT$X7^omzG0<Y5tr_Zf2dNH+@e)A)@OG`2 zzLj4#<y?hvl1qyW*|ItI3PJ!u$9}Mz-J2=Lzl_PdN)}cQ=H=+3&@;o5p4%h_2gW?) z)p6-yCa{+N?HeA|@7kmV3ezimVvMZ<0_VA;si<*OhuVTX(O=5LXmdZXY+fM{h5n1w zVT2{P0F#EEo)zO|tbPwSf)YsiQi#shR0;?yLX$rtaOq9)7;aDY7kjzfbhN^@&X9EO zuP7w~LH^-gukQ~iiqz47S*vD8@;YDAFuFST`{$qFgP#Q$#>UeQRnN_T1pPPOry)yV zS-BajFq%Qsy5v!=sT;p-8NjN65Q^>@)L!s6ryj*Se&vGks<fgwTC>A1!}aA?3{cx8 zpygUHYQcuM9#TuizER2T-4W*v(};Bhv{FFBJ<5inw6Sl)Im;n#{tRhbK_mQ=e=PHN z?zn^=KIZpzhSw;$B6S#}P~i!Rc-G>c9~zEY-DayLM9R<WJ1yA(84U<w`34|lJX%|O zO$&!EPG~~-7LO2CkVxTp$Q;t=04Fd@mg78>Cit4dRn>6<$Y@N3-ZnM9h5%h)J-8l$ zW(vI>Gv>aAIjin(I<sk~lEA|;jJ{z<ejuE-1MaZ}`>G1lkNRnCI%l~Ly5zuS9lC&8 zLe#)yw@IP<ofww__%oOZ0sO0pO^=fcc@H|KlTJ|8>1RWKn{LpV_A?UfGGBXaWToJ+ zFdzNR08bu9=P7k!0Cefw?DT;jHL*ZxIKi1fOG-BURqxspUTe0M%zRIrPf}}*%M)LW z4sQ1+RH-F&Dkq-iU)kEKD+`=Gv9S@PuvMyci`F#8B7>jWe%_b;>=xEh`6@EeeDJ#3 zjEHDAn3;a6yv&d=sNs%_I)HivDyml+XWbX_8HS4t+<FLHM<)k|okyuzWaO*3$p~!$ ztT^m*8QLt52w*O4?Vp{U`%(iNjvZC*AVu&thm)1|8LgKQ<L0yD&W?=5dBoY@#L`_q z_i_E7Y8myLgNJ5xvGpZXHN`pb9|ybVr_AQVKD~OY`!}oF_8l5p*!8We6TN7sGrBU- zRnfDp*eB6=rslSWAdSh2pleR<zrTGh9DOD(?Lr-mq~W%9T(HhbDvlTXpzPGfBk=BL zr?d|uK<RnD4Y*0DoxIdja9+u64xW%z?9|~M#4^jE*{5RynSt7W^p$!ci6~`+2C;bJ z=I21Un=u&fWm=Mu{_*Fozt+pI`g8RFk)H9Li&5T8BPzC+qY&P$o#0BTffZQO@J8{L zoM?8mxqAUdKQypmx!Kaa1mkG`w`yr*hZjT>yH)>`n6)J+rxE~}zcg6M{_sY=DtWDY z=W_ZWFJJ#N^I)~nLry3XxjxRF`^&=oD?lZYP8Zr-)rOQoCNVJO-M^Rwo!bpDcA}cO z*z0=E`=#>bYuG(0NFu|sjsc&mtrBfhfJ|R%9ViN7{;r|R`+jWp<EWJlKw4<Nwn3-S zHaMIWuSs`#PpxgLS4=Lqbujw?=Hh_e!0~=XxLjK>nbzwK*3~7^K)TxE7J)-{`4}Y0 z?RrU4j&^~^bzzaq4P1RFiBPSUXA+C?h3bl=rQ1ocKJWDqAV;-a1zHhfeL5(?MspjV zotJ*){ufVjJ~5$Eb-$cPSa;<~?4t{qO(sn*Hv1X%Lum@<{<JU}wH)KYS5R+hlW8c> zP%P*z)`R-|AxWiO@tN!wS53-j9L+6FR-WyiC!(Bvjfq;(L4meSD~7$V3}7wnS?k#f zqy84cbdB>xJfpKIzs<}mvfKkT(2E!DI33mH$0xoyMnN&ua1H$KE3g(1T{gs-EeZ&m z?R_o*UVm7+S`WM#Os26FHj9(iJCP2BYgH|mvYES1ON<B5=C#Ie?{w`9@0#bE!fDk) zs&qq!>yNWmsCpG6FZRVAa87^9<h*gZ@AMXH#O}|wWjP_nDNq<Y^NNJQQOdzl2hCC0 z_Rj6YT3!3B2d#$kH&oZekB_y+HC}-gq;$%{Ok!iQ+Gs#_O#J~>4X(u66Ekna)yG5_ ziYmb=o~t)u2lD%x@w8^-bG`06`19Yn=6-LaPk0`V!}zq1iTg%3q#LW;<5hd6_5}v6 z(%;$MaGxBs0<^iqM%=A$V3IN2z-VotFQXhhC0%Jqu%~nwRzhHbqY%u$OW1`k2xl4N zRcW!P*dcUBI3bPM)-d|x<6h_cCLStr{$g!n-F+QrcF)6VjjcV=nY;Sp?q$`*4z(52 z*;#7$tI(Tjb7d+I7ZeF=V#{O~E1md7Yw2(i{x9m@F~+uNVb|Pc+qP|cm#tm4ZCCBG zZQHhO+qP|2b)D~=^X1&!+qb)uPCET-%{4M6Rx-2J%6#APJXq=L-I}yIDz@*jR%mZQ zoW<%jIxVb3o|(okDl7xbCShpS5#8<V-FG3@%U90AOX~<+q&R0ely>QK6U{c$)zf)8 z`O<jn84>r<WVNn`3c6h5ip>XKhbm1I%Vz0lmYMNZvgh+{oi^6<T~W`t9!WBO5ZDda z`hV!ZLY7G8AEVV)>(?~zU0*r#S(}<efZzP+@I&r@{?$*}yW>)YS5N9%qQ?)WY<dnz znj8_^u1CcEFqiNC1o<s7X|F0Aoct{RA|t~u^?Q4;-5@^K!VE=n&kn8I`P7v|$Ei*! z@kZkM%AM$Y_rp>zl*Vf~ou6C%`vde$nlDn}!eI6KNc7t)+a6IE>383zPnYVGzSZWB zr)lpvN))Y^c#;AbS2PfBioOLI6@u*sF^@m+*uBei_2sR-DrV+&lR1pH2sGv0-~F8m zqn>8aON}mF>pFYh*o+97_9mi5dHbhMxB7zd<PG`kRTESzTc0O;Jm<Wh2k&|GALOhL z`A(23-|vIT*~7*2djo7yT!dX-2v>nXPJv_rx)N2g6UGw|6pscwjE5*lho~QmL+6Kn z#)pKht86N9dp}hcNFU65&hnpv`6DN@s@bvq1TE0cI={?1HiF9=(u3Geh)J8TNpJLw z+>7*YYIe~=uP3%#h>ZQloeSe_PYJ*KcyB0f=!jG)5A9+QVfi!R+ziNu$Ml(ngKbYg zf5D+{5M0oL051z-_9?e#$;GSY7M(KZ?!`eZavE{2^js@tXt(DOs7tq?V`tZ8M#kp; z_o%B4ZL?zrZkm3N;8-(^txv^v%V(R>Og?YW(5I`8;_-_P;UgW_^N8v0$4f5|o{ND_ zI#wIJ`+?1kt>iVD=dQ8NYNO{a%Gx2J8pcFOWW$*x5={HhAk932WYZB6%)4civ)O!t zYUm@piQ8D<BRq+71d8AB>+Yv+^x>BO<#mSMpLSy>q~yNECG9<6M)E;)c0qAP&Dg4^ z_3$ei*QE!~FZZ%6ipw*KpUa<41gNv&lM2Rd5a0@hHJ&{rDNK|evo2AYvGwy4vM_Wd zM>I#2-q}7RDan+c(GI~+&ztF+PaT3RDQipr4Zr5^Msa2+A7D$XhI~mP|GRU!gV?EF zT%lfU4v_?NbF%~a(GNMaN3pI%Jb~a$_n5?lEi*%#ltZ16;{@S=#DvbHV=a}qG0x;` zcC?y9{LId2<SSY8^7XyEgT-Q%g>E*uGYPmi`FgMM4==7#2leTgFFwQs4y}o$SNY2* z_@oeIY=p)1*F8==P0&eEZSb%IkU-3*=*P281cIA|7pZyj&x4Hi_U)yRBGWV-*yVXh zR>fQ=1Z@WIZxVQ%|5bSP2g1VKvNVj-HPkJ=1c8CwqxpU>vxriIZp&sCz5$>2d1|GN zCHT!XIP>;;21j|m#)YsGuP_}8uvh!Of=KxF`b;ke$eZ<ul-WN;EIX1TIHVZkvKo&Z zdtBiN@;z9?CZMLepc&>Vylpd24*^AC_`E-gVK6DH-Hn8-jl;RZ>q(@#@PlZB|M6y} z4rh~e_)Rt2vxzr#f%L~Q9NgaseVj56-_rRs|EEgdRO^RwywuKp?dU|e&|W)-lJ(ix zH{boIi;B<t9uBFzcLWpExY7XTVU<NCy}a4iJ%8Q0zgz3Y@#GoxTV)oxab3AfalP`7 zsFh2*)g{-9uyXr0g3)79Js;ErpXi87{yUaao_Xk@wQ+im@qYHPtjtt21v-ba@AX!< ztCzD>nFbeEcSDqmvrLuDNO?J1wYZ0do{tG>6;#f>i_G>P`M`II6M@8ZSkqV*T4s5K z&DV(}Fy9M5nfwK%EQ2{t+xMZ*GZOddf8|qcGYiyUG~SF1B1N!)!4CZ?ZIX~W4F7Ng zpT#m{9QDL8!=d8HelDHLK29H1Ua8(&-P|3EHt%5Aa4lJ!Z>8X!Gkde<8mZ;U4se$J zLkyfI_ViJtov!+A5;g2zd0Wt3sDCsg@zTmuUx8(yZSgQTt!I|n3-0q&%|eeXr#h16 zTbiIc*lBm^c_a(;AoH+Y$pOzjf81op>U73i{bmVz&RK*8aUYzj{BHe6F%4v~{J2JT zt#?g2X&YVw%&b(#a=v5^J1ixbR}QoM$Z5a4)akyUYBa&|#t;K4dg8TEK=SS*SQ}CN zWPyu2>bCu~dE1;;?*PnCXOy?}a$!V(o~Amz2F*Mp>T2^Nf%KngRGN9pOt(ETFzG+| z*}G%DuNyxAZS?ei0klIFCXhtZsiz&;Cmm^0%oWa9BD;s|<;LiDkAle(Kd|e8yJ!Ak z^_|S4BL1h=m!Z0?rX?9qyAFd!hw1O~EOWwjF}b=Tb0`B3(mxs6x`gX*CjKi-AJ?cD z8cSqS2ZM<?624KhBT+!S@c)dKt`2V=iev#Xf>O!n>RY<9+i<+GJW~HA0{tUWx&St9 zLyyjFAUk#~T6PSeXen)x4fYB&b_@P@mL#Tb&&o8h?#t!yb^uhGh0b=AP^GLqN4&U9 zPd9vEX#IDna^+u1k}0&r&hwe%50Y3t{B<U0TMg4cI@WPK<!gC7Wa7Sd=|6GWuMi)A zRk3bBotEC-VK~iRuV)vBKTkJi4`m}ofXYgNwe!ak;d?cPk8P@Hr*u575iSUUySQ$c zn1|P8ZM0TgNe3%7X=rgDu<|nd|I6fS8nrH_ph?TLhrJn<9={H8wBxKZGSz?C;?|t0 zn{|2d`_M@z=#&x+NHh8%?@7YbbIv7)Duoqhtn0a%U9t81Ux|<@qwP;3^tds@_uBbM zJXgxyZ_Uo+F<%}`>a2p*WliWOwKp5PzQ(D$P)J&<!mBXot`;82fVb;`PO*iK4nOZ+ zxl~bGU*WQJ@d@3<P^_bvK<Xmb_^0^DcpWs+7Y3ZUi)|kfO-l;-ctX$TT!N_<-gyo~ zCv#O07VxV;r*zw9x@ed3229Vct;7;;IB60woNkhl^3h|*wxy<Y_Si{)uM{dJ?ohD= zm3g4mO+EZDWO#Ns$_K4zh=(I>`Z2gIEHv%<|IEb;!|-nxFXQ`vxOh`=vHbCYkwwg3 z5KH_?le@Zb)D0}Qs{P&^BFr0bE{^+m=+HHGN#XJ-Mtd5LBi}`^&_;%SY>BSvsSLps zy{OYJt{88$%Cz173a_89TfNsM^uPa&+7`iB54AfAGXI}NyaV>B`JX9e*d55bFw1R@ z>Fowu%5c;xx<q|`n&HqSM+V=&xC5lyqVde9Crlcn!5WQ0E`dt8*;}kHHT&by-freQ zdGS^k`Jpt8^f$AtFf2mWqb4!k{*+SuH*ve;|AM#;ME^tFW{a@_o%?SQ@1RD-e-pQ< zHtd5juBt4%qQ*)Ne1MOm%4$aYGm5tPf|L|~Y}Es-GyE9p^<eOZ!<ao>5}&-*#h{j6 z83_DMnbIlaIEv#BBwLhn;+~fu1UJOLuLVeM4py1W|2jm|-snnl(Zkt=S^o>e-Gh5s z|AWEQm8qZ7pG?1cfO*1R>S>#%0p6~`G7apzjXNKTp^-h|M6V^`uJ)B=Eu9a|oyRNv zH;DVIsl8O2ge65NHwEhdwuToy2O;N|KL_hV7p7VEa0v1OCl<gRw35Y(*(1D0&gpKz z=^45fw=Zs%pq%!NVZi?#0q+gzde>UkCj<>pF*)wE`PVII^Dk}=NGMW-pK^_oZl|JN zXT0jwMq|_@{NX;BgXADaytzoaBkJMWGXF96Hq@8^iRnK`+`Y*}oTa!un<B}2*19Pd zCjE~i3^Sn74CxPTz+VVY?1q}u-h4R%gn!{mu-|rQj4f`)1u9)qjN7W*f%JLLfxPH( z1>vAR1&TgQ89b?arz4PhKBr#%eefuc{fEkTc+EZpdPI%1OGIf}yj!9aiZfWCre=T~ z*!6KW2d0X*KM5Si;f|MFKlT8yG5_qME}(7n<fps#)~O_EplTu76!)&bmJDF%(`EG@ zysa`{otO0AYHdrh!cqE0<|1>j!!KMxiC->aNS)n?z*pf^<;-oapT=BSe87hxtq*5b zPk&&Ep`)GLK;`DtdNm~;b_r-PZA~C)YJI(hw>0j!Eb};xKdjnVDrG@eQYi7}&ci5~ zBx>)s^!msAEB+~_wsHHP^t+g<H~$axyXPE<N_+p|-=+Tln}2r(^FPxcgx!1q;TUvY z%#^oTMmzQKb-2UJ;JYUwxA)wR&zr|o_lIV@mB3a%n+u5Q>p?}dty$`LHd0*t^tY5O z{OO}5OKZ#-AUI&2h1cK5S9c2l4wLA+2#ircz3oMYd3?l6aO00at*j@HhS1py|NA(_ zF8TkxI0df~;`@|ll{(ZjO1qo1;Z4%-nUVG{FVCnn0}S5~LDtWggMnY~XrHsK=@Dqe zvnj)GOg+sZgAG&$m~`z3Coqm$eQZ_%H)Keg8?v_%a2Vc{_$R;$@8|MN)lM=Xax9|o z6;w2|1f&!mq_qluaA+N1L@J$hQ_ZiBq>NW2lU9kS>xf-4{4>k5@IKzxYNQ+sJdny} zkh&tu-<KCIobQ!dchCW{1dru`v&1*=uQR!Wm<QcSL_2*imipXca;Uz)o{zoe&Pr*d zwYuJFeNPpD{*(9ZZsD7Oo<bG#VaVCb-qS&2{X5mV0Kq<>c=m1PmI>sJnNsvx`*&T5 zXD3PEEI`>|G>Gt_M{p03>*IyBwRGtmnBQ%a24i~9q$fkBg3(nEG@kw+H%3OjegzhN zMKr1v(&F{z(K5&IjLn)Q0ftrZ%AECwPST*2S+ZiASajv8FfK1oAt$&%g<6v!2J^o2 z=4N`>4#xd@fOAqWUQhHWkW!y~hBD7@4Klrxfz`)fi)kJn!CR6D+?dpT%DcwxyrAQU z{qL*12p#->be<LVK$mOw*e8m+te^TvHuIwJzXX@Rg=&Cowy;GoV0OgAHj|3|s`Nha zLv=%6ALLQh<o<;GnI}sG_&Wgl@FQH*v$aL=WdZ5$heqr3RV$|8&o)nf2z~m_|8`vG z>&&q~>xw8ox<x*yY+^sWnIItwA#EYP0&DVJM)2m~YIQ#}_PS*CinSmqsHRH*H{IWR z7-k9xz<hzw^NqtNfG9nJA}PSaKS=&XAdxS4#vqw)$xqScZxs2G8!`$yjcIDv-jx~S z%k2{8^rZ%yPa@pfn81VA6`0>Vz<Gkc?8|Ng(K@<3oDL347RjazL2$?{+@XbDLq6yx zIil9ZyY<^Nz^tRuN3iM5jfhNhW);&~>a?gt`q^Qx+<;3!xiVS{VZr1~u8fz~ATH&O z`s(6=g|`4gT`p<Z$k)f<9Jn7y8@8UgF}&fIoVntLu&CY&akqoJFhmcS_|<Rz;?j*q zKix`ww_=w9>z<Jwt@u`!sQOk7^z=0Bbi##2CDwI-lH~w_hn0buaP}X1+U-i*zC7@E z+8EK^6=97IWc2k>k^yul4gIXHbAmJ#4Fb9qW&S39Ta~J@cdl6+lG{edXU>699UAcd zWJ*ds*T!FTwfU9}=P*Vx*S(?){uYIbApFSrAtrFTW4iiG;O2ompJ@Gx)>gZ8<`hQi zpc_}Z4HohB%}5^zC|&Ybdrr28Ss~8`MCu^sKYy?*lfczm3LE@e2KN|Rf1#elz>8>S zqyw+xv$5R)&7(TZL*SE;m5A7AUSPPg5F99$M$brb%buhJfG9Q@uv#;h;>dTK^PDWZ zs5K!t3dMOXh^s0sljCa<5VhBwbaHulJnTK1<w^QSWXSlJwBX`x2Cz6pdOi#Q0Ww`_ z4b6pk$~j@05b3E+Ob1m&=3j*c1EE&BB&(p&F&>-^0#QRI*9_=DrHHG(B<YIGkt;tB zOz>BCjn|CFU^GnbX%f3moNK@{6G(L}tqYGz=)lK>zpn^h-q#IqcJ56D!N=>IU*@_N zdUTGA8;u-3!bDvSEwf0c{K_fxi3Jb9#nH+9^i0#WndwgmjMVr--}qWJog1IC1ls@O zLTKBoko~gz61gq|j_2JvR#Q}8YPxxWa+p3c9khWZ)<P_SC4=0phPNf3by@qxQ8nz2 z^{m{`(Js~^`AOTaB9Mhw@Ur>wWwJ$+`v>lE_&i&dPW34R2%x+8p5rF$_Cf@5IZr5a z?|CvXloH#3cX60{SyiHUuk`lOl&%*VmeXV~wT(MJIe;73>i2Z3qMbojpQpmzLqAaM zoxSXgqkA5Vk@Rooh;TQ*)AXYh350DVBsk{*uat)ht#~O^XQYPO6c9F{G+?N9LevJ> z2~N&zKg|KvmIq^Oo?ONk<ct$n2T@zU&h#8>#FQAcJf2(jo;&*b%vUE$q=hP+bHxJO z$n^vUu^PDf3Hwrq_#Bj%aM8b#4x`y$rz7#v&rB=)0~liTkVtPjiN^dW&wR{m>Ar=T zYu^i+vZoILT4@cH9u#RTdc_vFlV+K?MQSsL0I9O<Nn#ozg(o(lr(iq+XbR>sWQ;qa zpEKZSe^=?YULnGV#s-=P2P`67O{!$Un4Li4vO7?q3W&Z|R%}&~32jkK2$HXoRWd50 z<c{QJ=hyX>uo2(CE6EsA&*t|H>825TBCRQ80wimKqLx2!X^t08!<&wxx(zZKVqTH- z>GTj()r#B&Q~Q9R@s0VJ%AteUnjr*HU<C-6Yks3q6m)8q^TWQm3_d)ge?Heed=nP& z(f@+LbW*k`A<+)BBlCk8#3z)##fMo&&olXe4vLUK;;IF2Yd^2g1cG(<>qSb<!O9)N z<5j-RP#PGS9d;~9hH=kp)Y690&d}1jvn^f{AX!nDTv)5i5^UgD<+%+QQ*WrNM{0_n z07gMC(V_|?jH#|iAQV0)g?SO_42O!G)CJeS*Hm7MPPu2TghuV?r4uz#iIAFVrQG>I z(@mp&gVo^zxp2m1Xvc}(EDvv{^S>y|^X(e&=u!J{#$)K-gzrw{Vv5z7z0t<p5sear z4$cn&vV6v6Sm%kpoNp#O=6kg)@B6Vg2>VbBQDjaZ^Wl6_>bgB6)OVcMd)noK5^JEy z93;C2^Yt*SzlGrzfVysb40K$BxGnp2gqyI$+q)epAKo%XH|(xGzCE5si&k?aX1LAv zWJeAURq{r)r0Q5m##5-CgIW?G^{0yri8Qka19Z9K+&VLuu=(}L=K+L@u}k}X_hD_B z&BaZDwy`qk0Qm|)!bBGD!1KXR>`Fh~;yvQ)R+mD&!?bcBw-KFu40OaQvm*~}gtH@u zzD0BU8`*LZ5jZAUM<CD9^?9Lf975Q%^A}U234S0q(`>Tq-SM#VgGmnM@eanQ^6fnM zzsa|8>Va?0M`1?9bB$mlPNOmu5%pdnZeCLuN(HQox45BfO2;87uS(zk%~msnIsJ=* z`>aP=(37e?@;QgtWa;1%Yuk>*rXtjy88Wt>Z1*G#P%q11y&N6}VuzHuxTt5|J(!-p zPda$$&c?=<Uef?_FJ}{^99H>sEvTxDsKx`pGm6fWzBc8FaK|Ey6moTZU+I~Z%XSlF z>O^wvs+Xn{&0il97&ub^m8&7tm#nh~wd6UlZC_j=ea0Mw<z8CNn)cxL+$ftS{m{C* z{a32umA2A6P?=`{Ydj$6Q^+D!S%H#}MT8Qdow~|WU6=xE*9;~LCJ@$QMM|9(|D9qe z@LhgcvweYtIhp*=H-$FF4d7jFIF>hxQsP~$fOxs&1mSG!w_?Z4-sUs^m`{U#8Q>2o zmSqFBR}(88)1eXjewhB~jC5G@9S-xJq}tO;cVaPe>i!SMQMBH~DK{F1eDcMLDLSXD zM2$bc#L)Ab|0VB-D9?wZ@hT{L(w!i2TiiXejeNUHLVj$;*5TvLwIXxaJu`Ki#s@M{ zDIi)1RxO9U)kd0Pt-#xSuG>B<UH-KZgcwP0^UBBi>bPi}-iii&OhnA}@RUIIhs7yQ zxN1fE(hJ&^lIJVpM$oN|4jrCYke<aez?jxrZKIsVCAU?mzms!*EvGe~8Q~FUYYI{p z)U|o+4s~;H)3UCnTnQRol2QN#%5=UDG+J}#Jp2X!8B@v3vxFeNX<I-2SP>Cs_vcvf zcg{0}zx;0LeEmzRT!;*<C1dIP+AxqBuft@TV3LK}+?{kCVE&?EJMD4?HGKfXalsQ_ zA@%(iOUg)Cc3rZq;rh@9!5hrbbuFYIQk}R+5H5AU59OP=rvbsKpB_EFm_C*2hQF#C zx%&|7L347U0dV0n-674IA5a!xx$K<qP{wCv*t-Ya+;;!1W>@#UF#qUtf*e5bjH14? zt=W?GO2s2cL;5r>5+uxzOU8&)7qDs+bgVq=i}G(9i{bLB5oh%cE_}rAx4y&7IO%@7 z9y+@1f(kVo8uBLDpb);^Jz#o3%z7exnJd;@^f00zPY4V2R@MlLb#&2#0j^E)(sb__ z4}DM}n4DG$R=da{D(Zlc4vYJjRtV%i=ruM{Sr`0EJvukw;Mv7oq!z<W#S!@p%0;Nk zqb$_6$tJ<ix#Jls=2)T;g51QN$pyisR^^pZgxMNTDnwL;BUG^uhN&MJgr@xyz2Jad zU9QKNFv!W(FcxwRE?wMoU~&wh*|?;0QZ3{+jgU4h1N98G4KNMzH>+OODn-Yw-?K#@ zns$Md(J8x5>52C5q+&@k@)Koh$@DGqu~I;`nbF&o+k%i=Ea%F!z_&n7&1boo>xp%) zQ(SM)OoS!5yl@uKNIPZ&P6jt5p#sHDNefdMnj&nr?~}!F(pGKPOgA{=^_4aoLVcd@ z61fOM)D1LO>aiDushG*K+~MKxG?w2}rTzL_@pNnztW3Zvl4Gf{$NFJ6>L(17b~4pB zAo)*3f_E^o2(e*e*I<@Tk{U*YhT8XPR-_@cI97&~Vy$~~1WgK2F1{Moe);^*`eQTn zeEovmhc++l&q=OM@R2Srf1#SuMjri7HbogI_L5V7H+$Mi?7+scQE)Qj$+-|Yd%&v2 zuVE#{vcA6D91dK5#DDFGYq--v7GH%yrGBu=n+hO_e}DwT_=w-HrmW|V9M6PD3vPfc zqk!C9O?rjHAZ1VLQq{pMIR{aSX@U#myey?zPa1LA^>5jTlt+6$6Az8#BM4F09EyhP zj=3LYyDs&Q05|SN2vbS$3V2F={(!<E1SF{^KlXRH9hiU$@!Mh%Oqlh9iyi}d#Dph! z+$mA~Hvc+$kvtgcZ**kCmV$JLt<rWB$(#YOT+%{D>O;HDvbehmb!~X)+@IaDA9&Mv zXhxe>f5tlAzp<^8B$p1);-s%xC-;x4N>4)Oa6RFPvkk|x1PuLJ@mIICnffuJ227Sb zI@kUpIH<Lp`Wmh_h!&a~N80ZmBz%Io{IJo5ct5o@+&BrZ4esrtivTUK^CnzwoQX1D ztor`Amj%fFu79+)o_tsb7xeX+<$qCl1NkLiQ_xNp!kYk3&<O1*qXx-_{vrjSV7~zs z4}EJW(2l*pKy#$_bR}8koSZ2^NW4RG-CTo=2F!e3K4Pp)@f<C9^@+`*P^RL_12>y_ zoM-yz-Pq6*IRd?_)5|%EA>KVllD$a1h#iX*7#%dwfG~w61#^0=g{<vQH8Pek+!uFs zjcvE%9nFAjOz0kl5&PjN)Y|x3%aZ9AI*r7>=K67|%ETDtye}5WyqSHHl%9n&Czv4( zZ&T+w3Jd`#`z4vDa$w)c3^BO*N?3z#bF@Uvv<M+xp#py1*Ws1IHzT_)TEOkg9jd+e zB}ICk_emvS*YL}fMJjdx_8O2U#g>G{1}^M=UN4KAj|V5Ve_`BV9jO;DIrGu414N<S z-rk&RW)PxT&cUUY-U?Tnz_I=afpB&3t|k!Vs)>0-Cpv(&*H@c}U0<9c!M+?tPhGKN z6n(_}%~XWCUIkHM>+lLiPf$h*`t0y0cQrAbhwzf)V?C8#IhqTB_LE4A))D+vgtyFL zf2TC|eT=I{932#6Tt|`SPXx#~Cz<(5vbILGrMu<Z`CF3V`$=w10BXE$eWR}~pwUpl zNI}G(VbL`oRggyJ6(m7p=TtXC#bu!@2;87Ep;ahYZD<+dP+CqZ_|D6~>dp7Abx(@f z>}G(TZ{hVa3bSK52YzaMsC`uLG2aSQ9GAIe-0bXRBK1kH<e4gLj^OZ<F_BJ8-1f6_ zv=f*;7_#{j3ZN<uAMgXRZ@z!(YAOiK5q31mRWyuPVjhk1{h`)<$%j?pi`g0+MVk0? zaf8a$QWt6-fZ)SF{E{m_GXZ~?v|50h7zRVZ%x)-D?wPfcyx_mNH;RI@@Fi~iB|uXu zOA!?jjgQplVRpbiGTHc<+Lk{U-Gb=Spe`Zc2EULr0&}iA24iEQVlPCM+_n7?l(d2C zoD&?rW@`=Q(K0SGy>+<4xY2VZrYXdm^;yy4ZUL<5-;m(P_-UGE+9P+CbD6(iUZ3r7 zVQR&h4}(+H9fn1>Ht2ih>GC3b59-Lu(dNqZ3(nNm(ap`>j)mPjJ?86vvkaqp?u;id zBw;rL_uPzU4Qzhbm4R{O*_)dBxW`!p==|RG*QdS=jK_P_C-w?^$n1gNejnY1KDd*y zTxqBVaf?WcT0||4r=1TS><#w(Vi1_PO}!V@T{I`02R?d(&p1r92>u6ocdQb?CZxDK zIIPC!wi-(eavO-rJ4EBo&rKJ-`tJF5lW9DCX9A{PwHrkSc9p#VuM;TJq)t4~_DDEG zskR>_bhRcXO>T6;Of82_mqLpAfkcKw^^H2%SI7l@lSvIf>Qp>8Og@1eI-wrIk1ueb zP@b@x{+^?UA$tM-@lu~3@}&5l$$AXs@nJXCUm*?%tY=O_ci9N>wI}By5Ge${i*YZ| zy^oaAmB5JxPsjE;d72Gd@lx$6-It^_advoI@VBC#Y7454DZHs~qs(X>-lZr35QcC6 zv|GWL%UOaagWwM0NT%QuTpMtKAI+TdCLs&rMGI*YW2<m<|9pi|`hv@X0ha)hyl=%H z%LIOtbi%|uZqPAeWc3F8Hzc=23GFGRcttIzw9e3-i@-6H?d;4H_{^@K$978d`T_QO zE1Q*nb2Lp`77=P6d3u4PeS>(4-o|bN4dWo!EO?B0ELTUX``5jNi1gjd`2sXn%Fej` z!F&RNyZSeoQYh=kt8o0+sMN>_s`-nuvAmXRM}Nz#9+aB;u1eggmLY_%&&Au5?MG=8 zQp+syI(<|SQ_9lj>9SP(sFl|lx{v|z&~wj=18X&zG@5ls4Ls(u>+KVB$MJ%VrC|fd zZ-#dR1an2p<%f4EONHd{A^Y=R+#yryv%o^=Xg0&qvNW9d1W2b0BY4HN@VEYrPx9hf zWM)+1Nfx3xrnwbk;z@Fhn#o)_cDG&7A07t2_JamFvwmBF;OgT~%gHDRhi@|s0)<=q zp-4^={E9?uR3QkCY~@Zl)?z^=W~s7*9bGYr0mEFDy)2pES2zMoUiS_1BQfS!m~-BA zT#w%(K;ahfE8M;dtMnrmsCf*OhRhmRn3HWIlA{)M2e_+-d{bPTK37K0l~>akuzSYo zMAW8qL$KPyi$Z9Mc2YGy1=Gh}oH<w&L*xgK5F;JT*!G`0&0;$KD1)1Ez9uF2#GgZ| z=MxSGGRSzSwXzHN-bO7K6xr^G=U+wWlvfF@FQyyH3~1%nAVv!r%+8Y64|d|;715Ki zbei!Xk0d+-*%Fs`t>*UTo-z~-b$-w>W@{&|*40HXAFzjymEu60L6eI~1JqF5$f5on zUE$HMrwc=qTGzmVk*u<4eXuFrN8s;{yG|E76wj1@C$cX@j(t>Go(Nz?WivbfdcEz- z=V^QVR9aJtw<2qA^iX;7vsLp4S8lSeCyqcD7?|nX$9Elqi(*X<x4ZCvmTEnF0RJ5} zA(}}&x@^!fDlD%-jmY4d0Ds+9R$V1U)fQ{M%Ke4gX0<-mzp~QRbVOvZRh?S1!`TQ_ zy`xV`ZA^o$4GRgo8oRZkU!>6OY~ts@gSW+Fxy=#JkkE$?0Dq*>f2sl&#ZrD(-)Y-> zwoCQQm37CnZ6^{Po>_@y7UVj6wkzpi`5((3C{v;n2{f_@(wQ7b=V191g0S6!u%~7c zt-akVE;ghbq;g8R{88r#cx1dar8uT6y-kk4zW%<uGk`g9FBKEns*-YLvlMt7PoYnP zb_z)5T7JX~IZT!D%l5(jwYkVy+s?HB{1{&bS5*vha5#ehfji}HV}71}7ke+!oklAk z>w)sI4`okHUEfeqK?3uxu9a=vd=<@b{hL{XTPt6Q8$<Uu6)S>3iu?g%1Gz-M3XXo1 zwgn5160a<I(b$Q-Ry4Z=;Xu_i*2=pmg1}m!{)|twCv&jz^OyD70L`4jQwL!Du0Fh5 z+p=$QuQ=~{9a&2k9H_tP_+s=Vby|aEno=OD9Ow$#Q@`U0?`dQFh~CklJ#u3mg?Xc3 zCot;NOltJzFt`Uou0=GD-tg3T>|*Q*Im>U<&KPdSprw%;F~!CLS|Cw7j=na;0JB_3 zQci0aXwq=LO~g%SLM>Mz4~{-}#DGRd2n!Mf5f`<jd`lI-I%iU&&4FC*_wD&Z@b!57 zig@uAYeYR)D0_-N&M;uTO{qS_M-Uhs^(aP&!m=ku3Q47kg=VcNMvTR_bZ!3i@KVO= z@tY4@j=nVtxZ5|4n2P|wQ7@;jzv2kz1>6HG=SpR&01V3GE=p{TgEFY%6oO8#)*NBZ z^CCsb0ti(2n&`<(cbT0^YVvgf6n3S?u_}_Y3(5*yx#^3N41-s54IOD`Wu=`tMox;B zZqDL;;p^k_1%<37#_|QE@(mA!^HzmX5=q);gAZ|RmXa1e)@5ZEmqxJ-$?TSr>K>bp zi3^fsAt)hNh}@4;nMs7DWO`T)oV$P_(|DRbEF`tXNh;es5c4^U(qvMR@9emGk{X~q z5aT(E<M@_zY9uq@ONZo=8afNkL1_vG+Q6TyAjaxZc@2-kk?i3RAcCC*O{@9uhh}Ti zS~{E<@i8di^96OHTdeQ)hJ|L1jhTAbC3aXTPzk{&wb;sL>RMJtp-NQZ6Bx?#e3^$O z5{eh*T>WcG`&ki^iQ)ToKT}w1%8cX-3l$q3bsiP4Ch2cgeOnZ6Zi<rgDU{{S)D#Ls zQIvmW+H#aBBq}E{P_Hzv8OeG=FBguiNiM2vQhT&u)dwp#DZejtBer2{l|o8R=7gib z&!cpFv|*c-s!LAF-B$1unmw1tCY2GlsY&EvDI#v2Apxjqg>kYh3LD8XK^eve53h{J zCUXjNVW9F*#Yk`2a-+qmyt>L^q_SoiMZAp(1a2j84=6gi{|4~h++79bx+sDFyXW<? z!QIiuWaS5j@ILJ#<;>`ZKz~61^@jNx{c_!nPIR~QCbd8-zxe6w(p`xlJ<HsUja#Ku zlL}cGeiQck?yAH4$IQsXgY1sai+7cilXH#Hgll+d!?~igwvh5{r?4?4>Lhe-=VEgz z+$F8dveUJ@aL2@=YD($F!8#%_v)0K1_VHGxVc3}$%K-^@2-ng(>aIsN#E<6$C!J!< zTLlg%^OdO_h0dHG2)5e|AV0*;#BkMt`Ux&E=!}5ig6h<&Il;=QU6>A6MeOshHRnId zS~&!N9)Gh9M>S4woirwR2Vj-p;%el4TG>}bKaQH5$BV;3VXgP(+C@Vj{{RNEoV4)V z7pv_7U1&H14LUtcbQM3(v`btJ3iV8@DrbSd!W;2^DqAo8Dd-Uuxm2Wp!DfT3a{BF; ztRL%vvcZ3CZoN?&%S|(%Ty`uQIL+JFtt_^CZ8o#+aO5F(>G$h<<S74lNP)or4N{;_ zcTj3UEXT_EA7w4Q93|dvrUwK{*m;0dt?n?WFjJ-Rz(KSlgu>E)-~{*(N-BPE0{Ncr zQ}h{>xw7xdRn`|hNRWjf|14{nM_82RTB)2S7+Mx*7R5L0J92|8+hl$zeOqpwMX_va zuMB;hETwR+M?J2!u^kP!SuJ3J1thUt0w5QjU^%(ktY<_;@J-vCHHJQVAGua6W6Hj1 znEQKv|Bo<%5Mj{$Uzo*9_@BH8sCC9Q_GEwTY&5yDU*~^yB7M48zyeP{v|43IXSPk3 z^QM1J%#8GXG@>yb#4x>oK0nC*nbym0@NZ0~j=}hMWs7wLX-uq!`OoC}kc#4Y&=6N? zf+Kwp+`k%ITR;#?pG{bhbugLk!KQeB**>2%CP^Yb_;09I$r>{;Ic|W+dU)Hl+36)M zOYAt?eo$r%d8!UiEz#ZjRCwT@Pz}%eeu54LlVnd|hx@GT!7o6c>zzopLCo;<dGI$S z)_xF1yv@Vw1_tiu8#pP`S$bSY(wn&6^n9#@Ea^xwmRPu!>-T!yL!^C6jcr~QTMMw1 z4`(!=Vx2{|%Uw$JW9mT=79#teyfG4gqnhoW^op08%t!K5ehVVHBB6N~ks^QhaSFBl zv+d<oI`dKVkG5C;jXB0Y+g>SIICKMR|1Nt$7aWek7V?wTx9+m*#(AU`rSdyaY4GF# zJq)C$RFNwfCVfT1X7okq-Eu!j;QTj40Nd$bjjxcKImfiW*fTydNU|Hn{ate%S+t}# z(W@VsvwL$(wm@O%2$sev%|I?k52IiTJOVyQZTiaM@k%=V9oX^lt*;pSeQ5;>UVQ!5 zoas}jGXF#Ms}x_Ej}g+7=5bjkOI^<a?7@C>rK_7M*yXcpDTi_&;^PO&cLeiL_xVZT zyzz8cUZ1Su|0Cn&W5I+eDMECOq*M_Ew!z8n?rdZCzU&mO35REinC7#4Kdpqqnl4Cb zY#$u-|9g%Eq7w;A>gBVmgTkvh<q1HzKMEZ(o+v<<@v`{_WVe#ANmjB@JcJ#N?MnAC z=GgTO*ziuAQ+N9bM(uyC!N!&&TU~)ML0^<<M`x<nKWCkT7nTg#)Lb4B-$vK(nCK5s z<)Mh^-Nffg!pnQgHG?9R4r;Xh{_ggotTOLDEx*s0Dq{}lG41PHrRg94HhO(Y7Aw<8 zLFLrUmfnz@rp+VmS`0yzTbwS|K<D#)GGb+gLx1)GhL>l=>(^8m_E?9CWx(6_Os~+v zK#yPas9vdN;M;gzvHFhTX%JOZL@s@WY*r~#*_$H#AI&jtbo-k!D=jlp)IJ%`{c<La z5rLlU2dc;S%T;DgkS}~{8MMU9-Ics1btAVV`O<Bm;Qm1ANZx9wH*u|=1nO+T#vG2R z$$9nPX0tA)qCHTG`&rnN$8SPf$}zT@9JeM)3n&N4FBJoAwD>Yg>xzU~1Sp<DK~pLM zT+`kT&6a=D0p0qZec(&&`Sr7P>98CC0%I||fY11w(=@kXD{EP<RRlgcL|E2fpYHW; z&^n3)t)QUa3*83amA6`K8ti;6v45ZYH>YAaqyX+bdIl%wUMn2lagir(XJ6xdL_rEE zX}o0WhT@1=C)8%5n_P7w7;uAn=z$|{tDH2a@?y@~0QjApNnH*r9rmE$uI+66Pt7qS zyhzO*0?RqC4>=EG-f}PQ5*8{`JpRMpUMGuV%&d-?;Owi%*O4kv^&Lv2^C!!3RiO=M z%cYTe32C#o0XKIiNh?3@<uqIbapI1KqYOWN2>euF?EF7vtmU4l?Gj~}-i1fjoWg;D zSNyjy<ZskDVI_VR0e}0XIrF2ggT0?0^9w4gZO3tpTiWYhIVtnwfDkjd%KAv*9Mb-N zqbrC55F8+`^8*=~PU7;%;8^^+{{N65;8AuZV*OtP0a~A(|B)b|m=gc?zXk}b69Pcq z7?1uFAOJ=6zW@kaPm=!^KtLb8#PK-&&aeH}5wA+8v$kkzDUz3A81B7^x3SsMz<LWC z{_UNICF7~O@;2mKKmFU}qWp3-dxp_AZ11+>$fnc*swY0bMi(*G(2pNvi3??kEA((m z>wE=Tp7$?(vr+~_sd0n2iF}n8jN{aOXAZWK-yaF01sqR~y!bPK4J${CqajsMV(?|6 z;e1DK5sR(qM~qLR3%ijP)vv$&{=YwP<v1RCH3o(s|4|?#T_JT^#Dc$9!#nZ6g?Cpy zfn)r60=ugL@z*%x*Oki<9`J`82OhIF$g}u993U^{y&#Wy?`OYL0_S0cnr#qnN<YH= z4xuye=M`M8#$Wd4p?x&CotYZQ=A5n_P~6P{sHD!ijk=RKS1y>XDN&Lsc#m6X(&gzQ zI~`G+sOvZFIyX58OX$LpUf&#N9WnFjDP4tNHb2`-Tad)7Etz0Po&DgM!bY!+*SCpo z-vB#b*lzf?-lplMx(&7xi=lKkzw&V%Z-i#lkE^y~>r~$N$jC7y$iU}slWX(z^`=Hg zzH=4)xz1STS`xp4-?L3WKf8|UR&$2t#hEf`w=;-VOo_i~#8)4iR=2y@cglaq00V}d z!`&L{O9J~IV`Fo(yK>zmHMAm}S<W*(FV|o*^2d&wZuXEIFt@qCtI>%(c1Q~}Mz8r4 zZF(;iL9I`(e||;b#`zg2<)jT%M`g#=Wj8|ru4k)Rw4<qGz1~rHt_r~oa1$E%EOdA0 zCxy)s&p<n%{34VP6Z6M{hqzqC1_oXwG#XOgmx7BIucq)wDtsh~0Ba4e9H~@oS^DVy z)aG+KDjIcVJQxC6LltMi#n1lwrdJ-xhW&u+$y=qrGY-&$%*A#o>U4gKXSQ3g${~hh z?0V1!<_V@ngExu?z4RCAdc0tF=HI1(j84P&w5SiGT%2ySxx1$)8Nj?7N-i0jdu~nu zj`qySdm<<zy+Aw3Sb$Il{`i_3a{!lOuykSKy)=0nZf}g+UK0pDzk)P3TZ=qDYta~1 zTY^SF&N7;0{@i4CtJoG~@Prz?p+W9%mV^Fz^YIP!cp}6QFzdl6@OP)~N4J3AQWX$F z7YAj)*bi7|Kyw1gxWRnD!8+Rm<cCn!+>p0>M~Q!x#uH3pSOT_(6Tf9;#)EtWr=ll- zPf=pDQfHFec=<|9XMwcQmIb7P<Q=o6D3)AKz|3-54uL7w8qoQ7g1wX3C5@nBnw=N8 zTd3gd5(S3WYSWb!2PnAf5XvTWi=CLQ1vHjj+c&zx0ua}F>>f<`6)h<pM$ctQ)Z}{W z`)mCC`{Nd{6!H)3sP~#mQVSOT^<X+%$dP9hKJfrucb7W_bkdb);M$|5ZBJ-eAH0sr z4tji9mQCI`LFFKz@o(();Bf4?H<}4_^N3j73xd+;LYC&{vrN~xuORlXTT$Z$jWjQX zPhC_8v^~$@BtTL@%&9ok@e*&Y%Fn)uXJeG(?ym^#VymNb#$3A>@RH^71>~riZf!(O zQC))}0tTiEd~Qz6$fyPgcaaMjfzI$qFQ1X;YhwWECDHFU&R^XtF0hs$qici!@ZMel z%s>{DUvC#^O~Pjs`Q&k*spkl6pEop|#iFk>l33P?{Cpe&&O|w;p^-PHM1eVIHSkuG zy7pl~Xn{OY1vGSkCY?3k=BOH;fhY#!M$DNOxh2cg96vXsW9#T4==|`K-@_mtUqv+h zK2F2^RI&4clV+;`KPIQcm0P{cah8kVh1KBlI;7;w(<Oz_o)QJfm1}TiksSRmiHrzj zl0=pbn})y@ae#c8XeWVfk8z?#!I4?8xdxRTGwA$F1ykv@<m_^Omewja4X|_e^4~Bz zE)bXp-(Q<_Xk$j`vG`rwuy}@75v<P<z0eFhh|p<uI?yqEx^m<6J3IzG1fZ4B{%t1E z<{<)f{W+Hy{;2xsiF)KMm36Gp?jd@bLAg7;!)x>rg3uxuLAO~95kk=MjL;y<#7jZF z;0!57=uZ>z>I3nv;p8s#7pY{8DP(pGpkCA5rJ~%Si-^!3N8&tt;uVRyD@?Ly2(tQ} z!2MZn3em2J(a!V_8YRi;wJ~iCbv=_49P9(Z0;fq=d$aqvHN@(4x`1F!F-$^F-;R&~ z&+(#oEG-D}$GF-<pQT0uvO@#L+FhI;&v%JmpBC=9j!UYLBmP*<*oEzHd4J?rbd6^> z>%daa?L<K)H#UJWMx5}Cx5y)Rjn#SzFHG%~W`1zy#OIV-3etim5<uq%^k{M*bVnzc zDzFno@)IJSnNF|{zEFR<v((T~lJ$e8I3th>4l0^@^vbr)VVV|Y1j`58*Qjmt&X$jU z%cX-xRk8-F#hJfWE+G$A4E;&(-$0+%7e;PKN}<_eS+_GV3};xko}95>#PN#4GSHx2 zv%`ScJmO~)X;wu7$-J?Gbu{n{7v^FmBGF_qX^ljn#buyzsR&3azdWmF7#ggAtw4IJ z(9Pm7jJ%~}O&KArg*l308P2M(E@NUv5}J30nKX?ely1u1otb&SDa44BFQ8mIltK)f z@U@O0RGzh4AkH1ZJ{clcK)H+{r4T;j7`OTvf0Bdbw5!>et>VSX7gKH+XF#fE25V#Z zk#d768t*0jTp!y98jf^osRrj-<A%6FMtNPZ;_bnJt7vxo5xa^bw-ISZI?yKeaTsjL zM1X@s4%WV=bb||%;uOv?bu+`%;tCL@?QyStq?Q-!P%CCR+_@BDGjnhSgZ`pwe$f=M zoutDErh#naqO5@7!pcm9mJm~VIw=kMcZ8r&`UUx<WdtEhFRiG`zdi@c(o6}mqhKt@ z%%psQu1NtEg|Li$(mJ*<Ds;(E?qOQ{+s_V8f0E}JkisSiEPl3^N=gk#kyC}1(1p(? ztOuni<~CTEE0~93-FttLt4sE^hhwXnS+j($Hp=~)eel55G_WkG58}ju#7{Gs_P2<5 zbUgaN1c9F}K3?*znL}?s1rbViB{6jg1*Dq1O*i$<EeaQKTbYc_-nkK0nSadTz8Ffe zBWCxRU7QG$vla*6A5wKtq7MjN3b?sa0MP_qO^^X0+jC^OrNO94*9bz>M4MY2XgBP! zjxZDTn|EwWdrM|-W(Ib_W;W`*sEtLagxTE;gl*Z+p~wu2ifTykzpugC--$hW5tHO# zhim76MZLUjfCf8W%BWex2CE+y6KOaJa9I}IK}{)oIu3cVP#^1cTZy#0QMKI_Usiyf z>bJILOE8TtHezRQehOF|Vt1Xu^}v|jL)y|p>NPjO=0?3-e)!jEYIgt=s*15Qf;Z8@ zqJ=%UdHQ=>S;#dVX-(izCvZo5>l{p>+c{}CtNNj&dyq+~O>OTDm3BEyLAcw&Dr-Gx z+t4xpQ0|=IHLIE&oo!Q0kso01Vf4Bkk_>S9QC&(RE=QAVP=Q3+j+5Vj|1g5V%$sz+ zXymyQ^s4(5icV}6%BRE+J^--!*<aqIR>7!Di)aQuUeEGYj>U*?>)}Q&fV_L%@Hsv} zz%rlwvc0M#5&7PG*0gWxr;o+=Oz^ljpnkL}lHwm1Km{JX)4X(4q1j&Wu6Qp{Q#|9l zbnG)Qsd;q6YR#o5ISb29EGa(=M=%^bQ0>8WRQffS{XCnZWqiflU7|!i+Yl#|lS?go z>l!-6a#+a$iaQ~X%9zP~L!StGfmz6WYtbNvU?k|*!hzlW5luZ}`K{ytJJ2BTO~0I% z6&+V1Kdv__;%bkVaiDQ_CtBrzg|MSOW2Ag19(JhQ&1sg08yy4i5KiS=>&r3w1gwYE z7EvG0^2&^+93zHO`@^FZ8e9G>?pZCOMobDTkMruGW#h`@Ra!5qJC$3XI%~o=3#v9x zICZ%ar9PQDl`ocQSZOqa+~@bT8BOhDtSZVzD>S=Twk<Y0Y0&plm1#WnVjE;|B;S)e zag`}I=UZ)}PRK!>C#@z++a4W^<S$&d{Mn59nOvhuapBAGUN_3_(6lRmwp60rJk(?j zwdV)%kIAX*^_k!DXU+=c)@18#G^&-TOEmR#O!Zh(c!k!J>P{Bc=S@ZOYQ4A?BwM9< z1Cp}QQmrjZb{Jc@$tanpXn$xuAk;%ejbCaho0npLMpID&N#K>OdwEr`HLBiJ6E)6f zpl#qLBhrG5K{V*?EL+zMl&ybAVI4fIRFLWf6`D`DP)-&uI|fSDmHt1Y>{O5)1Z5k3 zkv|JSTl0s@Hq=E;&_zttE|-`C$XApSuk525-@Bbkp7EA6-K)DoH^p|b<4-2`wet@e zR1Qc!`-xbWsqOF{pQ(6IW3w=}OcJmw1|B7%pXoX&33-^3)J!szy40&*&b|hh0|t&E zLX@*#)_%5y2TX#@&d|j#&mY68uXR3RV_u|=nBvy(7OB2WzxSd82TT;UiAz82I`@8# z)kQ$atFjRLHo*2o_w`%Mlk_oF{8IJ|#k<b?R+^wVm@G%oYcJgc4KMuF)6?YRJPz-A z`@COJ8}Giu4UDREJJ=%8`};2*>fL)vaU$14i{q1&z17|I_HDml6yAM>MKEsDWR_{A z^w+1yU)7O&gRF!LU6xAk&Ofiu*Kc|R%h7IARsM?&U!sj;vR>VlYT<b$Dt+~+7ZA-8 zW_s#l36j&;XxR8yso2IyScSE6c^8HgelToUt66xoOj7P92|-YObFOZJX;8n6*KXLA zYbfDTh~gT5=~l^&+52!165azDgDzj9mj*eR^>F*zg8X7y&xkd5CH|TAMZu?QOg=e9 zym+IENiVx13DBcyhj#eOAwU-S*My~`aoKJtw{BF4+lS9<Uz0e%5oFg8Tnf`!GO~Ww zjMhd->TNbB^RLA}31k=pnz?B&2=$*V8MC#Nktt-%aD4ht;7BHp72-rk5QBZ!)wRO` z<|4yw)cRF3SRWy)G_sQ?>=U-*kAK1eD<RsqCgi}_Bk7Ro(4S_u)81TouAY<WK1fF# zP2<HvmA}~VjB)Wae=$+zXqzPbMykB)eWiDJ-381^O+I%V3N0$fwv$=lo@xO%&*D-! zkiU0504zyuc65yRy{t^jfoD$JtO?9gPlFj+RsLcBGqbA|+}zkAgSf5Aeu_gadRPFB ze-foZ3zsE2nbt3D6?5`uKWk1hb6gdzUo0vQCT0toUv1##UHE--HEtQs2rCmuV*Q)O z24iD=M|T^-8}P;+1q?FvzP}6g?pv-2Yf@q2K$|8+q7#B9_xquGj6snuW=w-tV|?ps zTCv>_RxU_Oi`J%G?=-aAJJaYkUyTulAql2~Ev9*`GAG2Ynq1rwRaKz4B);#TO^;|l zN_|J3Z!DuKKyKfPFX^4IHo5M1Q2XLO+NJ5~HY07OH$CEh*}{J8=;^^#Y1&wO%vaUl zUFLXX5N}kZj&4Z97ZO33<f5WpP58B!7H?_{KT+E_zw`S~#46baJL<ZzIn!I|fL^uX zlp1j9y*~`UFqs}1qEFx@vj;D401At*7xS@0t$P>jQNIqViR^V02ED3++`WR_5&2F* zI9uGRf<V52Koa`WQh!emQ%*ff<N#Fep*E;Zo@72pOP1iP;=u$zKR^4IM~a@f>Qx^3 zr?z8nmHK$NTF@;e4;2>4F=Qo=Qm3Hoir)#BMH0+>#XLbAxGadq@ERR)od1fQux4F~ z<gxlrb~4GFr(5ssPxC}r@doLiK3J!x0C+jLsd2(N#i|_HA7^oETo(1tFNwEkj-E|s zo^0HI6%M_khKr@<KA%(5YBvqzZQ^a3UI$zCDS$ek>e96Sk^qd88M(hCew7?qWbVC0 znI$d=&n_DZ;ht=|Z$#x4Co0j@)@H<S;KQ-qVdA(kD}0&I@-%({?tq3cB+~rCHr{m3 zt)EBsRWzI_#dgOEHcL4S=6W`QH^l~zU<7sylLz&k^3_%F!(TJP2h!6t`t|xWETd>h ztO}~NC3ugfzfVaNE7&8z7o=`PtN_jqJ~Tra`5UyaB~JVfebp>1;&-CJa4+#t3wLkG zoO~^W`0oA=Mt+=00le)^1ViZ@JS`hNg^w~d^Pe)9h$0F`dTCHCB@XP;Fd8w)o&YF* z0;pgM9L8T#w7&*vxHsO3eS1psau|+kV)T*zK8hp@3=0UvOPGj20)UN5QHuZbwJZ~Z z0z8p9uA0m_jgSaqG-uz|c;de%1v44^;bi)`%nXeBwbWQ&`clI<PeuJ=3dXovXiivC z2CCHGKZlA>Nt7ZC^zj$}flbHy$&C`bMO-ioi|CK!?Awcx9>Rtq|Dp{xRJe)}1K9Z) zK!FW~2CR=05y3`{OHUh99r+Ea#S+;wxqXP+t#(2iI80`AqZ|*dd8Z}7r~qCj4r+Z- zH6wQ$L$u*a4OA27H-rt!O3Og62#SLSl6r_t4B`{+H(?JM2iPMlU<?8>itj^jgR(fy z(67O5UHC3ja8t@n2^pU#wLPjg^Foiz={ReRK>^K)7o_h^ux3C9k{2iYe^7RgF`7i% z8t$IvwC%5L+qP}nwr$(?wC(O`+qP{RcTRF{a{t~xm0Gp3Q#)0ao$R&VdLMRE&!`iO zQ(HU=@1+5vHl$9=8Z|hfC!pWgtu>ifDFgC6!hsVNR3}~~{JWB-d+B#H8SsHTs*11d zpV%xcuSeES?O!Nqk1%_C_7v_o*D;pK{Z!W+17F69x9r|{E{agKg<462Y9cD&BhGaS ztbk~fW5PWcpOSB8?Ls~k3b$&KJ%_sV($s#cMV%%Zc_>_nJ_}8Xu6>G*)71nR-sZ>; znAkt2Mb;IV6Vi@`DM24iS7=Rl-S`=tbK^OV681QgWtb$pP!QB})3OxyI9+8jlD(*2 zd~<sY6wWDCBMe?P$y2&U86HYij&-@ZSNUTGyZNl$>jFswy?uI|VL_x|f|bM+vA-pY z?0W?&C86>(bNNl$+Ao=hmdHcoD#d%#1&qol{uomDlgj<dW!3_Ex{c^g>?@UXN4hOG zu$jo(Xe6|+mK&*VKNuJuepBYKouew0+p{(6&`bDqfyM?5<iydS*!c1BILOl30`U53 z28)skkZqHKsp<y8%yMnOp1FNce15Wc#P~YhAmb2+#ok}V)es$c7nuQ<P1XVoG@0m; zjIrB4eNJiZ(po`%_9uBqH1K*|?hdC_VP-Wa5ZB|pgHS!6eOpz>&R%Cn7cT=hJC*7j zpWg!ozxcv%b&c-J%;=K$`nw%Wmkmed*KenvaqhGKRYqE=B$_OkD@TmUstZ3meKHl! zY7K_Xk88v+h5g9w5f|}0JoDXB{FauNZ_%5BpD6_Q#EfB>P5dGD9Foz_x4dK#R;kD% zP*6;}o3cfAaYO7dGVk#=z?$S{wE611olR+~j&eTAgkIoZAl9HF-4MN|r)aGGx;bSU z($<o_hSt-uEnk*H3rlUBq&nLdi!Ez5-#|V?H|4Z;d_MkskyVXOv~x`~rH+DVS2k&u zO!&8OExX)%N&AXEHlls0CMTGdkGrKu`-!UK#ko9jfyIrP-*FW$;_DsF{A-cH7u+1b zthh%k^*gUzkj^rHJKY~1bv8!r?EHnYxcmyc+3DgHx*FKKWxKLw_x89$`ue9%f}Mwe z>bR{+xdCEB-Ujr%x5F0yrg=DAZaL=9mE~WjF?ity4kH!mBogLKqBprP-mCf+4xTBy za$<Me-C1{?6@5Hw0w5OTc{DVg#EKxzaBt`ifnwjCOulR+Qw!3YX#FUxZTqb@*lmF> zq_~`Hss~)avi4kcx7Dll;oI-Dy|lQQzPZ-=MVo7m{3VvK5Dv%nu-mOVe%8CG@!Bf+ z;F~ryaQToc4Qq?b<+ZWlds(URvhmvbPX5Y9xXN@635M?UX}e6$7}Y^`E2NL|`k-u! z$~C>Et)8h71FEH&Y1OL@)TOL!)=ko#CQIp}$?MSw3Qnk#%bVvL@RltTpE<r>eViqb z$Qhc~eUII`E+SKox3(PR9LLtBnaV=pr4(T#{A01-evq@le8dy5yA8kZL<Lf~s0E3Y zSaBA|*TF#lh|?$L9NaNL%PA}8@#<>d{5!m8KM6<e;e+>`3ltUYgs$|KCixz5?k{AS zZK^)=N=@q{A7vi+bAKq^=??E3JrVApRj+?|l9(c*M0nIm_G?sMzwQ=j>&SGYnS89% z)&n|Tj@(yHb`D9sbsjgy>$deeEO!4MD()ux%GN<@_w7K%u9r-V?uX#G5DzZFCJRsU zBVzL)2`>KyNQoPKCq5B2p&(d8eG=aQ*x29T@m*R`R4P)HE%e)2zN+3P)m?m~xZjA# zj%gFOOb!@6`%#2`us?W(As62f*(#S$0jTQcS8D?N!@o~yts@fz#EO8trKwIO=IM=; ziplKCR?rfXo6>J@=lbu1r1CWkH5O6k?FJefE2j(v6v<rS$lT!rgC1w+No+_X+G|af zj&?1ZH4^ov`%Vy~qO0-pe4zw_X$IQcqqU|{e1xyko|_vBzr?Wt`Onz%wCJZLC!2i) zEg*}Qe(FJ)y0yz$_zylG5WhfkI<f6c7iJdY*YgBHDWtw0<OHb<HVC>l8zEj=r!QSO zRX&m#bK~t&uQ%ICHJ|f(ehtA+`+0v23>|HtpYT0>_$10uJz$Zj1!LIdbbq|<r@7fB zz95u`Lq--okI??@ayH#d2_JBTCwj=wD-{n=bE8V)^>4JSF7NndCnQZ;k7-DYKFe8# zdG8k+ST}}Cfd7<oC6IV<7_uWYEvJWn#5*R>+VuluvFr2lo_Tu~(n(4~ZEUt53WlBM ze8mbAo3k{fPj4QU_^60o+KuyPS9hLx&+5l0aepDnf?pZ2!D9J2>91UiUQ7n72V6Av zy0Zdeez(7}^F<^~;g59lm$BF%UepqFs|SC}GyJg=|1Kwf&;H=7*&5pL&rTLMcXymZ zDLn5@nTLov8j|G)+nU%StR6BJR8&!%aFyB(p3~4W|DDbYc&mE~oL`lZjtH<T$~KEv zVg0{M_<>=D<utVo%AlFT0>miW%5YFff01;@dY;q~k%503ub*$hFIQes??Rh8uK$5C zg-(07tgc1J6#vQ<XWw{TJd;wUr%@CTZ%{x!H_bU5<+-|!NAn4z4D70FxS4~VX~gwZ z2i~1^>R;hsq=`o_DLhn8V;~!UMj2${N0h;sdmbl0IgE3cJc~mO81aq++LIK+mqj#R zd&Yotb9_x40|Ea$JvTk3)wWwFeMDcq+H&NdDO<C{-^YHU*^R!ZxqFGQ!b8pFv`G+n zj&pk;vJ11FJTthP8KajJ+9^4_&xjvCL4J5;LI9^~y>e@y2qoCm=?mo;+s8+LHWc^_ z!K{D%Bgj|%kC5m)4pi99^n~{l*KPIBN>Sq3PmfmweyuS*h#)bKdwG(fh3pkPXoQu( zNh4Nl_1DF4ZNed_E$Q23YlT+a@`7bAI}_DSE==m8&foKzihpF^G^3axliOK{)-I{6 z&2U(iP_4Q?v0yNd3APkeXU71DS}8ZD?&hK^>n$7`6Si4C|LM1}X0KLiZVm<TydLuR z+0{dGbyDOHSMLcRf(f@t+k~7L8Vai*r_1x2Y%q`QaZda~{7=RO8c;fDe`+v!p~|L= zt)`|`R>iD7QY}}`Njhp~Hq(;fQ=VxQ1(4RO_TV!imY}Qd6`hat*_AZv836O9?wU4s zb8!+;|3xe{!;yi&!q2w5G!j{G>Y!~=5M%ljo<RJR2Hv`O2Au$3%EOZa5l~A#PWlvp zX`(4X1XUhsg;USTjoUqrwT+95Yw@dvkn?)j_F9}v6m@G$WN3hkI=vUMx~}#Tx@^I^ z4#Q(gRnPaZ4uaMDS?CrvEWj0mQ*SJE?qCAB1Mc1XH<@J}ah7qpA^9UAs_Ef^WpL@t z^z%B!u}lLP=iwjK0QA%S(ZK3zKn1cE^Zt4kIcfQcmfYr(>jt_jLPfg471fWcU<)_v z7h7t}E`mPhKb{ud$Ens5i*7h)7H|Vd>5`Y*0|v$Czqf&0IT|L6uv!X%PE{i5bf1jw zEyw?0pEA|Cwz%1?M7sWZH!EK{TvKqqzJ*w@@>hQ3?ADbPS5pn<>Ss}?o!$><{6E&~ z)2UgPt=vFjv0Z@@{lv(iky<y#>NiN#ZqJ${%)%Y*%dRGi>FR8nMViFPE4{ngRRPXT zeO?qBxqEe~L>0<$2)0I}`IScMA$*mt!m%Wh^tG8^g;Y-OVQl;2NQUii{x<tjUcHC2 z*eB|yD?V_Z0E^u$V`DSHrW~l<pf|{vI(l5=;W*yVYQp_Vd?2c8nC;#DSz`wCI%q0V z*zH|IWwa1ZbAr!*eN*tGXlhWQIO<(UVS8S_JYTo6Szq7$nc;&ZF-UXuu+CY$yxt-S z?(enjNnbP}B(jBFV_w!knEFr|`5O4lcak7hoQ)2J2G^!Ol2F6LUGic#HSreNto+4o z;eWmxE&218SI+zoSbScTmpF#U7mZJ_#FI$ob|7-?IYO!94O+9alY|e*HMD-;5|_HS zoq*i+8Rb<LRw_PNQ>9aH!6jJf6Vi-n={m!xGy6Do+9JnV^WJ3MtoW&%=$P{p87RvK zd*nH5+Z*e7HUOWUt=-3PAAxmIe}TiN<p=HTw+Avp`7nP*B_^qj{av0rgAlF&H?=`; znO|pqqU5HSJS+&ZKQ(WVkhfeYPQ?NNV|bTFkcC`~>Y7WUZptn7#4wxS%}2dRJL*9O z<LAJrb?3D9Py|_q&7`7Eu*D=&(D#RW!mb?bkBGi1D~zTl1|{m42K`l2njMb=UP~(M z{v+^Ri7pEhmX8il5G<5UzR-2Mn=x1ZYGi+rq3DmMbhQM_uXotI5hx5*Q??!rrQ?<t z7^H2+SrnV=apmBcaE36B0+4{8uNK6AEM~)7S|)HEvzEBeMx;$o!GWhW6th1KCNoQO z%Tg%Y`!K+2N>t8ig{@fVX7Kx1nslue8~8>R31dy8#+t^AG>jQ&8QOeGeBKFTZ9{~A zt?Nfm^sMj)++KI4@o%#q&D@-)qA|OOr`X~)>a58S@>rZ`#*dHdyI4zOe?mM^47-s$ z8tL|aSmT^tJM=AwrARB&r%;z|@s!w*y}3GTSns}dWk3E|2X2cmsTf9^M%~44Rv-`~ z=rA>%@hF5L#7td_pBO!Kg#nk<z$rr-_YHtX{>Q0v%HEZ7RX7lGmrjN41LH=R?~(N3 zV<@cAw`igLR9{wSI#5oIPNj28v@ESTD-j6!=hKLRDGoz23O?G|A6;qX`X->8;EhqS z3{H6&A7$K?xnIQZ*<*7B&;O%mN$GJQ*ce~@JK17odOPWgdTl`^eg8Yzcs)n7buP=+ z%n0jd?zK{`)jeKXRPE*iL<vvt#18*?y(1)j_&q~;m>CrO(b7Aw6so7;oqh!|yVXFt zkz~GTZ6A1UYZVO(a-m#a1}#)VG!j?|xTqH4T{H0dnf+#I8fytoe6icqpFTh}gUGQm zW942(BsSPcB9S#C=vXNyPT)GBoLmA5wS)=<ZTJ^t+y35^LinIGuf)2$!9Q)ZVU)pQ z3bX7i(%8RpGJgsLrLA6JVS+#fb9rI04a0{l2lyLdflmO==RS5JE^BxRH_(pIml0wy z_eiT$be&gB3}rV~rL!%x3HzdeR&jL#@ev?o&l88T)N#spi7T@5pPQL)$3K+7*T#^} z4;&kYdMR`?-PCm-jWl&&oWPD_Bk>e)VoHh2#yY~Pz73|$#wz8b4`!)EGO#7Pd1A9K z_rf=4vEIbHCbGgG9CkxyAAZ>S+6lqZG(2uo|DzL3{hk40Q*|}e4i>~~PAN`j`!Z4N zjK6_<&1?4!kJJ|z(HE8LyOVCQ_bodp_b-VX2f>y}qm*VnAD8#fNw7@rjQz(e87B~d zq@QOM3m@)x1wlQ~B|zZPhO#wB)~L3WbD__*`3Q7p5$W)aGoFkyFC;2^Tx{4<{}!Z| zPM+==`kEZ~-xQ@t{aO<&QsN5gf}AsH2nY~n7%6g;8F4AVfVpik%bY5e@LU-Bc9(Z( z>A>ugLeMm`Jcis{K@`wd{z-lQ<*WS+cvffZZ2zK@QPh#{Co-rr44GG=z5w@Fs?lL& z&gPLD7aYNHZM~erc&Wr@(t9VX+Qem+b52N(aNPoT2Ry`5{o<{-)2cxcOlj^XJVoxO zlkhS?m^xA2uerq)qD;?llztXwVISkk2t8fy(mSZ}sBmj~twYlYN46C63kg(N!0;#n zLOF$!G*axXJ{nZ`R)pbv+o(J#zgCc+?NUI4e>_gD>IRuH(AQ+?ff!?UpPvfyi>d)t z2yc&VcR16Avv#^-*JMAQNm$0%#Yp>cWy1)FR_2D9x*!<n(OK%scymuQf}7XUwh0^R z-rrQnof?5)Xub-_u+_aTqCo=NK$<~g20&$~LG|FXQcY)<Z9vom$v)_`LA23@NKPo; zXzZ~a4&R<vdRD?@fqRG4owb1Nwg(SS2RahQDAJU05QeFQQeQNZbn%87nbX5vO5&{n z#hg8&2LKUT4m}_$M(Qm3DBLz>sE%)uH^_3znLa%zf%7%^B@CKV=Qs@$nD#~`bv~OK z*D#z<V*mE1uy#%d7lCh%fgO~zi9nTHei-^mBN4Q_(V@@xqiW}S_xf995VE76F(H<~ zify`*%nWv=p}CfGD)*yXr+S5JrNKMBvmSXF4ERmZu_<1!<o>BL`y+Dy=}K#v2zw_t zDMwkOB!WP=fs9=(r&oI}eVJIF3MOf^#AIxoB3fxvE7sUz+`g})%GEQtnpS8c!2U1_ zi^>Vq=5U@#G2XXt{$n?y{6%t;D(>WvFlTJq-ix^yJI<$YMe^GRy9-i#0wN5|eYaA( zK^Rm|;s;YK<HJ7LB+T)Z40ws%Li?Q)Of*Q^R7hJ;Ai63#u}@Kw$Yv$IvWj@zxl}Hh z!C<F4rQ!uqL<M{JFh);6RE~khbkDiX;r=L^fT|kQpCK&D7NpQObg{wqBbmD6x|X!D zH0R^vk06wmkOUO6cGp>tmvgNh87k_x>&2@Zz1n(CW8^*C4&Ffb@2%fg+kO+S%|llm zlQbJH8p+?GAMk1ei%!pYr6c6?Mkr?tk&hT6p3#P1(qFeD<hee^@q7}Oxt|ZYaE~~+ zvW_+J%S%p_Ao{?aF&BSj6t2rTt1V(Kf0D7*0^VnYo?IPvM&kLGXn5RhWA;|xXWp{2 zsn9;3@}e9ms((JQ@|G6;bZzSWbcKCLC#kwEa?YBjoi)uktC@0^Gh{EM%UntqI2ZmO zmviL)&*&eBl?+*3#r2mSZW^kb>oDmTq0$e6g|GNC-%-@m6x{{ju<%Bph7;;uc&AfB zZwp<|XF@}f5oCBNQ)+qJcGu5m8|fCkPh{8LQq!d&qQ$e}E1-WS>L0+z@76U|ly&do z^gH=-C{%9@EPZdPVQmCJ5a@;gg!%|1Pv^8}IV+*f3eYmRRy9iFnse~S66(o080h5v z=b!?e<w%u8&>83%_5LK}%`{9+_{?WnuEAEDOoM$1rF1zLNoZNBmkvoLENaE_b7aR- z0Xu#;Z;L7Ba7Tz;7O-P0x{4q1j!%iUb=E&RO@0^5J2}tdvWX=+uVg>Mk>5_PON~r| zUjP2uNL+fGEr$*&(F${Lb*UP)=||vTIdw)Y^=!ky7fnyR!+6ljwA@Y5G4mzj8pee7 zz&+~Ac!^+C=6Qf~2&ng`pZ>NKcD<42iyX2Sjm{vUAScXcN0wwY{#J2&CgRe|P<H0a zPAaWrlDjAl9q9yCb~=yjCwLPB*aHfqQ``h5jUz^f1`O}$|H`@YUlS*u(JWF2T%dy_ z@wUi(-!TILo*F~3z1%fGfu4_q66q%1vOgcM?=b4e;ZdCRvd$2kuUf=k5Uo#x7gaz~ zLGE>#-ehtVcxnfAYsM%S<Nzzk{maN5H&8#q6k=~>QLybwsNobUrm-qb8z4*crUho2 zdQLLHQuff5=VaXBQZ#(#^t8ALi%R)Vmj7G|Ds&2cUJJK&;%tt;JJoz%TD(vtdi8s_ z6ppP+el=t8iEb!sACNNDxv$E0E`UQ(Lw~3{hib;VT^)m2NKF#2#<TD8ALy!`R*NHD zPF}}nF}Q|cNGG3({@YMDDW`5#G#9&8y3KRnuxz_jbRQ3SoH}T&`&|_-Sb1AZd=5Mh zal0W-rMtBE>aq5X%Lq{s&0bqH$SpM*7s{H}ofetc>0Z|Vd%l1d>e-=WKnL5ahNCHn z6|-nuJj<86AzmwRL(&Xx!H{qc&-Vs9@UXhd$t%{bg;Xv{fYN~SY%>GRsvD5uGnfc0 z8eBizZ|tzS3dl-XKYkh|Kf=9Ayu~S<`6438n$^+1ka!Bs`7_~6xk;_giCb9^Mx-4w zG?^t*rxkNCkfWhvoAAj&WI>%fZ&!(@$6#k#&0-M~a|tJsE)NzBCllc?nI(aW(zg0g z7CsG(x?=k@IeE}jHx}ia_u*HjxZ;{^il};t4x=NdCiw;2>yz~=IxzPFQ<8yb_c%-k zuEG%d5pNit$LkA~*wbb(sGu9bx;hEEDO*Er3WoO%-N0wfRv(b2;ga3a0Q|!b@1RaI z<sa97{ESL1znxk(S=yZ^uNd3fxd78@LBEJcnyccKKg(EhmKvSqZ12?*aRxC)RIs3{ zbe~siJO1PtFJmD?-OFAcA?gVX>1@xl#&vam?-75O^&W+|`c{6%G5RkrCf*44wBqZ~ z*s@g5{lBV|U#A0xHn%u-E7A5SQ1>WK<}3jaV{1i+b!RhaJrM>_2APfRQ3>~B&yilY zmbBXUqzAE{5)HJT&~aUSxm5b9D(HB*Cc*qw!wopg7Z97gabAs$7_}xPS2Bjs5-hY? z0_}q1&5bWN72TqFsgN8u4EU&oW*q+a>p;Jbl0rqYew4!pK_;tV@#RBV8g?w3d@XVv z#HnzJbt=Q7%TT0K7rGP;Y;aI8Ak=5hD>QC$*17#*k{gNZ(#P7rUdXU(hSguAtOoR) zO?QjepC<Wv#r(tqecdf6s$s3h`qn$moeZz^Q~Uzr#OR+_z|qKqe$Xf}Lg@R*L&k>s z)_3vfUlAP>pvOf#Y+NxYwA2R<f7Krg(6(^2lXH>M9e`EtQIM8pr@U;V%ebM5JZnrx zTsqF%47&r9aEPHJgAT!4@*2wPVVTh~$nr1t=!4Z`sQZmEq7}@LB4e={eL9S!6}qTL zH4sT?bkgEVvi(+{ATxzUSd!cRC%X8dPA1BqMk5V-dTW&5&DW()`3N$M{dKLKo5wb@ z^Clpc(US(Qg955koNs~Jjsbjr_UeQ;x8I0&q|)7Fnk+a-mdO(=0uq-`dn4Aa@f%U^ zL}42lpEinMS|hwZw-oQ*DkIN_VHBv+>xn?rQ$6?JA=k<~k<m4N@%0c$q1JaV&wr(X zyMefL$mABjv|G0I1maUuRV)<@I8EM^pUSJ*-%!*;gD#eXESw{baqh8?C)}~A>Fm<P z2YqHd1E@AwNZU^LQBx;V1;0uAC{q`!9w1{}-p`WLqZH-c+JVHs87x9kKaw>zVZQxC zwYPYi+rKoQk>Fq{`ugA>eh?mnR#Jm&&VgtwB4CVvP_z+Zo9=6_pm_#hK{O{3Fh|&k zJAR*xlTIyUzC9~aMGFRxdWM`i2hA607r`|bENr2Jghhy!u5v)>MLPoG5rT&U?F;CN zJH0`M=oM+_<ZcTtcTB{uU@YuM&I49fh^Q`u*N{c~r8X=)EMCUv%%Z_P+f4pDB^x0H z3DsQGgdV#hV(f4zJweCxagCS(mBC7j7Dby<(vi|I)rn8fwY<*FHsW{0THjY<Tzq!A zykVv36`VKG#A$}s;AEc-KVBhHiQJqb!+@&kKt7fe0S^L`JW0o~JRzZFJUSEmQMR!X z;%s17{vLTU4&hh|KAkDs^8*|r17I`?GxjSnP1X=~l#CUS4TWN(nF>x!NNmnSES6cl z@&&9C1(lGOZ|T7hrPLy-g~=Ovs;N5}L8-8kq!7?6A-xA`6Xv~3KvL*p2wtpB?nyzS z)*YCRxHjWO5pkv`FqwXtCKyhl+AnBW)K6j1CnV303>{c1Lg_&+^2aC<pRs@w4fHDm zCx&&gK0Xp<5JnMmXJ4N1*SG>g6P3wYDM3D(p=ybC3xt$B0VoaBai})qn2Nw`b}}>? zCNLN|lqb<^O~U-HFdrg706Xx;&7IRHh61zJWGoSmd0X<`Q+Tq7;^bbnVt9^q8)h^@ z5uWf)Ux7Y&9QE>*7e~JkRCpS*ml#rHN@Y$mHW)-YF=UtTHxN{2N4C|SPB8bNu)<$$ zlnj%;bUOF|MkalqOy%bogG731g#7dj9`a*=|2nfjUBnG#xZEV~%?Uh?w<UsJVRRh^ zZ=W=Vpgf**Fh=rUVO4oZU5VLt>a}~aurWQ_3{;d~<SpqqIBh^d_i0k8A*lUi7#L}A zINmLvAvcRMZ4k>NP#+oA7r~0(HkJM-0L#}R+v*{q!<#gMk6h;?{rQ!5=jMt19iiu! zxkNa}p6AD>fGyy!hN`fxT8PQ}4Z!YBA*6kC{l>x0#QKMre@&Sy#N&h_9JdZXRpyfJ ze54=F!jz#f5Sh&68oLW{<f<ymv8>XoRxt^6imlQs(mJcL)wAX{cgu?7we`S{m%nm% zUeig<H3MB69l(<@y97ipW%c93!W+5eD@r4Dg(o-tFncv~8yJGdWydXcT$dsEAGExo zlrmV!t4Gjw?ct=|{BMIBuN|Y;(mL0L)NzXIj9u7eOts+`ml6O-#sr4#7JsAL&{6D_ z8;)y@%OXCd&-Hl`MA0sLH_WGU>!wgZu~dP6r_~E>GVpJ!zS~LE7|pl-8=xR$@`Nd7 zIX->&Thpl&8&UY2Y#K#!BBCxvsR^|IOYkLo?fEI{1W>jcflx(}Ubn*m$vt<eqY*ZE zP88VxW>UHKmO?mlk{ZkE#aUP>+e}0c>Fj3p*VA?RhI!kCsN=GQb|%qnO*2}?hIbe* zLY%Q}Yp0{dm>6U8x@c};Gs&l?rzVpHc)K=P?)=(t+EhYsQs$++LN<B`r;I*xR^y>- zZs-ka)Cqz4LJEKc%D!A`nGCA8rYXqC@g4<R|J7ls`1d^I3}T)A2XlE+^tEo^z@&p@ zc8lwf{q4E{c}64U_->od`JpT>1vHi1y3t)5t8a}VHVEJ!M=mIjUL^142e}v?xM>t( z`w7eE3e~;U0b@{%GBkPy-#2PuC_1hXz32xidLDtf7H2rfL*L7g<eyNd^qV32HI~%c zDT~zPg<OVTTd=O1k^SXTnjchl8*Hx|ybV8Dw$<%kt8@LzGk=$EGmJMjEcDm%rZ4y8 zX3JgK`lxUQrK$^9?H+7_{jzzJ9NqMJ&T#GYD?S(p3Ia7#jFbB!RdB+q75(E?<+Mqs zrc;VMB;N+_BoqFA6eo7Dk+xSmWXk{mf?u*M&KN_CPKGwli*b!zb&b~23PDY%#@~Y1 z4fk|$j!0`6w2RwI#JKjo$6+jwPz&J2!J3KieoJ<#Dgw7dd1=t*VyW?wl9=RxjPqW< zSi$aeBCQ+T=+X&!*R%<^p@UZn6tTKNk+Zm0$~8|x^`a4B^H|ra(=eTC1jiYzG(v*E zy2^RxTzaSxtJCv+sXbi#@&X((whGcJ<jHnU{a8TCmFzxSN$j`iEg09OjeSBLp~~Ez zjg`1OiUv#6w<bGRHZ?Nv1&{SZnJJ2rZsbJe-bzPypA3Rct?kS0Kvj)mjXSSvs(82d z-!)MlL~Vd#v^PTAmQ1^w)phk_4wgJVRxDhyfDS1;KX98CZB0Gsg1@MZ>IX2I<7J{C zD)g~6yIc43G$OP(4hFNr<c+|~F+$An5+MX<BoPMTg!fiF?F<_5`f2?^&&NS0Z28)g zPIU0TMteZ@=wP&ETpv*DDzk6BE<df9RET{Kyb_(d2&#(Kp5BN1JlE5?igx75a;;z@ zUAA`hg$-@YsD0a1i-!E`0}V?sqcs&Tnt(_)%MSAL*)?1#Xo^Rb1)5+K1P&v3Fz{wF zft=tsb=8?)sBI(H!H%H*f-h*E{lQ2$m*88g%43!Liu;pEH8k+*_uS*s?SQR8?<Xb~ zpqhs)v5+c#ZrgbjO|xN<^V*WSxwRI{7m`YzWg)Q`pS(3q{K5Ny@Nq?SMMVt-BykIO zZfX|GlF=f(uc$(fQUL{qvV}yRC<D}1USEl2f52${9U3HRNx}iPT421R9ODet>!ISP zt%9I(0$LvrAAqwRV+w>4xbvO^uEGwgVrVwy(Y9>j7I@Ay^aaSX>#qo1rNdCg^R&9C z>Fa<tMDIsf%vs@T+t)~D5cWqUtus@`ISq}REIZrXo-KRd6n6LV-{f6H^s0@ncHvB? z#BbLrkBjS~#o!wyo?)@>kUmzj+1=RF42lE34BkFDh$}F<deKXC^tJOm+~fhT`V!1T zg(t*iP^IT~@BQW&F+w9+>>&QZ$ojAXOmp73W^;nHFOLzZ2}}V&8xz5?U|tUtY|Fa> zrZYP$SGNFrKTe{mr0zEY9a>B!6AKr#P_S|PK~~!#V)8cl9ijaM&e%8WyLbN@NEWj% z<Hjuz@Qbv>?ZOy}pfd(WAF;MQMGUxK4$2sr<er;I=g=8<A+7$K;rJ$w!BWn7+wN%t z2ffo?!g*l<x5=-(hCwQX?+7s(5my|%fh2_|>HMjy)8Y1?ZSrQqtH>UN%L`>mkLEt| z!$tdKTNs7NON1H%A@Aqf+NC$p*8wk}m*FlhPkgbQI`gQ0LBv-q4OC?7hvH0ovMvQR z)3mh<MqnwpEEl*ecm3WFEB*d=3`=E(KEn_sB(g#I)tDPv-kXZTc50NxY1|lbcdcBo zI537eLt@M#2}}fa6g?xW^kw0=K6A450ByIpTE!w~n~LG*k^&xcqbE2=5*QVRU%r<* z#Wz<(sqg8)Uc@}8Qn2SyjgeQ(yj@g<3(N|-65S(%-Xbyj&ZlbLX5RSf?<C}exYYmZ zxTm-S$M{L6BZ<zAgc2!&=C+aiSFadjt3$*D%8b66KAc>VQ%Gbr(8tJwJ503x!6>P` zvm@n`%9NJpFt9$PpfIf1e%#kCv0WDd)Z%?0j&8I9V1w1G4gM@V5MTUV`Y20h9YJ7C z7MM<%b;+9om|G=eW+KY<+<2}Sq!WUIFkd_g{(|UWvs4nrs*B?H0e%539e>s(p+=yY ze+X0_Bm^7-j^h+r@=!X^%(vkJ4W~Bvj4)>4@PsHT*adVbDzYCtMrgMutq?~vfA1+1 zME8X$anLS|?z^(9kPG+id$TVELcVGjF<|iHqikJ9hvfPT=A#E@<4>SY1v5(phGiQ_ z`ul@^L!vYXxq?PI0<&!wI_!tRcl^F*)A_be7Ke=L>_pdyvVLr978f5)8`MPT>U#ns zK$ATbFeX8Q*;6HCfF5hc@9@8XO6A}J!tX%Z>P5%YHG9y>Cto9V6ClW1yF=C2N~;9o zs{Li;cvO9Cy&9<;0?@3f=#I!F#>{Ewr<{wK0xfNtnpUs5)V_s5liHpJliLX{yn-KT zkr$^NpNR@C<kliXIpUx$I6YE1Dblax9w7cTYvorLMJn+$4K|~+{WWV&VPVG&-Fsk* zMTXYQ<_%IE>ZH*?plcZFi{siSd140WQ6vP*k<nA!+`ft-&22oB+92a>_D_#%OuvHF zQ%GM6trbG|En|a&D*){1HxmzqSigCa<5srS(dZc%ucg-N-)$>Q-i9fXC{&*TbZ%va zpJZD#LL&QfOY|$9y_ky4A-y`hLU6tn-aXa<({0zWafxI;lh4MGYkYWqD8#cVt>#E^ zv(-b)+(;b)7^RgtNSu)V(r!nCp?O#35ojS>bwFkL^i8x#Ebs6T>JRMPJhIoBmTWCo zdGaVt8BrIw6gkRGUT5qm`x(2t(M-Nck+|#w$?A_u?sKkcT}wvwh5RaWL+=Z74mWKy z%8w_sEq`KZc@c@Iae)kdLr`MWSCHAEil#Z92A7=;LE5$P)qhjN)F`SH!<k2VixIkn zdmhq%{|(mvILx39gmj6MBOv*cpB%Kml|;3-FD&K8k5m^pO6^JoxkilfO=Zg&L9p$# z%tRn<e+NB%EkWtK&Ebf?a=0JQMJ94L%>n-1<+Dkkeo~2R!yp{d)Aj8<dlu2M&GQwW z#m9ph@&&5gTqK(o{&=|Dmodk6nk{#=e1O`S*O_w-X1<`*w=Z22KF=d_QM2f%MaRCf zOpSoupj@#S6B0aYkNIfLK=2)aulAduEix#SGaoHjyvsrY>=7i8LAdi)3<@vf)Vjx^ z)u05D;kSgwH!Gg*yPAu&DCn?fM{VhK59KmXoq5x~T<gJ=JbM2Fxh?Np^&_vD;fca$ zsr=dFfyK@mT;ToPhCNB*QbnBA+7a?@!GmNMcX~Zef5ef`9)HA9_ewl*tDpO5k{(bl zbQkL*JTK1kMt9{x+r1%2wM8X**w#U1TylnR{_CPe**+HeOiQ*6Z8(K4zY`>|5MdH! zRclf*cekr`cJ{O2gYz8CNH{>OX|>d7uvA~#%HSiAnQ}TtDg2V=>EDNHMc74fq8sYJ zdBoG<{2^9jZ)jm#M5oS)?;#Zis6yxv{oA-W^k_f-CjB+poks`asjjYVGCnnkR7OD{ zrV)v2XQFQbPl<IAHwWs5+Bc*LgGB2*;6#Bh^dgL`)wObcT~r{w&Mt#n2?|m4+G6oo zV*#@o(OJ5mRl1K|Q2&wd8<}(Nwhjbq0W{je856|^N;1--2U_Y_UXKQ-C?;~GMwp>} z4#|Z_(M+zKYt+Fp&Pzof*7f$6o<svYWw7_$c6lvW!L*lC6&+LywZtA!2FwiZAYS5( zED?AO_r#74J7|;GpT2Sh`W&QlnvIx2bmz*1vB#EtOW}zdN3pgbz4Cvi^dchZ{qZ{6 z7r?>Sx&~A;)Wj>E96ok@^!>@Cj*g}O9J6*DM0Oz`B^BG|6tv#I5f7K~2K=s%_)EmV zT3__MuUQ7&%0G56qy8FU4Nkw31wRG)gY87{PDOHWB~HRX`l5zp;E3)OHWoG}4HxWm z0Y&fOhyiQjM2jmLK9IvB$#8s}iN0^u>OqkD@8rN#YfI+XbS|;ZA_WDK|7{zLHQ`2w zF}$8zO*edF>t423hOp7eC9!)wc||9hqLyoqb*u`v9v#=vQoEVY*$bi%HrHN+fTF)} zk>kdt!xmxc@3_jC!-$xLgoibdRp}g_bWbuBSG|MOEH8R&LU9$~Wja3)ppl?HUn;CU z_WZQ8R8j;!l)~Ni?d@pqW4XY}oJ{It4FP+_Ur3A)bqQH>FBky$Bg7?0$YmHHhrF6V zTSLTKMTFBz+$hCfCB$7J#9dCrSxO|21pbtbV|beqZ4kU|LdH0r`EJ5+$3=)uCwpeh zFCjsOLxbx<t4*}ce&xc4o2`r2+1WNV2rHxwF|hG%Z~uMZfY7IpUO>R)Kx$$<D5cM> z2-+1s(pe1c77^#F!MrTOy(q#xFTy=bLOM;dEE?yWJs|1g?c!?>Wi`&gjB$m1wPi)h zXyNMhDdQpE#K6%J2<zwuFPRschj#0cCQHkew-H{Xii2PAhf$m!Clm`#iUk~Pes3tE zY=E6F)P8I_#C)vihhp<MfnOpIf@E~3uOPPm*L3XW?Z;pup+Q4aF>+kC`g}yS9QE1Y z0$HkaU_z4AdcZ~mNp0|kGvOMTr0dliKt<kJ%<s>llrNm-#I%RLE1oyI@$Z}(z9K}` zbM3W4>9FfdUtMw!J7Ry4PnmI``<rrQ(!HS@)vO93=gL@pvRl=qQaAI^YN9UY{gKpI z{NH-U@Q?BCzh%LQp0XG-49-~lYj65mC<}5+(Vpf;5rg7h)^~HAqw#jX?f=S+cBsuQ z4QW`6sTk7#dCaL9yA%3;A=Jtal2}?XR4Ep@K{GO^?>7z<19cBaB!vp>y~f|bji$Qc za6oU-LC3X`6a+b2OPuWzc6}IBA>x>dY9rQ3i#e=L-EDKoLFn<6n6qn{7=btKIv8>J zdhL+12jZZ`_j9|8#a}VmcRuMxBJ_>#gWnHYIC+A`JE2<2K2wrq=~McY4v0Y^97)97 z9fxj=Pn!pR+s{mpm{d4xv->Otdy#>PO-1I=JmwPLjB?=6d{#w1HZRi^G|rhC_k9)C z(2&)Nv2XaxrXcI;DK#<1ORqLORuAHL6ry3SG&V5i$UK^meMwdgNw$y#^lxiEnL}k; zY7DIEdVbUnIxG>Um@{fz=Ez9*0K^#)o)lZW4nwn!_?ym+3rSyhF4aQ+>B&rU`&qy% zf_k)$*s+XD6?c)jAq|`SoQdpFinC-K@A8(vW`+hBjev}&Er96TNs*>QHTYXjZ{IE* zD!dpc%vC;0IGfgx(VEtTu-sZL-Es)px~YiCr4YNI<-_Sndhqk`cv**Bh0sQ|{clLi z<-{j9eB(!Mo~_|vtH<ibc~beGaQOC968<HjCtZ(OXUEQsK_Mm8YUf*u3X$dOab!%@ z#*bCfvmkyFg=X1(Jg8Z5>`HpLERb?(;C>X|vuxat-nYf8Jh1@5-h{4@&i)X1qLFzD z>ol6#O^0+c#%S=KfM-1OMveCC<8J>MLpOy`M%y6b?za0KA-vGAUZ110MCl2|rS_LC zS!2Ae*Y4}m0d<yZVwqr?hl5%4c4=N4ID{8Q4=<m)9dbQ4C*vxt2g&936kgZa5K$f* z!N5!(DV{K9p*c1@&b@Zz3oaLUgEq~C-=Am$HWAA1pX{uLeXvsat-JD7)MeURGQNmV zPA{*{BE&VN6G4mq8*hLda>!>{>Q!b1CBn^L`YZEe9pJ^%_SLY2=d2CH=wJ6ud!+M_ zB#2V=@xnxiNGghn<(6cAjut4vy0Irxi9Hr1Ala#OR@8rzpuN{*AyJ?xCv20%&A)qo z;#CC|n}VD7U)b6}t4Sft^lekXerM7KC>LGU#7`@SdDW+_!~EuX^eLST-xIu+!Z}^| z!&!%*o=aNKJ;oD?2C5d9{I*&sM-;=kVO``2+w?0BZ689PuDzuQlOLMq8yLLEE*4LC zz1OM=7RUyK?^X>yJ;6xHrAiz{J4xh^2z6gnW4_LE{8mYb-DjB(RNIvGuL&Ja;DL** zNm`?1jdn{Z6xTe<&XFX2GZEtQVwt)V6)qdb@Ob=^P^rgf1lTk(Aq`Db%z4u<M`!t5 z#6fm~oDQjE_u39<w~tO@^4f4viYIan%hyM82P9=B$@|d34#UCcI(`$8*D~c%aZ=%0 zLDFcy@x>fs;6F*73qjs4Dx+n|!STB@WtP8*@)Y+k-4#7O>uRf^K9UcB-w3)ZGGAxQ zm><SC^~>HL@%8jj@6SkyB%IEbDuomDcnx$FHIvL9H3z16>E;ZorP0O(F^_9i`#+Z= zSM;hsJKrno=Oe`2fBUi6VP5(D%(UBH&G}(kT0OFU07fM>AsmZ3G}Q_xB%Y2b0izZ! z7_tRr(b$i8Y!%He1>5Q+KkU`$OJ$=<kKN%bD<QAbP+KD@&SQoiOIv*z2IR*)w#o-O z|CqI2o8`lu%zA|{Z3<B@3^lV}*@`3<qb(Fjj0To>Jwr<zacPYBsyA$KT3A882bvy2 zz6VFt(fJc?s%!BR?i2^T5jPHdu`iR6?{R8*On~Rcey374%Vzg<u<oTwxgfX?4F`)^ z?B-XVp{2JzwRl(VUGSZ%KZ|?Zf9b|lf3bwTNHzlN4BOs6?%M5G$qb=2+f=OzF5W}! zCkPN!`0hR%g(Y3!kkJ)A{obQpq0(^k9JC6uN(Xe|UXpg7n9r?}(^wWp)~9RBUTnH4 zAIK{*vq?sVmg|s<MmDJiT46G328VyXPm@w8677lFSJpQ{MJ~?UdaQo?wg6-7#)UR1 zSStUM!&l~uj??^3!?Kp@m-_8dlw7`+OkNv|WC3PQG)!oj%qAHTDibj-NuIz;JX1Qj zCB5*W7>|(>gJd*9D(XTqDBhXs9!>xep|Evoxj#C+E*YM%yk?3#fVJsJTjno`cI04r zi?tT`T?*@&jTM7o5B4RK^~}za$v_Y7Y0J9XS)USbKR+K7L{ZrO9$;Tnio)jl$h~5j z4Ng(?fqy=N?TuWRz;YyfI#C(GYvQb@Vq8|BAsgJ&fOWcH!8Ij05U{PY(T`A0Oen7d z`|B(@*>o$+A18#L1u<aV5&>bE#?-{?pj*Q&UjITI1H4&%%_<K;mS4~qR+`N(s|U7> zoT?DBb+P?KFfEMF<PiIJJG5wzhe4d)3&y3yFY~7?A7f}HgT`9S)^CnFvIsoH9tkry zRw~_9BIk?aSjbn2elgHb=h$FV9?;Kx)-L4E^YvY_2wcS5kfKfYcBQBM-Saw}&-x46 zc0GL-EIpE=aS>)aURw@G&&xt7xa3;MN9Sm{KrJ4IQr$YEG)*8a{yB|-Z_Ws;+nZV^ z{Sn$apcem7B2d>3`)Si5U@pP_%R#y-3`gK4QONsbXsaz~k8t}4Jw=AYUz{-rhy9Wa zWZF1+jWlYx9!}@sIrFEYIrHw*TNI>&s;;0p0Ex4tBJ{pp-We<2$(e_K*w+W33<nG` z=aY!j@Y(g9R9IH1A*si%>+=*nzb2JIO<~namVG_64IRxd#>p#c4~3tsa_B0GtJPwS z(Vu3D9W|xY!d(<cZ=1!lrQ{=W{Sd+`Vb=`BiV4T9&WXj9K>oBMe>5lpO)sDX^i@e_ zE=bT|Yet;G5DybE=y}@?)_!okQ*(jwE$IfAi=8Q9zpC5Z$-iG$cIm#)Xavjv>Lb3M zU9Ym3(l)QK&CQ9TFUa&!z@n=YN^r`JX?!)dFWZ0^8=oo)Oy<#jJ4z=W9Tz(G@=-rO z|2!=x=V!f-tFYYP*yI4oYuFODWxshCmn>~VzJ*fT-vVFp>oinKum$b;qL6YPA_%?@ z?|5K_z)Q7?S_$U^12j@cOMkpA4oJ>_`BE9W)&Se|eQ2oKi8*EJq6}BgJ9J~H4fThp z{^jTogBr4lWu}^P!H0tZr6BW~z$5rm68#y^u#aN2YmddH1FhfPh*pISb8+xs64sfj zxCU|+Zcr`DBVLO!L@IQ5_}&EwGWI><QM)5l3_PlE!ktm9yuqY?d>&dW;Gdze9<jWt zHQ-Xc@FUo$Uk?X*J`6!@Znwo|Gs(vI9T^pYY^6%11E3o<Jp`^1dFR#*6~SenU#Jq{ z;uV&Cu>Pc$YeYMHMBp55oD<@n(kxyOB9FJc5>R%G$E!#<MCEX3YJU&~28!EI=lA3O z%NrHKpf|N8r5jzej3v*(c|z6OmTC)r3A92#Bzb^ZIk_X~7F;esb5qE)#GJx~3VV$z zgt?2RaZQ?BHgZw@RxSA#bzXh`UaGG62#osdJ*u^Mp#w~a`mC4MtnquF=B&v}wE5L% z%k*nVYu+Lb>6Q8{oV?rgt3+%5DcOo*FjXPi=-)7@*5V5a9TAhYzW1d>-?7xkiKZ;Q zx|B~wn|&6|UJ4_NfPWfO0lLj?pR?sF=vEWGx}*2`t3^MzN@pKdbDo?(^&qbdDZ+!` zIupwdZ3Ppu%$D!W%xQbW`4M4^%&m&nET+TPqG<YfZbiT0$6!amM4XMy;VXV)U#P8| zoW%3Dhu0i0{9)~uTCilPSURYzjH3EHT%~s=l8H*$8irljZ?_e|nlXqZJ?ECDm5;al z{^dATsU+@}UD&7T9Ymp7ajk0mnu^w2g99ghQ=Sw$u7F`MRJQU>AtI&dF14_&(u%$Y zvy?2drj`}Rzo$XNvX>tb39mSsZqhJ{gt1S^nIUJPx?W>)+FFS7%jN@OXn_^{GY!S& zZDe=>4L_lj;pf&Ji%8zj&+N(}z49RaBP0-zpVI~hlwwYSW?hB3f+0#Gq7|c)*DE!{ zfV*}anB=&A5K6fR`xHw!P#I|BFPILOXGC}$Y?i@UGQqk7ku}-+kieSglwd`kc0zEj zGTQz%@#Y>K`c4FD6TqJD0Wq__5<klCG22XlMb1=}$A5h?BVHeGK@l3_EWXK|5r?Y3 zdip%D^V!5Vuq9agY=tOAp7Rxcg+JaPj9#&&PjlKHP~HQIPe}(HelG<i==+;r97uRH z`3h4LafVqc#Dh-}Hpv*6B=cN4E7Lq}EQnk8yY+T+>lX_)UHXCGVsg=u(yoe>g~8Y= zyUJgUXWLnH;w|flK|VUXPLlP7`8!2%sDq3tCDm;V6EH8$+=hZihlgYuyXb~M9vZnd zd4o=maaC4PjlSH}GV4>#j(-u`f1~n>CXeyu|IL+?cKwU~H}_>C-%`&o4)xr`ZGue~ z)G!sJpjuaUM6uzGnY^|3-&=9f1vcCdThq_wrt&r3Gg{Mo7_p|C%S|Xfo2=^pH&J#u zQP+1Ev!-A8pXsC-1dU-g_6h%IqI3h`No=M;5zkG5N@;^<*!xc|I9=Mapbnpjsfae1 zTU7tpF}2<yG?;D6f|w9SXKtI>A`WZ@;hXgcm>rn7_+pzI)Y*M8J18B$jXYHM?xU~U z#^O?o`Nt3N8?>QuA==l7VciKARYiiGt!Ig?`S;~s@wa2*^F5K1wPqMjmttT!^*;&a zYc5&s29eHbo3lEpwu({m?b*g$Uz8Fy7500gR+;_K_F2d~>n==Fb=^(iBa+IfLG9NS zP=VrRHQR^}plaHro{`Sey+VO&>?Cm)^+JI*R}0m=2=?XFcj>s;^uRk%6`b7^e|xow zb-OwD-8!pe3|BmIv}a4E1weOri2;5zie>0s-%O+r?@LJ?*DAX@#*9({4jfzIYz7^3 z<l45YY=z_9(THhmr5*30-exL9w5e`mm<}*#5vkA9_cHSQo|r_mHkN~b&T$>c`aR(d zMlTVDZJqYWUDt&N&<VF(Y3;=kn`E;pJ_>!dN@G!^2x`@k`sf-VeJQr)!j$hO7^C!T zOD~9Kg$M8|V3!E+-{5``q7$>)wqC>U#G0ck>Bf|JOINX+TTsr65fw*|xJtYDMoQ3+ z_@D;*cI1?X4{E)6Fkm_|yH7_2oN1J`H;;mD<zk-u9)IzNOmM&KX!Sx=eLV<S3&u^U zg6*B$+J@H;&F;fVoKbr&hA#V1Y5n;$LmS|k1NQhrL<drrDt%&Bm1^x^P>xZR&C0Au z*>HlIHY*sqH8wc1?*lFwRL6@4dQ_!FQF2w|s{sLRMLCdd)?Di;nQ3qUHC$@=I8nC+ zw%E4Q8i+A!|M4xBqrq>oZECQ~Li(iZr2D%QdNKpDFifuJI@SY=(;~A9|6_8AF_RWU z+>>0HRXE*UiWSMw<|kPg)~fIN6ZEC!51a>_voDT8pvv6oSGbpQ7*fcDC}!3_%drsl zkQNnB5gR{-a6aE%j2;<J5*t5+a87Z&k`_5_o@w|?<_dFA7P5!FnA)nPv-|7yRlOqz zTOB7Z<*bf*mTUvw#NX>f7clc4<10dF{)rIgG+tVlJqVA44(+6G&IG+Te0@9)Q0@Gd z@{sR1G+6e`+=D>VJ#Y0Jkh4&L+08O!U}}&vmc6_9hEbbBpZ$xV)Vse@$MaaG<yVYp zDh^US8)S&T*;Sw~VqI*+aw&Bz)snRp`4?b974_3-`_VLOOy9b*bkv~aM*nSD?tXab zwnXVWB<IbQrSS1s=KB}#lHRK^1xA_#E?ttDo`sK|>oLTKWNIH_=>Xr5j)zC>RH|d7 z$^CE4>Pj7%T!$<r5-`?=vK~&Cso+kt@$E08<3KT1FLdx4iiPPUXpfFGOpv5U#0h(c zM(TM#eP`~kfhE!?5P3x4d?X<LQoD{kUfOM!O>c^@DRhXdqNpJ+nRJ?%9AQ^t5pI8$ zf9u197EVKOgyBS5F(D#|2w);gs5NQUg#@z$ADaVY{hvS&Ft)dAet1T@yzeu}6f@bk z3!E>zlY||KcEg=ZcbFsTeWG5{r`g-zJrdX1r4)1HV|p6pMk}Q#cysi+y{A}B;+cl* znRehsrWQ*x=fhF(Ve0*Ixc!Huqn6ltNBk7m7DlV^!!odCDH@6W&{ZrEHaujL-DVkE zp?6+One4&N3ZyM}uo5LWfLEJn?ohnYBWe)H{50vQPfaGC6b9792cN$O5G&j(nYz95 zn6bgH|Mns{;@Y+huJ1zvG=2dTFQtTCR`nV=<ZlUUo95q`iZ6hrKtC)V?@zH01j8mr zN5;RuS4~FK3>rvsB=&1;T(R&IU!-tPOV*p%U#%Ki-kjqM5gz!EEGjSCu{Zq@sI}=< z+`qO5>NPb1kZ|jvs0}?9`}(I%b`Cfq%*Qx-93KawFsH@If&St*60IWCh<KZS%5ncB z?W+!U?-Q}s@RVg;akdnGcJ?V)z6g@Pw(KfNuX=UFyd_5jIy^XWp>#Ys19TwU#=*OP zRV~b@iLoAWe71aL<XDft6c6OJ<1hMvd_{dggd{;8<jK7v{WjMEIT>fKMS?wi;Qx?z zPQkrI?V68mJ3F>_Y}>YN+qP|MNB`KiZ6`a~v5m=hX6n?rn477oS{J=;y1Kg7s_yl? z&+~h^^wd^J16CuJDvLs0=#(TH!6vN1)b)V2#!9mSI#WEPK-isbjQe6;P}?+5SD+kA zC|Ds5#)ikHaq7h)NJ7<OvXH5;HOhWsyxQ?PTgFg$uvZ<T8p?7HpNqtiNLJE)Sl7^Y zDX8v17Ks*!uTD;CanI=N6OPg}>ZBv7oumP`S4U!;jua^vkxwoR(E44y?TT_HLRzF| z-TEkfq^r53osrAj@^SfE4&RZ$Cj{gAAT1uzj^$}x{21*O+)Ve<0qG^f*F(wlRz@7| zaJ0Z#o$-6uB~sD#0$*eu5tzEuZ_F_y45J*!9u3Wn$)|vFQKLQWFvp2op=wS2FvlZ{ zk@2ky)37XPCe^{Tru6k4vEc(g5kRs6)yE})(I1OBRfvYM&CllzziT}fWH8>#p4}CT z5Dby2#dKTRa9Y>X9-~?QN@15;{$K5JCZ4TYyESQwn$+prPDn?ofp*L#bVE31kMi|1 z_!hsFscqlClw%}s_x1`Zrdu{U47J`==q8f3_~%a+i6U*z-RWBq872WLJYDocI$bKi zk1}I=an3Y02+rL%1hDNPEn7YdaB%$a@2Vd5MuSDGZ&h4`UP%A(H@@Qu@-MjU194vs z6oq3NAkAN*a*{VG$l!kpXApJ9BYj5Vlt!r}NuxWl{R+OTkF=;%K81D{$<CwPSX*$n zj1?1o3vUg+@Y80e8y2xO{Uby0P=^DpL!0ul-!O;?e|bf4aAo(L`!_ujq6<D6s${8K zy|7x%ZB%$$z>?>8>)Q?Vm(clp=CvL_&G%g31>z%cHZ6%Xy?XZUQ4h0A>8g`&-&Boy z^@}~eztxd@=x6FpN}bB&?)b7)DY^Q&>xXXAxvJ(Df@HNI(-%v)4-AwqyAR$tYCE2$ z-S17X-?-mh{?0D*SD!wfGQ(@fkNcM8v4G*rOZn8Nrzgd0DBsiE+#)MUA>O$U)`TgI zGdse3b3u!NDIfoMRV}2vtk4s>v`f9$b8{-5e#!o+_Xf7lsCq_w(@vyoo1N;aRM8__ zn#rSr@$SqDVcGsr$gnCo+t-PqlfK`LKejcE1EDxYohhaeP#SkN>na`#_o!0b()LOm zNcXcPYR$LGuL>O7VU_z(UXckaGeXSJ#_U&~TJ?o8;m6Hk%KYrpUhBh#B$_Tu^ka~> zulJbeZqCKWM5`m1nww{hnVB1|2vNh@04+K+Es1=?P+~x&_~VL~fjy@O#e{uFAaEX4 zSfyK;+Rm_Q=JXA*`2o|@fqL#NkZ{<=^KNooQ|>25)m@D>HFxKEAQ?9kE33AEJ=9Oq zz#^*|Ue$PWBzM(_sB(Xy<VJy|gPQ6!LU4{y+O5k68ugI?;k(`B?KnLL9_tObU%n~Y zX_K?*L@Xh@%9XpdKF#O=qkM1_<P8%%%FR4Z`v%SHHE4{TR_O%QAS=;MW{P1!RuaGZ zCcuYXGK$D`4Hye)<z_D_rz`A{`?8ypK6d$Eu4`|3w>RXcMR6DBSJ5__51lpY)H=DJ zB6F-DjW~tSts4EdW$~7t7=E@3<xo|sW;4H|KP5v@2p>r}QBQ%4SBx~{d%$VC5rP98 zByu6t)<AS`GD+08WF*m+ET=9z{l71;#}6-<Kdj)NNHj7~jR}02bGsV)zf&R6Gg)3* zBSt#D&@Gj<|AEpg%uOlK!D_>t2Fi(!%uRtMJdXi&JCc`8M;-mPvMw=@xs54=#2tyU zd=#8Anj!wP$5(3pRCa%hmG1U}C)aGJseKg~!Iw#n&?yf|XL;_%mA}Wov0{&gf!h+~ z3kU)cG!JuIZ8evJ^%YzvaV?P@XHF8;ru2?FInE&0WZn}b_vQs<P8?j`y1#&i0CRn8 zXHpoqACOqbg$bl7{dyThrg6D4XwOlX{!b00XPFu^V$35rU*@5ZZ9s*smmgvvwS$n0 zqWf!I@VQ*5Fd%!}VL8G<l(Iza{h-U;)$wdeSb&&ga`Laf$M<b_xLY0+(`D<}TJVPz z5p>lXWO7%4Gb1*eP8!oF?coiaSvtYg3<kxAzW_!qpc5+OO@*~!EKhmgocewnrfru! zXDsYZUIF6lycjvHoYyz(C)+lYU~O)9P^t@luaUizDpg85OnQCCsCj$?bdpO29Y4(= z7n#jY2b&xurJVPidwt!lYAbo(1sN&r$yEhgkQWNc<s40ST1Vf!`x0S=qH@Q^+6tB0 zlH=CmkW1Hv!UceSwG6y{j@M~u6EAeu_qk1P59}lrsCRP?WHKZ9h!Br*4MUHx<V)Y2 zm|qM}f4lGs^H+pzqm|U2(xOqfY6lU;5P@((BEHSV#%w>$y4PL|vN7|tqyZoyRSv3| zcO)1?_%BWz5lS~!wnBR#Y30yTm-cH=bZD75rU+K>8^J+3CsX<&Kx-+I76_%u7UI>B zusr@gLDecjAOfppR3)4bG^CXG)CB1>JPsNCRlyR#;G_th5NAw5d<l(o4FvO-j`*In zB>%a0?W;t;46AF-3k#{x32O)ggt}S`Dx?SF>u3YWn{a!lf#q4_L(^(y7je!r-yejX z9cde6Mr_B}m$3TjkF&a^F`VYweQUV2GOHOsdFkc5ty<U;*}yS7t%{#_Z{ssAR80L! zm+K44CYlbv(I)WJ#o*(aN6cd=@ZXm-5x?n_{e+JLAmVD4c|zk*P*jhCV3x~;tE;>u z>RGxq4e=pZry2GXIt3>t1ijR&ztUH^PxS7MKW{a_F97!ha@8(Z(!-?!u7{8MXOlSb zGA2UXa(9>(kd$%T9nW>j$ZY@uosX;(cLqLkHk|?@K=wu0vB0XqfyhASASzR~Cjgo@ zu$4CTQZr9subH83BN^r~U56sb$PVDMBu-#Nh>zW~y04TK=h8CHqb&d70AQ!ijS(E2 z#L>==3&)o2+VK!a8VijyfGa3Kyj?)Ils@v+k{lBTdr_C4561KvWRC}|uPHXjP&eo? zrHrM{=(n*Gcsw(2WxHQTyiL<`U5Peym0u2`(P0=K^y6B|0EucpP<-}JTT0PxbeqZR z=Q+)Rkmc(_eS<J3KnRaY$HWlm5+u6Ll}mG|fgakVP@oDEAwsi&=KVp5;M-ic_ReUe z3_nRYN#Wu76-~n0;&eThGlm>p{acZihqsm2ZPl&c-0c@L)8JXFpQB6*jLIi?+>$d= z-|aj6Bg6ZfdHI|A5;s2Z146Xg_2vcN!;N0{7Li+fKnPI%A4qyHG8D&gR2_rtfR}wO z&9;v+4y7LofaR)6nc2JwylqqX*u8iW$K}r1A(1yo_HCz=>l6HQ3={a1N4m4`rwpjW z%h+TFWef|Gu;Y1d%qn-_@r>U2DO{pmkA*0<nam%YnncZ%i8|mB&kmRcy|7g!7y_sI z;|Q553LCrNaf6D4hiAcNI;S=q;HQ@s0(eug9uGPMWYoz=NVJ66tA66}E{^bXz?M_n zvN!xWx#v1I(xoo!VE8D>(g28e0t~+roR#QWrXWzpHnvaydZ7`UMLo1xGK9VZ8+Ee~ zzD2_;<T)kNgk|d*mRAvkcwf-{zt^Ky?hv=vz{2>^aQU@@LU;^E?=H}Ij@uUi?>0Rw zdE}v`0}o;5FQFzQNcr0MthWqEYy?+b8qLi~hqyv4zBO3OZPTQ!aD==iJ#nNz_-w@} z*FO+|4;+3EyQekq*;UZ@P|g^prq-Yn>UiC=34k@yVvOrOgjzcPmw+41%_f`tB{SVy zOx#3vAm4DT{FuvN5li&mMjNt?csi0Yb}F}M_5$Aay1OTC6(Inu;=&H2U>sw|biDQ* zab2ii9J1UPm-<(1vHGUH<1W7m6Id0ef|*sG6RzJ2FV9pl#S}pdcEQ6eW{7g4HdvXV z0T9qI86&CXC{x&(3ltQHT};N)oJCF1;e%DEw<fbc@!bxhc8V6$O6orUv`@e4U#&P^ zNz+4&%xDj+)&$8fUn|L%YKU(TgDKoFYi2Ahx*r07ZR>vs0I-dwpq#b_?+YCDcR1_x zeM2Lt8(^VZ_ja>t0)-gB@%7lRZ215|R?vG~|2!>?dZqST3S8#ao^Xkq2{6SJx`~j` zX{fA2CZdplUVpP7W-uMN9n%rga~Z=~e(L@<RHNE+Wvo)Q8G`EWEo%CYeXzjq9)fi4 zlre7Kp!%L2^=B{r?Q>t(y65HYpUH<r_sjd)>2haJcBt{k;6MJvbaKSMWu@}J+psi0 z%Vf+v8m!JC^ys3^QHJof>Yb_~I{4bo_6rBYmZ2`{8~ohEWjn^q5a;Z<TB_%Z?R-S* z@oO^c8)LltVgJ>5)y0TQ5Ej<%h?P&&w1?KqMR;>ElAjtBz%56z_31-YSrYT)P@4rS zoplau0(FPU>TWYCtMe%lG!b!O^UIc#zRSHo6l~fycLyd6E*M*aiGCTLt>w{E1uuM9 zyYW9TMTY1Ag|DMVrigrJiwxUwk_vn72`P_;+D|9hZHcn=V2F8<yYU9paF_!9eU(HU z=t|J(TBZeaSL<Wl8t(6A6F&MiFM(Y_Sts|`xb5=2M7=hJzo76fV4W&Sh15?7JN{7| zb>0BL$tL`!5j--HCxvpiU8QW(BJ)7BQbuG4bl{8_N1w>HIbg*(uva-jnzXwjL`GjM zqydI^UrQCiWWUyI|IzrB!@8#e`JBr<0=Sucw86YJO>Di8O_O}r-X1U_+d5&-Z|Ccc zdB%8vp4ZILo@;kEH-9&5Yk#g5KNYkmp%hXCQE2dR-zU{rkU&J7!a)Sc+N_W3-LhQ| z8<%0Vftd;2!fv;NVWdOCWwy?Nn$;%H{JVYq@!5B_=3ZBKet+_xbTo(GQp1xAzXd;b z90$Ry_HMS*uYgiN+}ysL-4s~|Q=v0M9dlw4E2$)D-)|pb=DEjb6?W7mEe3>`5WpBe z!;s?R1fKu1)-PV4y^t3mb@`z~f?wS-h?7!i5z(6(>fnH3F6NLQE?nkAI#z}q7A5Q8 z8YVNb(x)a|&>n650*Z45?XL6wg$U%g@=p&8I9|f7Qua|5UWk>}adoo{zAY{_t7oL2 z0OSQ+Whe@Y!%|fwGK%1OnMz3#5L?(;!WF@qhKCF@DJ03aJAodv4XpzgiQNn5mCwr| zcnL<cuCMr|mWh}c*Z}*tlTy5-Q-hWK(Lsh9E;)MAL!@>ou!`5<GUXK6LzUu;Ui&Fn zLezv#i&ZJsp`;3~5+Pni!we-NKfjME&!Y>e=wD>|qAIhY$D$dMhnj-<J8{`ml9&AO zeq#V_{SvpkBWf9M{$u-MW2Am?B>&Jk?YJ5y*`Ht37FBT#0LksZ4nYuGM^-DIL{Z{C z)zn<{;(F9L5VQP#-szT;2VK*%K=H$kZ&#?o#xtR){={v<-@ZPMLX0#MTM4R@$S*i& zx*M4XlHab!F59F=8!u)R-wz}@k*7S2^2%n}??+S0V`H*j>Ja*$-RjAT-wOd3qEwBz zTotPoe!<NHn-tQe+rmD2P|lWVWii`e;(;hX9Dg==T18d&0XkL@(`%%{>(LbgHT-90 zqU7q$&2L2M?27v7nl&dj{jGJOB=xdQDW<F}y-=+2We|1*Rw^=-eI?+KcoK9i-~@3b zLQ~;RF5#c-yYXPKJyA-G$DBA73M}lN3~1M7kC0ewNH8ZURN(uyzxmS#J9hSya_&n^ z9~K43{k8wMDRl_eg+pjv@4k*swHKCwV<pT$wv?*P3mE5~Tr<Q0-mm(nHZUkC#)xDI zrmo1Bu3M*v`LDX1`R*kz1ti?!4*M9T6Cc-7*<}Xw%r4Cgxht!v9(D3Y-leJSc(ISu zKRRp^?b_>`UrL9BW@bI$_i5}@hC#wbPJVHRv-}@+h}$aR?o?<Hg)`ktIvuk&#~oU? zwJYa%LR1)qRBBIpKtc?<GnI>lKaREMJOSyT#B}i0MkJ^61uwKB54>PcHB6R2LNaai zw|Y5LNaL89LC%6KGsuszT61u>RYz3LHQbGbnok}!K5uQg9$uAx)%$7!vDX^U?pqpy zqdyInC*gYzcP}5#{Ir*un}{D}rc(;L_r<4F&)Q)!DyNZ@nS9?C9D23dF&?Uk3YRr= zppbt4mI1pBS?vdckI8D-2t<3b+0=V5STsnTArbZ{JWOXxA#`L=)Iut)p|Xl=Q${VY z^fIakJ0~P1nPa4#jlwRlPw{66TZ|kc$45k7Gz>iHIL&=JDAHtfS2D-RA?($LYd2|5 zs&h)U;m})u5ti8kQkJSwu_W62%b;Xnc?3uybg?1-<x%$ZEP)BaPhNkvNJ1kTW+Su- zg<uZibqllpIqWB+;*$)_H2<jJ$z;QsJU1xx(qHeSIDD?f*-zWfN|_NzdQuT<G$5ou zzi@bWj*c^5X3tY`?K7qxq{wjNo6sVc;a_>g9`ca?Yb;F@^vywk+p=9}E6>@h4F&`a z1T-$)V~jAkkbZK+yNcQE^r6nVIiRM{-V<$iLLL-`4jPoLm^Ts0(42iL0U9Zh{Isq} z29Ox0SBj%mYK$IB6g_i8rUFO|-pY4Mh+x<y4VVZ6A7X8v#+B>*_5mDGVZ~O{dc%k< z%F=tos1?Ji@qk;;gIDJTJ)Q=!%J++zw81&C@gbYBa}*jERnLyP7&}yKgu8)Q!>#|; z)%%9oPM}lweQz-8NYD5)nEX9mh4-rA6>%D3R85eq@T&;M@O~5FtDgnR1(3*0V7<r< zW{nV14}VH<DuRnbgw4AjeJY4;EnGHA<U~iKB$j4X5auesIk{M_o{wx+v|~OY-sn9% z)Bzb?Gi0kF-yf^iR>UeOi?Xd?5tax~4@*|fviUAmN?77<*>wh~_Zg7D9~y+j6sGD2 zxBj+P!bPb1u2c#2x0?;H$3~>bt-%}MiQG=~d$m`^E+bp5MAEPZh#mIeQlBPETmQ_X z$gXB?@wPmphKB8$GmmzX=0@)#1osNCZJjS6>!>UqF%X;0OAxQ%&52K7N`)5>2oArU z9x)2F_~HLEt!R5jSC-`{L<6=DCQd0m*9!q4Zcy>i1}Fq;{a&Ww=#7IDT3~Kak10yY zX<?Vhy!ld^DemZQBC|%pUt--N8`o|x=qf;;Gdr6IiU$)k4kGE3`+w9=R>2*26W6}> z2!*8L>toOe6&hT7t?tKQSR;eP%|f{D7PU<G^)AHbB4fqn0yqwGkVdXkeDPOuDM=F& z@PLWK8~c06c&10uig?%(Z$yA$q2uJxB0bRt{-Fc2xa}jUVZ|musWDYSuM-em`8n5W z#u-@TyT<$7DTQrH->NT{_4fN3!HBOld`ZmK%A+09bo_XWg)Oi;6iQ7y=H@gbHsQr) zVBSDOR{6Ln)XgURE3bmc<KW+z+pq;G^b0X!Q%~6$u)X6+K<zg6u*jC!6Veog1`~-e zxJYp{V-%HYA!jEk4?5M0a_)3a-#N%ePFx>3H%`!r<ApE<cfgm?oCQ;93azwMjqLi= zF_)a%3aXTFFja=I)Nnqqm=R|YHjAWMWm7SBQ-U;2V50348mVNy{zQ0~uqARAeBP_) z$4S-$J97jp0SAKr({ZtcP#Ah7>zJ{tkrFU?8)Nqmt7;i?TrVDowXB8))Zlcq_D0xL zhXu>Ea{LiB**t%GzXQ}{O0|f_7{(F`ds_)~)kd(Z-{6hrTcaiv0Y?UfJ^WqDwu*2& zp>`*_OPm<qF{g%7iW*KaW`K_APLOdJ&KzuJ4HT$T9Y*YRNbOm68jz7ds+|k-zP^w2 zAH=6W_f~-a9fZ5upTy4U6bz;VBsS|F#=&A$7%hC}2nEk(<I%<1;@Z66>$#^?NJq@X z2j3<ySpYb(g8cBy*7LgelHWMzwXAzC5hZ!}@9PHdg|wc($-STKXIb*Ip}jpqq?f7A zj_gP2cSpDIvS!d>=#opguE?@O*#;oHIKIZXmU3TuyT@WZM+3+Lss{m9A|T5V5K*US zlfbI7lmg1ru;@`hNmT)pf|}Blb7(*SY*-R!at25<l1MppWFxgAphw$!I!J34<d`U^ zA7tA;&z~8!6{mtLQXxfjU{)(PZ+7K0kme+j<t!l3C1PxJ1YUYbeH1Edkd!~*P?~0# zz1s}nI?XvM1(|R<tO<lWxyM7r0KAA)Qu^vtaE(N?C@_@l?@8cH(nV|6K*3t^;4vR# zY2bAUw0|HWHY0QM82^4AO&)I|Ys_O6WnV|`>Nkoy0e}q}Ju3YExpdElyur*_++fMw z=r{3=937+)u`x`H2a8!>uE5{YzL37l5)@)#`cb%7KsEdjT678$H5w?1BRvDO<-!I@ zx+s`8r`Rth^@}72a(V$%<mMW@(w6pjfb>8nCC4O4G!h*eLqb}PSgOdlCi?t(^-qtK zg<w5d6iGmY8R=-Hm?LOj`}!nDdu3^N!V>QD9(6%aa7;Fc+~)O<Pg*ji@!e0mI1XY> zs+eIj495K5w)({@g=@sjBP8i+BJH)>dFL{7d&DLkWKR^FOEQry(F$X=ztL&3Kyjv$ z<+~tjQ-fbCz8tCl{S@W^$q7fq|5nuV2rVZaGC{9GOo1U6iZ&?#gUtz}VPA#_v?dft z_9@g#lJu%$Eg}%d`$Y`WxkB>SmnGg802i%d#l)}u%U_+lE4MHvMJ>!~<cM$Tv2DXJ zWO-IC&M{k|aSh$B&Tn*w)*bNo?22cAlCE`pL|4#K7Ft%bu;Y;_pXay8*EynpKPtMh zk8J+qb%l;W*ye(7pJIzwbJX|BZMm4A`kKCE)yjG7hPzX<QswxIck^8?>FT7?F*j)q zQ18$zUXdfxo81dX5`_~dV9TNf+Jf!N^ZV~<(f0f6T?S0a<oV#D9Ik2f=4L+i<>h7Z z4)WU^H@E0=lE`)4@@eMk<>lc$Z3O@1xNYokf!RKGglXk9|8NExA_79xhD~P;?$6<F zxrlcB6P06CE@%65=J;vfR5L9qd{uM+LsU3#{H3}uuGE&6w;A|qX|jkf@zRN2Qw2#6 zg;F5%IROcFKTT_${$+RCTeTSw(ba69QvOP}o*l`xgr?(RP;s`DHYT%&Aj2YE0z1q( z10Hwav$xlwcJ*gP#dGcoO`2WGS(z2#YN=8c@Syyu$hrYDJ}tc%xkwu1&>+nhf1dg~ z?S_Ya-hXw(m`vSck$NH_mGRKme#XVO1gBky=7TqFiiHPC!{e_6l$`~UZy0*;7&*bR z_IY6U@m?-v?>`6#k20*XjZ7_1Om$PX!AathU$+O4nz-6-BO*zL^Ooklp;bQTIMcad z()aZy6lG;*l74Jsj?<}OWty7`tSz=_jLbMmI3lb9hFSImQetL}?qd&=44k-(KVh7F z3(VsdXN!3GS4#@eVLG|+c(*I=*#DB)Q%aabkF=vqicigEXQbdf2c{bsxU1MEvJV+H zWcyc{rNye}@mhA!S~&S)a}}DItHtg&BY*9e9L$uAW{@Kei_D@slM0(tMnH+~Vd&vG zv4vx}JITYkDa)<KN^>P0T>Y0;9*a_IHcVS0PyWEZ!YF$zDEb%fi*DeONq^sj;|D|p z4nw-$Nc-ou7?KMeTbM;RuT;)w(3GL{j|7N<mYtS`2b&upLh1p>?wKl<D061ic<kHu zo)ZjUqgnXR7y3W?c%(_fh<{VfE+s9SO;5_Gi&-1?pdlfWY5X3*2B2d)k1>&V;K?Z_ z9`*#3-L6fU+?@xU$>s3M{><YY1T3iQYX_%tmu*Jaep~7kx>O|BqMCNjl>gt-_~Jvo z{Y`Qt-;!9y#NX#pfi|UQ;ggb8e(uvRu!aHukHq~d<ozfGiJGTj&+D^;rONOC5iCWD zV>65Yt2C}B4EM^i?ywHcjy)T4^4)yl(~FV6yIPx&bGQm{e$(<n*gYa0^GpvPrw)hY zxSn)APiga91Ta!n{66;FeI>{PU-v~sNxySZ!6cn$BsiU-V+-x=Sn@k0p`P6NH~rRi z+$5YNHVLlIR+vCL{FO+9@l5H`01YU`CD!O{@55?D_6sR_Xkuw|=FXqAJ+}BgRm#_y zx39lsMlZd9(Re+Psx>on1WV*^|EtDV;DDQj-f5ooBq0+9(Lrw`iWW@dtbRF@{wSk= zw~6_6biwA#7K9)Kqug?Me7Tg=@^*moTQVs&p0SEt@eL29sYpT~lr~$4QxDVp%#uFA zA4iN3Dv(BIS=_|{YeHEsjI=(!-f6%XX$iXzv%LaLVzdD@@d+%#9SGd{J>eB+VfJ0$ zW)x}slRyg*lvo8J7^>1;m6lZK*F*CS#VUlPj<+}a+-S%Agwd^erKUf>z=`h{bO;^l zHi(hyRYOm_s`m<Ket#~~pZXJf#MLsZDZeTBKi93A*h=Y8>AUp`ua`f+Z}z_!2ej^2 z_N8r<9X=y$AsHKkd6#do&S62PZs{UFvZw}$UWb82?n#5cuxRjd9tOd+JDWN?%S$Gl zVcAxYZ-a8~;mMA?zJeTYs$AIhovxeQ+q1j3)F2)}w>bFQ+|FeNiiJ&Y9u=9w%+k&S zBV{Z$=jlN4nYJBi!goxa7SiBzNYta5N#$p3IR!)l9g1+_f|fx7P=GChRVM6A*J)cq zRoFDh%-?4}ri5}Vr_#lC9E&2L+Ja7yC214F-*!%FebAO3$;#RfvF++?YGb4<Ob~CK z#nVoY3dI)f*$EPdn1~M7Kqx6eeV;<G*B9Q~;!^zK&MI?iXO)uwUnTAYlY{>ki8~9! zSNeZQ+`KmLCI2OHQ&^!ABn&6wX#YS&<&GkTRkJ8D^71wbI;}hRSh$fgGYlWKdpXFp z!K=PNi1P5jkPtcwzfASNX(wDnyBCoKuInuxd#1015lO3!EoLNPFu6;C<$Qu*ZD^7B zb!fO3%GDf8WSVXlYb#}Bokp)M5ED=0MI1M}mq&%4hL-%)-H*>MaIdh9VJ>dzPp%Lc z;rsSU7>tV;XNKU0YuuUjuEEl{y=#hDL^@uJ&`mR#8myYc%oM46A+S#VQA>DZ>q%32 zjP<9HFjwZ)x5JYM7Y<NmLoT<B5itUP{GgJ<m`U`zFv24ukKaIH#>}4$5{3102B8UB z@$As=%nQ0M;3A%CL-z*{k*y2^c_l&#sUVt(FMa^GQ&(_3dlw2!xWCuH8YP1nIB-+7 z3K5#tK7w6Su#TIwZQytngGmhfUmtvakRw8!A3y+cLO#RbFgA^$%UcAVgQ3I8Cznpz z4AQvr{#zfDAgK8$e4chbt21K)6OJX<8WUTFLC!Fv7XyY8*K|oILM{&_Z+yXex3w6l zuGU51TkDU#$ltsFQ`)Au@Ja6gT;L4-Hs0<ETt1HHPuPa}Xqi^-l!@jmF1|A>kas*p zW!|noof;^8(1@BYA4D;tE_N!nz@ie)FSzLJf?r0|1W|Eghn+Kly#|<+ABNi$?iB|w zHo&7NjLcVGwzgdp0<b`q@hDkX<=f%;KL`l^&ZC+kj=(9roxl!Mj?sZEGBN@M9H3w& z4c=l2yK;en0kezAcw027%{zKE4|LOJ^8eH2^;;`iifX8Z`?mZGTg$xabRkKN+d8H* zG}sm@b9b#QJ)qC}f(c~2!>E(oKW8O56J*)h?Q<Tfok52?W(m5PJ`$L^I_3sU&XzYv zPI;8)?%e_c(+uSiGCkJ%ngU;iow56Jzcdh(IuXmip3wftD-xtm9#LeYNKR`bHis7v zO$7Gw$%2|fwD%vpExD#SjQ5u!G(-ZDvu^$XWwX<h#^te^BTy(R*w%VdpBhIb;3h|E z|42|rv?W~b3<BAk_b2PTcK29+d~P_oI+!*azZA`HgWam3l7ta0WvUzkr^~&&bGG(F zxP3&{WHFAQP~z?Mk4(1(e)2T?vsje5ot_V0`T4vjE@&ftWUCzXZTdV}uglncz?r;- zx8DhVl5|wus}70e;aZ-Vpk~N80#o3-c}35~aha==-?~|@E|*x3%gOMJJm9YyOb{1A zeaH5FK0Lbkb-TPgjNUeKOlL_`MJrTtA=v8ev%Gn?#ePU7$>NWk&z3}_5nyDnT}`hf z7gS$AMcmXlhlh4!wX;e%f`IuTdG5RAq>rs#U@5jdY0UCcT|9j<AO7@Dz5*%MZd~D( z@wvR|CA|9Z%F!N2Jx{~X7v(hY&J!pJ=*0r<l$U8f<k9CYH#)euxS9dbMYC;iSd-J4 ze&iN-Aq!q@9OkGgR3FYkN{e27de)i=oB-7l&T$sJF6?lU_ZXf6<oVEh=W;=?FSPS@ z6D^|@Ij`+<p-6VJCo?%`zx-9t&(w>7^$9e8SbZP7<4AZ@+JIg}DV|2dahT-CTr}f( z{qo^T4{=fyR=V}D>qwqf&BQWq7JiZw9&(qbDUrA4jC3q~VsL=w^A!mJyjrJB=Ta58 z`ghj}Fihu7FU@oB0($mNfyeWHF5f;Gy|{{v$#rP<_Ok0Zpo*f#K7lmLv&(q-xd_ky zc0Sv(T8Y863~?x7^-{huu5VezdRV@3Kg+U4Nr4)hZ+XLLRTaA@R@dj_9FDv=ooRcD zsAvH)L|P-aJo+WV)LSKN(Zx?YBr^q0?l8hn>W}#m!X6j?z=9J17U7lO^2vzoT#1XZ zbsaV3?aN2*xjCPUu=wa)#N(+D`{ncITE#DxWvkZ@lIsg{vY|$eeRpVj0-~#@^GILo zeTw{d**t#fW+jq<CVwcy(1P*rr#+Jn!T>R1bwfZ15;DSf4vn$X5eZ_eG3@jJ&!Eq| zXh;mB^!DQdq~}O~$421D%7wmRPW)^-j+v<q5&POjC}Iob+H!2mrN9Rb9Qz9?CjJeA z4Gh-(`XuJI28-tY%fL?ylHP|~@Fk|d{;~V1m{==60fGIfQH)KtR{zij@FmgFm12y% z%kL_=a5=ZAWgSd?xs8-s$?veu#&bcd%**vv%EtdWPQ=fK#WQ(NQorU6R}G&(_`j!v zQ<t}RUZz@2yY*~ec3-Rp`#$}X`@8ttR?TdlY6_xX-oLAw#cIxazw6ZM*z~TyH;2r5 zpT9jtV<(mB*zdY`#-6=McF$vd{=X*q_nzH}*N<X7tGKUv_DApbJ|y`s$KG2IPv^(p zb%u%mb69uzTxZU3ir_&f@QQ=zO|3`o0dhP(h)u}CK@p)zL}yDKM%6doH#eCGz8>=H z7g^_^ai(OY^DW5NFZ0ny&w{zc&e%<v2YD957V~za%u&FkwF*K*^<xFJxCDCp4VfP* zaP`NhVX~n2f!YlJd~Q`ePk4kpAfKJtx>RjtX+zQ6RRto%KyzVH3cD7;-=qgxWkDf^ zM};?_Evu8l*#aqd=4y8smeu-{@K^}DF#Bc6DH6mx{hx}MVb*_F#4yw@QUxu)SUU;d zGKMVa0|qZ5ha8K(pZ&iPMb0ON@6v?XQXrWO&)NoRG#6q!#}HNq_-BVoyS>qoosmFP zf1An>tD1%JvHV7tAE5xtWBmDcw{9&Bm=T%r*x(K<jjC~&{f)dsTjyhg&0~xwMxsT^ zsV>O0%4nlAwc9?2$RtS7Ei{&<P`5Y829i79PeP42!xw9j!wK;<nEnCY;Tzk(lx<;C z-!?1aV*DMb4ATyl42I{K6q$emt)oh_D$9resDZx(vC`N1@>zbp6-H!9rA5ExPX+gW z*!}0=;1wk?sS6ekR>wy`SPGFg)H-;ILyh&8Az~3^iW(DDcu+_%1F4cidC26U$GQyW zco1W6GRSB|bR0;S<Ct&odWh|A(~x!F-+j-bl+`wfXv4IWSji#>jM0DKE7Spx?Z1rw zIX1F7g1#MpQgJwwIgCT(er8a>rJRoLHt&yT*ya{EiX%E@1_(LYu&Zg&wk&ebxF$`x zo?fO4$=8RYRr(X~Wy}Ory95cpf|ZBK1oU*Mt718p3h45<H&*RG972X+w`Yi|csJ2H z1H-Q*S5upl&l=9Rkk~vFJ31BP5`;v$DT3>R-dQw{s6yLohN(c`oN0B3V)zcr`(tJU z7CN_lW%I2LZyj}C=v#yp=U@Q6P&Pqwe<~nC9MWkUSg@W1qtRQk+zHI<SP>_4l0naV zcj<3Q2&TUG0tos=JX~i9*k*u}${^UtrxNZ=ZY8RFd+Gc{YX#%Boxrkg(XTb{q%7e% znAkPR%#*Y4K-ewHp53D3+J&~Ay|tNLe`##=wcmZ>;M91-N3{nPDXakU(5Q1YBw3p` zkU`AJf+hFLe%>Zdh`QYZ{T5uly2ELhewV<&-A~ky=#M+Vx~S=DOy1^i<2rAh?GWdG z<UYAvJTE@<`>{w9B6^LwxY$5cuV51;xc8Yw1@0cQ+7i9cBNow;f&6lrDEOxzgyFbD z!q}A1b=-+?rtTmrzKyvD6zNm5pEh7`bgxgkH&;l`3yNQ`IB2{<_YHii2qlki<z9du z(|`~pO7p9zZL&Q$@&hkpPY%()<KorPve1f>{N#R|j)3hCU82S^b_4l%JBsivmJ5Q~ z@kt+yyO+z0u|Yt9{9rwolKqMMl1F<suAc3rV96a`#Gc%2-7J^-_h7U%tZIEXHZ#wv zIU`$}57O6}b6-67Wu9(6#5#>nQO@*e8XNAQWN65I1urC9+v3&icEUKyjGD&lh2@s7 z8^X;jQD@<zd~VB4&P$1pYJ*w8?-L^e_1nR)1voowSfdpWgee@b#>JUe$8>Q-`6R0~ z(tk>O4T>Swf^gY5#4TB~flyZu$_g09A`{R9FQ>{3dN9{KkX&ubPK1izdk;<it{)`0 zxfSF7CCR72PU*<Ezt*11oT4&f6+SsJq)#7i4vKyb-hAhS`)X`Tbcjge@4Ze{H&H5s zfD1)<P=%0cd@gc|iy~w4%nH<P51|j%Q#{suW7}Z4I{KN9p)DxZN!h$CKT4s<NLVtY zTh27n(}%M?&j=_j4DlgQWMYC4R7c)2Jm)W-WLC&YrMV1lF76u~r`VH(pO$%u#8psW z7Hfr9Xn!Cw(m?!t4-A9JPA&>GY9*MCD0Ymbd}wE6@qZ4B0~Vd+LtCWPX?&p)D}6=F z9tf3SxkgKGmxz!jC!^)Qe-s%k51d@$e%p7m`g}I_B*z-@`-C(qCO{%`cMk^ND?Iyi zoc;-dOqk=wBk?#^XpCyzJERbdsy$>Zfk#|4@M~YD9a0BJ<ZH8t(Be{pC<Jv78zuks zv;GZ11<Uz_nB$UKh8Uz{uxGx{@INT|(iCtYnE#r&vc;4fNvJYDRaSJ}<I!WErijmz zJ2vHILdg1QC=h|Jy4sDAMGVg$50@WSf0>?io$GSC5u+j4R?XJTVLvT?6`OJF=$s_O z)lI#Qabw<s1{S_~xGc5*k^+LX4VmwRu$ZJO7*_DTa0{Lj!va_bv?PISKor&xu0UzK z!q=-Q_id1-nAS(NIhj%kIaoM24q72s-bRpKPo&UbW!tAQUkQIfOtS+4&<Y0)-<%Wb zz;3u8JwAZO&?AF25&Q7=Gju^4aH%47hXdsIWyBKZkf{q9=V)__faa!~1dItXB;k(s ziiF)XqW@Dql9+?l5fvhabRYqqR!<{FLpKaV8Fd!VDa1h&<pmLi!IQOO4AE8-x{1;N ztOTUfmJ0llK~g3`3T})?r2bFI#FiA=&+ROXhd|h*GT8gKiaB+AMLANC_Yg=M!qzcb zn>y50@8}`l3Sqh+uM?~8Yg6i^<q4hHcxG3XCvF2;opB7!f$^3N3SN3<8#2O?>n}Cg zz%>Lxn{HI~I0fmIKyO(*_j(V;E9;E5YDS)aGJ*n->Z%!9CaUo+fgx&uFfAGMu<YKb zoz=x*<gq&dGj%AdsytP(!Qs3Qo|TsZNm6<$2UVY41S17(rGK<*a}>O*z-vl6It5jM zU0Fznm2k~lAw2^Pl#&2lNt&YqH{P8$&>7UB34Dxh+5+<v0`MkN6*Fn7gjpff)2V?k zlGc(Thw6xmiou3J%JmOUD;AQSKBxQ#bE=iX)+P5urPq|syYe^X6`*Fg%%v-lv|F%! z=op`oAAO*QG19F?b+1O_P=VPbHAFh}ZRM^^=s?q4-aqlYu_zP_P&y*{dslR*gnS}- znuT+G@R4Ej(m6zT&(;fh0wq2iM6K3N9p@}u#Kzn2fq0uw1|KRFLSn2~Vl^PaO0%@q z+)jf*1w2`RvR|cvmh1&1L(N9+yUZ?P%?wVB{1q*lXbVN6P2V{t=et&`_UxV*I~Rc| z+L9@>_PaunAje~JhC5M|7hH~;sx9>ZjrkfQ%Z-?(iGvuO3Y=IL7x}wMd+|@T80~BH zL6#6|wG={ak7g@@yr!y2pnPCe+Z7S}CFg4XRPQjNbqA-q?j?vGvXYk0BGl9FI?@}G zw#kmFdZ&YWNE0$bCeDwf`0~g4s>aQ;E`o;o*eNyNn+HInd!x303FGQ4`#cnd3YqR< z`1#%V{P)xLXzcUmt42L=-$Z<27G)VyesUosiKB(NgLvUE*W=Sr%S8H&b^NazJDqo9 z^7DPyBxGn0E@Ysck{b%mdczWC5BLw9FH{Kq0qWke$2r;^ruZVQIVCaeR_-+N+e+{E zwdV=-gitbbrILjhjib{1B;8JCBw($Cwg9fh4MO{mb>of6A4PBvr4bnjJqlR+nZD8W zTWVaNU#7(-ZF17N(#S2eZI2!9ICo;A)zRx`d=lGG?R?sGC#6L8Kuy1#AP4V0IpM3x zjDx89lpJ_mw7^!TZgn>}`?w($Fw(o63&8t1z|zl0>96nrk5OA;T?aOTr?OE~WeGLv z2W&^`7jztZS;X&<p*G!jEkwOtEBD3Nx9b?TmJ*|-)nPwJDM9ErU-(@8U{(AL7J@9z z=uj5_z20UjpG-xox>eBXgM?@wbr|}wWka4y*aaC9k0LUm;Z#J1mR=Kis*p1QAVs_? zl9STfQ$gCsG%IHjlkqFX$d^EY5{?7$T7T*{GWI5(!`wv5%}|&?VWe3!NQ7}$Ls9z^ zFLhsi-KO)O92`T7$V8B@pvP@2=JshfbhNhwf-~W%STPBoH*(ou=vM}_uAv$<zq1IP zU%iCH91ae#^t)eVy!vqEeB>I(@q<|fA6;@TEOup~V~42C!TxAH#+W_E<u{qq_A};R ziZ4?+kGU~cmkw$&WaW)fneZ5XGgE0<izxeUm3<cFiEbrsu*-o8-dA{&x%buCt-{Rg zLz+Mlvre&}r3dt6w>_Hf|Gb&!vM&L&)eb9lrewWB9EEAn6mXR*t=CN!QKdkfH0uB$ z^Z}4&84Uvn0|>n97$r>y7)Ng}aytg#azeZPnRoIvja^3E^PEh7uUnc9KW?;{kGkoL zBwyumY>~40)x6(c1L^03N^Wtd|G;Ku_8z1B(DenY1z%y<xJ}_|0bOCn8Yk+jvy7Hi zlIX^RO5pDE8(7VMToOtes*-QBk&pmQYOdOTw)-T~=BgB@t%dK?@ISI6$rwa%wY}c6 z^pk8Ue!9JpRrYSe6+27EcXkGm*S)FnA_VjA{tOC$T~Zyd;!$UX7p44`=I%h3Vu3fl zB0us!ecveR1YOP-in2^TB4(cJ_??_$vM<c<MrB02_xW|A@9k~g5+3m|f>F5}cN{X4 zZXXF6%Y?qjG}!&3e9@6%RwB@E;jxSk?xS8C=8f}T!hBJwza{ocOhN#j-R5pi<*rz- z-(wK{XUDr*>|_!T?taQaQ#B+HyR#^(dKV>APvU@Bq*A#e?BP9|n(C+Y0X*`C;0L_4 z^Ey^=v9#01Kd6h0Dnc2gWVXtDD;@AS_73ycyE*#Z^diz&VKP2F)NG!!s-3~>P43PM z-1vFlE9HHaA?o8n$*o%(xs2)z<NRKm-|l`H7X!|Pd5Pyl{4$tWe+eHidKql<XB@04 z@ne(8Wc>2W;I&1rXKM8^sfwYzgEn?hp9PcXciKCoT*ke*vK(X{;aPRyI^?$3@hkC2 zCDWxsGH7-0gzACY4+gc-xYfvI$%bUoetYsR1F;}|tQ_`b$1xru_eX!fKNtN@;JPY{ zQqgl}-*?%cKHlW<H@&R{%NsvPW=F_&XhJ*W=JuQxtw1@aiB{OTvL2K>AAbB-XKznw zf~$~7hmO7;nV8s9yk{#Q-J;TDk`8D4UFGg1Xen8FXi>F?)<kL(jB<Yf_g#lYY%7u5 zsU%8KccxXpa+<q+R1U^A%OTDtS7#so*&9RO-1xQk@wjZT)`<_p9Lx1=AqmqJI$Q{$ z5XVF9?NWJZSjp|&V2j0Llo|F>4^$(CAyeD{J(8?mVJl(#Qu}P3pVts8>yX<eWfQ!K zTGi9V+5M6_&;op4Ra&svK~6<J*K0Ipp!Z`MSUYOgz-5zwYT2P$w(mM)O06Hau4l8c z$}#$My}!Z$W7>Adtyk5$d#eWCynyCY`?l>9vYfPTD8rCGU|+>FZ~Kl4w}dNglmGx7 zKWaeARDk`u5;zlyEp*uu$0+P#whkf+u(jbCFkijSa5e!&X{W23%`$)qp&bKl1Mc5S zQ^$s1vz7Bs5NZXU-%`_%bamcV7b$lUlElc3I)K7QI0-(7{j*W=ui8aH5+kqc$|8VO z0Ha`V=M_EH4s_w?ZoD`J>o;>4Ty+Mf$Iiv&YwY#RR+H9g<R%84?c`!sP&vp~pJ3pK zfA_ue^hR6ResOTabU*?cy2p_OxXHcj<=Xbxf2nZac~t^K-q{cB*~<-REw!A`64=gW zVOWgcXFBuG{&kw&;^8)<W3rg+Z3Y%xS5yc|D5rNLyWt|E^-O<cp~|IX_Ylvfo~Nvn z#@%apCDY?in8l>}7;dyZqC0WcI1~+uE2l3e$nNKkP>I9>-!+Hy$rmz$!2Z||Y|Nf) z#Wmi0g0Pv6>ngK%EATV!;7BnZlZ8_B{M-%MP5?F*+}sKAQBnBV%j-nGTal&4^t?9) zb+Z)j=K&%ln7hg9T)*82y3e?Q<E#h~<qM9IjW7)bQ^2RoIbMT1ggXA9bw8}K{Y{k% zkJYK`8C?kMCw+SHrTUxrK@FnN@W98Z;0+HO)kYYMetyI~kHxcoy{FxS#3byJ%%k+w zOZr`ax+bAAdFnU7w_tBA^!O@!XMNq)am4*d39PiuB!G&;)iCqj%wrSweE29qlI)&o zDS_%jci`=5dhdgulHbD|l+8!IUmZqzll|ImHvBg;c5~5$DNK)&#!8Q;`wH&Ue>M-T zu4m|~T_|o#cjK<HJd&xg-V;F7*c=1x-Xw=WR^lLcf;uVXp%qN@t_lEN3iM~RbGBIA zn)x>Bb33)kBRP`}SWXYJ#UK|o2$skCO8JyjcFn|1x*&PgmaYPhu;@P5AB`mrOIPxw z3WP&9SzVoOEed*mn~t|P<L~&XR95G{p`N4%jCh~HEJ;Y~jSfz3l}(CZIZ_EirzjCu zMqj}SRY+A?E1XcMuTTUFEHa;`oPcwFNr6fNbw`;)7-UN<u?H$mJ*7v|NHYpgs11ST zwWo|nm0BYlPpE;!7y)*FW6gLv2v4#)%O4aT&)j**VSxF2^g&+4cr`#3I<ITx*Cnb4 zs=Hne7I|Hk8kxhLRB)4g-@2aSW>y!BhHCvk7)iVSh!%bZv1rCjC~$jFAx-tQSWxM# zK4ZzByINJ%gGk1zVY}Q>7lZ!&EjU_VEThLnSu08hDyOII(pQAg8Ao&_qX%9t1xiy# zEAft%yMssP<1JOV8Nj%5><VtlFEpAm(xoJsdNWP~yWqFwy(kaYP&A42)745XT^+OJ zvkg@9qTF6U|Mc#y2viQfZ`A;h-vb<4Nb`r;pqfEw)T|et5?<IacYfl5Ap4VaISl5V z3N6i2@NZBXsPYpH9ucg&{7qea;<w^yIEyEGMb!(DOK1_5ZBiWY-oY?MusQqQ<1#u- zxr-pV=nd53f@helo?#`m9Jwx0oe}1cQcNOQng?r1m1|Mg!M`9K87`)VMonDP)^2I0 z-a9!V)nd_pM~GJz-`Omt_2sny3KeyZqm@hY)3&V+;Pi@G1^g1&yf!G}WKH%xtYvg+ zUzdJNO!vYFb$K0j0p-=KwVnR%!|6QQS+iUE!{zhW2Ux8)HW8+L9eqUc^v}upo9Apk zCm*HlFT$3Sg|6PnLB<ZD%LKNIupL7{=Fj||wnL~no*oQ6<kX{!LA_Nn@fn>N#bqB) z0xWU(J)2{!XcB)h{-$|>9_`l8sgE5sbr5|$n`5xBI*&1Q5lMOywkh%1Q|R%XJmyzu zV+%e94RR4I)+w7I=s0>{#GI>=i%Kq#GwO+q%d!yC-WX^Em$gUf#2qDxipEdlp_n+P zE+ufclzA!<wg6A8Vq>^#2%&S=Wh10|L2xAazc+S5R@9`x7g%O7ajae0jL_%{Xs&%m zar5gcTfOa(!EzlsXB#d)`ybMKQ#SIr>-nHnQ!(w4Eb<*X<gIWLBp{XMf@TU*xr|ZO zbdtp?l9vR3V_VDmKb`o>ZYxvnl19pta7bj@28YvSjw-oZ%3xu&_fe3T0Y_yNZhL~T z6y1V^ApT-?_A_<O^}Kh^Au`7A4TY9(5`+AjU((i(HgKAa0W#(!i_v3RxZ*1lzk-FK zR?`RdTyWTgo?s!+AJ?M>bJ;QNAup6Pw<dJc2T}Vu6?`yr!xX8V$lAtc6GCRBFfOBZ z+j=CE{a6wn806o~%|9FGA{&AOFN^N04Zok#cP#Y_$v)VIuxo+RwM+NZe^MOXbKdTL zY(9<hMZa!fyHgp^v^-kRLW$AKgH`E%vFr-*C#!bfh=p~cnhoj7ym93c_gaWCdvX#I z%br+}fMWQg`B%L7BA^>mHp*=AT7$b1)D;vd`0X56q8iq9=yYtU+zX1dvk<%9QZ9Ez z8mQ^gv#OV6XrclMaW)#L!PPQzXES~u1MEzZ*n~n3|BVJj^)r<g8LARZm{L!~IAzD& zm1vYrrocq1ij_NgHRBS~r2S(q6*5Xqq{8}v9|$C@JC7`_h5V~au&n^a1vSx{!*30| z$LJ6mC_u1*4w=KUM$vN(u{uR_n;@#PUL%BKsGEI@d09ho6@!f=*YN&X$s$zub0L)A zqmUH0C2?~;9^6=0h5PHAoUrUXonm{o5bC#EEfj3J-4iLX8!G3*q1!${0uJI~Muic< zRJg5`5DJFugg|=)u@@Jl<;E}$B#Y{bje-mZ`*DBk#>U2g(y8eIf2kI!WHMz|zCp^X z)qbp>a)FUZWj{8uCC@q)OMp#S7LqA>&{u!nW*+*QAJ}GlxN(4E5MxuatYdR0#oL|i zTiSVP<v|jIsZ;9Zoy<)FHWD`|7C{#+&V#%Nnc(3HBZhQ)?88K*N?ljsJ82#>yxwW@ zS&AZ30x2*aKXA5++)kC|(oLV#ZzU?k0u76C2Z=p$8I)V7pFU_A`1ac=;p_w7C75M| zg`>&0YPYF6dJ9M4`O)41^9ZicI3p>=gzje!v;g*t_%ZC4>iromUiQ7;3_gTfJKLcx z*t~#7bZREAM*9Z1Q+m6SLgCkRh?CLBUN~<|E@4S2qzWYDQsKOX*+5qOQqv+qu@a<C zp9uA#--XL<a3Y}-HuaYLh%^)8H_R^!V|Y0F<eb(BC15>Lo(9hN7Fa$3mS&qi6ca#y z5=7YJXLSM@WMa!V0#gAu$G~haK!-6gq68`b`WD6s?@zO;=R`HJS&&RS>a;+{c!tsp zLK-<Wmw(kVC|eQOX2-Lo6RIHF9@~_7rhtt5zP%kiXYUv&7^$gi8Jz&b9I;_+%7n}U zB^3V}ylaMow=^p)k1{h)br{>k-b*6WS{b`Ql{{w%C8lOXi;Rjjs=AY!vayMg!r$6` z<WP>O%2FGX5N19Yx<nhZwvFLgW31gxDU~by<=mNDhCD9&yRn<|;31-Im5f7$s26J7 zKB+0Wyta{Z=3>Yg(`;UWM4m_+avUS61@xhkiy}(eKjh4Dq7lu-$J8D18U37>_VgF> zm`7P5^mzNZ@Qkh?u04$G5RJov$TC}7We5(ubMQe0XmDQHU!_A!&+42b)5#{`G#d+d z(3z&C!U0(e=j>_Y_>q@PZtf|gR5krE4jmEwkWqsF1;Ic*zwJ5f=6+l*(4)0j*mIw( z=YvN(Ui72;sYSfsxZOIfRnssVp|fVal>kU30?G*{@2II({6fx8Q?U*O`sWrB|43N= z)e?lShOp7K5&FrREe<!I;l4PLC0)$4CpgSad1=k92YZImp|^7<Ku^weO7@2EJheVq z&J@l-a|Lze2u2Wef_WK?pu=RqIYJsw)VVzl!gm6fytJ}`L5T(ij0Uz?Juz_RW0LRP zv%^Ca;6>icCxB1(%wSh-CEWZPD&4?Z{aWfbN5$7DZ)nC_p3z})Sy5#cJSs`Hv0QzC zOl+e^toI5##tpeNrxK79=1v373Wbm+EJ#wJt1^%jGM2*epb(OuKq`(ZnauK=2AK{y zqZ-Fw@)P?5axNq?B61_s4s=olVgFK##KSBV4@)c_Bz)!M12b*3m@O;1$unO{Jmk5r zXa6EC<(tR!?XZHU*z4`Kz#AAY?n=3P%Vsvstwq`bM_`(OSlQ=NCD(BtpTHIPhUvGG zQ^xBkwuBc96b}>(&;!c;%#bE<A!drZC_9O()R7@;pdz4@u*md2rFXVdssygaw9bSw zLscnhkr2h93O|dSIXOM{kS`CNWkiARFRqAE!ed^(_)1EZI$bGQX`Cd(=b9_MkrF3E zR>dkGd0$s&)ppO<-cISP+V4s0TO=T5q-fPr8hMZcQJ+@MQJ<L=iuC012}FIN1cy>5 zR;~7t2PqKRg)$sUAgfmV$b%Hf;IFumQd}X0ht7Gs6kV;d!;E3(H6T+|{Y^>dw$j1{ zR!jMXOKy<5`bOx3Y%uk+vh=B}f?(;DUj^mqtG>&`q7Sy#>x0ttDJ-dA9hYBH<>@Q$ z(7hE9s6)5bv(>_sgt0=P7i0*{wv(r>`tBKnIC7q>UM!g<O`JkWaX3_1Y$s1(a}xxT zlGyb^$sXy^Qz#8YN)r{@$<tSTe~?8V?9A2kHGkx}Q=zsHscn>RCr@7W9YPj)Wa~3u ztxADBd0U=T<;u5{C$IVzA#3{SAX^GGk>tr!*!+arPla~!^mR8vA4CPz^X0r0hEHMJ zrAd_y%aYgBHe$ONkRY^974(xOPhoQx3KvrC<SE>U^+Iw9)#)%HISLiRkw|f7aX3;_ z+lT=}5`)*Nh-b+`REVr5^|6cXkR99I?5QTo%?d%G<DhCFa!h&jHOkAHv{Jkhd0V5u zz|kt}XKkiQVCnVk#q~+=g5l2Gcvx))UWW^h=LLQ)H*hn}0ZV&XD`2a*(UXIyv-j7@ z!n+E@Y8yX!h&mS{FDCREoz+>O1{Y{vVkpLgRy_BS$7qT$vZGd?D`u5}i5LHgc3`KK z{m!81-Y>qR<S}VxPCiuBR|TovB|&A^B?F8U_JT;=P2OHmGjnWW&GdoU_=ZKElmJ#R ztYC5fhC`mAZHPP_G*3NOyitihc?T+~QkiQgPocgc3R~@3ZaYwoK!uZJhN%@!lI=30 zW*~e*bWk$h34gI-E4&cQF`j9Qk@FF($;^KAV!HIno;2sJ9d0yB!H%LsCBan4%O$vy z9(PoX;9MD$8OElBuQ5(nvYLxGFVp7YE5i5U?ZFggd?kI2%FzPvk?pr^kAzo*jb%5t z!k4mF#0@5UPDz8w6=9pXt)TS1_=@n|c!wq>GQJ{iGP`jSHkrL5d^fkBLEg<>5x@J( zaFC2Yc{kFYnZPW15*D>6gC{BOPpwliAkK@ERWA-WFZ!!qjNP~ec3w4mvJTP$W3KA- zjO#Jx%k;{sHzTJWO5cpGNb*<a#puc^<|<&#T#;l;l)fp`WX^e#pMG#<l}yWvL(XAy z_N&?8!0^?~74ETn@CopwP^@+$ots&l^E6ILg_B#QNBtcwrUg{fT56@5qNldx+@`0t z<lN4#|Kb;^Wt!-HiYcVIf@TVeD?~j-iZSL04lfCN=@&?_a&|sQG;={DGhfLIah4QU z7UHxyB4v-zXGAiGL<BU%hHv^-a%pvIIq{tiEN5cMq%1i3vcSKiFeL$IQ>a-!wx?4F z3W8)7&OIs|#D^GVjb2#tQk7Y%CmR&%my`PG$v*v&j2&M&2f@*iwu^q=U{QJW@>!#! zo4f>W>I0{+Hv%E0Xa6S$Zf!B-O<rz0^?_5^Qig!k!T-&Hs~Ty~Or?q7<H-JO%@$J| z`6PCB4=u=&KR#0ySRZX4EvDAkM$T+zPaOQ|>6heu8T-Q)@@#90#*Q~$Isy9PF44?+ zcns67!NC-3GC>o2YJKrR*ux>Vx&1jn!ILZaaCjaiIx+&@2tPdb=z1O;dT|$a7)44S zerxhl;}!T-Vk{KG^$f@XOoi)79eh*Rswbfa`K|igOyy)kEkU`$xp1f?NyPxlQLeC= z(4}^{=|d$etq{o*po}-6)OQ+cj`mUS>;~EM2WQUluBo(_8A91wzEY#8t3(yU2E*8$ zIqoR<JYrR!YswVzecvWogJrNOoP&f|iUb>!h3Qb(<dXo)U{k1m3|`m-+b3)4Ok(DY z!L>B`^tv+O<Y!F_ve$&*JoccrWD58y45~tzFeu`hU}FtxOQC+R3^s*h8?b$!V9N|D zLRon7*)L__$!`X>z&i4S34gA(WGdt;468y7ODN!!U}F#KT4kI<EK$qM_8Vueu2qmI zoSJAsyhaF)H&<n<&&m&~f_2ksXR$E{RkrY~WWOmW2U2b@#>O60nYykD9jBrlFt1ya z#pq$-(p@Hz-d6#Yf?9ys%Mg{*p*xEsIxN-PxJrl`c|=n7<N8J-l1SAER<LLcC<#`~ z?67f?-)kS(>qD?^GwDPZkiBg#aSR$$P%SnOe;{gi^hqCSG4jG?(pA|DQD7d};5+;T zsgN^;WM-iVX^E0HT90>;11l%B<l++)ii*K;M{p|Q^;|JJp(RS%Nbr&TdWF-_>x~Z~ zAujp&5b?T*(aV+3HE{R?4wcoheFhRgNK~bKHz?WA>B1<hm-E9VIEfd-469k@SV{_M zWs5qGRB#+GMjGi*Mb=t_eVs=t$iN6lgLLSXkyc(7=aE)EK)_*h5}qB?8dpPAVjhK~ zoUpp5*Vs8i&B{j!c!Zi6LKAjSAygp&cel%0u;&S_e4>CyC`2zN#%e)y$q=ftpn#<e zy`abwD$s)|OiFY~A+5Z;$RibK#1ttdx}=a+UTox%3eL7Ci%(i~NwIllA3+}Jrt~v- zF*CI0MVAzz3JwRY#d0|039Wnpkw<7NM`&JjN%5Y7_X3nA?Y+noTKOm<&*FmPUhz5t z6@H`$Ram#cay`3l$&)Cs3&Pc7!E9H+SYZjxHjjI6PWCUHz($vrAK;Z0scCm_jcwXk zZV0lUL?%CF>_}0iDU=_DO3S#h&q-^A&Tj~^@yF|uMVWLCn!NGYf%K&@$gl)^9^<OD zombKn*A(i^LdE3>V=VphAS<U}<xEtP4w{e|c-Gukv>3Yz#}*-KAS5wqDNkbMWBWW= zq{k#ad3bKA8pWD|n+D{2NMq7ep2kgSP?Efy&}dC2p6V%ruENnwFiAra<CgLiZc25M zM8SkY-}#5FOrgR-o^B%4;+FChZc1lDzPOOWyQSv`%6zJDmZzJD#H6V_jg`;v^Jr|v zG|sSw1Gk%!`J*XBSU{GHkj89Nc``S(5{FE3A(;!$4OE#`*A((vcN5JKH<cr?xv4cc z$<`i9)iSOIO(C2TmIskkO_bx-s<YtM;%>C`y<mjb?&Xed6-raZA56}J=dQ*_SL44U zmva^c+R2WKD0yX-Bh~VvoYY}RYZ#y+6k?Iy*q*a^v^@yff;mJRqD?{Wv#5vwP0D%; z7LcL~nSupON?t?Y8-k}uXxQD7K8D(Ait<{rGm5S|Q9a4FpG{Q1$Dk#*O_Aj)!D8oT z(7z~s(p;Axj1F|7{du7`MhoAbikPc+b3#%)k|hqWDq5>4NMCsaWyMP}n64|7B?b_f zsL>*}dfw~$OXEXM*0uyovKwYjR9T?%s=Wi@bp)tAha}~cLTCw=WH;|_&xfpo-BKVG zGCl&I5|C^&xg}VV-Ml;N4SQuVDwKML(F`$WR%BU_Ybw%H)GH>4Wh`w%GA(5q6{`K2 zkZ~`Xs+o1?>Z!`B&(vyFPff<@ly+Drq<8jvnNHE=?SB*8NpYtzy&A3R`N=p$l42-> zi{JXUw*@MtMqXY_m=Hh_3+d@6+lyCn)QMA=bN$on+x~A?Z!alVO=J5}+uftMrB5PV zvFR{>*dISy^9Ot1^(MB5Jo}U5JMJ9aIVt;Oy0%?C`xLWl8<3%(KK#q*x8BA1*~nEj zS5<uey1vrq->#m5ib*D^sRCqz@aU8vQ(+TE*H&)Av;}e;gCw(g<dkr&RgaU)%W_dF z`P-OjN&&4LZJ6&+fvtTTk9rxL)gw0ZI5#9A$$sc<%sI;Jt+F4cH97lXTk9sO1xMZR zd^iu!6=hW$+CluZ_Cs)^&YU3JCGeJuU@J57>qTgiepf|!YmaT`lZ~DOVT1JH^>4Qn z$QU8N1Ft~=F6Ykyvq?Hq31-rZ&j=Gt(6_zYAwA+4CaXZo><%mZ2@6`zP1EYg2f-`E zvdV8+5dilA)S;U=pQ1R*&h|tzh2ErlA_+3Cq;j65`#?7uoskdQ`4CZ(b*QoK0aW@b zLGUBUp`H3KLvsJ!`PuLd+R?*gT`0bMdhzS^)sD7{!g6;RI>=CF#-%G*7P5jHB}MMK z0vx*nOM(^KC=0Jrd}9WlAlf9uZ0hDiCC0`-d6bn`tRnu^A><~aHm{mxNNp(8s0Z%> z)lQz$%F9qOrHznM-=CMDRH0Nbc%7(r@{|fZmiWz?<GW=H!iGZPP*4_`rdW(udCN>V z93*uzLEz%GWp+elD3#xc(7|^`wUei`^2V8%@w6PJwi>1K@d!G2;HY-;lvdt56H}_^ zDE)^TrSf7^Z<$$2|3OpQ)&y?d^v(PvlL0BeQ=`KK$~8rUtn5(`4Tk}X{kr#gQZjsA zp^i<ry()mZt%-~Qz*shRzVZ>{JWPR6Kwy>)oUeS^II<F%pzvQovG3w^Mk#3mKSYq> z5@pgVpH<Gotn7vmP6`kVA-Sc3?G<c0;CS&#jkdN?9czGm327}AZ!a(F$SH2-S&z+7 z1~DKZVIk*d1`$P32B>_IaEW^1ab?1=JUiK&j`OZ0*;WrSc~>t_-|{|H1r@(`X>uV< z15+`(e&K8RXo_qr#VgP%@W78d^<wI;XUX>yaUiOn<deOvxpfHGIqByc><#*`&pmp5 zbAGL@yFn8|QSwbh+kkWk1!@1p@@>?cOz^tf&w8K=K=t(lZ5Dv)BA~hm=&v`!4Gtle zmC!;a^nu~lyIRifY!A8j=njjej|<nC2M7zkh2>d+>lF~6oxI*8(}gu}a)23!H|@LS z104LlcOI7cs+=LntGAcuxBtE|kXSK<Er?=D!YA^$2A6LyHrRQ1{y+=j;l5l>17|UH z!US5p9vu8W*d${%Njj(%jEVKp&`Be`LSRskb%VfKq@LRf{(EPAwD*^bFb)Bn%-KWe z{&KOvN|Hi-aembwYzTFmpe8NwBPRwSFndCZ+D@IhU6|VM3bJU;AfcjgK^BRG(b>Bp zUkVZT=cspaF}m%)9;_WIHpTKS@Z|fMi{@^Ci02ns(<%P#!GRMqMLB<cJse&3FGs`Q zZ~ANRT5t#DTHwJwaWUAbYe59W%$~X47ZiM1*m<nySEm<m&-x=cBENn%fQW-k3p}Lf z^YL^!vB^38d)vZI7Et0FqtiFNtE>LS2#eZvqr@V<&;k!BWNVlwTnj-ab9?HuyY%FI zc+$H%TQgYLaxAp4s$1`z0NnxdC(l|e(i-G>xc@fny<R^Dz}|Vbg;iJy3MLOEHW(y; zzP=nnVB@+?y;jE5%QQnkGgTHWq3}~^2vLu?ld<V%QKnzK4OU!RWVgcFrXc45uiDi! z6}xJSPBZeVUCCfmI1dlUsj@||Gbk>P|I@jjPwY6UVh-Zj@#*#Lu>Y6QrFPaIoWH&r z412djDg>-8I@rjomL)@?ylaW%9zNrem`fX(!7ZxvUIDkfn~0>|9kAG@A#g>$;l#B+ z-}Y&{Avz3k&BnLh>P@5oRk3<gk+ZXmts?BssHX9k{KUBr4zFZt5HCljXT&wznO(Eq zx=5t96Spo>yCD#kyt-n~P_JE>=`}^)6h-V;n6sr1;bgIi0`)oPYBqbUCJRm!p<h9u zR5>S;g(r}AKv4gxRJcf2AxS!D>bNO(WOW=lHFM{1@IT67O$XJtn`?@u%z0fGMkIc8 z5PkJG;XxYZ$oj6rQAJRzNjv%Rs$LRXNFgbbH@xj#4b;Qxy9#GcK>?@j<Vmbv7F$Fj ziEn>1IRB4+nL$)I;ts2xq@6s4)eB<_DTE!UH_-_t<v~<9ZVG`^X*)R*TWdO=z$4Kh zBr;C^$dIT|=K?}@(suGBuIXq3k3=&pcSxV(ks(o`A_V08OWWbf-(T>rkF>~L*WoUD zqpJ;}jP-kHcJvsXk5sUW40&l}f?&@#lpkrqCVuo9*;aR#X+VG!M0sh_g}4%`ts+iQ zh+Fh-G2%lCr@Tz)!kM0YTSbrpJ2)Y*kn2VYr2MSzLTTh&TLQix-1aVMwqMurD;C?s z#P>aGR^)oQZjhh(rh(^fuA$sOwFPE%_!h<VatP&Z{w}!Uift8PiZbnmua-))XULoX zU5E+KwN=C@%CQ%bS4{6Dg;9Rp-UVrzZA-xN*9+Khv!>`Sb;svUP*5+-r(e+0x1rit zekZ~4$^OGXY|mv(qD>gH(If&J2;i5RM5e;|P>8?GHIyHDfg3V>tK1|q<yRA3@R1hV zD#8?G4v1bYHHl1lCESJ6a=Eq&7&`(NL`+_pNn|Q$Vemm@+Y;%GzzP_dGuoZX3Ccuf zqec$?^=?jjr^EB#`ihL-R9K@z!sA>!`N7(lS=fY>OJVKR^Q+gYX9}7Mxt}2Ubhe#5 zfonRyPZQW6xi2#%LzN=Bn+l6?$OK(zCr{&s-6?Q5<lWizYl=`AIu%yp5Q1E6Cr{|c z6j2l0zV(ai(_i1-s4wFbibNV9KGSyc<ZZ&E5(-2T6dE>5dRK{86-p@@AbAVz<Z0Z5 zPbH#}B%6p!&MS&kg{p%F@gis2$x+zd*pj13Mlq>5uS%hU!~tJ#t{swHAfq~OqU|HZ z+5%-o^TM9R)K5>u7WFW;NS8ho#XTcY!&obOK@={0sqG=pp1GfFD4vL|*MeU;+xHuk z_HfV{LTHmZxGH_G;K~9v&bE_hu1$#gh(K7+zU%$kFCzgJj&K+tYSVV|<O!S(@6J#A zS41l)@0%)|Od#EmHdVy8F$qnI2ZuDq6(m&%RLFM<TZGwm@&s;7Jd+~3_0zC~C1?jF zGeRNJg#r7F#dfkpc0}jjk{3zuDEZ$MQjRp><Z`~LB1VB%he+l(*B57)xa_W^Oay^K zfCF?>p{XKBV`JRXNmL!Qj(cbxHzY%)f-)z;&c$}Pt3?tqPAwKYqT^%p;q72pZfjeY zJ5rghDk1HUGYULE7%@gm;C3FoJ3Aj<7ULQuK}yZd6eOH;b4LEt#0h3p*6fZZPyvH? zSSJoosVD|1lv1-ZgR&8#98W(6bf(>oCU6)H&(2HdrIcIvW=6bCMttJX2sb9mn<O#9 z@a(#{Gb>4=LOwt6!T@<LMV`JnGn-^Xm}C0FPzP1=6gIRvjfgyZ&X}<JCU!0(62Y3q zlb#+zDH7!u^=2oY?TjJZnEGgvl#@VTxgnIdW=(Lug!I{*IJ;t1ZiZTUn_V@f{UZ?A zOT0ePM&9SbacenGsuX#tjDY~wOnb#q+-RtaM%G<n>%r&jF<m)Q6{7B7(VJ^1Pv%C$ zT{PlMj9a(o89JrNRM<^4yD6DAL+A*$7~nO%EYm5PG7t@yX|I6N*l65}2Fsv`+A>Th zzgRJ$piHizJeiw~T_NZF<JQ%gP9X&?#FFP5%F`*>9ER77l1$f7w5A|BIn!Pd<wm!J zNZ52lZ3(7R$Twv|czUj(Jeix=6Uva;$dIYbbPCC)Oejv1Z-~3D8#=Re?>y5Lg2hMx zuV^FtbKuNXBX#7mQKftdE{%M9#W52sN63qMNuY|R0)$cL+Y@y9`8B$6Jx12;jw$ed zEVPlsNRB5%jzWNx4v|AqQ-!`ZH)ghWNlhT!YP9#SNS#7DELg#%?c~YZgp`ZO>n7yo zCcYeb3OTS~1sAq6VrUz4W1HSxQbY!~Y)ymv<$SCv+!U<UX2_qJhH@F8JEmaqu+Ym- zA$i+IhkdfBsp1H1cFh0_OCYk`G?F)KbXYQ^?c_<^?0Nxm`bQ+HPbG!8DIJyzVLQyJ zw9ycGjf5WH)=N$&g;fzKu6#qG+Nw~|veVd@q9DhW>V-m;D%PSxO-ut8LxP%^o$93= z`DB_IGQ&VF6+Ty~s%dJPsH$eCdNoHrm5r3jQl-Zfc9&rXQ&5+)(-7=r<ceLYuEi88 zYqrt?r`gJy>+gBJlTM?rT}rbfI(V9`PpQ21p->Ey)br#Q!|XH!%ZzM=Np*}0<r*3- zQ8~*_L*T&5m55aKCMXo7Bt<m^1t~kCgSy2ck7`Rcg_4q_ET*`mWJh#rH(RDreMe5A zC?qLt$uA1o5uM)66<SmubA{ZbO^q(=$gYZgbAkp_>yu4e4;wP1;E+fi$|D&`4ZDm{ zjMgn(GYuP2!zQoc%qVU&j#Y!x9rb)2zYG)!UQnnRXu=YtSTit7>Sh-}t!_wawrHLj zsR}16w1%)iUuUxig*7;+R?pYoQyf+W&#BfF)%oje_QbFT2M6o9qK!zAR0Z#;)?yTh z)N%zPJDWW|thGAH&=&1tNqQAFs<n2pJmPyZBABICB4J9Ox53CvWBXByepb9rr<Eqz zsde#dafQ3w8JZ}mHSaaE8d$R&SW^r(!%714H($|FS%)hWkR(Mg=>n2joB|U#Uu01k zr$S9fQkPOx)3MVMn8AfQiOR<r73|<vOQ9^|*)PKZvsx?RmbgN8!J4X^uKN6*WCwJ7 zw1E7Jm`rj;au63*jxwr2JT~%O6g*l1_gnCxF}?&<t)R=`q$Rdk$F}d|FX1MfIr68r zy+AW}V%NFfxegv}@5%8=$h&95n=TyQbmumjg^s74@nX5V2Z%_n6#|m`JyPnLAiJ}X zAGnK!JwZ>87$6`HtdBNYOtBeSr-SM{>4Cm{g)97D=ON=pUd=fQ#gh!!E65a2Lg;AY z`6GWg(st3$GIW$oVTNAHe7t1px<hJaAQTP}9-F8qp%($nVLHs~o=qhGM3fY?YFhWz zx!mz`Yvjd6%#_%pkib3qP~5Y*i*f4q=uc>h>bJ}j)+}Aj{kT()&seWFQ!D1G+w03h zqSmlfTJPPC-eOi+Z#8~JcV7bAM<lBPq?hE5WBer(zJ&koP_Lr>vq%0Ir(e*bFX)Uf zpf~n(>Sh<e^?PS(!)Wj1w8QaFe_y)6VZDCf1=Er5%nqEH^<dW@?a$$z=o4`#yc>+J za$h(*{?C+~<i|mr>dpZ5*1NqNon8*kejUXl0*z-!1phsF4lr#Fm@s)0VA&(d{x_B1 zA6g*)NhT)RVJiA6nLR5N;A4XMTKOWGU<Un*l0Bo6N{8eFDyGt5?{;C6C0iPu2|CPy zR%Sn0A>A5D8<(V8d#^X6)hJ7Hk;igS$d&iH5xpc5TD+H0H}^8LC!$<w#jaRyS~iki zE|->#%}OMxS*cNxUpJFHaLoK^UD1J)I3HP=j*>T!ND4Q0>a(usph=uctPDE&%{Y>M zEtj>7Bc4dkuQFKV?NpK`EuUU2?W%R1wI~=_#3>!NdHpt5mUqorxlE9~%&c6D968G% zEABF#u&xsKg-#$T@@~te$WuxAWg40|<yUuI=P`;DMj7%3#dF2$&KG7HI-M_UQ(l8? z2?V_AalF}+mFAUSdvj{3oAL)xHkRlaj!yo5HGBhru(=s7jD%}7NXip-T9qYR{G|+& zWiF9TxcCp|Vl8ujG@4oShp9c`FNE+KE?mZ7PRgxgGRr3v+q@}(*%bU;Ly!>ss~N)? zc!H>pub)o6YlsYq3I?-692`l4R>&VFWiMx_5E&d_!-7ui*%YFv8pBsIZ8PWuF72;w z?3#jrj<0X*iUR7tma&^bCkWs82F%~;@!|}H1v0I?@vD$m(1hM)<rP%0ea*^wbyz*n zsABmlq%1VyNP{3{Vb`o2c)}vDUB&EG$aZLE6G!H(UWAHCn;-`h%hI{2WMkgs9pvms z^3L$w_t_4ao2(n!h@8j`hVfNwC+m0SeV1j#F}{;JqgjuHp6Ar$qrB1i$9ZIumWadp zKU4Q@(m`-z)tdv}n*-sS#20+h-`(Xt!!l~QpCdb@IYy7{O(BTL=*zwpUJ<{A&AxnF ziE$<xc7}b%;yAARQ0KZ2V_b5+L)M$b=*hiFuB_+=Y>VWZgDc{9h>4JUhg@0l63FTN zOK@e?&|>wLdx>00U)ting_UAqXk+aKnu{mF3JQ$%@gf}=#jui3Zx|d0GM77nb`Lpg z@<IWhb-N34+0d(gT)g{gt!wwyV(q?K`Q2A5uA05Eja6*v;Jz!pu`25L<RjFMsOYWa zHPnq>Yw8B$J@VKyZF|Du*vw92@2hTmf*Y9$CR{;gHzcj^8<NkuA*rwcYim@IgZ~5j zkpi<oVn4F;eLr%wi-rm1D>-D?SibKyX4c~CN}pUXDO&AHdyNX`NWquRs)eH9Zt1Rb zt1;6Dol0Ac3a4Mdxg{vHLW-Phg1~O2okoRxM&R5jRB74NM&sr1gxGoLa=kH?dB;#8 zoA=Gd+kx=O)ZmJPXfe)oRd=xPZ2ZsIhVyO5uL4rTI{d`d2^zao|Hwr6I^3HeYwA4A zabJ_CYv{?D1dm5G)BJM{kJ-#!`u4<q!VkbW)&1bh)UMyT-o*Bfww<|e2iu3qgUbc; zF+2;S=kSB$kPD{n*n$E&N87xFHu!m%m4W3w*uhb46u67piX1q#<170!nend<g2}T} zcl_$!od?jtu6I=Xv7^EN)sFR72XOuCVA^<(8)<hR07o4VcEkTh>?h{K_9{Nq8_(4% zkB;qG-uUs$s=mlSh?Qylw*=#T1#<T9r3s22tsVd3Ol|)gC1)m2&MYG5(w!{7U4ndh zg8Yb}L0E+08zm@^Cn$&r8e0CxZ<U<;xxxgR$1`X-AH#>fF`|NC@$%r{>FKF{xAd?$ zo?7!seeBK-J{f@f;L)BgM(vTQ5i))j(X99>EGO}WmCC{&d(I+2_+t-C>j9gq|7Lx% z2IOKb__Dwk0<7W>{)VrHk79s7V8iM@>Tfj1w?_;7>dr3uFBBP@eEoHZ{z%`wcOZE? z^)h+rljR}&Y0nxt^LrN^q4!(wYlGSz$4Koq@vY?lvom<1H^N7LJ3BkS9*su%57a*F zi9g(0{o%o&%!k`Dh}A#ak-@9{SxBEszF6>!FRV%GoHU{Bo8B2VlkvK<bJ-hRUH6l_ z9STn~yz@D*rlaxn<H#uiOXuG+nUM3h#em!rfQ;4s)X<drsT1Cq!(=JbMWzHIT?tV) zya6dAOW<kn@3nQ|kdfOQBxS~`DQJp0j``ahBz6fTEkRSv@yp-lAo)iLBy9<9X_n#^ zy%e`}`1d*;Vb62~NXl?aSI|^fjHJs)Qi5A_jeoBz7J6Mu=%q+XaEmU%Elo9U(e>~S z)J$>9aE#96m^9Ib$(v7wM+B%!aF5R9o=lUC)u<X`R3$jcU~*EX$>wTQO);txTxBq| zK!K~eWx1-PrC|sJks%gDGN#YG1R}mbYM8Y8ntmJy-Za^lpqYuXewKkcC{tvRiM6{) zY4@DvQ=*4RFYxrHSWvdPf>J97#pK@W<Oj=f7o&%T<0gC8A`M?|jQAE<CodgoEEL=1 zvDjt0hHr)$hS2y4ZwuheOX1<K%rd4-nGf?tNXKlK<N>`T5AcOY$Ly5k0lhR2G&G4m zFFm3Sfl%r&O_=;`J`c>6pG&}DiltPgb|_n55jK@t6Dx+G94w}Y%D|$Gfg&ukwURT+ zA>#`y!{8ZCncFM)sT8K>ib3QmyTT(P<F^E>H&+az(OL=1phmG^{g#n8P39108s$yH z!Xqnim5~ljrvAya%d_qZkF0=JN^&)sj)4pd@vNA_BSK@i3@*MZYjvX;c^~LTqcidY z&$ec`6F%u9EpHFyP(|kFeNfi**5s?SR_q@ZW1?h5iplrqWiYh_OZ5(KDrRnT(99ga z)Y`bkSA?zDAua48gYsl#LAidp#c_*or?+B<ws_<y9;aZHSRJ?cHhZhvm4QVGcnVgD z<#9{kK<$dHnJy(lDM0F6W#|F}UgvobGPm=>DH2?PV?UO`(q3_I#cdvzsXAL52H&gO zj%_@iY$j!z&#zvWXqDds?M`kv1rcR|JF*sc@dKt->;rPScXQG^9iIQzFM)=yDB7Lo ziaz*#Kti@}`~O~|VZJtK=Or(uf8;Ok3e}BtT5U%AXYbCgUzf*a@-;#;b$n?f@Ok+5 ze1iWIBo9#1w-w%aQvnZOB{Z5sA4zyS2Pc{@;q#S-PvE*~=wev($i=X*T>(BYNWVOS z^rr#-@83&c<LiZHvj69Lf&Cee<6^c<UhMJ~BR7|Cs|+DuAv86ekH@6-Q;O&8qzaSs zHA1_Sx>s1vzKiSAU*F!8Xi_9>DGMHRK-L>-(&lIm4(W*!m_(wO+A^Xr@%9+;==868 zCl@8evA_k@?rQ9T$*H6>{6F<(8+@VH5^LoarIkaCbaqpoI~#&wiQ_$z`SRq9^Q#`V zPU!vGFT=GB(Rf7aF$`3`)XuMl{nxj>;raDdD(fhT5^03jy<s0tUzLC)*jDJ8HLf(# zTeROG?w#|~{#B`=YVsMU9j^?SL)D@tPYz7)v_#J|`Kq!VuLnefW$+Z!DHgUj?`}cP zl<6aZs_Z7-F)~)8@njrY6-50dlZ>*$i@?hDmq<@)d`>bn?w%r%L2s@v&TvnuyDbd1 z7mD2L#F~3$)3`6nG|ksfO>rPYlMZCaSjc#I&aU5;WN5x1Y>Gn~nsi8m8QuvwraUCR zKy0LoTQz2;d#eO4kyPw*a0yXS{iO~{;9Y8To0U83d~kh%)kq0u7x<YPiH0o??6yDb zonMu~B@&gLa=6lQtk7S4d%3A+aFIpfmwBS*xLa{I*WqF35>NzkO7E&b$*oPxAmK}= zMuXlL&c`?CZC>=(O=&!Q5zsU=m7YmirYx4WV1hA1iHd#0^Wy$e%3^HuXBAX!5ULSs z1sZcrIV6IWa7P%?8Qx}&QaL0dbuSER2ye4RUpXj(d1R*H?aaASu0eqgH#w{SOoJtS zo^3%iLr3T>3UBi`5bGLsFdczbHfc+*FgIyDnXc)VFcLca+1YMVTTpamvM`{M*+Y<- zp#&iQvY_3hoB=FATsJ65%TNLlUu?9SEZ<QP5xugD5kYc>5`YB$vnI=NL;>Q;Rg$8i z1R{ZZtwqf+79vW%CWzpWehEYZFHVcnIxIw#oJ}p3-r4W!h`ItVS0ggVduKN@Yi>Q* zULq5;A`WsntxQ}3h+vyHwwzc%iW5BpgcPSPfkd#g+i3BTFr9vBA><N31bexSHXlgQ zcFO=E#g0oL;R~BqqsvE9^sZ%)K%L+cK=?wY)#&hn6jwkQAaP~o5@7i9rPW~Xm1f!{ z6-rAq$`>K6c<+nV14aFlMFKfMN+1!0hBk8cJZ##ARq?W+cfwKeN@5ZiRSiQ1Q&Hq+ zF+si<WlW~V7qOlEnvjP{Frgv6NeM`)-;n$1i91(kPcuHbC;GDOsp|(*a0IWDx4@Wt z<868$I`XY&N+Xv*#g|8&ycNbq)uN2BEUI!M!W5j$>*OslPFGRJa~4!7^&SRka4_X< zF-}KO#$m3bN=XY-;~zXuzpgh)iSQC%?@E5Xq+HSY@#h8fq_As=26R~h%5}faH?%rU zI^1PyeX?_*+w{@e46H`UQPTxRSF2eBwe-Ej&1#xdFjgK<*>M&aUoEPe@`wa8IW75H z0+PTCYc<4>s2$frCza$S!KQSJaxM}XHnGQ0<2QP$%Z+=S##Q)ApyHb&U7ZuUkZ(55 zQK#NE`<!Idwl39nxuG!lE4i-D*|01UUB;*}1RfFk<Q#(qbp9T0lKHd*EdE^St_okt z=P4}FHs2EHb~!vyXuBj2@YQ{{yJFKi&D*Emt{^h7-KP9>3?87*PDQ?#Bz=7eP<%bt zjW<5n6-Y!-%5#Mv7O)$io-Saa-IsYR<+wx;1lWze{Ormd;*KfBIZTdCkEApx2~s>s zMlBr|dbAz0w7ftYd7mdGU<nr4I%i)Z-)vB-S;0D5@5nV<;_Q&g4!X;X0<{fAjRL(B zmBFQ#8wJ5(%#Oj6;kjl@jRO17Wz;NBd>5K6H3};Z<Ta=*7-|&gqxu<KM#)hSxB%iq z*WzZ&je=l}Z}5&p6q+qH3M(X1liDz#MnP~=WoCQY$o?FZI7w%+BS16AsBLQs869_- zMW&ZUCWyW4<YNrzBjQwNrRVakX#g4EO5hR9V6$5ik6s=Rd($}`x%R4K+>FD??eLB> z3Se)c#GtV^pED;_S7&rFsLo1I!D-fDroInkc9=px)8^{*H-gUcpT^UVL5Xb#lf5~f z8AHm>rN)roTfs#A0Glb;9q(uoLB{lMeFl&EAvRO6H{OYt-9kK+wVTC*WqBDU7if`q zIWELRNz5#Vm+2&dmpERg3jtA9cn(k*jo0BDyJlAyZyercDwJV=Fuc4zQ;#1o1^cgN zmoh}@+pM!W^Wp74{Zx)A*nl;=JUNuP&F-1A^Abo_WFF}946&q9^}M4EL9A(`n+aot z7+7okkm}GPidJ~z!3ylj=n)Rrsbk^Kn?_eJi)B&{iy%y{Ym~*}m%-8$Y)rS~6MdqS z=LY`_qzlgmpGV~!=uN>!b<5yJFPL<kXAuv0Jvt@u2$DXS+%0^Qj`l3#`D9HU^#x8# zkdU@zaASZ>I$Al0$16KtElm*pr?n(FNfUFDS9auDnjj1?&O$0iCgv%x{1^&$WZIm7 z*~H-*OsdSUmSDfRW%BulK8`~HDmzSq7#Wir!DZ5kMkzpLM@KZ{b$$==vl<aV<)@e+ z9cwF}(73pPX9zMt#h^+Kn=X(;Z7N0}3yN_}kpfk6=tTR$CN~Jrq>|r}%hm)I`EHrM z5o`kM!lXPcYUPlD;*@|QSjn{Ld~5V==Efh%pfqtlG2m={INX{zJ_P7Yj<mzAg9Eg` z4>gR!?Ed(-M<@#r)(O}`QL&igSI+V9(eV-F>VNs_zzK^AY#|igJjGK7TRZ8k==wW* zg09?8NN*usf7xigY?#Q<3?qFG>Jn^0Gh5_aDEe^p{x6<8qdvM-uOED}J!k4Z92EPe z_~EGHL6)qf41}irxpthP@VD@rXzb4M=P@}ba1rz<y8A*(ca^Hk5dK3(rgn@__$O-D zO|7oiO;WpS3++I3Qee-aCEQT>>6ztB1NUY4M%&c>HQzw(_|)|S%SWfy)S7*AKRTrN z#cn;@UvUWx&v|%++6{Nd$>*z7l(=J6l?Z$H(T1juYTaD#QcvTdxpOC9;9vOq@z$Td z-Jh^OMiY0O<+t3qjmm9k_@~uq;D1~Ar%C=cqklE6-O%*LpY(=lHg&vcYM6i0^p=Sq zN7@?bTlurZeC8qar^(a3KGO~EbN7qqK=bTBuYNeYK7|6wp)|O8dvbApifa1@2k*?& zgM+i-8G19kyg-e*hK3L)??8DIYkF|dzp8=k0S15dh^-5dK~8|#pDn^-mco;SJhk;| z>dZeP&z>ID22gj#f3)qOhREHcS|m&S%$=D%aV-4s*t6|<ETbY3H1_=(nQtKwQRx>X zs{I0`S*(ZPXl{LS@FLgs>Pz4DdJpzIs0Z$a`(%5kmT&Lu9wPFr<B#y82pyrF9sFM1 znNRG`*Y`WyGxs0PbZTwy{&2Lf@1mc6+RESe7p{A6d)vE5?E6?&Ev&%7;$(Xl9UUR& zL+%1=NbV<|?7QGobT@-{X8q0eFyMvd`S$rd*hy)%77MH>d_2H;`WnjxP#6*W(@*5r z@H@vxsFD4;W0N|K_~|T`+RS(C+AjKm5R!cwJ{^4<UKM^DH{KK?Y2v5J!xP6F*yPD4 z48eV>$90S9xKkgYcxBp6fBoxr3=I8@;7{}tZ69o-!?c=@ZwGhFAaLhC{^ES{w2S^L z1Qj;tPmka#wDKO2->^Jg&VXs|U2o>U*FMzksSOv%?>_!xjFs>?U_jVx!B1gIu+ieY z@~8EwJ%0!u51kh;pdIIa$Dh7;KI|60?94D{`}juP_r^!t;Y8TK)9Vk;{r2IOJ@vz( zkbBLDUi$;LhjwI$a2KQ~)qAw1PH`P(A9VWm{x;CahKLY{HumE$bk5^{gq<PXjG@*{ z+I4?`&G~i?tThK43mY8>vyeNRg@0q4%eOw+$X~#J_tDgKKO$!y8&1Fl7Fu|A5Ma|6 zeF~>y#L$sls~?g9`XQN^F)NY^s7Ln}rWDVZu*lTZ@`DkGS<G3471FC=?Zo+VI>k>X zd=8aV_kz8&IMs+ulZ4&p<0EbFZoZu1f1JI)g;-*2yK$AP5JWgLoQF|7bB@q^R6Dcp zojK7VHMECn7kFMy(V6Fbf+A*nXsh;i0o`;CwZSvjYrkM1Xy7iru}%Iaj8yv&B1omw zKmVDCm2mE0xx8c7pB|m@<I$0^OGL&G_{z+kIQO`h{h#{KarMEQ^IwLe%iiGE-Q8XM znXSxgM7Scvc<c1~YIu8nk-UeXi!h;jH2>J`i(6+lz7lcR?ta7`<gX*7!K=l=BsZWY z*;X`Mfw#mglkf~?mm$o~qm1zX83o~#eThJ*&utGLIZR&oJ(T(B3%K1MG9nw^{{qjz z`|kZcmZw8^foE7Kov{fPEPbrnZCLL)^M`u9UJEJNNqLxPIXu8S$&`f4{`=&K4?i3Q zxGO?wn&tiE_gDE>V@aFb2Z<Z<G_MKhy#NpN)*W6JeoTA&heD_Ngp{4QH}^;{?IqvY z!|&{2O_<0gRO|~(e{tmY_YXsK>5ccrXFgzQO9bm+n;PS2grD$8MU7ztV!pGzy|*1! zfxK}SZx{Hla2082+FrEcG0f)nZb&SM3}}EM@sk<HRl0CqBk=G5?`V76hoF<rBkde2 za?IdA9Bu79)fe;pC*T6}aiUb}<Ecw*wXO0bgHV6M6poiZG5V4&IO26dC}g84w4F7O zBlC2-AhgIkq$BVPbNdPPJkR>FqiX;hG$&jJLA?d^qLdV>>oV*_q&)%g0#HOQ5HepG z?Y#PH>?HZ?9K&P2hk-~vhanyz=w;huAS`_0kIXk<&5Z6IqMFB8`~`Nc*bembarO#A zuR_}bYE(XAdmo$jQRAu67BS@RVexzT?a+)l7F61Pbr3y6-X+52Z&;}O?N#^iZy+#O zU(3Ifec~X5TO{m&qAV_QY)_}yUKnGJKhnrwaI%X0l>tPwGqE3q!XXlPV1q~2W!&6j z*i}0jg^g`QtdO@0LwN*7E~X)G(tUWp{la;%{o!zXZwu@L{PFDI#$S&lJUX$)AD=95 z;@2`QL?RQuo!FLCLy_&cw~bzGZEJfmxJ0h*>wB1=xAzhdJP5zIUW8!10MJSRry!MT zd$nj5$3m(`zp@>Bl#0wk+)I&niQLPGA|l{+bC<~Zz#Vu$e?66tlD57US?+j-$C@pb zQ7b-jh_Z7>*TTsYE}H)KAt#bDOc-(OE*L0dcku-?a^Q~eJKD|@ZKq~zeV7p#w!a!! zOkF6J$xBhI7$r)j^ZU%59NxpN%$*l6i1{6@=rT9<jYH=crs)1YOgy*~E+(KykHSC0 zKQePV?5wbPC!W69o`Wxh-4{QE|AKBHA7qY~TsP)yc|`PJ!Inr?4H0Lf9WpU2a*;4I z&>6i1qAzxs#$MVQ+QxGzSFIQ>5ak#ywzgl8Ik8PvT=kh1;OTjgTX!Ao7M2}|Oib4M zM}JM0Y$m+=S14_qK>%ftpQ(Ml(0){1c}=Z{BY<9D(VD+oJBAisIkN{86?xVW0ITJ2 z)sB<W%7hkhwG%(wQSIKH2mANd%$a_9>05Ju-?u&I{t%JtPsDI~dFM_i(G^H%@ltOr zKI4`#rNAC9wo`w3WC0|6lxIxD;!Mm?8GWZ?eJ7#rVqF)`5beWzXJ3aIBQ&EOGK-Y_ z8n8H5dqF$g%33MAx$cP65t&q9@NyfL44ys3TKJP4ugIZ9HEdk%J$crG{E}aB$Sko^ zf50v(tb4G?AvdnD>2{PphrjyhVB2IzPX@$!afI>V@nEj7U_BTLKk^v7#aU<40HSp# zeIv6Dm0N?-pNQ6^^o`6KHC%-zXz}t7^e9=Bht1-}(3fz*L9O5lc0Tz#9m4qevFA>w zCtvV_Cj8(&{$AFBN1S-sXNPY5EG$V9PebDQ*rEvvUp&W?>o>=K+5s63@20C{=Wr|I z7!vToA>->QlWe~^$CEnm2m;*^Gb-`k&d0>B@pG=i`b+S9eTx3V92PNj{)Jpw^_QV5 zD}IA4&7x+00PXV6f1>y+@OQjCjh{IRuZ5%X!`PkUkI%?-!=kf>=5Bzc*m6FpqsU~m z9xMkF<+(Fdd$ALv`ng6x)n3*BO>Or@jcg_VT-)6(^h45cj0(CYv8&^|xb2^77=i7N zt%bc4avQ$*6I|RuUod+_%m7dSeoZ(PrH{Zgqy_*;tUw{`kpYGFd;$alZBb{&L*pFn zCr+;;wwGn=4@B-4vGL4`scTLCj#u5OF?W=#Cqvn|N9H0P#y#Yd|9&~r@UIU17l1|H zF#KkO;pj+96lU!9D(I8+;Ye+u<QsT>>Ggsghin(%&c+kk2ZKC!?=Ut%;VlA>uo?Mn zIgbX_r{*{Q`ErB>YbZDVh=weEKi5fc%Lh?Z+t&#T`<y<<V?sIt{{3T%mLcF`$g3Hj z=$YNa;;7KU6lA&X&S8{}w&Srn++MN!Cfa;UMm{45FmVwQ+%G809qH6BK0{+2ga6%& zxA8*}cXfgdj;VjtM)6jD98eHGT}y%~8h@^#oRu0pI)0s)MD@sy+IHr67J@;SF(w(0 zxyvAW4kcD!A_2COh!?W&j-NW_MP}q4tR26J|4uBoZ;G7#duf8AM{CEwU<1SdM#-7U zlQWCRxpXJXZ<iono*+LWD0H8Gs{{q|1O*X6L(Bj8t&(#;SC~Nacm_qH@*5*cF*Hj= zbA>1`D#Gg^(h*y)u=W8Zwy@@rrZ_!5I1u=U)qg-W;m;oz8$rWI8xb=NHjo`_N_LPJ zp1bfI8~4B$1S?c;W<|^8d4SC|djea?Fb>!{#@cOWec^r|tLrc5&PFiG5RYe}h3)zH zTh=mobUg<yV|&o{NW+1PKWoD>8KZ$GXAsCY;eMTb5cX#rKfo(Ygooaq`|z9f;Mrsi z9NLyWZ-y=${59Mhw!WOeN7g+C?^uED*LmOPwww9cIo(C4*Ehf4p1*!GM24no`}jX* z$re%Jr+0CI$d?BQlAGWD)<07QOJYr7bUhmkaNI>`w)6uS70<>)Jz0(cBOa3J6g(o1 z?IZU-9bfK;VZ<2E13x6=KC>ovZrrgY=`XS2AOGodKc5Ugu*Jkhz8i50un3+wGtw+$ z{Oxq~xgXycR}6l;Lg(q6Dff}nM|=DcgF2t5tnd>3%NfS?5_qh=kJ(JukluXRY`!!* z=mq9G4ebn<_8!95ug3v-Y#04YIRLlzCkH}7vb=}^#Ft+pv#pzkUjFk_+rs0N=_vg9 zQ&PQV{}K&IhCOs<`&e_JU!7pz(--=_rg`>MQ*H5C<mj_Bf_ktKZH>V>HE?DNvaoQ+ zABkLs6&9Wwu(pi*QNx1NB9DbB3%@S-D>tw)@Jknq9wIJc^bZ!9yA~Etk65HkLq!ql zfe`-9<y+86pRkhR>w@TqXeK-DgM;L^7ieNnA-o6FZ!)`cdiV19Kk-_0x}4b2U5FpY zvg|apDWWwW#4JHT%pQn5yrRWsNBH_6G857u)QNrXM?uZC<DdS%bc4g<&G0JN2wPjY zHQ2Mxejy5Dja=jyO|gmWF^2rr(Q?H44mjhjDYk6hzg@gU`BxC*l@GPZgu8Qt0834# zBY(L_9r?K~{q=Z879vT9X1n|Hm})H^-h|TP%H~HtO#l*GYbioPW*E4YL+hkq(T_W6 zx0^<E5#>o(SRTHQbxtX^xamct{0QHNnhd>Re?%&$Tn$Nc5X!TKvOpSaAPz*S#UKjz zp0I*XZjqnWDD@2Uo(owEQXw4wW!SsW0oPvKLU9(Jk}2(zu(_>tEF-F#&qI}=KvlPP ze04h*W{IMyg8i*eHk!Im#5VQtIuwyYMyV7@Xly?Zjix+}hF&{PiVnww(#qxLB&IXm zPoRgd^E{+A6iCApDlYDv3?W6@U~1b7E>+#<p{l7sl^Ib5^+CA<b_PTVNo#1&Lt0CL zv=$~UEoB@MM-NzN0Fo(&|NHl)?FTe<`twlNR-g_~{!FP~4s~bt-SS}-aU4ywZsIx$ z#9^IuK??Y0ge65>_ym_D&F3Mjt3XyWCW{OkXUtB)NAiI7(E~(Zg)5eD1o46vbRPII z7PLBOJd?`PH3f>X96x=1^7~D1FyIT#)2wlR_ho@^(8Jk!Ch8RI6a&k1tkCo1QPka% zvBT?(EM1vl<ET1!8DuD117TTH<vKPK+l|oFGK8kqFSb3ov+x*^HJ^t?Cbmjke+;L< z0@tGli=*h)PLs)^3CiRhLq$BXrS*$v+sIqa=fHJjeMr&K<Y=|E)6rnj0j=MxB;&^R zoW&y+6jL4%9ObokBAQGh^pJ?xLI!&cr_d2RM_3FDL{hlu-u7rw(BvqrwG-50a#trB zmj0DUIfXK9;(#Y1_L_vWy*U9dBanFKIg<yb)AQ!|Kb?ELXh&i4vC*Y=)*qa|z8VaB zw?p;|m>NPq0#YLy<7%Rz*k!#13<L*1QLJR-KmI23ZSKb-^9ua8KsdLF%j5sF=M(3i z5|2&JB4_P`-DZmtES;mmqvVkO;mS$KS*$fVB9X=_g*IzUy-S2S?yK?iBQ$fEw%p<f zv63x!SuJ;Q8-(!Dyx2NN`grz3()fGhrS?Ipbt7wg+{UeUnsMt;zI8-Cod>~@7}+jR z0HL$G0K~%lb1+JQL?Eh8YfMfuKDaR-ns_+mJ)N1L2mu~=gZp&u+mpKo(bu_Cw8gPt zvO_G-V1~gOVyM)3yolfxM|Z7L%P?7^tLx2hcmYG9{q|ZXn9*QPZQO=4THx7j7iU<T zGs8+Y-()tg$IU;)?QgM^9%ULLC(Ox0+lXzB-x2@Ud6=gbeM!T%(a9LL=m?>m|K2I* zr2NrrWZaSHpCuhIKZ5rs=W2li{mfnzIX2rIeVFgIvKj=mRHQ)=1RSrU$Jj)Mt0EAS zkDfbs{=wjo4rgAelFF9Xpc80$jcNX`^{S!wI@T+DNZ-EG|Dd`86El6XzW6aQ|GHDy z4RC!Mn~3Wi)`A)3u}!GC{h-z`%Mtz~c;RE0_bx^Ho&Dg%!${ps^bDT3YiT`YtHe)I z-r@kOh8}A4(=<3dLgx0JrfG*1Ne~p9lDnbC*KNK~M9e2K4QZEl*ECbv#$_vSTuO;0 zr5S2`z!Ov1PRkQZRy(l7iIzn?i!1Ds#4^Lg{P#}AOO4gbPUP48$(k(=QN~{g`hvBU zOg>A*2$mm_#K5;cLZC@Bk5SZryF6HAF7j?dNcMlP`a)`(Mx2Nxp2jsiVJ=92X{8y9 znR1IQNN!Ru_^oJp`z`ceYJXSnLF!xoo!Kmq=|_>}&(i1M&$ubDek>k{@w^sI&a}<J z{?D4`WKNaaO8OD@u?m|;FeS_FZu|&aE9s=YWo#T#x2|bsW@g6_Gc!ZX5Hm9~Gcz;9 z%*@QtW@d<)?HFTb-_Cc=TwRUMNHZF#)vC2>LshBz$KL&{_Z5&}E1I;Wd53w!4V?xL z1APJ3TO}+&;<M9IpcdQMSxBcrIv2o4pIz{9Qo*elD3!Byjtn=B#ZYfYKlWre@^vul zxLY7dIRnh8?$KHgC7j*G2ri2p08uoEbvh~0T;QXO&oS$*qOii$M-(J7TpAt(jcS=P zvw)o}KiI7+$y3DlCV-35buD6Bw!3i1+XUi|h^J1Hnr-h$A1dXly;Q$-%<Y3sEP2xf zwd*lHPRsGfIB;mRtC}SMDGI7cMVnLa@wY0Ar*(-08eEe%zVfC~k{G8p0E)wt!0(+% zx7wQx3M|5NjU7_hXyQvxJ)~X9YK1h`(00YWUWMyNCl@bnt~Xb<_I5wc_Oqv12~E&J z$HRU9F+RM|evUT11U5@}4`tKR^k(aI;&*$`PQZ~m9VP7b&z_MTTJjA)4Gsam-M!&t zz+2FW{zw9SfI|RgzNEER{ClXaf?Fm@e;U@!wYnk%?q5dZcfle!4g8nJFwXA_e<fj> zriR%X34ayiFDB%u_=OCRM`AQamOLKF_ZS3Kum4)#qP!duUa`C@)^{6|3qYaa#NBzW zOzpqYyIuZNy*?~tyi@H!jS=*969_==O9P*RQ+Y)lEN&!~`dd~2KKmnl%*U%_zTf%E zoQyDH%A@B^FwByum$Wt!oot6-qncwZ!`iEthAj_6P3D4-(p?~&Ey&??UN@g6j7?X2 zO{!S=Km&cuG6`Z>SPR`@!1yN}3s$?A;H&JRfOz*T3}=6b_7X*$F+Gu$Sq2P5g-M|p z%yUvfn4n0$F+({@ggyWX=Bi81rZ?H3tL2`KHLWfY_DK6&xkQ#Lzqgi9%FP6KsHG&( zG^u$a4Bkd5h}>NRZbuMiHq2jv>Xtue?8${}XED+T7(7J29xq0!%!H-NC5=vorA|hx zdqk*&|G3o_!G-Fy)2`h`ANmGc*%WHpzW(GATw6zwYDYdG|I%m+^nzC-?EoV&>}an? z;)F)g4P&aT_Yud`NDCKLm1oSok%dDM*fzup<_Q_^(rPGY=*ad^m=Hlr>j_KFeDBQt zn>gJl=RPc#RrM>(pfAamlg)7>2ye;-cMn3PvqKjvjUi0@Ph65LjN$xI@pnr*b^veY zTu5UE0&^*paYkVU+Eqomt-1zikKtjJ*sK0KfqiB-*jc9UXJh}6$gqa0Nv>)xr<;FD zC`I+$I@7aLoa&mu(oex}-;Wyec?!F1wbYr2cC8?a=*nwP8LHcd%Ll16C?yPObkS6P z%D_=$3vMIkwO8CY>K-4MhI%ZbJgSAKHC0o2l)tK*8nz4v|FGs?L6_AiV63ELAbo4G zd<*ys($xKQZ!9H7FXz(MW%Z83UPJyDm78*Ma6#N1*E9eZ8OC6kxzcnVw!@EdagmRh zrpzbBLDNB`qYD3f8HMfz&;G}nf+kjm#^awVD0ZKqReJ~>ZUfuiciV7<A*8-W#2ZtG z+CXSM_^)Vdl`=@ZzVwPuz0%jW*{>L4vybagR^sWjyu6anHoIo+Sht2xchSY()i1xe zypzhWocg7w*PWenfB(9#kDkw`|6f7QyU+hL3b^+U@`bNp{nJPP_7gDpKPTI4c+>lO z^ZvL-WKXL3@(rfEvEfF{9$xyCO)4^})Estg(iE>ZrI?)>fNyOse^#gbHSr`O?omq@ zn?vAAt2QbxSYP<f?S7Y4budm#23B7ew(Eyfh4dP444o>aL_*@o$@?xIJrn%vySX$Q zhM77;>jOs?W^`?tZjFiNbCFsAWsP<zZp%T*5#;Z&H$GC=KP;|AS$rW@!)&Ig(#vCU z=5e*c4O=K)LZbHkj4l-mtGK5UaQw2}&7-udAAB(bvP$LFW-v<T%n~P_-s+X|uBGny z%q~#IE_v9UDujO!?#<wGjAFtbyJH4wUCPCKteJ4gYy3a>dcLdD@+@{;;_yu4Sh}8{ z1Tv^1@qqUvi^Ssw*Vu&3#3+$h4Wu67FL2;xc{0U-fLM`t7rId+okU$C793I!tA!}f z7V0RyoE-083bCj@y)2&%Z!~?LI(amvg36a9j(lt65AXmB$rB@x;hu7rOV>s852K(w z(W}6&v*)@F9cQKd-d}H1XYG>A-h(5qBNPAS-3>zdz&)7`vBXa8To6nBXrs33ZFPe& z9i<k$>y|Ez>fu^b2Gv~v(~S#WmZBG3b<Y8zJHKYp0^`!15vbdtp*#m^nipBHUQ*7^ z_X(e4cAEAwm9wFCv5CStJx71FZz<Z3Nsl;Ig$GuK+xc24jo4XO0y^Hne9tG!I{X}a z5@);P&d){~x>&AENHeW7(1F`y$5ew1un=n904X#tSK5;vLnJlPA_|*_3i(g1h99WW zTjsQXa0LNk5vIt$0*!-s@t94>{xBw%WMO=XWQ6-6nn7<f@*Tyc-~?X+hc5BW<)dai zJ8?>wjviP$YH!scJzbS5Lv<Y|_hyR;rJT>rD@O67wRz*+eMr*QR_v7!j@At@>y@nl zG8QKuHg<V~dG{hzIE$N~Zv%M#1r6{Bzhe!q8ykW_U5jK4XECs987NZwvo2cNw<By* z=30>1n4Y2W!QQ0$as3h)`aY&OYRC1OgC{x3Msv-nlS-&q1!n0QWW`6_q5otc6*(ay z^afA3J8y(}8P~ViddIPEQNh2D$=^AL{#EYG)7;IBn$#{Q;^*Uy=XUjVf#-my2#v(s zLM&wh#R+ydKUoD#3JYVpw)-U_#v;gkeZf#eUCT525$1ksK|D`E^^WVDfJN=Cvr7q% zRI`+ik!Ef9grqKP{z7Zp@Ny?fCO!McaS$c1j^3+DPWf|eIf9U@;cz;|?~H>ZC`7Yc zoD-c`LjsXNxxfZD+`M#Ig)m8l6y@(h2P-Gsa|bylo@m(d2wg-kJ{V8R%hia+H3Xdg zOi^PBE7uv58`YzhX2Luz>d$6a>DD}T?1{vE=u%Y!4!Dht8~Ta`A+$I)uGuUahhoQX zI<Q(9+Kpyi_2gY@S}}a|*)-ZgSjP-xHm1iMsH@U4<O<O$N}k+A###%ABc&^^5od>y zmNoG>$1#>nkG(6BvQI$G{WQD^D{H4ErXJP$f|QHHq2o}Mj_TCt<<0UR%N)r?!RxX0 z@d@{ZXoTOfYcFn-SNB@;9rh%fKYxvxZD1Mz4yWNPxd-Uxe_xnzjv;A68O0qIQ*@m} z{wPw9GC}OAB{G;ui__C77PTuPr}(7lK))k*5bxX(x!-VBmOv_=#1J#FwS51<f$EHp z{Tz%LO?JFLSxieV?OOdgMQF{3KZ!Fdo7rKP#!RUh)*-^d8#>nX&=B)7MY!R?k!H!q zYC7%OB>OYTRKt5ra73wk<W;luWplslrSU;no>vA|>Undo6i{29{~e|!3JDJ;H%QdV z&}JDlGDSr3V1M5E1d02c-IX|-xji|%gIL2M265$80jZQIuEKF;<8ZQrMbY7y0%6`f z_hWoXRKl@Z-G~6q`>*dCpi+8*8tzdflvDdGYYM4<NprE{?Qj$kuvc{>v%6HU<fLPa zmTMct>N0eGl#Ee&aUdHtZ^{Q)&Q1P#CEK*DQ#3!~BI2qkK&=T#Z84SolWz`QykgM# z>s(u^(cOdx%2-rl#ETw3{?7RO`h4SwHM;@k>6;Y?o$~;AaJHZYNtxbZr@O9MwaAmS zM&o&rz3xagn6LhHuBo`3km}^1V~A_xY^SVN=v8XH)vDx9CxSNQYws`H$#*@Usqr<K zx9Z7mRn)6ntlDCTSR0){N^}n-il0Tnv=1Y%Y+R#Tu%sddZFl?&hcN2vY2?JI3$~42 zafi{2iM_s0@hwc@VtuDsr`W!iABBSMeK^2F<I4{tKKH&1;Gr|{P{6&9jbolMw&h9Y zpyREiBht^ZwnzWh>=t8Eltzudmi2Y|iu03<H~Pndo_Ak!UY9;k)@l08@3)e>&5wmG zu|Aj8ZN@G0D{No%$J$;uZ*_k=Z}pv!o~pX7?d80U?PX5G>p#|Q?hhxe?hkTnU9Z0{ zbw4^Tb^oX4tdDkPKTBGAaTMhbv9f*Oz}pUWS>T|}fZvVbQ7czTnp=#Gw9*y&CaNhu zebt)cHCNc0m?ca9lD+yX)rD$L(bZAPe}GBK9Y$+4rK&e^HF25;U^3}CoxQ4j`Gc&g zFy$4P9DkbprON_5zd~6A?9YJv;-}dpW9Hg7)@cH4utG@=9Kdb78aP3EjPk$i;hX6+ zLnd&9^CTtU4CyhzD_Ey&YSb^*Wug5byG%;FN_XutQG1&FcA;ze?=)Xq&-Y7U<Mft| zuJeCmr33GO;onrAz-RRP-^9QNvwHdOwzBHoOLSRoKa!ls4~r~6iI)JkeN$VZU&E4t z=F=Y)m}}%upF~FXB$?|@Jh}`w*YLNKGqF%{(OZCb%$!amMRnOf(!rez#kI}l-);X$ zhj%W}>&??l@q}uYF`Z+W<qTG%Pi4yREDQg?|9EW*6G&v)fAj^*<y{JrOVN#7`PhYv z$FF2<2sk<?iFutnIkTL&S0&FbNrJJKn4sKI6Pw&iu^bba!D{ZTJGjwyw&sqDVM}I6 zqYlQLgkkV2!DSQRTE{?`<QCak4;TA4n*1<L8lPX#Bc_)PUHXINL??|Lsk2S=82HB! zV<hq_?dhrYBpHBfxROZ?*+h($Y&^TJAOF{TriW-JCE{<Y94p0Q;?(Jl8+kgn!ZGiZ zv;L)-K;jmI?JCMXJLbMRCCu++#7DDZhj&yKsnSfml3qYIY{EKoI=5rGQJ#MM<qL&+ z8DthGv|(WDH9LRb>ixUkVzR>A)34keYcIdLGu-9-YMmq=?TTFWBp&tb-WwDQoYB0S zYSfgQA&%9znx__F+jxe2wJ(fszHIn~T?qm<b*-<F7Zm!ZW**<A;5qPOPb*D{AJs&x zu{O+9%gN$lej`t`?PzWFlFkco@JWMNM0;=RaC4NxWa*jO@Kn8(HWb?5(;6@8Zu$Kz z{8%tvoEzfb(ctDlMCB+NTpLOj(J7PK<dg_)uvBCTR<*HoeLEU0rMdEyu4T#_Gmg$T zZ<<RLUqx$^lOjAu_i^!}beYCv3IFvpMdftbxks2pSxZ;BykDA1%QC7PLxdHVwIDV= zfPv1{E)%3hAFL$@N-Yg?D3p5qnbwM@CIb?^|AC?AV%I^(Bs#^V;?9z1AhI~wq!ztU zSWU)FsUcI>uSGBITMq{mM56D(v#x0!`|X9hvQX8iCh3CReP*-*mp3MC9qw*K?5Sy> z>)3Re+W%X}AThXwqOo-@05trG)+7aQf&_kR&9vZbKTQ4X`UZB!LO#2(TrG>;)&Rid zxKiTg$9~b*dx<z(SEoD~qa258V5ezNMXAx%1&2ea)zshbs)e;_CABiI7KrYs^<t+@ zKgIJ(YcjZgmWI`ATfn!7|J`;7YS}cgyk{P`Fq3A<Q7f9F%1F3RO5hRU21P~qPI6|% z4?CL{`mNQ~VioKT7POgZu858&Qfl3FD}H5r>4)1OuL*QJUFq^-LlrF3{N&kb&)&MH z=8t*mhLuXM=O5j(>a9TWI?unX*&_hN?Z#O5=R-<gcir2A5J?DD`x1SnviGPLc5TnA z>nPpaW9bIND0RERj*f>t2kZUkUG7{0%W#yb#y)=p?S&!I7=LdF1*cQ3ZISuU{lDK& zJf2UVVK#di^$EEOi18ko0a<0ah_FnH+Vc<Yj}W>Yc?sJhou7^KUh7PW1-KHg1nrG& z`I+>$^ccQeUSHU=IZDJ^m8-}C>$$A1#7H<jD(`NGRI|!yHbfS`^Q=d4$^793;Ym5# zL(6@j@9BYb(_?^)=N-U*3}-nG74A#yva@3Op;L+sqjhd3`2@nl7J_~+Y*1mA&K$3u z5y1Jo^s(**%4)Q%mRLi8r=@h|BKd8eRQp@kgH8G{J{1whuaTu~LaMyFMr6m)-_ifi za1+M^x-#pp_5%b!$hvxwrfLr&;#V^s;=4Wk7u)!b*?ry0QdX?Qoq`vDEo+U-ihWAZ z(reNJ$6rM2p;Y~gjyc@}=EL&VrEb<G_-*rJpL>q)ZMKSdrdA=mZ|5G+;dA$Rxc`o^ z{D_RSommDAZ4O|)H}mie#B)VNBrTo|tYP?X!08a=(dWTJ8$E$UJ|HulCKw1f5y%t$ z_F{KECQdY0h_?b?v$`j?<t-<5dMd|)1ru~O2kNQ80~OJ1youm5e)z@s_DOt`i4bkx z2p8M%t(P(9hmdqWPrfsNtX0>4ZC&-QKN044VBDnI;BE2oHh)4%G$-^AAr3{U{Qtr? z&Cvn*rbdJR%{P7L`M>c^B=Zgb2j4_@<jdFlKl4qJN`BmQEt%JAExPyMq!e##K0L1+ z-L&r<cHJ~$3quOY;Z$*)_2bD3I6-eJ@okmoK)Q)^jp4Gi@6(kRD$GyfhRQ3l6}ffZ zu(RQOV_=H~X0s!{k1LPwvhWK|ICT1Dk-QzQrd#rmlTJRJ)!>)+YSznj3$m-X6#(+K zEB*_|7|{y}2Ze)|gvf4mKqCBZB5$Sa@txFz&qc30z%BcY(EoM&rC$5Fkrj}Ad4TV_ zgHLQ5j&ArRUnj`*v=OfTxD;>YDAi*oR`(IOA<D}l)((#renVti@lz*!9XhACrw@^> zk(6wxAok-KKIiV4*wFk3r*S!ZvfCE10c&p#xb^gaP*Cx1M(E}`-8(+MZ12Ok#3$Hi zSA1#h8!GqR+8<Dc`nkcTU=Z#4)1O?J<Y93a${z^`bI9XEF`9{D8-!ch8OnUdgC4;Z zN6_RbO&Luv-;31&4jum&-K6C0J)nnI#zeMAVfgg&{9~gli_!d<*!<d_G!19Nj^G9* z2*y0@jw#A~2GuLx%KWj1m5R1F>{0O&Y<7}{=Pp|TrL8f%)yf`wPVPjJmEO4rC`TOx zMd8l#lEtKaDH=hNs{HkCPNp{45qxwTr|a+KG7>K-Il_R7E4cfe)qQ{SK=0B2kLc(! zwEc`fZXAPmu)e#y`(N``mO7w;Hp)TG;(68H<%9SwQ4qsm$e;Ol<2FXSob_kvA;YdW zRPThoxCE#=Ea>FTfSZ&Wi*_*kNX>71xKj+G899=;dta<@gEVhwfr@z>M0`#e-8bIU zcZ=8#U4kZJ9Nk}#xq(kxZ{BnY;a6a@^@=>A4mS&<m0Mw^S*-r$tLH5VNjWLuk!b+% z?qpf1_KQA++~*sBQa~43N~z|yf}ek?KYLp9xNkx`slwf_fNl62A^WX8)2-3wHh*fD zN`)Wmk>1Yn_X~QrIsET4L@ObYHX_5l{rA|CcK%;XV(Hr+`aZ|t6q(QiJZq&RTzGp_ ze9B73YXKS8Hul%H;eq~~SNoS>UziM_h;kdzY2U-vH@6WZArnCnnJD~N4%($x^|xOF z!=XJSHx2|Ka$JZkPZSf4p}zJktWPR>Gfo6Su`}Y)OO%Nfbd9QPQ>k!6UeQY(y6+?B z(_IEo>)=I5@#P9Gt9=PF%Qhej#J5Qa1NAdD6v|ILSJDY}!FThy_gG;5(C1^96f5ec z8&Z*7!bV^RkU1G9yi8b}EbALnjq0D^5Z&=3i2dP4_zNS<xIqMNxCifV(hCZ&=kmnl zRt7B91MD-_TMxVC=fyXvljvlxVWFfwM_l^a{FaO@lh7VY=n5ac-fI(OJ=So5I$ajN zNALu?aQ7J&+_tH20r~>_4Seap<R2r0QS1`y!J`_vYPWLb8+w>V2>go^`><9_L_Q}# z$bM(8#f$8r!1C1(ke{>IT`^x&=EMw+aFD5uZG;x^fstb`CUBk!bnp1>b+3IowD+_@ zK7xLFxH%luNum+uXdJ{Vq;dYW&cq^1gx!(1k*O>gbKBgIU~Fw|>A}$<Q-6BkpPJq9 zhJ_&t(br)Vsn-LspbYq#Al=fV#TIQ$s#>JK9=9bdZbsT$Fvc!+Wh#b>>d@68vn$Z# zzWee1pdujs`&G*XXvIxr-Kru{^E^g6F+->ePo>aq@wyS7{bPd3aHv6X!OQxBq1QkH zX%p2?a>KLmaw(<;nH3E1s16=o0YA**Nn?dsBv#?#m)DUD@NQ4-xZ8(h?kmF7Js#<G zJS6~NZ0&?cLc0$RL)@P#J)1vEn#qUfU~*g!Epl!+--On`?T6p!&mkTLPyJ0gAIYYV zk07F3LmRIo-M48L{T3$9fMy28Q<N@rKfFD&HmoJO;em7nwzLPP6t`z&{RWwdzF{(~ znx_exn+x6Hx;kKIxE?q*WYXh*ziKjWHdy5!YVn8w7UAPx`uHI7rX=+7OAA>Nq^G}- z-M`HZfxg?x44FFWeDyzkQ>Y|Lq{6Q`Fh8gLaNf$Tt~-OT?O)uBjEuh4SJ$GrFK?n^ zwSuUCv!fi|95HpD6XHjio`&Zb?U58P@9s7EoUKI2gd3$?X4Gg_W>M4ooVbUakj`jV zT`tjP<m(*YBz7?i30@N{niqBh$J)mrxAxQ)WjE(7)C!<Cckk{I2OTb-cT~#_6|Y_* zpaNpe<G1&1HRKCr-+qFoQORe3u8PJ#cWyhKfxk=l-0#bh&>P=)y;y)su;ekw@zBnp zkmm!6&xxbE^fNrkP$)ILXZV?}<(qgZpJL75O6*Nm%J*p5grn2_^Cia%`E0Nl!+JyD zk*pxq>+i;Q&QL~X;|mlw$FaNfVFlac`_f%^QK|GE*9-6k;boGB785)KS}lSGv1k7t zzD_sfxe0{<y3T0Vxi=@c!XSJc#-6<<C^qb8{ua;h1_`+j{qyL)V+MM3XY;Duq4DYG z74|WOizDRtle!Nco1RWY?U2Ycm1hzQb-mx^QGdd{6EZ3sn8yuT@0GtZxt%;8u>P~@ zt}f`nH=t?FR`^5Bf?ESUnHhfcZpG+kq$0QqQ~rArFTdilw>+_CH_y7OE7b1?UYwHf zDutV?4|dy!Ga`BYM?*`!yNDeC+dn9p_Y~eNJ_2|5Y^M&ktneN+BWwu-BodQ4gq|gT zE>|HyD4+h%l!rxj(Z|r4>(FDP%}3za2N_4MCbMhJ_|8Yp)=~zq^h$Dp%_g}sD)Ir* z?YMs*f#tJ0^e22jnLUml>!5>6F(n+Un>XgY)T=`=hvF?_ckjK|K%8hRyo31x>}9ZU zZkIa_e*O(spyXQ2duz62@SmS|_+LKUgF);m`2J#|X}x*p6zxaB)RmX#A)&tVc?Zm- zgrHxa4dRn14$OzkF$(unSB8!%rv@;MI_@V-(vlm0H3;qM4ytt}P#k7|o0j?=<Bnjt zVHAsPmsULcMZCX}-d=}~0Uf`-fWbNX!!$7&HVwRSYNLf!*6G-Rdi{b#;^O;g9MqRO z@5(>{AF>+al^Ih5RC-+oB!GJEcywf99<FI9W%h$Ik1$5sgH7)>kk{FvjO?w6UE9Ep z+m+wu;X>ca($XRR%@6rw+V!ShpkrGS;xBj{askM*^V)4k6LHNMzti=6KfgHkN={#R zJ*vHT`N_1Ry^Y*OChJIFjO~u32Z;?|z?9d)92Pc!rr+f%E%5whf-z4sFB|sN^wm^= z3O8Dw(JOdh-crEDS=qmG2SEPyrwf$hPmQDROM}}~x2zGT%v}Amh!OAn7j#3*tKNdC z1$0R8^?vyEd*?a7gJ3Ue+>5jiw+}_?*)<`IqGL5pq@t$B)u6KtA7~%RO@Jrscc;;5 z@NR-3+3?j6roF#^@Fym;_1kwwCj%KX1W_Z<VOCn^1|NOi>8)}3I*Bq-NUKEt!$}3> zBmIYyI^z8=PRgH9FaYhE)EZ#F5^Ro6tljPw>glkzTQ?9g0N?e$8L6t-kg3_3GX|cX z-j|QZ_lGP}GaB8E(JyA1)(AMko@jWnUOu6=58<!Jw$Dr!4YX*aqVx6o{!!f!GY!0f zat{uoKjTgWQK;5DknvT<ZzR%5e~<idBx3&Z-H5VWvC?@v9mJ_uemcEpypN|+oZWzH z%jz6<p_YL}8w3iFPqU2d$V=wE{f%`T-fjEtk~@>>M9l5mJW9-5e&;y>$2i}YZK%T- zIQsi7W7-ArY&w1VSf(jh&!Bg4ZpOj#n$+jRiy&dtZN4uB+`-!4NvO}n6BRsyu}{oQ zn-j6;{iLilbd+XM>G`ffL=3!eV?Gm63S_=czW(|Q-dBH-&%3gs^_kA;UK3B4AI7C& zWcNL;)56NXvCIO^4db~+<gX?hlZf$IRbU_Arvfy7z@$`mqXL<*0S79r>AT#8w;)fn zBJbzfwG1a0-8Mp9P+~&G4^=keqF8!_(lwFCZmrKh7TiWYP;PV8G_7ho|ACe`Q9ee( zwM_hXIzU_D*R$*=#XDcpSdsnsXDEfHjwHLg`4~9T(xgw?3H%PxAW#}!a`wsD!V1#3 ztL5P^KiZRLxex*V@scG=yLpjNUy?l=HH1%gjFM&P!A<&-|E=Fwv>2_g{}lF7f3QDb zk8`0?2|o#VY7n6by)GTq{}pl)=h%drJC8{H_j{5%wvot_)0T_#d)_XnnOr_T0#9Hh zoIXH*;gM@`CRZW5eTS8QKq{f@EVq9;_a5p4Xy9~t`Y`>{f)&IiQrhsbHpk`*G3x(# z>e6s%$OHp~Z_Ku6I>9du<-QT=&DoT^6DE$1XLecM{)W7DiQ>yq$YoH_J2_7X&*DEb zN9P<p%VHlRl0hx$6Qh~|2SXa-Y))U}f(NTk9fW67-KrDCw0k^U%`!}^C0{a7c5TVt z+M|g;R6x7xB8hf#VjFF8I^WNzEqzoy4KkaR*ZT>8R1OzcPon$S_SEq5Tx2H||Kcg$ zHLKg!%ia0(&c(}6_pT=O@`gPK&MTvN=Ai}oMX1x;lb;#G@5+$A*sm$OH#QoDx`(m0 z$;RKlK5z{_Qf$bI&7l_FZi**B<@i~;cVM=YlP5hJ>%Jn1k8eB%%30W^1A!Y(sOQUo zwKh{~d2qO}!)7ZdvN8Ok>Yt#{XwRXMY>F~CYAyGU6$0@a0rk;!3ew_{kUo={W(02D z=g|&`XX}p&1(*$(;KzAkV;60Fo(tQM)DQP(%7C%dqZ&XDaUZNBBYhf3D_JeD_r<-2 zm?_lOg%?eJ9>L5YlntY>FwMk$u{J?zCZE}#axdnI3|uUrW4lv_d^3>9TxVT<6G8d{ zYO>(#w1QC0Ri!me>iG>Xyz=~k`$ca6XG*@DbFx=SBqJeM-|sKW$IS%J==~O!`D~?u zNz$*2Goop{{iw_2j{1$Sr7le%bLfhIjIx4z^OdI){MYbMto+V>ZS5;Ixs8pA&a|l) zPYT2or?O+h^|^@TT^3D197vrWTj}Q7Cr=t38~)S$_{&YPyDQcMm)-+bSGKH%m_m&| z{-fR`G9(|NBcC~)(Fm2o_6AmIBKJ}{DYoK%=Z9-GxCMud$wH#eQIUv6oGs4JPj-Ts zz(PhbHGQ3@nb)>Rbnvup6Kpc7F?Cp!_b;r$!OfQteR*gn;zxLp-Zxlnn;D45JOh7_ z<G5s5BI#0>UZil*rWl4uD9OTZ8Piy~LK1%oJe8OY<G3yHW_#kR`?ZFzm&aE$M8m8e zdH3U0%`Bm&NNgxZWu`$xAb>&q@U7j*Z=NmI;}=s&m$n5}P8wmH;c5S#vpi)X)u;?X z!%N<B$XN^-mkqJY#?YJ}&_Iv<XAPBIDVJKGM{8{Nkq;7`U~Xqjs(Sc==x>aIY913l z5$0x2r;x|(?2!A*gv)MVwN4jX2?4<4XG$Ynsv|Yz?2S;|f<<QobkiiNb{1KpDp-^x zS#GTT*e`Rv{3Py-`XYivX*GVf3Ign<(jTU(75j-%^nfgDtQw38&IEQC7v0>k6HRZS zg2)3Vg+HgtlZ;%Q1erY*SEiWC2d<1<Y)9W@4kt}!F=rYLe_}LBcmJifK~1L*4(7se zDuo$s-oywcgoGOaWx>gP_glnA7{b^_D;wRywpn@+_)V(4!2x?~5N7Jw*4a5*J>PZP z1IqA<&mGq*)fUEO^D*_Rvx;%LB+8@zGi2dA#8`A@Ng5aE8irt#-YTXI`_0qNm}L(_ zO#z{di^27A2y2(?WoBwmtwHBNFG!5bS0&ey3&A%8+Fx9G298)Ai&7x)8K8kOUb{$j zn?yFhS7A-7Gkj6fkW9tJF<y6jD*BcL#-2c{GUx>{UL<u_2O>f#$E<SWVdA<I-RBqu z^!jhz{3Bm$#rVAHBz#0OJ^0vn5bV9xgHvt#Npn@Adc!a4`m<O%1B!+)JNp&?1eIE0 z<9MX?;%0wI_T4{I>4Hce<LdU$-`?uxQ$uNBnhfg(41Z?1x1ESqBAavtP|)l(fS4;- z8I$GL$o7HW=RJh=SlugB_Z!X4Hdu3Td=i?z0!s9+FP<9j0j9I9-}E(T1ByO5CH&Rn zJ{3{j{;usDm=jCJ_wr9K%ogSF5kB<`z$J^!0cr)C9yu{Cy46@of5rTQj~H~CqbrP@ z{6@ix`*+jAyu7yak9zh+SWwNXRf!5fMGjg!(rSinl{;-_WJG)5w{;8a!6jp(>Ch3) z6ZX}n`MQUfDPi4@j^bEsIS0B;pV?nZcUimx&_G+X`60h!Ro<pJUi177FIgKyJ$NV{ zvUJV@?%&?V=vo8u&@C6_R(E%raa!AAerAFp1-n|-S!d73#|;R>p|CM2e&yHtjDtG2 zr=}i3V;!^C(4P*)^S;&&Df=U0p~dt#k#Kz8S2~;t*qH8_B$&NJOu6frcGO(2zjHFo zJR=j!evxy<RK6;zI#55~{oV?(upAIZpH3tmVf8+ig9IQy)*Z~Jr4ow|cDM!nor2f> zsjhP>R8n=YJuP+Z;_<2NKMVm~x3VCa5PzLa*x3^4jn1!e2vX!7A5oREJ-QTI`CV^0 zjtQe!2VEW-f#LNd>#iy&FWt@4iy>Qdv^d;zUT8$l);sXbT=8S-T<7O7g3aI=sK^8E zXP(C?u8IQFJn0!iCpXeZ>^%M1Q8bcV^A8X%N5`GVFI|d1%ALlH*M1jgNZ{K1Z`U## z<}~f*diz-udIB0n-Mn3Xa!=sPh}R_QCqup(;>|BptuZubtI^Mdvm^h}wm8nzP1<49 zaVqy5H*iljp0bIt!9=fxU*x06ifNA6Dmo2aybtJq{v=&;@K|f$hF{2C2UmS!Q}GsB zD8POI!IA_jXuPTSP^UyOo_g@l<s}nvKYyRHIH&Mng_Yw1mKN&kBZl>C?ERUO#)G*! zXF6r%lMo092|Y_Z<>w<~#XjHNMU6*Lp$tS&jWP7JtEt*Ix9QgdmaUZ>loU7Dy4nN0 z4nU1q_RtY-^E5v=*eGusl-_Hfto20*25^b!JdFnM@d|)aoVXN%cWPdu1M4vJ2skcZ zv~@0-5P5lIyxC6NzgX`WMekUveuqWbu7iMQDQ@xV4j<uG(%YySADb;~c$m>|C^pdI z?=o}o^LqLMokZE<#;Re!0|z}C+i2XK&-~2tghjU}*8=;)LjG+2s4K)1q}#RKZup9w zr@OYku<&a3^KkZc43p$R#4cE-twtZ5`a!`w`A2H2oPaw2M|%{_`l$<R@c}BGuvhLR zt3dDwEAJxyt&$(%T02!qo4O=a0xR~L1x#}okjgvc%IH);Kn7*30gQ~LJ;Asc^b!5B zM`nx`CY5bOzG)JAJp$SLJK7hlj({qH<09B(-$QzhanUc}tMlp|dNr{SONj?GTp#y% zA?CmWr@w}~u)x{4xyVMc#Bg?J>qOAXTW7MA_WCL`zWJu;tsAA4K0MxSf{IVBK0a#B zA>@*yD--h6Fcyo*+JJIEnYpHcP0js2^$pcHhP12&D|0;Z0`4#Csqe9?|Igw1RW{KE zde%c(uOeo3UW>{*Cn_7+L7EJkde@%+mKHEl7}LJtve0mix7~Gr96@627#tbVXHQT7 zrxN|)NFv1?shiCW8h>c+M$4vZ{M2msopOC<*g+;y=kPV<_C#$94hv805^TDY!uY%j z=dDAvt@wnFbpm$SDW;~*=wgMn>N>5sjc%(UK-^8X5yAL8DQ3&dY*a*M+j1o^12lg< z!NkTG()g~?$;KvyGPZe&I*S?D*S6Z^!rYCrIR#m#KQSP~1b2iZBqpapTx-@Qx*0>q zte%^Qi*;jB;_;+^JV6eXKV-B%7mP6q8dNR2#pOKH7ls~k5&m%qwxg4xgC~6R;zn(G z?E0ZpT1ZJofT0dNs}SDy5Cb`p`7{(E$-@QKYJ@?ps**viMA_0Cvv8OxCzHBC)g`PQ zH{{l7$tFgzL{}vO1s=9Voe*^v6;Fx^t4CFRvhu#tM!}7g31QJ%4xD;{5@Q0j=UFME zE-*H!QI8kiJkD%#7C~RQ%ZV)?@4*&Q0jD|}yYu~Uxg)tvSM{Y*xq<)`x(tJ<W&vFT zTXwh7@T+pD5>Ne?-N?{{oRRMXMJ?WbxpY&dYl(0^da0%t*|{=$W~zDl)3M1HSX_^; zmVneaBsb@E4YTp|yx%cOM{CqcOg3m6(Y1gi>0I$Fi<{(IruwMu_-^Yxi93Qo)akUr zNy+%{rS-|yi4i0G#x9AqWTp$c=Lz%i1U!$>9^th%go5N@Sjdk|>|9&SgRp$~fn?_@ zjOR+wi%Qv|dMRl92vQd=R|V3#Uo6Kxfhq~^7}W@C@Cb<jW=A;B<(4X1C&)U4i;K{) z>$F^A#ko9B!rzI4&uf9YohYve-)Ue@i|5q068-EeUAui&8jx)2Lr<}DWuCl`9_oLy zT~fF6DyP1tNV}yWI{ue{Cw(K4htwhmr7Umd{1IOD<;5)g77qP>vT<hMzNyFtj^B|l zxke7CUvlhMW~!#7CvY{a8_+i}@-@XUA7=#yg_tdX^JRg`z()<1`x4+P>FE!Jlz|SG z0R_o8n>ko1C8g}D%BjtEQ-bzRS5^2n1uNS*QRU?j8@4suSoEDr?dLF~+8m^D^M<?$ zl{4ne=F38-5u~ozj?{b;R{U(vTlIIf1@ZeW6?-|-FDKAmCGOBn+VhAfoZB1N&L6f7 z8l!W$o>fiXx0$I6SAX6ktT202EOLbDb-&XW&SoIktau))ny8ZokSVJhFxKOqWd4kI z!&K`}tfpGaw7Hw=%I_?#hlxWVG%7c3{|5inapz^dpX!i!$=uzf9|rE!X!pdlq2g%E zsySn(pCCA*UgRK6y(7v(^{RjDkU?KlKB<K-rFL!7Ew!RtpQ^?6UA;Ha#mB=kuHBe~ z6+$z3Z!3zFY2a4~xkK=dl+P=vJ4oR{tkfSzC3DHy!AO56S!2|^0poiR^B_!bl0<zL zegoQjA@HR~kJ1u>dcYLKKIKKh?(l*tl%6Q49{LhXV0ycR^D`HE_MkcSt~Kr6BDqfk zIRkXOv7K7bs&bII*bSP!HWKk@esPBasJ=FA9`0Urj<TjlH-up7kf<cA9(fQa`Gzuv zP@J3wtbsbL0V_C@o76FhozT#6qflMgS`^8*$rl2FI8$|TkYgu~x{=kmzr!p4hV-fm zQ4kkLg@DK#9iplbN*PfX4@7|=?Oh9++Dl#{k$zTTgAqpAgMVT}&P=tF2kOrYqh0+I zptp%HzvkujXuRa+>G707a}kBjzWJf2f?TF($WN)Q)ks?(8)ZZWGs4=cbz+KNQMlrY z*&~Jm!c(etVhUMN$l;3F0u1@mlut}A%0uMEzoS9~#8oWHSq4^uD2P*`LV(1VEXYYY zR+1qhV7mV6nLEhFNur2|87hV{1Y?;MoSs>XCO{c#(kkL3>pL?^pe%laEB!iVBYC6o zCn^1?2#pWZb%}%Q)7Z*cPb5w{xT=_A>W3{;DmSCQ1f>V9SCK6au7}dDA<`Zcos+1z zYcnFNM1}@_N)0HxAZtr8Ad+Y$)9gwMKNeA=AS`JH6e2m3-3%Y2dkS6^0Zvrv3?vAJ zc(Hi*=tp^Bvm9j)IE+XDT~Sc_WIph!YJicCY*SFWZ+?`fA#DYr5DJ(HE>?F8sOq=g zieh&V;fQ#1t(&+P^}+IHEHDUCiPGC+!ofN+Gg-<9a2T=xx{onlc753VBruj_B{SNa z(&C32wjpU5Y#|g-lZu;TC<KR};>ih7rMLkIlrxjyim*jd9HhfPjAJVB6hNh=tuX@- zDQy>Gcpdj=&MfI@s#14pXp+q~{pV|SkhY*GTt$_WO$xh>yuTscPKX>5p4LJrNo6)0 z3HKPx7;Sf)OM|4cNy#X@GOl}1lWqnS+fi4b38A<GZ)JGHwRvxv7fPq1C=~-~%Ec!# z1vNw5I|Tz)-OivdhA?TbXx@)LMLJy9s6KPEfmi$hSf`hGNRX${H+R&9xGl9S4PMq9 z1O_TRW>CYX)fm<|C|y)g+-G2g<}notb#35?DcW05++|=Erqi!sej^W|9=NJ9uT!jp z5jd)2c7t@QrfJ5es-oVt9C6d2hTHAjraC12(-d>bZggw(5$QH=L=CLGu;YheH3W$@ z<`D0y7HnY>JQ5pt;Fu1?-?&0G)BrimX>nSc&}R)9gzbiT;7hKeOoeN7j8@(Q3hnw+ zDp2Tm5(@F8o0WaPhkd0*f;^d#2Rz9(kXA%dP5D8UxG?pAd`)42=|fLR52hrexaIds zQ&9)epk3`e3?pbxUj}+RzD}Yy;U4TR(u}4=TUiFqO7$&j6t5eQuWIHmBM$CraD$#g z2inhjt64#=>Y@l;>hU{~4r)6<b4M8{Ly9n}wK;suGg}|dYWsORqfmsLtP2K8WiJHQ zM!~MpIS9olFH4%9#aXYtmb7GwTU>*n{7uqch^UQ1RSU|DccTEI_BhA38sev=EyP|k zGW_VWnv7`@6PS?=1<o(`(*`u4L~cENn7<fKe7YwUe$|bWwm6O3Kx3S6<64HhTZ1%b zt16ogb{drwXCs#fP~xtpxi{#}GeA+F71~~iqK(qkh*r4SOoSjknv*1PBk312cykVz zsnabKgp;-=z_LcxePPq)7O7iRq~eh8BJBIP<E~t&nZzMjtW3)|bIUj{ntG%_YO3Dq z)WWEtWwQHY4Vp|<`Ec#}^q>h-^EmIWTE+KNHUb+@(@td!T5oPXVcu26vej1;?w?Ks zG!Bt(+%(M(K{Fd>R`@7EgqVm@QPbVH_l!)COE5P5^m9sZV$h?vSsQ-9rQvy-DApk2 zP_3&<iZMFhoxR<x7k~(U8|v|ahjy~}n@6uBG?E?8={@4ue%wS<9k>yUhyBeYJ)|9Y zP;=3~69r}@$foVJ(~-pb<pU)i%bjr6D^wvoj};yxhH)<MB(6h*F~G1vwKz)Odn1Lv zrc5k1X>jpAr8~RVI2n7zrVQ33eVwBu{;lE%4y9a$CGIPl_&A{es_#YiO6f(Sa0#=@ zLi4XgLcovtTg?;&;|346W82Q^W1vJT=-b7WoU(STYTW^pAfj*bbxKq6>PMC6%SqkL zY)US%&N~OrBLf2x_fo?)caP^=t-Ao<A;ENyVJbi;mU`QguSej#kGl_#C2|*=o!Jzr zse6`!Dq*j!_II2$Rhuc7(=!^;X6&Q6Pa=j6x#U#zivM0}u&h31rfbp#=hRH09&1xw zB0porQ&JwArefsN;K4nvfQ+R4D?&OAJz^IvmLYid5O9S8{ZYjvDP-r`5vll88^ygA znqWAas~L@2jrXi0t_<Tb3EB7A(h&*rR9opEqVh3(t~dH5ageFjykHW%naZQH%w7mM zBZ+YoaL&LfLB8NTdQ%!sx^P3oCYI2QQD8DX*i3y@92Np*sLs}MwwRgi`a70XA0N*n z;bWqm!Odm6l2)`P;_KZ4bzvK&*q!z(^dPz-*Pd#N*Hb~GGw;UXM&i+*3L;#;+oYlC zL9d=deHYO(vn5&Cn4@6#o}fyg*n59%<mB@eeRz2dtt^nDtw#_d1VO6<g>?8pTUMO> z0)v^U3vcVG0)@2u*rpYwVTTuX@cX!W^jRzGui25ar=~O`1vXItqBdY<+z#jND!9^` znlM3k=iBSJM^=fk0tyShKyN-JA&7yOhuwF<a^WqZwT^<6xKI)hLl&IJirfvMDPSBM zO2XCG+rj@8JJ{-n-B*wj5lYhU%%18*Cz|1t+n3iEXy7bLuMUqPNBmi$@N^AjQlOQF zl@Qtn%M$1A6p<xbtdf$Syn0>AVS?US90o&Rf{&oU=!f{d+U!k>2jX`E#~$K(3<GY! zH_){%F2Ox_&p&dR0dEmIXZR5>>?w`?Y=ODJm#pn$px&Lg_|@mb&svm5R|Y*Bk*4<( zH`D>WQJ5wbM<dxc;Q5*bLCK-6#HHHb(Ukhg&2kX!MedsH@}QeIzFp3kq6&XDVwJVh zG(uSR_Fxdpem^#}l(pzPp(1|XWWpI{iL7}&OO$T5xv$ymAzwD`#kru90X1c|rHEze zfZW$T;$qy65LabEic=|Um(g6Cdhlo8&r=Q(&y<B}6o_EX9^h~LknEAAmNV3X7Lz1= zWzd(5oAG!hm>-Z|MTKEn12maULL8NZA6pGoe@*6ZzPDxT=i!vjl6F%ed7-)`^%y`S zCB@~vp|t0wQX_D>T5Qgpi(!@gTx!L(IF`TY+?81!D7xh5#({e`0(3c`A%Bg=p$A^X zU{7xG3qigK`Y{aS97IzP(+Inp8+V*DU_C<c^BW}u@YD<ZwqR}<p{Yc8`wMn;<KMub zfU7u&U(|f_twNtQQXQ2?gjaguv9zra)+kXwN3WazJl;W4=(`|+W!I@WtdYt>t9$)1 zR4tiFcS>d+E%9~8mKeMV%RMy#6`zojKCnzS!$r_|xOG?4-{JE$3Wu<8OZXOpEWSXY z6?#L|`*a+ZXv;Lfj$wz)zeB^M+eCX<fy>zjbqX9>8X%lMmx<U94T^Du7#ucNGO`^D z-(XY@{`hfZAuGVND1kl`wjCGHy7+GcMd|tvg5g1xAOTY`l6`u;e6i$h6S)7?73LxP zxvB+6mUzY|(*TQt0KsSG8^<+(|7+6c{j|f`{VaSOP8`3m_y$NV#Se-Lb`Ipknp8kT z%SihsiF>%mf59ouzo?IKYt8fSey3prH`f-g%kJ(WM7XO<xGODmx3jAY4>j9GC_lwK zWg8SY`~J5<a^8BVB;7vJMjvhmbX8=JaE%tT$62QDpvDIpY!2>Ps5#;}X8@75_keLh zJqilauxIja!18Oq(0#ejZ}OKb?n;r{*SUK7$+~81vR!0JatNnr?dFRBQE5Cy0@*qw zb;<|>hlk(W^)OVC@1O%C;;$q2AGQHl(Y;vs;KZ`6%+K0t_4kp<G_(Spto_7|f*S{v ztpRQwms?oByHxEIHa(dnx6L#t-g$Sx)D=4mQX!HjaxWOMH`VGt$(?Rn6~e5j?%WqJ z>QbruzsX){t(BTVCF%457H%8em%X?8F7z=?OBL;q{%ZqmRmptF|7yYwN@HHVFDEYy ztBmzz=<?z=$o$6L&#|Wg@$a^?H-UkSb6SX}=#T8MdZntnn=%RL7t)*Ao3Gt?@?!fP z%T1xY!K%!q-%C}piGSVVY@ekLZ9CiOZFJYWTa!wa+oU(4+PuvtEq5>C3zFfrQgCP~ zFwW<HIpOkKvbv<?%chsN!cHs3jM>J^?vkezL-1hS%vpQec^ia>URg9M*N<rp==LMR zeBgF94KIzb6fyjQP-lpQ9JS7)xbilgrT7al+<8y8e8;|@CH8m7{B~!BN6-_s*vOa| zU@&B$FacnGxD5H|{iPqFw@29%eU-jwX%hgS7IFrkzfDvqoMYtkbAnP`$1BFj+l!5y znc(mFxQJ5d<K$$-^IC*pAKs(>&STigs=6aGlihM0E_UQBT@|z6X2p}d{wR-WPnF~v z?7+(V`F6cvCn&v>c=m`!{G71!_A@HJz42xtd#u_4a{HumN49$LL-Nw2HmgOq7T=j9 z*WE-H%<GWn8gzIr`$^#YgIPOnf=3SmahahqNnKhKZ#CYgw@bL~Ts%B>6FI6JmYLM* z9rs+r#g^=s2nzfsS5dHKII5D8#=tf&@}vWp<J{*){gan~N1I=By(tQ>P<|o?2Q+=; zlkALdj~FTo(iPAPEm5xfN^iw_bZ<18klr9+Xy}-E*p^YRvKHU2%T0D_O6hvv$YsNy zKf>^*gMFmyI)Lnb#m}MtVz1!eKW=LXT5BFd#OWEn=>{2erASu>xB??9k7f{1>rudT z0Kp}wGfFSu+npIom}4i4(_YTQ{D^hNR3mFLOy{mx(Vl*`{sZ)R_zuO*_~;$m93cVO z5C@mb@lxgnACez-ReVd4tzmQG>)Lb+4GOaPbr{`n;52MpORyURRsL<&)4Ox<-N7s4 zkg@hDW~7~B1rc-wt6wA^K-LhP^92Q(k~HlhhLtpFIwRbIn*}yq9k{FdQofTSrn_=9 zBlaPI`JgGaM2jgz+6KskdB~*wlXX6KmMzK<s8#ZZmg4cp2I!%7jIjA`0%)OfPb+?I z59U712Mi<y8kdT6!C+*(EH8vqqq22900Ly=(!k?C@(GGV-)fz;`oosRN^{6K%sfa? z*?q&p5)#8yD=n`Y4t~OU&x#A$NFzFH=PH6<)&BD%YWwh4;1UkQS3M3znw%Ap8U8f8 z+5{7+&U{mEL$S)|aKxwGsuArCXf7e+GV3M@;2qusZl^w8%3ZUiMzZXtSSbP4%Y9^S z=}^69H2LP~kHDlVYvFZBc<9KKR|X@-17uufqvtXDjHeLvho=w27?Q=Twp4y4v@n{? zTF4%HE-DtF1)VicAHptiN*I7685h$LO(SrF$(0x`vApNV_tT{wLxnfM0@mJIz8=33 zigD9w%KZ&TRfuZNd?(@H;EIqKD;aN)@r*5T>0XRkW3!|JI)yu9hzMyB>SQ(Ke7FB} zPlZCM3bbMM1k=t!FLTId>mtONrxrC34HYU9)j?l3<FYdAS71?)X0orOBC(XkDNoF) zbUIE~9tP{tEGN$)yeMtut|=6KHs_E1P$5&QI1bzfy57tzwsQ}QATfjmGW`LRtFQ~( zWB<`Skz(T*t1uu43FMssCcQB#oldNcPAoUGk14`U0Yc;thANd{#qRK~Eq4@l^4@=V za7XT8GT|bnG0zZUE2nd)oSflQ!fli-@6|<0F%qRsFA;K-c6b$zp_Zw~?y0rpT%~Dq zcv%sOG|2fBjJ<=|IACJ`4)9arrCO2Unl;RDjc#CyjC;x!??&fN7p%=j?|ml`2D z)>7S+p2{YP{H!tZu(K48o3M$(50v`z;>?xAR+;leN)>l|d1#j1D@<vDa=_=VC;3aF zgtfzgg=R-ScLdQ&xG`)h_a*UIwWUe?(B)?<;mmFDHqq=oIBPn{6lWu(zRQ+$P4H@h z7ZqPs4l(obp0JRYtEV2?86MgVlPTs^7ku}956`spY|hMMQR%!f1miuk;Ddjcmv^S~ z9{vr~vQT63l#N^2mI;$WH|mM2&!L9n_mWrGlT9u>pKC8*h-<KnK9e1ZE-`YEtbr2| zMYxu0EGNa%usOo{KxS@UVCmrLAwVHRY<jt(xNfjO8m+pd?5L7KbueE3ACoMkCHGyG z)^r!HjI3srelsWcUZ`uPctiz%)$PkuT0?8_)^^}KezmfCQ=P3bk*cDNh}48(NrNU% z=XQ8$#Wp={`3f~=y$QYAF<h$yeHv5xOgI;c)Lsg07OZE~IFoarCi+q;lC_`*HAGN4 z4RmWNj&4^azw2dkV>zU`G!d%T-;~X5pRTdS_u?g!_q|Bj1c*WRPR8h_3Dgpel~(+q zhsGt;U?!L7{o*`L3G>usH0w$zR-!>x=@K?y-lcxM!C73(nND*y&xUuA<t=F#C?USk zTs=7Cm1dQvA4isA#b`^$zlB=0Ltwp5iRhxuJEV$_v1S^<1=@>Yq$cG#TsS7(QY<th zY_I1RA%&Ro%UKmJAxpy!lK9H}miLR{I4uUBi9tf8T`;E&l?=$t<VdEfYvR5YQlKaU zTYfZ7wSjf8G0hrBZy&$GV*Q4K1XuYyDMx8NXdwwPGJUZh**Q6*O2H?SaSz8hURIJ# zA}Yt9oTBOZkey3GmsIFu!%P{U>{p85DXodJ=O9h12+LWXG%7%vnKUx4sUG&fn7hZ| z+`@HD)Ullz+s2HY8QZpP+qP|I#<p$Sw(Xp(y>|CmUAy<G)3v**yXyNh#{2K9Q8n<~ z?|m^9)kG@`N9g4fXUf7BO(KP&NWi)C6IFyuTd*IvwVlP9tgU8NxSwTJD#r)^8XQe3 zgk-01sbJz8m8ekzD?*a!0aLDRka0(69vl{{RRhbBFIKC}sLo8tidhg_@x-O??R+$j ziysRjetoUfhadL49R=Lp*bFRe#G9R;BXTD@?oZfKGVT7f4Q$v13gvw76?5Go3A~G` z7;c}<Lf%ljhjesa5kDf#jP3MnF1Wtx&6}UZVc8TK*R1!iXFOdwj6W8=%v2Gh7m|F^ zRL_da!N-T??=49c=E{1200%LI{UutB9iDWsg)zda&do+CU0%R%e#n+W{2c@IcM&*r z&+*Tvt!56@7D+~s=#}A4I-%^|fFz}W20IdsST-?lg9U`3*c>56LtFGs2syP--l7vo z;R3RNP<+mk;CUrs<vD~4B$4<pRaaO);#&2V$VGl(5=}~cxpYaexCF~6TZ--C_*ACG z8mVOdA8K1nGtGE~Tmp|Ap^P|9ipVmd5{}jgOG$j)Jaw{0u8O54(Nal_Cq1e`DVRYP zLz#rz=1i}hS__}IA~=46UPCWKQYm+)bWV`DAZ%;_1S*Ph)UX~$ZcGlOuA-0_7gIfW zt~Am}8HF67oH%h(dVy8|nf#_YZ=f5No0LT!M6&y!df4@+w8h_8ULkBLXtvMx>S!t0 zvRb-B{U<Ft*!T1_GjJ=)!WIufD-Czt;viidAnzS!ZcbITO>n5Bpw1L0z}70Hu(CwJ zENB#3Rm{3wj!@YEUST#UwEq5xs3q1j9CmdWs!Z9Kdm0o3YG%RBq*9MXn15)hyw<%C zxfkygC8)wMv-ehJFG!{2KC=fZT~*mQqq(Ro5EtUKsSRY`P_jd!3g4SFDd^^(6wYfp z3Daw*aZb>h-Wd98NVQTt0hNv_p=n9LhB@Q++@$>jWl)f5Em`Tzl6z^DaW++yy^zSL zd!dt1x7f;~`~&6cp;dug&G@pJuhNBE5TCL-NF!{3Hb`a2o_RDSN|UlWWFstNH<G+M z$Rt@%R2%d4@4l}Wv-lXB%lAkzEU|bgCKq7AJ=sil|0}^K6KLl{XpO8<T)Xi^islFJ zP7N;_h=Pub|DL6>7aio-A_LMn5={u7F!Q^mPg8TnVS>We*cW2k@fhjsj!7<$++(3p zplmVve1V1H6(r^wk0s`V=b8#gMQrd*%#K0T-kv|r)hL!UTeXSmRXpI#qsPQQiJpCl zBMR-3R|F`qkFyl)(T7r``R&97Hd2TcC2mlpPwRz6!<eIgeVhQqQi$4X)ieTA8uhmC z`i4ltJ6+!jKN)`q>+ov4oJLqv`o|u}-^1=;)h9(nS?g#Z3rOl+Y<+s`A>k0@3VY4R zyA0TZ1NJXiZEB}c6!39EmUXvKN|<BfbqTX*mgRZVF~vk`a6B{+rG7##-v&gEml!j7 zN3mdvo?P_(iuCBTwSHyUgtfvb8pQ8r5DPst;`Ci;l3smEw-S65`whoBBdkW4bEKl= zq>e5rA~W{p-+)rc*A?RP!AP@FfhQlzG)z*OFye|-G+aB58Y_<8DX{5aA{}$GSmImD z!bH{_%@lAn0S2m3qlp~`wn)U5?9Ew_G|0~t^5f$2xriZfXisH%rz<|B+YNL1n9*o~ zQVJf!GaN0Zi*1^tx~2nrba?20*fia=soWxWd<_P6HzcX@E;`xy0{#XyB59n_)<_vm zEBTfgb}s2rosfEH@>(u5mx!8aVv6t2MYaNAjxlG(Q!d+*alWeH{_=ZBeL5b5U<Fp% zv8O2y?}(O{797zOQ!fVD;#b;nN~$yC=NFr^t?T_O0?9&HhjPrPavI8F=m9f2)&3lR zl(c9eV20VG|JWwFXi*2Qv&bjA`7`@zOrylCvTAa;G~9?t`e_;%{Yp-D^iI|d4bg_j zB*`c!B4aRlqhJV0=!ZN1qj1RtkI%;c?MYh=UcmoI<L`w0cMi)V66m=%*nM#W_?Hr} zN_<OFCb&T(Jn%<JB3{8Af^t*NEd*w2&QiZ@lGK@#y^9hNR_ZzvKCJvpeKu~HsSmO& zy|#tF!Xmj2V<t2D*|}j}Sutm%a)9G>`i&y<2}SZ3>cR*IvpR_ivN~1bb@1>J^`6wo zQKKq_j@P%OodU;ZAaxTjl#F=rtXje9okL??*=gMLL&Wc}pO(#^mnISj?+r*5eb(h> z0jq`Xvn<Vr*=St4nVq_9&8?SUl_s?SVTUK$bDSQiAk8h3$Bny&yevohHTC#`fH=)$ zxgv{8PL)DuVeezgfGVm#DplwzhsYH$#I}V>R0lwj(M`n-g<|#?I`}0z%u4D@NSxW= zW>9~EON<=Ib9N&aJTMIf0OB)ysAm%v4eIaFGk_v@{iF_~E4)?70;)+e)odFSaC8nG zxG_Af0D0_v+Xm28;K!#7evMAubTqyhstI&>;ueYqk{%Z-WKKKt(*~KE=C|_0^_Jc5 zaqzo1yh4f@>H{eVt)qGNTCNY6CPW&IitW>jpS?<_8J#e(N|=<O9#+PfCF0JTgHPBq zv9L%GQM}q=)mQQVjtnPzmhU>m{6Xae1`)!v^-E92@|(+IBK6A92~V-oDckB6O3w}p zqfi8heZp$<w=$HnJQ|Yeg9uYosm8Keqgz5?_`QmH^P_EH`PeW534wD`sY!&}@(p5t zXur51JWQIiPu0ctab3~4sGL-qw)oY$;+seq(Y!dB!ftmRWv9+MNwoS-F(;GstjyE= z@eEq=^=9Gv-nFhtcrlZLM3#mD*V)C$6lTFqqtXlOWN~h09Y>P;BKyFcKtO{E23<@l zZkpgSd~2#jVP7Ps77JeJ%akE=m54lYt~GHdx?CQ&P<h=hCgnHv#P_-a#WBFM=n(SP zB?*I{hul`GB>$4!DtU-_s}3e*4~;~?vV%<<R%Bnx^`hFj&3vAT;yL<e17FYiqT03X z{Iv+2BB*OwJsuE>8cj@jI5dGl`&05k8vehp2qeq4W>rru<j;lj>~GR!Y4n8cMXhov z5(13&&JhGw&E!%%otua<T}Q$=w6K+NE7Za9lXKRTa;s8*4O6Knquezy)ft)9U{Df@ zDih)G!a;-u>!?vV>?0F-{t)Ok*m861ySBH=?RTJHI>c(z;dqX^%99wtg`4h3sLHI* ztFe7l5KH)dB9X)p43piO$JTG$UO=|Fbl%Kd_uT=RbY!Y)?|#^L{k$sOdY2aW=iCFV zMKZAOf&r%lKJrl-*-wBs#Be&7n0}bX@b3L~IG(3-8p^d=0J33@Y!P*HUMK{U8Z7}# zTOBopfLTm#Qj?$8KTHwd6SE<w!PU47RrG6IpJSEyxpS*kag~Xe4=a;phifLZHp)$| znKQ+6jD`oSDc)i7OF{5ctY2AMDb_b(P7Zj>{v<1soA%Yln()-IlqxHQ$!KS*#u}wa zy73FW$rSHY04k4+DM;2?^o$h;Zx!!Gn%fYI{EY<58|CCPTHP;yH_8`My2-5z3sSn! z%&k?t&MzdGAC(!D9fSq-ndcGb!}P;cVm(SX^~6iYUr@eYwo!gq^l3{nl%fYDEEZ$f zujd;X$BQ#J9v2D=37xvAM^zjcvPZ7({an(MM!lQl0dhhbE=c`9^%v$5nQ6_48dO)5 z%t|ot()vWGDO|H=wJ=K!AI6O>o5gcYDxA~NAicA1t6f>CN@`8K9R3D0Z?yX>2<+GX z&bgBFw2I<GKIbpjqZ4Z=#PXPZcVuI1&=N^S$9g^UbYl92+>RkBiBsHaEs-hSJ5xI= zF*sGm7t?;+Ik6sJceI7Nbeal6cv)&XAd}mx2MSMuiM5c)5;giYUU*U$wVZk9fwg^} zw^#)?w@w2uea(zH6X36?)<be#LE|8Tzm6i#$U6D(k~IsKS&x^~KRi{+5Cg~*y0T1% z81YZA{AXzY%-kdVBQG3rzNPl<><HXjt<v8p0Kf`WfaCmAOp3Rmtpmr3Xbg+RT;U86 zOYEB-C|e>K;Jk8t19mW9iZ%tkW;BD?QeE)qGF4mqw8&$pexUr$E;WzHR>kdMOe4JC zlTl`NUKNgi4P;`hL8%B~?Xuj!bu0?E5>UF`YW*iu!A^j|&1S8y7>Y*_!eyc{9xLc7 z9rXwsGY|Uw`6XzWI!<2TFFz5;)v?AXa%-^@dx&V3Yk=2uIz>#Oyqy$;;B;d=nZ4Aw zJ#L(BZmu=WmA*}x=Yo*L=rF%?=iTJNspfosrUV%q1RiR1`QJKAiBUd?67HXcQO$Y( zObKH~LIo9)`btBZe%r&0(S+9GpXYW-ggSI2(U(M73WlVyzvg+Y6w8v?Gt8BPt%1(` z{M;@-?Y5lafoHo&tm~55Q_Po&Hz$D`J&!+qr82yK!wE=AFjgXe{3=K124*XTpS81A zr#cIijlb;i9O6?bBSz9q=Y4$zZkVhV0H*_fAWZs2#i9k_^%Dz^u|w-KWGN+f^<c4Z zKi@{8zB)@p3*=kPwV=(gKkTvS$k?2k#c)*OkHZ(7v?VX6&9o7B{oih_1+_uw@HKHa zX+E8Ba-?`9bF!tZjo_zA(dr}4K33JXc31nHh&sPE#--=FMtc``G;Qpwn%S1uGtY6R z9<ANE#a7ceuQGVAv2tFD*oZuCnu)j^9~<GnoFh@RS50_dGW<v#NBkAq1N&9YFt!<l z*8Ok<eyN6o#w+(t=hnRtNv!Pc(OHHgc^x}I#I)LV0?aZma0ZjVFm3V9Byu6O7DQ0> zFZ>A>NxWe};P^TJiv=N^te@l$<>33NFc@)Xz|8N!-jbStT+hTMS*Q#UD?H!{L(d8P zUqU(h(Tj`h%4P&IABaIgT<Vjx903MG1ZBU6&&;vQf2l&2kHjMJ^jC?N*(z`mko(mZ z1=eQi8{U-PP-E_@96BhrCY+YvHX?aSI-}qU^<S7L>Z+i?6W#`(a)lyYn9p2La@C>M zm*)*t;UrbDAc?p9oTvf2Q0IcL!z}n)2=XUq2wm-hQc=FAYAo+Qc+L!&UX;+&(iw$< ze4@%2(&LZWskA1-!kiaLcRGx>mRmH?efi%ep;>uI`LjRd%92nyMnZ2es!NKjh#Hi7 ztXa;S&<TT${6e6b7L*|<4T=-r(n~r0dYZelr(Z$^F#D8BJ3weWhi}@WEwJf!SPXIW zutGygj;+*@hAPDSqsI(321<V<3qbD0t85r#*VRg4z)*4Th+sBkO?1VEEx?SafD=Xs z`V72N!!nFgRVhyGE^d|+lMST6kjJTq)59ezkSG^}L~}xa@qAaQ$1pFxHdp2owIS3c z<r>yrje)8uq&clqLbXEc&Hv_SS*}uzYZSMwoMS;*wWhLGs61Lj4X`juHl$&$vXY?O zSCln9t-{K2P@$PnCt*`j6Eppp+k-trO~WBwS^agWE>xb%wJae|!`{%W6}3p&)h!ZF z-ej==w?_eQHiNSstC;)YDl7KvF4EQHTRzq`8|5uhc63NSb)D_m)Hd4f8<&)iwNacl znA_DNEw{94_0JP`w2GvR>e!{ND612@aKNs|DpokmJJ#j)w4`LjcI&UoP&7ocoH)fR z$}!YZsi(AB_0P#2tVO~c3Sz?%2s7+dDpuNz&{YQO2;=}-H}6|02=<-LhO9<^PKQU9 zD;~6?Sn~^&5TnlmR4P$5T0<5q*)cK!^0|uogW4QIRhCcHQ2tCJSAKI4#qEp(Y3{eO zn>TB+Hd@*P2B8Z!6445`Pjc<`?Y-PEap0tHs2#U*w2@(o0r@j+=>vnCi5iP__3LJV zpP?665x;^n2v&X4N6%WL!tX->NlaaMSg^zP?I5lC#*4kk3mOpWmjx#r@JNIt9$1_7 zi`%n8{34YUmi=0eG~5Y0Fp1IFG23Ivssk(G#e!%5sIDqcocc~+0(-sx{;+#{<HNpW z7N<H|usAnbOqdG}15_JQwoYwWb_Gk7s+3a(rMPTIxBYR{T_~~>h&Axy6en-AZ!-%e zRZ1^g(PK*@*BmI4+0^nxW(G(^5b<_h(B(kz#HFF@9%i~;L~JpCb0|1@c!dSaCd=QH z8WIscqJ08EX9B2eq+DUWpR$@QrgUa3daj=P0tYU}a0<1Ze=l{j^9tnSk)LFtm{iDQ zYU})zfNcHS%)^MsZoVByyR(z)bURttZvFexv&TC79@1X8=4K?FNLUCQq#z;u7unOX z-!rJ0t9I7jV9}@Bx4eg+HJ6L!d)N6P#fc8AjnDEPDFi4z#(VnHIGrm4X$e99ar}KM z`J@L$Uv3Ech2Q-RI(`QP5IGD02j~vkB>o&e{GVyS8Rvc5`MrTvsE~Ys@aB&bV}WO? zai1l_c@5HWE)&MpwolUHE&Ug%lM94ROULivwq9L|&%4cB{o7P`heo2RjHs_|Sv-1` zg2VSeMq%b>R>dhX>>*)3;mjJCtF-Z|H;KhoR<|2kl@xswsxgUpF=yUa)K|$6tfG<_ zJJYuD86?tcgcro)aUd^^CcNfkuhK88F>R}Lh9A^r<vZ2fS#q4CL46V?3zNyz1|@S^ zXv5@2%jRThwk@lTZEd8Pg~#8sI#~7P5K{3;(`d!@=VxsY9R1z0xb8wFz)6lZ<yeFl z*Zdhq-1mxyvD%zsspKQ3i<794`v+UvXs$&goywUmk!k2P<<L&#{eX(N&Q8?`$cEn! zm~^S4aZ@P#HGa!a?bz^kuSu^_m+TQD>-ELnk3y|A9Hv)DmKoJlZn6*T9CosEa86-N zAN$guhL?X$@g+}*HC2YnDa)KZvszly$VA<a@^3unmU&K=QShYS(!oF5@)g9o6EE06 zO=F`-EsH}X5Fl(Fq@L42Xp{DT+rAK66^B|00sKkh(GXcs0?U7Kon3bMbO{=c=-N^i z1Islv$k8L^2@pyhCJPrZNF|=O_JW9k`Hh-1UF*F-F>meURF1T%Itv6_Nl^T??~b;t z^bxMdkZN3iL7OfQP>cau8wO0@eopB<SlFQD3@$|xIKMD~LXf%Xgwm}qze<TUl=ln7 zTzJ;o5rI6S-NtGgE|#&|r85nM?JD!gZZ;2Rr3t{wYyI0LDW9=eJSqV!@cbB)5+?nm zWvadYd|4=v0f1yYftYWfSVyC)mQdX92q0vB9qX~anDS95-S&#mIus)p!Rm^O%>j$9 z=Lr6NU&P`|_qzSZ{Eap7vH!XIolHS~T=2w9oc-1$uT!I63&Cl>?{O%RBUKBou(Fh2 z;veX<`mQUX_DigjjX!fyL>+?(%lK7S!|UMi$};hspDQg{WN$PhS(U`)myuXq1CPeQ zmKLbT$pAuGv;v|`(ZG+oh)oAU+#94hjy&B<lkYK|HK?R#Sa7sMJtnFVVnX<Zi9-&c zQV$4p<8lxSkpT2a8~nLm{xgDnJG@*xJ*i`TVa_CSr;5DRO*!EEXUbVMGZu)8nD|YE zhKe^lhv)oz&coxk=I!a0Bh+><_N*R&*iL-cl-FxvO+Yn$t;Cy3sifHM&M2o!D(daC zXunObRNqB(-EDMI%&ND=ZIC3}w(7&7iUU6VtS7e%Ao7%oGh9vkiBB|DZfEgFnh;K< z#Y9hfBc3m7TRD7>%en>iWfIvO^(Bxj6vkD0-~Ii;!<#XLWuo>cRZ-m~=EVN<#3_mD zUnNa6hKvBugkzPl`qrXE02rvh)D3Zr$9PJFq_3}BHJu(7mP8@-t&7?Qd_~w)2qFlM z!nD%T#bk-7KcBZ@o&}E<mddhiTkEW%P$=%TW~1BkeTrD9)Wsv@$x%_9gQTvhoR$(d z(nzb25y8jP1GzBv63+;H_j(7^=6Af=@k9(JRq1x+vNimob|`Kh2655c3p&<U6H`Mp zg`9TU?x~oUmfOX}a<M8^p*T{9W~NM$I0M{N(OjZ_b`*X<&a5V?<D)prRMW{Ua0*f+ zcog8cjQj+N2D6ax@DPVYiOW|SvzFH*q5Dp1U$ReqX1-%y@gA<fLVkYCWRh=JJ}-C& zKCVtbN_EBVFw05XzRJN0|HEK8vL&SCClT`Qxvh<V#ov4SVX4^v0jU<qYL%s!`7x>i zI@<6&)7Bn2>oD2Yh6rcjn&1<_Q=pFwROf}Kcfp4VZWUZ4oA6_rUOBB^U}eYu1*jgo zY+bue`b<;p{U=cE`f-M<p*}PEAAoB2WNp?tT3&!D4t&dy@2C~oiI_{Jk5bm`auZyf z_4<z6{S>9nI3I-axECA9qj#u-i){(yU)<p+@r;e1Hi?;OT<rCsnG!B_AI^|>hmdzf z{$RUbudL#-_%gBxh)fM1G24{v&kjM5Ofe?14FKOmp-}#V;{gFBB_(g0bNzd+=96Sj zKU4G<Lx8IQ5sx<XMv-FSkry?=`n{5x%mFlIN@$-8cGtLQ-lv)d+#CJJ?FyMCU(E_o z9n=?o-^GvK?VH6LIhdP}&(F$%-QWMBQ?IHM!aDy$r|xp$%DLtE2TslBWH^n<M~=e5 zf8D&b4A#gUXV$GUzKQp<k$51OasAa}K4w)GymND~xn99%7UsIgT#pG8MWfPdMI)$$ zXlQ<S%fk7AFjd+0SjvoEiy$?a0KfpX_r%@RLKYQP8xCqV^&p$&C%w}>F?@=n-5qE# zZm;Y3J2jXo)!LN^u9+@m=vTok2(ZyFz_i`0`nmlDga9)!7pcgD1E-`ev+&)9*5?W9 z5dA$_`7c+_f8Xdg#)tINqdIUR$wI+Rsoy)dFlg09yIiX3%LENnNUrtwDh5zV7hDTD zBCeQXU||*{YoVJGa#VMFQm|3JVAvy+;zZOu!G2~UsZ!ox!Xp$i$~9PA-=e~NDR(IS z837rqB}|;(qRKK!9={_bbw+eTT+FgEX%drRg?unhH(>HHer1g+qev0wnjm`YI_py# zNkhdJ4Qda?ww9{C{&KJ(QJdYeO|`T!9p*IKh69e7Vzp}p+q_Y^8J-V`1goTtXWLc7 z-_=L1&MF!vJk?)cS(?Nnx-xU2oC;Ff@~uIQgLm6esLC{KomIJ6$B$}2Sb04Wzl&N0 zJw2AHbhK{=s*ZlrFQQOG{#O+-)2$>43)+PWKVx>r5MyJYZP_hkrOjRHpBvPh889JS z8Mm%H6iGOk-vDYj#JJT^9SviNGk4GiJ*X{27IrBW1`UKP{~cado{OYsGZt*AuoMKN zvI&O$XN<;v9BOkX6;f_pE3D}!7bDKHg}4zUV81ss59~;iahyufN@T0%t-2BQai}%x z#fQ=9PSlaa&7}gDM-lHmDV(v%mv!?6%08!1r>AAxysk^57*3WVm@8VHC^)3HD>R~7 z-oBUjd#a9+XHN+!#T1EEe|eMw1nlcjp7Y=0De)h9ql_HW?N4p27b#C%XT_$$tVr$Q z2V0qD1!QD)M5j_~7RdF^*UR2!TS)IRp%P?%^{F%oXc~z}k~^6>Pt{}7472uyaVH?k z@QviwV|-^>$=D6SrhBaV^&$gO59(a;<|QU%)izt3eZ<tOI<R@W^RxUHh8M#7qpk<* z_oE{L2CKJ>G-J|q{#4rz{LrNZr`T5vFBahWhg+IDnY*}Dr<4}9nY_EIPKFEA06m@Z zsJ^y<^AxUXs8d`T)xGrOv%kXbUvHdc<zra`CDNsPn~^ppq?iMEHLs_pLQ+V$^<a0^ zOA3B_ZD1%WiWHUBfZ!z`ndePhnBx4vlwK$VxY=1LAjI)$QuB$jE)Di#f3#A77k{No z7z+UXYD5=D|Ga3cP-vrIC{8bqH2Y)GmAE?YoIDb1o=yX)xWG>nQRZk9Mv}CJRO{XX z7(4vy(u;<MZbo?PSWHZ{+_O{Naz6|ZqzJIW{=|F(Q~}UeOFo2YkYDsVTqJmFcxq>k zDsrOCD0AuXbQ#-sj%a=_G|LeO<2tbtNY>d<T|Z)iet<}TsuG5_;A5mg`D)1Q=q&m- zW#q&dH)|yUc<#eUXpvg)Cw~--iXHgW#8X4uC%f$!A@aIT@Jt~@bJxqrgZRjReeq7l z$X0-X-Nhm|`yVx==#hofCI?*{mpD%71xp2aI#9*6@paT6JI7fiG8FBnbC--dOzh<M znW?_yubh(9d<9<;xiFOgQVUiZYjwKG-<L}IBI7m<6t+>?eiq7BcNT4G@eU8-ne}rt zIWGN_wlUgq9)e$|^hcy_04&h>lbx4FhlT-lBBMqhH^-*sCBzK7aPISoe9G99*mZRt z)Hu7|TJ;3L6>OPmx`!?W9Qs&cY@+V}O<E2wZjqeKB`7Hn&;O0o9`NlIzf*Yd9OPkx zvv;H`r}2vmgUQgM5gT0(+aQFERaG}N!-@c%4N@5?a)pp68Wt73*O8!zT|6IALvNs< zm!Bw=$gVaj-gf|wes5eCka8^m<BzOB0Wo?li6}8WwB&3=LYBfIiKxpWhm6IsA<QaW zq4{<bIhsO)fv(RG%Y&&L2X5YYZ4bju<l>DfGzai>1(406zN|$C(oJbgHB5&viYt{> zlX8l`$_9)zD`)_x`icV^fIQN+_<7}`c2qS9`sQrDf7fXh)85^=l=QP4&n|Vx9aL%i zoLh>HgFS~#k4MWrB}B3#k<LftA4|oW$Tpm?BTyoNbUK@H;|_;C6G485x6!Au-yv~! zQ(-xXqJwvxHl}TY_k@2<lux|`7>UzMGS5J>WQ(2$gUzX&VtWfU-?Zz(EYlO=DXXE& zV8U1Qt(-tg1(6%&tSSbIEr#TT3XmqZg$D^VX}Vy>l4YWaKN+wSp<91D&cY>&<tXcO z_i!GvzI1MY)#W+-(lbrFb0#F@29ZNPTviLFg&{t$;x}BU?apU*)Fajt9<#%u>nvt; zj4LQkL$upy8mHTZ(P}}NTHhUE(RTMUgy=8G=$MSMT#o}T);#ZvvWlw5Q@8AVP+z1E z)L6kZ;bdC(c8gf17bM`8ibBpvv*_sXW|)gZX_Vyy#*}JB9kXC8AX()X(<#(*RAH4B z+DK2Ic%}+fR7f$)?O0)Il^(hHITW5x{5gDKBq`=oA}|n_3^dnYNKA!D5=>f6HqY&- zp%20$2@YJ|&PD!Xn5z_PP@ITRia!*X*JdT4pD<#29}N;!j*HERNr<vJl{2%OSC9<H z?r2ox5WwqFP}FN^992GY4CZrmq+W`jhxPF{!y>;U()#Aux+(}tp2ML}bVbmOeRD^q zPZN5P*eRhp#-UO%wos}|c#2g{TNiSlVcmEOax$~lIKUq5utzDjSgMPE`e!MdCFt09 zvI*p~p*{diMLnNI2>QeM%NE+kliWrhP)99oEfE<N*+o0~`%qBGOl9s&b&AD{IA)7@ z&uEWeNBkNQgN7YYVQ_Fc9+^Jr!-=MAHHWOABhwU;OiV!^so9huIg^6yukPh(1u;up z3iU>>Mp#rd!?Zq?O<jYabc1sQNA^UY@UWUmtrESnIzJUJUcdzKF!#vL@T-@EJ~Ei# zVS%mNN-2scoCYYc1bX$VwHS4bI_z76B&w#{yd-+_s&%z(e)H){J9ZW2_+HZt0;MHc zy+@^3&LnL<m5udXJ{OTE5_Gq6fQROU43uUP2w6kEIs;G(1!@n9q^9-&S?hY9Nb=In z1H~0~6I6OR%>o=v7^p)zK{hf<ML?4%x1%Xv-wE4oWT`PG^u7^i148j`Wh%lUje(se zWtLV<l2#~>Fh+*N6L-__SV{bE4nl$rGX-SAvKd5YU4BrPMYP^>mKK~iwyOi+4>x#- z*`%C$oH*jE1`565E1s)-g43?K39^RZQ|cV*qxn_8gNXJOOj<}QBSXc6^rqKLHsKH) z0vv+!5l1R`ZWfi0Bn-tHd0aivp?!!B7_RWwaEzdpxDK)Fq(gS?8uI~%M6KZic5{kZ zsO!Qc4GDi_TvT%fD##6!=#1>Ue1)q6JEeVg?Obz^=sEzXozk6s!j`*>p$NICSUFUa z+I3fUdd5Q{2_R%>Hp-!_QOge7%mzsrF<YvixG0j{988IdaZ3`vw1F6+S@C@N?@e)x z61k@^sQptE^y!5G`Dl*u9z!l`Okmy#9Hs1MNh$J^pAVQi6Urp&;(;rax7ni-sn~#; zStk+)GhqP9N8AZ1jO_f)9IMM`d6hjL`APaA($SN9ourFivlG6QrYZdi@gsqGu9Yao z?g>1^2m#>|EGZ(SFbfuSD^c#gQ7{Z7DIp{<y9M=BHMl#DD?7zZlv>0a9GFfGX`{Lu zl1Hh%VBLx1M%HJ2k7dG-Xvi4Mtc)Yp9w{VoVf7FSNCAHl1iO@{D`Q1gehGuSFpu5f zyc3zm{)!g6`5ixna-%d4*+;)uC2YlC!x!E}z#n_~=uf}YQZ5%vkCivF{L9HeZ4-YY z=jWodL~1^H?Y*nuB)JV!z!f(f%THJ`VZeiXQy~d>lhW&vPm!J${_DABfun?Zdy&?N zj##M!w>m}$N!mEG%bf_k0%ya1-*elE2-2C{-&`l}Ke|0h!8Op!n!}EA134%zl8G4L zjCZtDCHvJ%OqoYMidRtbbCX=K(>seFQKs#<3tL^BIaQjil+KpK3#N5H;@I4)A>E?8 zBagID9qGUp)#mSk5q%S7O4?1+)*Q~i`u80m4AN5^WC^PB`Cs9}$DUEeSFevoHm+~Q z#4Sl=F-DX%rjDS=ARAVv3}nU$c>8IdU7mW_^`kM@k~}(HzL6B6%i=sfZ^b$c7Nr!S zOX55_ZMku)2jEVmI&@mk!@ma9jZ)p|FH!{QAR5HGd>lN!G)AlM1uEpbD5f9#Zpw@U z9JXjitKU+*)#?JlVKO}L$?gH>S=wpf&5Cf%<)Mvu$$t@9+%u-ZjjIqby4mq!SRRR6 zewYG9R=U_}&$Z%5YMU|G{>IhKJ|-an3+Y_9H_MaK+Yz5X$jm9iyv|9o)Ml$sUr?qw z2VDAn&hv*V#b)zYuo4qira^QqYmtjw8)G0JvXqu*#nZ!E#Wz?r_Ov)9fL;e5qqNd+ z78dL0JQT{Uq@F0B0vcWg=-e$(%Ym)S3%CK&+17*gdy$1wLm)Gg`VkZ<Jl;+qELJfK z6n6UtQY{HvX|j#KU`-5OTIIjH<uC4}B5S)#y?|@myj$*02+6WT-Q4__hc)Q;sP3Re zX?eo+4qTp&o*;*@I$a#LePfdv5JIdn-0M&mV(wIJUsKt+RL{tB8luZOEb4Svqzp{` zqB#M3(;71qsIREuJlLJz5yw-dG1iOc;5nAlX>IV}jy5Q~I4H?eNXd%pOAWls(Tq%A zsNN`1o9xyA$bmp;e9QUmbg4`6ht)sbz6k%??F;EtGmE<B=Q`ArEg;d7cQem8o(6U` zeiPO7_tT=wZ|(N)Ie2o6bmd>>_XBT7(Wu)^_om@J@%`-k8wipgC^BJMg<`{Nm~so} z>8b!$3TLscb*m_QNWkG(x~r%A=5fE0_w)J_@*R14!fv28mcxyy3AJ}FBCASiedb1{ ze75Ji=|(2u!%Fzuylu<+?2`8sc76b;ZSmg(bJV36AO1BC2+hYI!u;$%A<S{UyyxMI zMkm)3BJLFw*Lm4oO|o&ZGhwG^GIkF^%@>%N0tF!87IK@5!GE@DVUEzI;#cEZSpYFi zK;As$1?pxO-B1(7Saqgz+{cX6mxwg--58m_$28$AAhdcYMg+#?v@+I{MF-*GdNgsz z1ZfiY4|VAfA+rb~QNjie4)r5h1Q)DztL0Pxwub)n)b^+Vy*t?6u>Q2Op+X1ZJv}$` z=o#1G`WLi5j=I$VEtk`It)FwR+~?x9pj{<gW~p~|)_a7G)JOC7#Sl6MIy>KZIGOO& z^6G3~TTXBIu+9H%?0oN^?dpo~elw%;+16>f>b$hN#;v_J&}*&%0v|89)N*tTOoeZS zuCQp$ajm=dmzmv!*-Cq4UGDcqOFmvdzSkuyT2!a5DZaghI6k$YM*E{Dcp<|Zr_+Cp zjh83<bRHeG1J0W@D4+2ft+g)1i@;we(_d0x(_h|avp<x<Pb~Gp<mS5P3Y@|98c5J0 z^Z1vu$=Bf@Rlvtf6VmOc)jpfiet9{FA7R@0mjPCeEudndyEF&?-*v#U|MNOv8t<Pv z;3m%-g;XTVXSV7OGcWULJpW;V<3VWC;nwZjlItw^_8>Y=#)(y)F1hE<+gWEe#HZ_r zw*ZH3uNEVjPh0W>ZN~iNub#_191Ow@P{*k6|DSEZP5*2I7VTGt?xQ}KGTz-@F}?qB z7Vpq*w!N5~He*K8hLh23{en=ladZtf1akWRqW^X@#5@l%^z{}cHJ%86BHI|6?F^zA zWITemw=#e2g&3edqV9t_UHtu3?Zc!aUGj1oIQ@Nfz2eb}i;F#t$$szQB3LZecXfXo z*W|*gGj+o|wYAMp{kutvdZIQmr{F)r=W>Mq0-x*Z@AfHQUIMk9dUmOTrJXnG&?7L} zrfjW{`XCFJc|jl+%Ae(YOAd&$xzuQG)t<}5OugKmjI59l?t^<89Z6?;Y>a=&c%8Jo z?M%MX_0JmF+?-!s(SA)RA2AbZBI<WIxqC5pbashu3Cswk%5tasTs#d{GGgh3v01wC z>cihHBhKwC?&-#3wK&ZDm)&#Ne|OK>IsOaox!V68_nf;&;<ttSKJ6Cmjm|E^!c0S_ z>8N4q<mG0pDgwp~fWRIZV|2NrUIy)dSw3(4UzN|bfBiT4+~hx(&u62qaEGoI9;40w z1Ag9me@oQ#Tx*8MoQ-LPC~$>~+8weDH<`Nc^)+1{aDs71i%9F!&wprB-4@~l?AQCI z{*`rs3{J2?s0aSbC~jW=U-k1?`LzFA^z-(@AN~A8A<iTG|JBdq4*u8mbAOiq<K@7( z%PW%_|0oA;y-#a@u;M~F5@BxnlW5SgvgXZqG{B-}w`hXUQt$9TMbMWo`?38G_g2@I zp)X@fre5~f{zFOd$<!lhQwTHEKOJ-d84g@Ze(0C8Fubc$=j*9}PY;VD$?lGa%GU1d z^=t>9(2++neYVaHhmwR7C_^x}zpSV^cH^n?x-n?$fBU)X0FZdb7)kZ*NR3M)yXI@V z5@cO!tDsFnpLRRztNSAn(`Vt*gv7mJRWr*wb8)PVDib#~T?EfUCClhsTVW-@rnB{F zK1QQe4jep@pSqs1xh(j+N2}QklU4un>Y|}6{j_eyVyUb+a*F5l>v%cVSk)Df0q=FN z^}XD<(yOS};KBsqxxsAhRWO<X%(f+T#i@miRq~z62wKR$2^&XYR`DY@xW0nBCsL2~ z8{g`mNVM$aD%*l`=6qzq>3w{xIF!sM(7Ey<d)wmq(4F@XNq1b-3$S{lCKHF15ZroN z&VmHdW$%wZW*G2Z`oe^`%q!J7v?STVK)&q6-aaOZHEn0^i)Fch_+5o!B)Qrg8|*E= zq}5zQ&|Y8c>8ZLtm7LH`=phOm>$JGw=g8cF@nLuKB^%2z^7=Zz9`nk5puBKuXAezd z2QBx>z3N>|-42@=w&~5P2{^F!$Xl}KEXWx$sKX}*gxzgmly$Cz$$e@P<(ku3_!c+4 z=L<U*_*7k9p}rBAu?d4}C9EvoYHd7CGe`D34KMX=LAJu`d_dA(<<g~c6B_%L_;izI zhR=!UV#)&p5G&^*u#Echd+-1P`8Aa??&sM>KOvVaBd(9vJ%STHN36IS4=yy4`V5y} z{ohm=><aTg6b7$&AzO8k5al3(q_#jnUZEu(MmwIN<>b{y_kLdu+5zN+wsZ7?4KMJT z7qFq?M~gz_RFTZ0NAWJpPKm?f;&e+(=DQn;SaCXVLHFj4qbEcTZx|tPhai>H#R05~ zUBIlm49no$^K;t6FGX(;_>d*9vkFapbu#hI7Bueb)cEcC6dX((jHU@4XtpIBHcQ0P z$;9Fz{%VuyR`LgO^Y;(U%{%n@P#$f~p_0sX;|d^@dyPUYLSHBO3F)SyqYyeHoQ77a zsJ{CmX{g?dDrDl~VMBcMpYi6V-yFw8MCBgp1MP;NSY11ZT7TOI=ht9xNxC9B!CO@Q zeZTQdvDuJ$nAz6s2o{#9dPeMBs^9OYW%wdjPIq}KVD1#T;>K(#Iq7S=e@1BfwuFD| zz&MMl4C8hfdP%9he20L~iVEqNvWSS-{YCf14NhmFHLc?PI`MWOIYT#3chA1oOXTA| ziv<%ceIFN+@TTyIi~FjwJ!o;<##}|myA++4B6gw&iHWKsYD)O_jnAOCEzt&Z2{8Oh z>-{oS-M$6<ypN@qUOkZhgSy9|fc$K(TuKCCrRH+kWoOCG)IngA=}1Fyvp)jV7Sk>6 zABRLhB)Fccpxd}Mkhp_Bk^upN(3hfVsNu%*8CM63sXFdCj8)3p?HSBz><tK*?MYyS zkk7L1mga%b2#LnqSw*g8`7q{oWwDzM9LG%sM}zyqltk|!h43`oG`NAwPU(7+9QnA& zyvm<H&)Bw^*6GI3Po8V^`oOC<j3~XCZ*Tc?Fb?dvj`v{WbDl#HKoYk=-=?~=(@1bd z$fWTG7#VJ|pO;NNoQGaptYEvu-UOddjPb9Qdw(4n>y>NVKHY6P(^mqO*xW97Q)<hI z3z0M+YA=JAaJo@scUCmd&!4g%-fN?B!j;8%$YcNZld090J-$yw(a!f_?{CotifMfQ zopFjemqC{?nPLpy+2dJ~m$f^$CGhh0$c7(tneRgZzO(do;OjklM+k<X;~h59WR2<m zIxS-b9ivcOaC0o91z(N-OgHO0uvEafJ}eCTwg~ye?Ui?Jk!~Wxyoj136wM<+R0{qZ zX$k$Kn478Bz^{ZM8>^NXP}_sLmmq0)c~FdaDv~-1p{pfxRdw?_{Fvj8=HtE7<lD<^ zdRUe6<zz}-IyM9&gARH6I8M3782Up<Ur29$c8CFnRlnaQ%)M6&QQ3)9%eST%YSy?V zD(!JKjmBgH>?0^jXS=l*-{l-)jfl`3hWah=u|P<18@mtD7cQqF4j&Umr&k}Y8M^uo zvSsL|ldR(W>CXosO<-`KV{T7<81@!Xa~_KQK4QUe4q1Ns#xeB%EjrejDulFHGtcV$ z-!_nOxXc(Sd!V6VFIL#&llg+E9G?bX$Xfg*9*Zc1_Z4TJRb+4Yhof8D$lB!IEN-@L zPY=Gj)W|m#lR)MR-$3y*(+{J__3rvxoz^ALHlPJR#%4kzzYN=)Sm;jal><1&bt2VC zXv^OQ3A$&V4ZS0PR-hT!su2_2wv*g1`XIRH64V7B=o)!0xx-`6tx;Ch6iaqr_JC2j zUpoyh^CFyUCZC4z(@(XG{gNiSX>gG7wk~n(1Itq{UJWrJ5aj`04`_8!_Lz`RA85sY zpP%ST`qv{un-;fck)`N+1e}(5-QL}qE)o>BKdION!0{GUe1=849KsuYhu`H1Pnw%< z>;dy5qs<>i158!s`DghFTO?%i21QoumW9U=?}=*M%%yI{A3oOyB@(@WYqwrxBa}B> ztPyl2{9CWvG!37$$_W-03C^aYW@U#>$qgoG61=(LjFbk*$vv*`?ozGkjwS<V@<pyi z0384%W5XbY`AyMlGyBgCcZVw0ErV4M-t5R^`hg<m)1pXJ`GY}eljmm!ky?8Q0<rc2 zo_i*?=AA9b-M@>`;_iqVZ0$bZQtqL-!f4+MYYQ}UV4{8A-sfQ9{5swiydb{A(>KR; ztp-&Q*ASF9`fNVe6VCY}71lTVBL2bzOGgsK^!Op%wLJE9l96HTiS|_lmz3Oq9Rodu zfZz_-fMHDmE@^vb35&I3u_=H=P)-kpTTvNxgtlGX%bJYHFAw_GEcW6@32jm+p8eqr z1FV-oT$#%b`J<5h2f*<?g;5O1hA4v6Mv`pc)N+qsYAjy(Fx0{@v^}~6?$-^c^dy-j zw~<*sIY!}A7&rLv<N!whU;1?NeYa5ay3n~i35?)BqL@|^g2r85&7SzE*^{}$6~fc+ zPZE~_Alx*h+_2O;guoGOl<+|pOB&u_)d9~zEh}6u>F%J&_UV@PT<-*Y8-N3Iz_U{T z-BP|5QERo&ZU?*^&%L3Z8yq|nJ*KsK2kx{12F#JtU_<Tb!f4RzuO=|~{PKdc+M5_O zMN=mXoCQI8fx}3`awn#M;38s1b`43kCz!~hSTZrXZYDAOOofxrZGhWTeY>VfP_~Yw znY6}8D;IdZ>NPWDR#gY^7a<F_f1vQ~)h*Ere1kLf_pwJaPyIS}bT(T?k0Px{a#f3? z=SXxBVMPj+|IF*=`K@i;%%YgG0mY&2&6%c8v_`apz3BgH2MuS#w75<}b%=aWUZDDN z1+h3ntf+%T4~Do(K*+YWf}NIoE9_JUDDN|t&@DjjYf8@Phxtpml6WNA;b%$6w%6_- zctRg$YU)Nv_+k0zV!_Ln+h5x`xEM$QS#H#Q##R&LGY=t_y1^YtIz;|J8+?(udn|Y+ zF2)5}pk*-D4oB+Ak`3X3G$lTHNzoM9hflFg#KSDgAkbtC)s>wZ7(GNlFpdU$`R(-N z#9lC>dlm(E!~<glBI^nR3&;<G(xTNoO1mfn-%If3)AG9Tcrp(rPlnXQPS$hlBfVp2 ze?}myR+UZCa$B;!$9%8r>ip>}$}z^&gx7c@vaKK40i5yox3GDIH+NS*HShH1H1BV8 z+;54Ui3?jFVs~4U?)H#|4sPt~)7gz_x?{v86pX*?qZOxzEwS8z>fR8&{WUBuLa5dn zD6}4PJqMAbQnMKT9xu4kN`qPLv{gf$UB(L*vFIl`?0eHc9p3xU8pDxng(Y>&|8VR_ zaCzGdGsd#st=qCDblth^u26&p@~=m3FBchOvOS&;Y&SW2FKUggbazj=y~EzJkKH{^ z@S2W=`Kt9|K@B@y^hB_pbw(<EvW|=0#w=klYtZlT3@{^MbdEScu%f6yW*MEpT1be_ z4@ul%!Rcx0%JHna5I~<yU|HUG&JIs8-tJ8vs!yit`ExFcwCa@Anwyg$ao~Yn5Sm`T z$OTdRQ>pkp!shn1rrdvhFT16VQ}G?8V<&$NGP7e(zE<07x8c>}wmP{}ZMkTl;eCyq z#M7FnRjg569KunTmmb1l+o(}Vt%Vd2dA-9CzBiL|gl0yazAW!O`Jb;RfP+GZ9x{H_ zroDwkd^I@I;k$T6Tj>-zt7X67-D^K<g*%I<J3K(Hy<RgDh^~agD4G`}Vq4#wqljRA zGQq;#4xD|V;o5)3@WNpv_Od5*tQ^UA2^&)2F>p=VrbBG9<L6Y|5`6^YZOdzaDP9yW z;xs}cSUfsVQikN8`_I8|gAO$l&_5)n_vpSi_V^4rS_oA@%sT`P=t^pH;@>I|PzC8J zJrf%nU<av6UHD?#P05@<@rwv$eHl)ohREY7o!6<Riuveh%lo6)J1(qxFUUB%pb*QP z@-3^3b(>&Tn&c@fpeA%4nmA&*y1}2isJ%vwi5C=*Q>8H?XFf^lykQ!W+#rvi;zCY& zA-SW4oPJ&>=e`A#lopfnjo0;kOG2uL-Ij~VBAI+@`Fm#|HRMr<%N|K<w+q=E3_@4K zQFk;Xoht}`s1ERIvrLqcD-bo~B^Uo961m;mZoyZ|NR7i+N`EUOYI0`HPz^M6jjO+| zIP*6E)8H#qAzczT9~LxPPp5#MW~eoptxdQFx>-l^l@gExVAu!1-||HRlN}5<9H2L* z7Wr&HY(X8Dlgm}!@wFLp{m9|g6?X0+&%-(@JeI-~(4XUqz>k#+>%g~HN*akQ3D&ii zW^2rJr$#{<M6Z=te<s*mAGk%EXOiiGLJ9ktJ{w6f{q6rp=PVD_R9s#4u)!%<iLom+ zK5qe`R!T_TK-n?@Bf+e!eC`(~8=AlGYHcgk!=u+2IK!NSkSc@Xap_kQMD48h@NjgQ z&t4EMOsSX%1MFaOQX5p>_2Q|oWGznUt^ua?Yb<)N)UEb@y+_1AQ!~Qc5DPE<gXQHr zfc&=NLuAn>`C`Ie)(UsLoFVRYshr)Re|2V%b|d{Bcs%^ZD)C_gbIt_;G#Bwsz<9PK zvggHz9xkpDc!cR8RfTB{64+&Mq(Ega45uwxD>dM=qBaoNB$gu0n_f`%`V7+oY5Vua zOo~T)hnqm~@tC(i@gvnsHt(Q#87#dTl)3=F*+>R0=wmH^Q!;EEZD#xz0SD=%^(_Vw z^EY-Z3~A4uPd1<HmqcPrk6}<<31ayM?YlE<O?*c`JKxJ>s=cQ1Ub@q!=1j%|aT1pZ zc{5uQ%dcfUGF)$D<SN^i0?U~GJIdwVcF();bw8a}Xb`{a47Eh@hcR*PYD+oq{xfT$ z3=u5M-o|i?2cT06`FkiqUv(=N3@|!X1#(+0CZ}U~k6II&i6K!W%1xT<>-zQEaIP0C z2LdBUFWCBXAHUtl&4;&SNxIX&uRdcdZ#p*CqZpdog`<rG1?BO~W8T6n12i5nOKlOe zH<w~QR9Oj;p$M}5wi{d1hnjC)$0W7KLG3V^=G${kXrpEMHE4s9lXEPtX==)Y(TSTz zxkT1W@LC+16@pKh;iy@ZG;*@QjLrg9z(xW~N6iLia0L7IK0LK#gTTxB<q1TgDpm10 zaq6^Y?G>fC0AQou1tx5MyhhImYO{pIr`sI)La7v>1iymD$jMD`%BHT_Tt$Ub%a7Xp zLE#otT48EmzW7wZk33Fmu8aD+QsDNHA37aZp6B$oB|?OX`YBbqCQt_smAWPtduX^o zoFwPfmsA<Mx7~HH7M{={Z}$U-T^H+B`oE{gjc~d-v%QHa%Jw~?NV}4<HlVpLD)9Q5 z7fc7lbHDX$m~*QDWShr>%u#RAcX2w(XrhXWAZucOMj`KiSTVDv-$v4@v01(OS+O>F z-p1A3WZQ07Qhh-l%0%vZ9&VJUI;h}h5w?~fA(3JZ@Y8p3gj?+0GK<j#3mTEg+zLw% zyd$GAlE9aKHJ33f1I@Qgq-+{e#ng~=Y+hCXiDr-No(Hnlxk-=pTU6^-C%yEEq$Us_ zCsV`M5?Ob5y2m&C70VZqr`va+gRh~o?rcwoY-d6q0x)oKF>}2XWefSvu_(mh*-iXz zQXM+0Ok!a13>@Bm6*6;6)n|vc*ikC$DSR*{BrP3uaLd$ZU)NgA&?;jjVi^NvPZ?fD zh$-q)hd&YGj=dhX)U|g@rE2i6RWHS8kR9)t801I^p-_gkb#*RMUM$p27H;9^WQt0H z7$wpS91M^-j0-oiE$M_Jck?F+Hr~IxFDeMLsm`RuF>ewq-Q!BfHr2p`YbM>@?HY}9 zZgH~peR_`3JC3X<xv`0<L4Z~<)*!c^#_6_?4nbIfD=$u|hRd|Y0H<BNIr?iNAl34q z?D(0*&8KojR@fX{)ot0J%_3O}W?4AhD{c#&zDl^wKO0i;>~p(;@i<%Q{<)KI$)36Z ztPGFBi(AQ9Uq}*RBlYfZ$tG}Uv+F{@3S>=4=>U!c-KZqDx@h|%bAbJa`~$i1+|{jO zS!%Cplb>_P5B`F^ArK3S7iZA|Zre0tt@{Y86ep+6Tle*3Azi&*=1L@_1>5<2l_vrR zz7U(S`00x6)icTI1IK~}??0d9$-F~)m@(N6gB!>zIu%D{XWB7IEYE`#^4KtAzHFm@ znZ<Wcp6Ey|!xrd$iP@wYBhwYBzqr6gEG2)D6;_tG-A*Gp<b=%Rkjgy2NwT*5P}AAK zll9*nZ@U+7jxX<0yordcHZrtRGICbmJ3Co!?zXlpTmm-1DQKl~%QG|eM_s_ixy;;b zCpwFN2m#l--5V+)MM=qe5`dta`@S`{EW4M_FF7LuTI{QxXRuhDtiBH}dGrFjWHe0Q z(@$3w?g7T>Od{7s+2R*OZbCuRp{B6g_b|jTxBpG}MEshNvjV6R`krkHz$A3pZ}S+L z-bh<T9`1vW;a<-k2KpWEVz6BuH;OYyc6UV(=K<egza(JG)Xc*UFRM`A^<Y)~tUDdx zzN7sIv_)aO*~J+i(QWBg<V8+2t)o6*yPQ*&<L1rV#N+6zKTW#wauYB5Y1i{pM&tGU ztIz4$%Ese4)C-R?W6ye^&cXxDKAREG9!-_Xf%n#M8#E`s9ixNs+@>l7kdAt~dHT3l zTD-hbRkspkG57v~IT<>ap75z8XQX=9B|1j-|KaPLf<y^|bUn6h+qP}nwr$(CZQC}_ z*tR`$24`n???&90-H3k3j=wrPx?ifhGVA|{KV8SkKFi)OXwo)k+^;w3Y(k6~Hp+i{ zB-;;E5854%WC@eV{IYm>9D4#~^L&O7w#&V)v+9oId_%t1TC#usuH9f@{KXv1KPTPf zTNewjBwlL|?lwi}aK`s{<n!DV{f8O^mHkvM?trT089!mKAwyrN;fWi?`~F{aPpPW( z<UQXn@DfIXP%^}}WP%}!@j<nu|D<~gn~xU$LiJjXw(s7T-sZx0hSGB}Pi^q`DufHC zZYmT`a^X^`+Tq&`==rvX)4XOQ^ge;x!`)0G9MDbn4tO_}$~)ki;kkUh{jn`fghe8R zu|FTsxy~N=hvpLO#uTi{Zo2pfCVaWUSF?fz!5_B%2khz52THm3Kb1U(0v05<0Z=q8 z4>tq@XKY`n^22}gyUlE^u{7QJ-_&4d+v{{+2{c>l7|FvxoP}sebn!dgq7>;3plS)E z`jTVm%?JH^x0#Edfg&N!ZBXh|>sjjV^6v8UGS%74EbJwtgt-N<>I}GQCGFS6+L#QW zk%n*3SvDKSD$VEJ(fD_i-FR#1SzxWYeB)bxyL8`fNyk)Vblld+i3|OT>Cf)PYyFKI zI2u-Rpy9wkl3`x#t|jIobP^u{Pwfh&l{1$mKQNA!h{4PL2E3`bDpBdvCCG?pDF1ou z`9cIBJRAFyL-EW$z34SdaNn~0c2}om*aYm=S;eBx?8<~4yUAL`#I_H4owcG}(Dd?y z0J+BV&*k~S$fwEuN4r)n*iNd?Or;YEY02u}^jUN>{kR=>5DAcoD4qYqQF%Nb_j@x! zvm<s6?|D5UVuPgzlP6egzeJ>bx-DIxsZJiCTc^MU&PU2Wa3ki#9kPmL*U=Fw;r79N znKN%#gKgOSsj=614e%}O_VxmK`WR3NjHNk)jSXq&G&j(<j4Ho18@6ef<f`#um(*a$ zx-O!~_*&}rv6rz4FeL`t%QN4%Pq~K5V#^(;<&K#0<S>ED4Ti;1?Dg<n@8w+x#Fe57 z6<n)T%G66cepd_S@YIDBSrQrO0e&9m*s%n`GgO_>E1lrB(x{gnq)cPJVd@M@J`6Mb zN;Rq%sP1!qR<v~J_tCZ*TfvH_8&&N~H7giR6-*9+m@#)!I>*J<H1_L)ZmMNuYQn_0 z_sbbHCxiP~#mX)#x@QCQcY-!9U6m~4*@8B$ixY}sC4IoK<WkXCzI2dc5+nxFY0-+q z_U=wkEl5h*%`hY&tY2*4b!OR@1}Y=t2$Z^<PfS!-$U72zD#V4)wMZ*3s1b7EzB!$W zp7Gem$7Rlx&kA7Ax*LE*nHRv}FY^_Z8Ei-aUcQgdAz04tmMqLHqnN3JUz;*~T#)PT zZ+^iOPAlyKtRdJdU&gDC!@R{2j3##2XYlGw%!VzAznfMMoUL@~G`j-To*US(eFejz z7j)ahngyf7a6~In2B)7*R9Rpz17I9J<nx+Pp4<gJzJQBs*{gAt(>@fut%IE|*YGSx zWmO+2wvC8J0(D^}PGKT62Z(IfRrOR^mu4rP+9hcVe1Y@7W3FCjSb4ux@;B;@94*s5 z2pv<Sy*gV=%!sYH7pM&y)sQaWoN9G!Bi#2+CHFuHpo3yVCx|G}03PT8KC4ov-kH*M zMWqvq<S1t!R5LWMw9)t`i%fY`MNoBUo+7w*RU3St+l%p-w!Q&c_XG!X(<hf@=3JOa zeK(OnNcb%l-)^`H5`1K*xKWeo(#S@S5o9o97~7CbDy#CpHohqk09#&o4B*^lX_mx% zR05JbH$ErSkOSN_A5v`Wl^?V2E`32_-W7+^E>^{XLE)q}%z-GljrrH%@@1Hf>-SZ} zeSTAh@G(Aer0=071-N5#>TFD4Hl9Ljg9)?;w^$tJ$yBH?nS3QPF&>X%6@PP<G|wbD zWT*&)0mE5*1klCXHmYotGAkBv)t%|K2=ZzN`l?l-ZMh*(XF6Vs>u=L!hsyL9y=TDz zy}D{GeHdBz+zY5JT-bn3kX5W3T6&_1uPdIohrZuIf&x;39UgMBJ;LN12c3Pr;Ml45 zu)20SQqQVhJ8UPrRvJ*0dvTHe`iR1o-(U-8Ka!{YwKvtirul&v1CvSQWux9b;AscY z;e%o#i#(DspxE;wAM#2!>~vn`A|o7nN!>(HG*X(iNR?8obB5i{IkP5!k@ll5MI!*$ zkE>AN9dg5NhbaqM`-G2bCKjg`>7lHym+=(r2XV*IY}45F6o?IZ<t=cs7&P}W9;^oM zonvs;S9Kv5*}(zyVZd+XrrCyPIuWlntPb%tLjmZ!i$QyTE!=o5SfqQ;W6|2*DsIxP zfTp$i=YU(9?Zif76Na}DM%)w(z47tf&K@fF9cgK?Ss&j}Xo$;J!2b^rk9Xv0t(PIE ztAo^09&0-}UI~S8^&TSR%3W1cRWaOH+djPpPP#9+5QPt8?D>{a@?;4S!u6g2Vht`_ z@#3PEukZ{DA4slc%j5B7z#lN&F$|6k!eiO4xtOLLbSLY<kj=sM<0qG+ci-OJ`*Inx z(cDIjjVuf<cfPVC@RA03r$I29_Qf*UAz866Syf<)<+P+n)3xBQLYY8n`!s}XXPai3 z`x^dKt5yWu?EsvL1lC%eo0Q=-ylFsyXl6B&U2yo_gJCKUkhg=`z^@HbVUAP}6-8Jg zqyUOPfN+nOFbt}16a<l7PR87FMRAz-IcpTZDJ3tW7G4<bWX%GM=ih#{)>BMpVt4*Y z7vtP1A{pacjpu*ki2(YlU-*DW<%SDM!wguEw?P*;-l40=^-TI~5nJF~3^yC9se0%D zje-TVEEB)KL*oFWYA8HYX3twnXUSHg$Jl)F#0oVs_B;=iPn+NoA);tiMNYycG&ZCQ zIHw^<K>zqsi?~4IF@mOJMAtGm8F;7_?_j%h*<Er<6-P6x4ND>Ryz+@8o@?JC{W|1O zR@>EwclBVsy;-ksRvLa!{P>jqJ=BLawnqvV3MkEmKlyKy>>YRzqcg)m!<b>|5mYyc z*(acvH8QAzQrt^8gj>y-_UW$LGOTH+d1Aq2lqu(X6MhhX4?BHF6oxydEq=(8L65c+ z(0f|W!zhoA8^BYM;jXh4XoI#-0>G~36tC^GG`epR?#@@B$v(m<*PpXAcKeu9xxmlZ zO!qb;JJkr;Pqkz&Yaded$-DN8z4qt)c)C5;%cGajLVSaLu}>`XL;AkP#Y5hl<?L%a zn)l=59fQSk)g8`cLSd~1@MY4|VpG~tF~lyNfI!3?ud?vmD4<4TwC`?{y2$_!ie4S* zbUSmUmrEJQ4NA4787y=2*N)uoRE|>SV~sFzpzl8iE6iLpFgjOzFlVoO3Nrise40BQ zJN}#I+Q|Ri&nNIC@kZvC{dFYJmTG(ai!@5WIv3<wzkP1+CfX25XIJ87eCF~(%O79^ ztX`Sm*8>~Kd@LwZ4*#`y0XTbLLkyMc?tOW@Wfcw6d_aWia@YO9nn{iKyoW3+f|T6p zjp~Y~P<85JVI)h!7kdo%sb`@>4*+(^>kNq<;hV-Q_XI>B#_dJwk*k60hbHF7ONG=A z{e4HeI6w1|4$mKl_xk3~zB1#kd}S6r$G-c7H8q1#ZmyjG&&8~ewVt}C)UUCITLcn~ zXKmA=?snKv>4*`jh!8AJPEA+h(}gwB>g!>?=tMxm0D{97H*`8E<fpmF0g5ld=VJmJ zPC^Ae(BV@_vK4?h3A}JpHWYX`2l2(e`W{D!h?gW}tLV!^vE`xFC>3&xOUiUDKRJ~3 zz_$59-agMC_c^gFMBiFCE&1tB*a`~;X^3_)_*S9^FG%$v71c%FsvH^T(?*V^4gTT? zgHR`hQ<u9n(n3hM`Vi_m87(Run<u)teV=$?GBg-Wl=LP@#sT!+EC%wqA%u>au5eqZ zULs>?8VGWvLjX$M1}<Elix&zmukrA2b2>gri-wJM%J+#)2hOCDTSKmMBHEev7fL<_ z6WO^LUpTi@rbf3+(b~PM#irCx6iT^4iI*p$RpN<4@<S+j+=Gx(nOSy&HEpTr&}HZF z{Q0;)?p)Q4YhOXm&R$NKqLu0lQr|rkjVt^6KKB3EcLph8Nj;OeM71T~e<uvWkjGIV zSK#<ajO>T_-aR;a`6UyOTAn7Ij%EPt_;pSR9j9OhbF?^tYi;}mV5!Te;dvSpeVR9s zLTnV+TnuPk`GSSqM+Q4cB(Exi2JWJ;R(X(Xxx>GoLShgj0Iz|xPCuZDGb8<cW)Ua* za)*y!N&}@H16w-j0sD;<E|?(n6N8S6CeeF^BQQ>7+nSW4qr>~Naza8DS@;BZL}>Vw z3MhtV3n~VV{l57SMR^zsOGG(~yTM-75*6Kz3dOi>1%d?4!m%&P1-Jb0u5OeOvdd&{ z29n{myBc}x@HBDN>^?&0Q|Yky*~Ufl;`v&}3ed*PAcE=?NI~l}2f%QFMZl1^xDb9> z26soD_d9=5>{Vo2k^j{7A#w0^kwbh)v8H}quGtHAKq5LuOy1yfrx<wer-L27-2H4| zW8qtHu{X^IvwR{*Cs6PkWxfaynAC=A?1{$Mt$RwW_Upyzy>|cv|3#9lT8X}G(yb0J z+7{;nji*414{5L?*$f^^w0K*K8&P|ZcwUVYY4;%EvKBA$7__U`ZP*%xcJsaoqD7-$ zKimY>rqOE{ZG~>r=rM@4Mz?A77(`p6TQ_<LqOH?ykbDS|U8P$u@falg)s%V+l3StK zDD@g9H$k&e=+`@ty`y%d(c9f+cVs^2Zq1tbTFoANlXQG#1#%yb<h}62CQoQ(712B} zeZ8D6@Zze_0?}BEbdEJD@*Sv<nz`NiFxi@age~2fqlG`_%xOmFatujst<G|nVR!fL zYlud1`99&|{~lT=RN_jLF$$DLo|arpC()7;o8_ordd-M@MCgb;W_?>|HLvIBo!8z! zq&{Vho+=BwgUn+S&}C+1BT`AnWy=_Q)SP#-`+gWw=8cEJOXo|Aot{|zE!@`0jJx*; zD+qF(eG4jWIxT~t_QQ%2<^93%vUjS-riK+I>M+{=bHzd=Pc}6a_ZJdY&vYf>Z~+q{ zklEFKWr9G%aqaA`MDIL~r<-ZU<Ks-59jv~|&cz6l6b#~|7CZDH)iZEb?5$nZIJSC> z;I0bMdvFD{2wlg2UX?h$ZnDMdIAM~fT5Q*n0_`Qg^FSzm4DAKDWD8rm5YP%=iJnOx zxTVAON#{Tg2)?OJWkO}J+udh!Z~+pw5zFIXAW?8;Q)xfeklhaN0wW`2=)rT~N~rQ_ zZ5l^@Pts_h`}u<M2@By&`CaZ31PA=Py|PH*nAfozT|NiT84Qrkoi1vv&g+oJXixH{ zV9S~;H`#Q|N)U@&M{|i_#?xnUE712KRNMeV0CiXGZFz-P`Ebc+n%mWRs<m(2OWM^d zuNg&M#70vPHHi9aX^Gj|#|CX%JN{X7_0-(cngk~L9858pE7XORswi1@QTpQxxJ=1S zJ*k?gppOhcN_k>tnk?a?3u3%K2w~R7$W%KkI*_Vhe^kgf>2IUOK86KVk!YF8p0Sz3 z{8!{ES(lJ^Ukc?<Lp@-;J<wKYRzzbOG%oPRf+GXzw%AMql_`^+4mD$NQ<Qi9X)ei! ze%7Q3wCR0uspj@~3EiLl6r)r+T;Os1dKvN2Z;)i>=)#QHsf{l`>rw6DmAlY;C0Yyk z_UNW?Qbs-bBY>)RGx+))ytK#7;l{tfy5G1j4@=hvXP(r_yk9=-nKuV82&jvb{zbfB zJj)x`2iI4l(K3f;>cc?}vo=WP%%V}hPjXaBBx^+Z>XZ-{2wh;UXsUJ0-1GMdnf9<3 zatw!*hRyQ0w<sTQbt~#4i`tC*4py;-cKrfecCi2CM#1paiR_AP-c<oF3qAO3UPUm@ zdkYHqiw2#4XJ^h66!*vk(|L5PV%>yH`&dlT<?jzE*htpo^2uCBBgzxu*ooY=l&Bq# zasAUdhD6(g(;#}u<r_`V^H)~N&g|_6GJY5Cr{&T|Xdh5qU*cu1+)-_P`mhg9qCqjk z0uavw&yI00jR6HX{TPs2ilm^4aor3~qNwa=kGVxc=+HS5{)FHq_z{N*vCL`lVok~9 z!w>lt(^jb+S=15PYOyE%VEdIR%dgcjAuP}$WfPOJ*w&}L#3KlOV|pUbDtHHRh;9IL zFL5p;rjIyR^qbCU`|C!YI!r=^E=i=(_YRlQ#7?aNQt$E>Ib9XNLvK7DOT~*NK>&VA zyN1VRd&H?d;_Mz#7CG@V+Tt&!>_c0`8E^3`9NFpp>6wJ|7^379Qnd)OY6nF-1G39S zm5+W6IM6k>!~F&jWuV`jC|c;KleHIro_zX2q&t7T>=Y$hdp%Tt2whh#NIdZ9fdgUc zwJQ%OhQtJ*Og8Y>wE*Bs(H+~~b;#gZ6Og-k8ayHc5Fv5}5{A-EgOVR~irs>HxFGjg z@M2P<Pq7rH*lmfrrG1l<K`__{!Iep1*&D1#j~|rCrDzshi5*x%`)4fr;}7buZyqSx zUorze124>gi}EK(?^Ke#25m@C4-jE+X}TEj;JnJ?;KF>483Nd_h_J`RAUyr!V50;U z1$l|UAT%<;K<vLd0xlsVjw37tBPw+Rw03+9BO{5MmIfu1FkP`T;G*=F1dOmyj6k6J zOcna3bO1=9!cxEjQ2-1Eq|Zd$=m3#Pix*`80?@{1gPo|8;G#(;&&mPk<wN^8cE>@* z*(5l@C>&sw4jkeTANvxA({}Wjadsx17Ed_<*9s)~Ad)_bWi7>A+z^1gJJX<I{FXw0 zDY2UKD;R?5FHVC=Bn@Abm(BPUt-<td=0GP25+#)_m?TOg-=MVkB$9r^!byTuvR!NM zP6EWrz-*l)Eez`~=kkXf1(G^)p{V_)p^+Dn$@ZqGI9PP!N!v!Ms)TxRM#n^iB^eIJ z#SmwDo5#ULxtwFSO_KH{GS0WCNH}9l(#p_CYc^NDX~^-XCz{hM#toUYKc|{fxo2M> zw^EhA@`FJ`^b!P0>6M{nm6it*^C-*SVonJ&Po|+bE9ubumAm`$PIRW#BFxYhH*IR} z)qW`#^)@@|hFX~(qW@1g6KQ@d_>3ZH)K>1Un>dke;m+&j!J-0WIOSp=#9c=FKMSlU ziSkmjX4Jpr*-vA;yL!U9E!#1&v}vlBYtvw4(@uY+CCiE=X=gi>$(vT4B87b$H?Ui4 z!ADgJg{UPS8796srsWylV&oBis(Y@AckXJ$Wn$k1h)E1p+;YfeV#M^k&c}Z4nI(kQ z<(pPgLdw=t&OzlORn*DK6qX!GFd|%e(^Y5L+BBnSCEv{*0v>Ps3^$rYUrlB6_%Yt7 zcsFWTznKqm?6WR+VBk;f+;(pLk2~(kZYL5H-OKhBFwjTjcO+~xux0>b{2SkP{?Oa! zuYERP;>yQLS{JsG8q&<$jr9r76O9Qx+_-Yxw}a=?ebYYl3zd_*h(4e<5=-hfE?v2n z=z}Q4@E)KOp)kHX=aZ5Vk{_pET&m?n-;8**N4p9%zs}E%4W@rb^UK3$@^SZmy}VoG zr(oBv;{Wlhc6D$R;M2{)NtRP4KrfRSrpDl^*j+t1W3rM*S<KBOl2?qbVuNs~^5W;% zuqMdPtw3H1z&ECc*1vkjkQ##OhlT3jX)<f7l+o>-*Kp;`ovv$xcc!mEhLW`eXHG{x zH&x*qze2Yzqj1YyP$G}TY4jm=Hm#ac@HC=|y0vhwt07NQEz61|%y9!2`co%xe=5}1 zEZ+51ushji$d;aFbo)z--)V_8HZINhY${c;78bgyTE(bRG7qL|v98L1yqmUdWn%xS zwaU_|w2i?sitX{&hf33i9_~<`k+Mb6htu)}oF=2#m4a37A6F|{q(bV}pk#jqrhgga zPVdJg1L#SRmFE0&Qx#s_qcM;al}Q==?wSTYl0f|V!}~q;cLO&uuHQYCv@?9uPh-ki zT6KyQW(t1b-P(Aq$KEDulO1MPHnb#~E>gp@D(eiYwi5^;Ms=yP&l*V4{5<tA@#1PN z3K-)<;GTSgELANOrgxfdeQuY=c58w5Trt1S>X;r31$*v~*gJ}NC($$Z`3)%-DH|hW zxhts-J8JByZ0R2DJ0qZT=&3A;0hbW%00@k@>-e9ntfIY*=EAPd$fJsORq1Y}dh5cx z6;6jXP*B;KZjA#^bbAq*9u3U21<vfwWfQB_)7d-&9;Ml!kj4V{X7!R3{39|ce5Bq# z6$Eh)1V~8%+CDF`jD>%ZiN#J0H$TE2B;G>Y{*}hnloqo_dHMu~#(d;_gIz5rJ+#Jv z{_GKC8EzZ1nb%z>K%2-&S^mO62;gN<IE9~jLpe&2TMnSghNG5|EnTRA%Lo{+;nb38 zy<@D<IHH?^-cmXdE~I6HH|g?=#g%vJyxrT4smSQMuaXlL`WMrk--}oK88vX$t>#D> zfP*B%yx84H%th)XJ_I^dUAxp$C392a1?gCw465Kg()GzpA5VZLT|y|=eCDU|0TG<+ zXl5gY{F_sX*I<C;rG5YXI>C`~7o=B9IkPI0H!oJ`7E>7m=gq`cdO@qS?cx(1WS!;5 z@#)1pXw|7z3$l~yGgs+AMq0N1J9!%2NH=cB6+{9oB1-Rne^?Ta$Nkcb*yw<r!+Tzf zfLLeY$!r0rzn1ptvT=r_IeLU?m<E+Pn<)R{N63!6W)m!}qb5_s?1KF<;o7qXII??J zVQcdr;acDA=mqulGNch0%5XEQryTrKw;!gxa+fu0mT9Q;;*nA3#4xC;PV&&`da~NF zi?Ja9RVMr0bLY1Q$>z#Zs~v}x{^0TlL4p^Xtjh&h`^h_g6PvI|3njHmc$TYFY3HUK z&i1kasjDk8#1im5+`MiPgXz2{sM;addZA6FF;AVydB)rWlz9{!7+9$OKbOay!?=Ez z$N%l^cE7dT5<?Gvw)2A)-BzCH+hn5a-c0a5lE%vWYD-(Tk!KhGL9%U56rq(IeX;xW z$$!)C!w5i60HIJQGa;wW<&7l`8kjLKW59qhD|^S@vA2$Dy19d8?QQE6O$1E^1a@HY zqi`G&MW>4LhRfS;YNE9P5#f4KH}6U?2J>IprQla7OZGs&2X5!oRG|t^l(lJ%9aRJ? z{Rv>inb9$N4kfXOmJmp-p%==oA-v5l&xR}&-6aeepqH5eUv|VfYOJ9G;Hb&DXQsG> z9Y7bYD3KU%Kwf+WiC6;&y$d6HoWeYt<p&a9;U~l3x%I|CsC2^^VLMhFmI?wkEDGn( z<QwP?u)76&op9SEt@+_;PQd{`_Zj#BA{-rQ3s9)_wz)H2+QsK#+VlzA-jsh0-zzhl z5gdz+XYcmIexy^R>o+WY+{#}4u`gio=pEfN)V@}7NRGHEV}LgDon3_3f(D*>unqOe z#u_I47y}SdGdJQlqXO4I2!y@WP9Jo5OHna38ysUJ(o0}RR^9+3Mn6Jezu@!T_Ob2V z{c7p&>;-%Uyv@noE^yZ$E*3Vv{}^-#vx~$WRL@*+x6m#Fd$b+Dk$v_uR^EUMfPT4* z>x%vGbmj&T0vXcYdLX1g17JZ9AYPCvy-A`U4NRgc)!%^ev6nO>jN{mY7tdp?ilFGI zU6<dlW|_YC`+Ae$m)iUrW#4HG39r^BAwGLyg5TIwOr_#+UUtzg&zL&GP&nVHkmPJ^ zAdf#-Z1eWOE%iZYTh7$RCjkPsl?$&CfU7!vOzN)!LmJicBz`Ihv-=HuI(fA=^7ZQO zG82qzyBA~vzd8p8fyYyr4Ow83?N_Rgky$oUG^`!?-jouINAVFP_Kuwp=rWN@&&f1q z<0-N_7($D9i{&#XW=ezs_L0cIynoRTQbq4T3;uJBv^m0r0=*fEKXE9*uAN;UDPxm_ zt8QxJp~2&B{8_hCJJJS>IC$K<_aok6x^%GOFAzcx3@8FzZ{fqr*oSYTvvBSLwqRYO zbtEqh&M<^+?Gyf`VGastP>P}RTnEPqK+rKz2=Xx8D&77%ven5twtDV3&q{V1XU(;G z!$;K5k1%X`H--oMt*>*Xf10P2`x7TVmI375vl}Ca<^xS|T+CriglBFPX0*zUTJMfD zt=q7w2&u^D+v28@kRijoNu-oAT@RT3<U>#KiCvT0gi0LVkAqm~HL_*9&cty#5ikQc z7>7gDdT{UXImlRc9RD6CY!;3B0o<lwv=^)j=jj0W)=}ivvE~iEMP|yHgK*`FJsf@( z+&vuhO`z&mg4sj5Ze9j>|CW0JKEtPC2cLC2w3l3l&)}`n1r1v`y2Y;{K3SFn7tJml z?=qaYIY@f#^T?YuRPYV(bsZk>AuP<jJ^0-S$8$Jhy!CorKx-o@Brkmau`WR@qR%rr z^wMm~mm(NG+`UM*vAJh}BaG?OFn_^olr-yJu2FFmN1(wCBu2WsH5u^E>KCEQvJO1I zhW-!xlp(+Hh<?Nl_gYb1?H+6+>tnblXyqiHUts~-2fnRbFq`c=c5bQ~aCyshOk}&^ zlBO{7#*mgR8-{v<9W$1Dcu0ct6(dzI9k{}e0<l2Kn>3)T<)up`?#Z9R)|T`f0S-q1 zv;^|za-S0KGaM;wzy*A4nMT=enBNbcKW&lN3_c&T;DtUtL|JheWQ2hgFyIiGA*7jO zU?B$vP;g+D+>C)$&70iCtMx-KEtVhAGcQEeL@ma~_+7re2Nl!##(`hb^@uG|lqYs; zg7lxS4fVS`^bd5v$1cNH8N6_JZ3wj4{;O0CxuUWEhJYcyhyR63Pm^dIG(%&fK(|4{ zc-{>-7)6ih0I`kOPnPVLq^t5{EPuRhjYj3}`%-?>`8P)5ej;>LMN2Ufnj0v~xU&!h z;J=^F#8tw5qX>FtI!*Jo*W~6TI19opS8t%^<z1LlEC1$*Pw^Ncgk63kk$lT!F6y@< zvB_>roYgg}wg2zP$FD^9uVu4tTsWmgV>QJL;qd_ev^nmc>9?l3_b&xT-JV3Y-#w-S zC)+)aN;`>>M5#Ll-`vhD;$%+C0HK-!N|ljb>1Ib{Y#{_0U=kw%Gq_w=9%o|Aiihxl znOncd#Y2Y2-X<fV7Z*_J=^w0NWy1^oHV(c*+{u6_gE5kaWnslde>0uw@!o3OH@8{L zhQnNMc5El(;9_7|aDox67B;*q5#}&#)`9<1eBc=}#rsZwG{+c;9()VOwRUzsGt`?o z996-7Kygw`>*C`1GW~K9f9EBkobng$M_#x}d6|=2_;{2qNPA_CKOg4|EMP=u_=|(g zCWs-)g2$P+pxXFw5^D}DBSv6(5u!&a?Skl&EsM}_T)<h*J7dw|bZl%PW)_E}B>P}i z{eA`24PTBx=6XS_?q;4<hRN)k5i^ZB*o?)0XfB_x-XM$c9w<Pbl(K)QJ??gP&^+eP z1xWwPn(;96TL3v0cT`{TYJ%35GPbuAYOk7ASI|`N=LXvkV(t+xHp`ZfKdPIrtzRQS zNEnCb50=lCaMhohHRjzbFAS(I%Hg{}e(+(DVuE;iH!ax!x~L$P5#C5Y{aCuLEY1W~ z)T0GYcL>sY9(`JzeD@-*i57>UT1J8>Xd^y-5H`kdU%z|m-PAa<VH``X4G_adR#S`J zE<Y64i~UdkIUD<YUjhF>)-XvCoHMgM;W$Bq&(MaoeJIIiY5!IEUKltJ;}G<o_`CAJ z5&`WLo6pK)`W^PRGsA~tuN(8F3F~|b3e;&bROvofKR*O~Dk*D<^=cn-0pNk*D>o4A z!I&}g(ft-82E|PEj2j4Sn0&}!z3lZ2gGWxf6qpQb_J6v?np_?DF%n?X6*YYtRC<DY zwA<~#4e~>`$r3@=xT7aBRLT`B2(q;<06u3K|5C;i)34MPdgZ#^onPjwZwb!(r9S-` zXy)^du|m{a<rpMp#fOHed%gf{;CiO7t`RR?K!QmQ)9{NjjU&KtjV$D42)hku`<=tm zoqP0<Ui`5rK6z%=lE`ROibm#R4~luF8kh5&yVNTKWSyVs>an!2p7~gKh{fNszCv0X z&bPfCG>OIkdBy!So^K~>#N&LcJ3q&lp6+76<>KtDIl07(p5n#DIJ@Yd9S5O2$CaM^ zn4Na$#ob~*?hH2pk)hQXa*A0@#REh`@8%o_=BLYM>~&WK9P^E2@K;LD%{IomH=K>R zSPfyxMnjn#>xxlyiGuj=YPmCeVWxb#^_A3bG}TaLXdZ<B#2#dQSEdJBvU;WpTJ6K$ zk{9=VF1$fEoMA}pwkV{A@gwYhAhX>rIiKPbPi`TUh~Q$v{*g-92J941W~WpsJ&8Ay z8%Ry|r}2CeQbqNzsWg6RtjzLf@MIQNU9n)mram1%wxA5;jHQ#Iry!2S*}q5xP0WYu z5qc;Jtg2y6@u%<v7Ex{7KKh-_hm+3L4-S;L2yTVOJm7diG)FI1x6bT5TV8OyBw$4% z&Uu>T&hu(dF=)aGi><2abt3Ia#tC}1XNwpa<^>+p1oloaO0$enX6O=X%mNjyIK>9j zO3n9n40pf;FOiwY%Y@V09aUgKvh>Ea3<x}}!&AC|v<vw&IN@#!<vrl56F+%gBkAnF z6QC4tCk8f$T_&{R#64DlP}JLw3JW9s3opG!U&xQY$qSLI328Doay=tANgL;tzvye! zWkP3t_kA|x;gdIe^6=djW@kqG4QKwmLqN%(1jMg1L?0<fbNalRJ~*y_Bt9dBTCjs| zJRe#3o8aT&e@(r=m3)_t6ZKP8iiqMIeiyr=o9hCYi>KBMkx6cf&BU_Cw+Jvawf2ol zciBgjQ<7KgJf$kg*(i=vuElttzeX426f`}k3voXD5Xg0+jlXr|OLFELSlGbNvaDE1 zD=QqzO281`kB{QyGMY`Cf<DS&kqaJ}W-l8<Su^#i$W0s!)dr_xza894Bggk8m+2i< zm+8UXx#%t)-d^xnLF`Uy;hlN43J0}=fF?pA2tJgt&;_ZklIFE|c~lS@oe}*eBoyo{ zB#Pm9Xk&|0jC~j?pXrc^*`{Pm;cO-`|8pK9>r6yynT2%ceEyZ5MV3JTVqfxrh=B#k zC|{`j94K5om&YMNh&n~^qE@1atO4Y>jCRz|V~!gO=DnT>-&%N*K?1@Qn`<yCC$lXS zL*f1hiG)jyHI&yb5t-S-w#yESwo_DFI|TnJ=RRThZ9hUBu5<!tu)Y*ASz8`mNM`B| zNiH3z^tuR`j53U*7O}S~QB1Z$n_g(4gohW=N<oL#ts~V|6v%1;iHQIfECXLTP?Rt( z2-inx8!eNMau12wm-W;`HjcHhl@o%P(-R`exYd*r<zuOrETd`hA`itGX$MPtH}6P> zVGCzn(LK$Ys=B6fo1f=K(OGi~Fm_0VL&fN&{Ue_|*S@JON^A}&**yEuw`xAegbuMM zsEiHr?@>dwLE*d9>jx%zyCV==XL;Kv5s;EP7Z!GMCL<D;<OJr;nhY;zeK{K5np0fS z+vxIe?M2iX(Po~o044m(G-*MOy)yKC0cZIYCJ2nL>^m$YWNFUf?^lVFoE$BWrhF*O zwYemNEiFkN)d{Uf=ScFCvz5ZAE&_04z97%GmkmdJ_dD!TCWhW74mlvaJ~QCSb`=(g zT*M(469|Vz?tcHaBLPfr|1ENCj6o_#ul2S7>Y^7ei|FzcTJ-0dZ&e~<Aac2$E`o0} zeF>f|SGwOc_ud4=N956}MCd0&cQ%S$jm97~B)xi`0AU!+G`ISE1WQzM;b<fi(jCNC zw*U}2J|tOELbPZP6(*xBV+e~V#{7tOkpys(0H&VMebSvb!VRgq=V8%mRbYa<ckZra zOQOormV_*=5q2hdN_8UK$rR5q(7ZrHK8bxvr#Ldl6SRZQNkpd=6&L-fm3|0@ZPb@X znZe|#0r|<MJ`DGVL_L@XIA@~X5NaY@s(`)c?KjS#zn8Eq_&-sfOtuEGGC@)PPVy52 zijzZq7~zir;a)v?a6B^u2+ll`htDqfb7v7kwJYZ$gg5pPE0t%-Cp8@t1xy%eo&mJ* z=V|ASGl*21Aa!0eD$YG!eExjW%t1Q;sfH*ultl?68r>wt)6o#EAD8_M_Zc0!e<<qo zmk8|GiJ|XK51*FuJWaXGl+yfDHR#SsoZzvfcr4}h&$KRMJm7)pT{AovRCh&&2Ktn> zc@E^Biv{%>RYAe}wc0UK8Dj+mK^B05bo6o%ieK8G51d{DQRG=A=-lF>db=8l8zViA zuJ_a>!^iwW>vWyOK#$bII8qphSfAJ%(Agwp>Ya>(Ggfk8Y6CU%9{izcYF>t8$?%!H zqAh5M9yYfHK|z8|sGuZn<);H(tzZ!-XfoKFtOtz2=DV439=D_*l&2oorqW0QhM+{r zLrd-$L`svC9K4Y74-w3;u=mt_fHEc#@oCmT?ZfI#Au5{uX0J#^>JP7>yeVg30P{T9 zNfoe66lCjX<EVdyc$7?YGw_=lD-Tc?2Nqt>3SB-x?^bIHBkLr!Y@SCkW;u3Svk1lt zAX->FTd*>^+Tb1cF68~v1z?&|5NimeH&_#WCurH3f+deVn5}@+T>!PytLWyF9nPqP z)FUoY&;4q~fiUN@Cb|T|eQA+&Q_J*F<5tR3SO~!E$ht`^&m-jduk<^WY9^B#vIq)2 z79VO;1AGWfPw(eO$O6bAywt9wRZ?9&bN`e?;U~MB@R&VLFt#v+3r5Oh*)TrZoek+0 z$VV$Mz<PoL|AZjCbtYr4OR8i?#XVdC?P!j)u$7j#f>H696@8AkRKobrw@w}<xq*L} z@sZsP;4w)m3;$&U0oT=^Ol#as${VJ#&^oYVG$tS4kaM-{>|3BHLu4d|S%>(O71poN z6x|jgU_7#eG&bNOi&Wg44>+&tBM^>t`qWlrIj(~NWg_bgBmTU~(s%5LJkS)Zt~@vE z;DB7^A0aO9#5pdIDR1HAbAzw@q>top)Xe;`GYx11cPF5Ta7tLJVJP9v+=Pos39-9T zOn89bl`cmU1gOJK){th+rC%dRSCA((lA>>>Kc+dPJk5uOB05vK%CJ<0w$npxFk4Oe zXsuVAwU7?-D3cu)ObN~#SgID#s-#?6o2r!@orlpn4j4iypdi-}d00T!+NgVOP)^q0 zXnX0<P88CPKR|7pG)kvk!ui5!3yK<a0`zgKqmz^K62JRH0VVdQs9O3k9^}T=b5mVX zfxXb!-@!YbmQK?p+)2d4TR<r*eiF7c-2o&y{l|;&Ieo93-TDRNo4HLtK_^d4@$Yz` zg4t9gc}6@!;-(&*OPpS{q_cW7j(3M1zA#m&=IgAotjk6@M9ne#ENuiQV9ijo1)i3! z_bH4_WoObRGhLXfFdp^#YR4RbbDdAgsZ8>@m=Gewq<xTf10rY$Q+ebG-z0Qd);X8} z;&j;;SYxR=B{tB6AK%BdW%8K3oF`3?zV2>;^@S^!|I<Tv6FviT5aW-5@@dJ7hc3k) zAx|Aa;5Cv5ofB#ooxjdvkoL9FKR0zYC`IJZ1ayP&wUgRTHB@JKN+vAmW)xE$Vz>MZ zR8~&aCIsp!ZOK}z8KG41i0$*&8OSb1u>8Rlr1lsLp7e61OI1&v1BcYc5y^dw0J@P3 zlsZChgY-)bg|>i-x}AOShLSzyQDev_P|KqGeW2B7FF51U)tJ@j9|(kG0q=<#eCUHd zp5(H;;gU+~L`i1wx2KJX<}%_Q!`Dn7?hJ2<;K@J1y9k8djB#CmN`4X4#-1O_0cyk( z4|uz-2ZXhtuDxZ0O3t`if(h2fF_1%@8HE>0f2|pFX-uI+J8cbA$FGXbxxWGQ2)m9; zJOrZkzNcMmo*m*-H4+XGH0cUO9ZrSI^MiYGl(a2;Q=5`8jt_FI`^*EGXX%a5wPEK~ z=>CeQ-_d&w;nwKU8-Z^nMBzqGREPGYN(X_x+9Wwr$}NEoeuGNL3r`6|Gt{hNUJNRP zlUs2r`WoI+dX810Imy=%no5Y7fCrO^z0&+sLECXZ*QX1r%GS@6QLhe7(4%?{BlM+~ z^CH}!=b$cBD5(yrRuYY9Nh!o8gfM?ecA%X>vY-pY6erShjf|3>DvE6gL3Re4rujH1 z%p9vFlUP?A@RTUdix(wq-!bW9bw;FR84H<CWvy%o8g)o=8WiOa1Uj1hVm&>)(pR(! zCAqgcW(g+bz7a8E?0hFni_>aGz-oV-G_6Mc<8ixTjp2@WO-6w1p(S*sq;)n5_QP@# z+*r-Zri!W{e2pDq17K|IU@tr4`K+B6W<B-ERn5wON{39#b};yimvq)iQ}l$oD;289 zv>2jBFS5hRYAIGV{7N~+oLG6&B>987@L{RqYxG+blAN662oDuqbrdc*iAqrNbaxNN zaS-A_G|howCIOzT53s}-LU+2~OW}XFPgv?s7!v}@m!p|y5<^2s-==m>JmB8u9e%KQ z__*H=99Eo;X11UDT*X#ZBBB!zy;g6B#nKZOBKpEWCex+$$T)Dc$Z<r_+cnKC>k;l% zv>rLKBH%IO+dTeKIv(EN@9N3slJ)$xyVsNEuw?peQT>*P0HB{)Y&S5+K6qu0wRHCM zdoJ^l5DY$^C{!@!Jvt&BQz`hSH8>XW1G3X2msR1dglIV-hQ&hAg5;MvuZEj7636Ns z=zCZp?Brmmpp#c@Jr0J$8nBT0!>8<Xbp|ZCIy>>cEv%<V`U_r7X&=O%^_ajMTXJIx zq7C-NYG+AY)zMoJl;%4x0CaaP4wBaN7*WCtv51iBK?xLt8;({PhI-_1P?bthnHdku znI3cs%=UQLhSN9}qSxc9(bP6tc9Z5iEC4jM2r999E$PR2tU*BXHSRojGuhq|<OGT6 zJqmZTE?T%HvPc-`uo|`459UsX>B$BUA!$*F%U6d=Cd$)_67-M!OCf$8xEWK3FJGLB zIOi7qPmn!c55<J@`Hmuqz=WS7@E({F8>NLP8ZjKp=>Z~I#Hi&sw$f}T-V2%;BoZhV zWbu|zsEY`lyH%pEIIU-P*#=B>a=>Gv#}JEvH5(500WqnLM$vf^TXdE{9C47^INC>o z^SM1U`o$rF1e~_gn=HDtT<Lfxein^cU#Mh)w?YJr`@n}*ezrm?jzhX44t=`-GF4`E z<{@DQrQ>8ns49sV2$_R2)*|ivfq_&SQkM&1_d%n0gbb{{T6otbx~M;T!l!)VEZ!o~ z2~?8GBxECry6LCLkh@W!?b^d#mkH0Ouq0HfPuLbzE^oYf?`&IkLdfkW7F3r>z=tv9 zDc7DFfe;A7Tvr>S2}sZhs38jo<z`D;Z_!Q{1w&5+O^S36vmFRr|5*zMP_5_T^zd0I zo>G5&r@l*VqL*DYv8h>TGwD!p0Q$os+OBqQa3Vy93wZcR#{6w=z~&_6qYSCNT>sqD z#|jDRA+TDi57-m+G%qux&l~&#`1JP3I2|6}2E1Nhq`I_J6Gcxig5``Gle{xEg2lLj zP<hD6omP~U+;!68aWtw+%5#xOWv~#vD62EO@Uv6*l`4%B)69UfU0EAOx{`VWF|Etr z(m=w>5T+TCmq{!bGvyKUuk{_B`GM>aHM&S2LEi@uljL9Cq)b-m`Ssjzht^r9TvOpu zbRoqlK=eWkvh!G(Q*&v`%)~zr3q8$b$Pz4udqs_ggQ0ze`^&7|)usiHK9U@hdSnw8 zZn+Y7k2VUtyo{zwEHR7059eU;|GH_APYGy1lI!%OMsbD(Mws|oaLYb(_{%yvbhzL_ zaF=}l791AYT!28hC_qRwk;~(A78e*(C4?-aprW{nXjisOuoK(^l6YHSm9&#I(m#~7 zBTgv!G%5h8EsIV4JbL}}J0892swK*^_k3?jUwN=jy_Z63XAtvi9mV=UI_&m9+lZeY zdPhF(A*Jdj9r_-}-xg?nWLh~#TKw3>kbj%>2YGO}ROZj~LBouTG{oi=D%~V><TNu! z)bF~~hiqM_UZEwK7Phd?FLrDA#UKD|h+FnWpfNXoQzQmzp_f{X{cF7?<E%mZa0X3! z;mWkbvaWE_6spNj3jt`|lGy7}ykGU{qmiP@3aUdrJAN(S``Xw<vQ&@y_(OhF+*a-v zgt^FOuXh;o^$@<S8V^J!1mzAnldqwIcxu$yr#eB7CxZUQuk#ik&&?|YR5u<_;uLrk z-azH;5}cP7Lm+N<P0OQ!Hw+!VUNK*_Wy-bsjCY4CUUB7J>R+OFA*aBdbtN-%-V{!W z7;uW2FUvlK0?mGbs7=dI8+8?wo#(0Q7zgEFvS83Ol%LZ0?$TzCx*K))a;y>)66R^; zhL859O6#>>c@n*WSSiD)zaX}s@_Y*mZ~1TB?8!mqzRYxD)J90}L>eb8ntHF>I26!h z{ZbZMLU@05mX_dAluxpD(Iip6K{d?}d|_{np7UvBH9B?ZBzlxup9UpRV*-_XQ50Rw zNqgZ@DilRSQk`wk=OcV(t|KGo(qazgr{A<o-4yc`a!!RS1O!dgmglUo(8n_CBkykg zDAE%gmBQ)WrWBEEvIqw`8zb3<-|_mb^5zs+LZ^YZHjP0bc+p%l-I2sJr`J0JRcFew zhhya)sP0ggI*eV3Tb8z5Ux^PX2B&MB8ZvPuLTJ#{C`O0*QJ5!Hf%A4U@yhGL+o{jl zJyeBKrCnOBHtP)6=$&m?utk6nPuC@o^v#PL|3NP5UrE)i#Eo*E+Zob#!(UX_PIDM- zOfvQ7jbx$j38r)E!QB1<zD|WDuTEN<PT0_|(Au_IuelYqA0X(;TU6@mRR2a@NS@Ft zmrfxoBy$43ZW9`(Nh9CL?Mcy)NQy^pfQ}wkwgL@<{M~;ZR$n1sXyT!tXnwBDPM$H5 zc?bXq+O$x*h^J~Een7vKI5>vqbEe$8I*J4WP8wjPs#*^%p2ZA5A)KXTl{w_GRCWZ3 zQKMIcrVPoo<8Q30KPFD<hQ0TiLKLPwL66{qgRMMXk^dr(PCJ6+?1sYC7ov%Jdn}8n zT=SoAh4q6V)f^#yLJCi=T9T4lCb?>v#x$FFZn@&@aK+Qj0R3=qE9-NngXjv}o|u3y ziO7}z?DF)QI@1gkqOrDzO-j_NVx)D(xkojUs$=t~6Y-byJUVk=u)od(gD|sutjXE- zER*zTH5MDQJ~)MLPQ<DuTN67Et93j9CNl;on>k0=;aQTgttVkB5E14@($4pw9J_l{ zDnGL5mu}m5I3)PPv-2snlb)C7_>F(%jts{X#Z(^4fo=B<1c8cKYt?k%YPK7qzpd{0 zPGBuRhj_1y;2pn^p(ngD1=nv(@MR7FdT#aBRZ1TH5;@nA)@gE5sLHuMsZ^fP$j_Nb zN!>I8VPmhuafv8N*2jq2@gynYQbr1zL<lV7Y`GqS$asQ1PtQlC&(>&jre_e+QH!T^ z9|nQKQVAJGjVRGF;$;l{1#eSGk@yK$E<){-Gz9xK!t!4blr6bf4LSRo2Rz)DOtd1m zj8(71vb06gQJiR*Id$ld=Y*$TlH`+nU51_Ugbzc3jo5fQKyjr@v%;^O-gBx{NpGCB z@ct5Bj_P$vat<8J=WZ(utd)<2=3_NKJvPC`LGGP7dGoHsOV3;V>$UoK(hvB=|BvRn zrwfCrW^6X&ZJBGVPIFO{2~{78qfc)rawA%fQ(?KVCd4c%wNx`dy=Gqe4-EkaedUP` zN1hJDMP@U+59-=NzaPH1+WQ%M!yV=Fc0Bc6OlTLiwrWhu6BUsMmpCM&(qs&(O9xpC zkndxR<iNfVVXvDvQy`B1e9lf3?$Ou2`W0He?V~bnyHJ=UH9fuD1Qnz*K{7d_^vN8r z<AP(dAQB@T$#y?MarAYgiXVx4QHL7S+CLqY=&k@=6BLsGdgwnZgY^xSht3bE$qgJb zd19r8A%Qr9o*wVfoQBT(quqiLL~%w1hKGV(iXFhwKNQW{nEWC6El^<hJN4QP<frk7 zzJ3Bpy|Z^#^s6g<0`B^wDxjpGw4|x`J0=KS;Il`%93@#`lAfOiu)W?c%*B>4U~Fc> zPR;|Nk_a~k68*x+0Rn8bYmAw(_7;xR`RTeQgS?g#Y_@RJLm$N6g6kko<q90+BP6AH zsR54|tc)AOYO5$uqy4V5&tMZhj}Pi&ZRIb5TRH)zUBeDVZfL<N8;O>7Gj7<3gwdG7 z+8OxP*>6!z<U822t&!fq&nvcn0yshz@&$iMqxM=&?f&2<u-;3@-b>287n8d#AG=kR zyH%6BRgb+ZO1&#dy(`Dw)#YB*<lfcOZ>th7s}irP33s)bm$jMKwUm3>)GON58`{+1 zZ&V_WL%Tn<RFk_gIK7pVyUQl_?3`XpNxh{eh6%;&yc^n5XknaQ)nwk%lR=rq?Z3B9 zq1iq?ug$xrrG&P^`~J<GmIBHe?x&nRxtr8faJpb`^_yA=Z5ijUtn^gSe~U}M3aP2! zY~fx@35|uMrh@Z1`|tHy|K~7D&58dW|Gxy!B{b*%CH=o()!vhD#1C03)<EAGe^D4K z?qqt4pX6;RN97mFQ3dH&iQ8<XS)HL$K#=Bmsuo7_7<LoHika0<e#Zz7u$$4)>L_~_ z&1!Io1Jw@qGsyfX&qe4m!2eg&L5#XN+CUL?zScFmJ!Oz$MzbmdecdlOc%EeA)LwMG zmc<?gNZqDwX0qp-2E6ImhkhcRN6YEsPo57WE<NkF$B|_O524c5cn|U|H}lAIQ1pwb z$)Yk<G#+`Qw$PXH7Ew6)5Z%N?Q_dmq^J0*%8D|*QDVH^Mv?`fUU}AnNh+rR;q+DlJ z6v~;(nRMC(g<l@;QKS`{^h76w$!j?(B$va)Z|jIqQ@)rZBA+LsmH<d<J=C8JI$8YV z!ugNE`u%vAIGA@z9AxHPUBnhOYO3&fA5G=fT`7(iS$?Z1-Xs~q*E}Mjpxuqf$Qf=X zbYVRoGaU{L80Moqy!_mfCT1xSskIU-#za7ChbX-4xP~yN$0#8yxrUOY4++&ozX&UF z;o|G2scVQQ;5Fz<I9f~+eFaK0OQtYNc}YFhFXrUM4DnhMagB{HK8A7@(L|0~#{|<< zJSb6iDU$JlXz>(`UmyJ21H00LZH<m{54I8sCepY}XB6+XtRGFy1}<{ocJ)kV6%^$M zSqoy0=ii-~_%C(y9#sd}lTv!74L7e`=6g(atYB+L)6iXWBTYk*O(NiL8<S}vR39-t z9j#)m7}&*Rs$z*oYf@u4hz!Lcfts5A$?rch3@sC@(}Y*Qt;-m5biF|MkpkJ}yG02y zR(T@y9VMeBlmRc9x0IskCrkL5(6#zUhqUw{SD!ecduI~S_tRY~f-z@2gPoi(&PuY$ z_8IjokoJh$-8`8d(4>lm)liy~Bh^y7TlhGqbL$)Q#CVLt^&f9jhK?AU$uWl&)M3y8 zf*!++xu~8GqSlhupsJ*h>^*(vNkgOZvzzM^j7|BOcoq#IDX~}Esq|FtrqX`FRjmYr z0C=qvA*u2m&}5BG;66QlP_2DXsb+vbsuf*H&C7zye(-XC;WC^)+6$7Vw=QTUVI9SJ zEhdUN^>1qg#a209o1;YSpuY*UYh2C)9vrULjloi{d5@*irby*3@zU9N^y_ux26~#i z=MXYxMu_HX%`ooeXQT!3V%B4Ps3R+!(!Qq-O2TXU0-1J_(BSVIc?Sf)W{*(*{Z+|* zAhI_^^I~UYDS5AdVsqX5^IDl{d`y)cdbPE~KEch>LUx=NH(@Ac9uRll!M48!=92{q z0@hP@%Dk^{lhjL^aX)W6f^Iw}jrk}_ag&<;=mRBMR@~pA#QWEU$T#`4`0LNIMO98| zrNi{3{_sfKQq=aAF8vhF!*!n<#2OS-?$WzrI$*r>s=mlc3C;r&6}g_#f3Wt>F}_4! zn{V4V>*Bwr$(C-F@1&ZQHiHPutdM+qS2F?@aEUdH=han><PFy|XHnN-9;Uz1I3X z4xXnRpIhMBE;I=IG34aY@;tcUDp9-IseA^D&Mak`IJE@5?9c}=s|#}ky<<gX{!YT= z=GCI2ZUzjEH$~Enay$I5&T=fh^iF92ZPEaIWfW#PGxLbEsZrEq{20<1;$IqQc+1<h z)I63^t=tGv^Z<TawkKhct|4d+b%msdoY8;C8Fr;v{C82&aJ{8nz-UxYi|9?m)`u!& z!QzvS>1F-&bB_*yjq%!y_&R2_zI~9JhBfY?{go01VKMvg!_n5$m|InXp~vmPAl7}< zbKS?9HE8dgx!>uvH9Srbq@3w+bICFZ!@ij)%MpneZp|p90PlEcE{JdOWoH^s754&? zZPI59R1pg94%YQQpXjM*IW`S+Sx3%IM{L589SO7HBiUQnj#Yo+(STpTia)1lYh74; zFizMR(r1`Frqkbc)N>>jn_2R6Jg&TGbaa02+{eu?$~oI{pIhQHLCa-_k+t)r{5gzk z6&6!NXjOzn-45}YQ-{9JDp8Gi(2hi(u?r;)fK7YHNJ!8WJUo4pnYG?xy=j+0z|A~< z*75F>4AXu<-w^QHLne(k*^#KY$723Co;bU|%LeKShAVqTD6Taeh*Vp!OF&tpIZ=5Y zsRVD$tEBJC@c>HlJIGAqwZ#n}Qx|NwPvc2NmikE~U`e$W@>%A7RCb-Ahx+$mkY=2R zjL(Q|!`ZZr(akr-(^ryU@?00psF2)O$>@5`n>TsDHnuhA`xRgC34VH`?9mjzeJx-^ z->YoFt{8KJ-PvWv8m+<g<U>yzznQJt9^_(X)YHaSU#(|Va>SEK<<zX6kZtD1zQaWI zWZQMr$c(aEBUs!x5*~Pu0hpBlWnh6zv$e^XI$E12@FXl9J%8tx7Ee?NFF6=Lyx>77 zE2Vuo`>rt><Bvh>96705`&u=Po)ELQpvOAkiG+?Wx4}(%$b?8wnD-S!>YVlLU-AE3 z_Vu%NVntS^yPo1dxn-M1cfL!2N%U4dSVng}gXdy)U6iK#^2RHI5vrJrwqlq6VNti4 zFaL9Y;6!CA3$s*0{K8i)<3wg3IVkGoPn{mYSF!+Ru_h5<H2w^YliJF8lW3+Ha(Abu zs09=6ZuA0p;WCcFID{Q$#TUZ+01yb0v0e{W{mv|=VVzs-sv8oWNuOxcNM_r{<fPI* zZ#I>%k|9uPNU+j$U&atM96X<lxPpKb`>I30Ao>k2Gm1q2kO;OhvUJk8ZOj`D^9}}t zr3+NDFTg_rij(X5>o(rZIcV0Jkx@tdlc%>vP`(j(*9H8O)rse0#Mx3dZC*NmzI++x zhmW9SWd)z`n=mh`cQUm%yy}T?B%@9e^gX^@k)T$8)FVNjzBY&C#z-n@>^p6E*U>5> zK7!cVkRrqkmJ&0G2&W5z4F&vkXFYh^${TkXZ9_D{P$$<v5<YWpi6{IDdP*Ydx`AvM z>6*V{RnSc=@fc@R_;)sJYcI428M(^e+(f2zeM|1X3%HB1Y8SS9Cp2&peA=7q#&G*} zA+^7_5c7Jo+7%??Gd()|tjcZvdhZqi3;@PCe>fs$7|j>Q4IXnKKC$MCHzMiO!)wQT z9b~sXn0qg(3+&~4T<bDya9`O=NNa3^^>a?PUICBk{!v`7VRS6rwkWL+M|87&HG>2h z0S5$5ke2y8*o66z02jNMkkO1mn9SW8)0(ovX0aV;T>l$el%t>g8r>v=eC?q#9JAwv zpw{GO4Un%XQ%2$Nh~zG%GDG5!UuoL?RnkIM(ry|LD`X4@DJ$KQU`?%Dls#msZVRTR zz=UedyKfxK6eQBQA>PSjhsxxm!rZd>4xpt^ldxvrJ{9kNl0HjOeytJv%C5Tneq%dL zW|k`5F^J9^0lZm3>G4&yw_cC;{f;*JGc=D@Bl%WKI9sdemd|LpJ%>8Jh1ISa-+`}@ zBAU_b?MhFvYtBVxWdGGL6TJFTZl-TjcaEBN6OUqkwSl(U&JT?6@iA8zqy}?8lCfKN z+_uXOu50tznwfQ^<$e@t-9x}IbDz_RsouchwuOjW;uRvPw(P>GWhT{EdzSjFO@A)H ze0w<QATA$##zj+807YerLBZofJ2bsf5Q9)PT2%3I{2~p8Q%0dRqW3+S0k<elBhG0D z*!C)3>3&tf)jpACV#$(gG90*YFHk9PJ>J39456RsJohHwF$g5-V>RI-`v6Ta=2Z4F z+zLXZn$EYvezhl0pDWwNZL0G7Z(X+>lzU6+Z#u$x?%VM#epC<VOr5d!aD7zegG@R& zp7$%-IB@Y0E|;nvhPw|!)RW;m#mPlw7|!iQD~>d1xdt))sVOOrjkv+bF;^kU(*;L& z7Z{adm}IA+$&8Il?X^TMsO7cGC`An?be4Wte=QYZdskOq;p4$C5jq5O=?fad8t%ML zF7F2Z<8f;m*L9Bya6^z|#apbEq<{H664`M766Xqi$?XY%zt7mURgzw_0yI8?9bj6x z43)C_8AL$niVp~R{W~N+yds_U>{S0YtU5<Vr}IveepGyJvjBvD&)_j)4zDE+j<yc( zyzUEr66e)7sdSBkqVU9}_<LJw63?Pg2dtrfhsiAUamIl6y{PDh8iDqhL8Js24Gfo5 z;9)TsBPagUF0<-yj&lRZ8gJp@%kUvM+ED7}BBQtK4P<TtAmbpB;OU2hs`mQJ$sET< zH3ID&nvnZ@t9THBMVynGx;GbL92+ZW+)*U_3#TCcxWq>F5kh}C2;SldYM`y$9B;32 znzYM;vqW)ld#tp#v2&c`b${0T!u9-%BzjW3ZR9Ui5t((7`+h!n?YFw=JJ-eQhT5w? zB-x++Ii7Zy+t$|5dFBt?x*JqQioXQtA4uLb!)f0~C#&)r6)zPGk4M?U<|fmsTy5xN zeRQw*Zu4+>`EFjK2^MyAF_ox9k{F$#EPx=e0mV_Xe+l1eQ<j6j@zjg7O*93;)6~yX z-kCII4jzJulz$HW(F0>?J1@ievlZSyem|t`!+<k#CuI{7pAls@+d&fBx0>|)$?_Ob z5NCC_dtyn|!gsdLYwCW*$Xra5OA2K|IOfqJ0D{Li=xeuDI<SlRc=gt{aQ+bM!@<dA z(6DQdn2BtAnx>FZBZD#J(v08Pn6i%zb0ND-ZG88KyYe)F_#=|xH3Pa&H;SX!5y%rG zMLC%%m2Q;Z!XE0`v9FSpL}1)mlU7_J0?n@ZK)q%ZnL@pWF**gjiLJXrU=#Y*JoeEh zaxdXicw%m%!)&f<>3*}Z?6B<;@z@dWsB)q&&#@b<a%Mn&kfoiw91xM7C0Na12<%u@ zzv1o+fF56McscCzqN7!{wLgci>EBe(=~T52rea;ec<^7PldfEH`tewe(b6<_Ixd_N zkA_%pJv>hewnIOhBLa^T3ZT$1A?dloY41qF6eR~76?T+*jaLc_+D^^lhsNfFPe+A^ zPn!|VWW-EvE3N-ykNZsT1$#wEvmePcW8vb9r8D!<15QsQ8thHHMV8m!7^ko;x-~t< z@mLz4p-S9pW&_+B=w0XFD*J`hJl4v;5Aq?C4Y0i4q^8s;92J0KLTar?#j#<o$t$XA z{2Iq5<Zptf0?}GG{Ofe4!U$*{1k7=L63!HWiB^120$mO2a|g&m5J5+9ii)$aK$LS6 z#w)`}q|5If>5#mzINu*+n!1}VqOmwOKot6`q6NFW;i@Y9?Kd@Gc(_YNbE$h1#8!>B zy}|07r7Y9tiUqedZEZat43VniOJBMoS<9uN$^A?}t`k$UydA*Z-kWcp@&xyNyU9fZ z6v!=dx?ju@jw+pK@}oB&XvT33>GySFER!FbN9R-)rzWZjsQEZz{}?9*z6hi$13e@r zP8>yQ&=}q(7%09PC|3xU?4h)}KAERwx%(5$DZ+FJf#uKMF~TEIovFv{z1^pw%5ljj zcXr&*UqXMW=(xv>vU}K2fSa@{qrC>g>R8;i1(f60Yq!LeVz)O5t59Uf{BS>B`W)j~ zP)*2mw5;VgU?dzzCKxw0EvN4wD+(soNoU<7fTH3Pi0&6rpj5MRX3PfB#LEnq(C3l` zo0H$m2#0ntAhtFpa`k~r1}f&ybZJgRO=8ZyF@;saYn~-2-nqHwknh1*A##iiH0FlF zjhyrmk3}$fQLMX-G3T|uF+~$n?WEgKfrlol{5gzm#f+V&vtCWMM%ZPyxBGD3Q%M#Q z3eXN*D-XqsrCEz>Rz~z0WXDZ1Q=MutiB+^RaukMH&h@Z~8p{eOnz1z@Rf+RV?8)me zHK%(4{bZ(l!kmG0yHETCP1Xl_#l9Y`nmt*bkwl3}PU?zu=4@H}S(1Up;XI|9@EFH> zZ0U5{`nu9M>r7+dE&QoYWt#G)Lz*#@5f!rFI6FpH%{@_CjOo;rGD`s|1s*XMX7&r{ zZZ1R0$(<_$rDrN}T^Ynprq^1sx7RVRGZ;ta2rjUiS!Wyx$^s|piR_`;{-nRQ#rKpR z45uHLCL5@`J?&#>tD!tclDnfZQSV`py+g;S>Tbnq6VwCTsC|F6mF(?)%5kG;fJ*_o z<<?{M%HR`YLWfN05%Fg*&D7HTu{m$O_M1|rJsYh&m^sEKS?o+>u#CyZuur>T6<Ss~ z(N`Kyqi#~ecekerZhLJrDkCd&m1Y#CfZ-%=SV91`!e-)IWGK{*z|cegS~X`yim<~m znPp^1EY<ye${Zhs?v7$nF~9@Thu-n}VjYnF+0Zg#GfC?NQzH&e4>1OFDedqr8=J%P z!*zKM2xX1RZQ%>!8JuQs7)TJV#muYCjN0@GnsSS^u!wV!boi5}lGcW0QSk*mPaJIu zNqPLvjl{}ja~G9&x?~>B6}aEjG|u+=(>jsP((+*vgPB!#3p6*^jP=o%6ZFie^vVY? zARp%*--VpBoD(hQ1ED?`+M)0d+&Npp$3hSX`4FJhq;(Om;f*GZk>P9@y;y?1Ltk`q z(`6pMPw;$lEQ$uLRh%4X+_~T}5dV_c<Ixysnd6z;d(mTbigQ22ZBgnlt8_C2<%w_B zp3B+M1kt_67h>g55O#j5D-LVl)hjWYp~x3<G|5H~Lk)rTY}o&6Y7SxofpT8Wl__f@ zmPVQ2TEeki{58n9@ONP1m1qJ_9+{4uVP))OSJ*`0mlly)0q}3Kp@ru!aHQSS2xA9A z?#*gq2WOmzL5@5ez%H=OT=5lqK;sYxn685T_>*MF5jvsz+-z3?9u;OrX0wlo(Y>OM zm?wC>F?~Kt^lCNLSeYMo0+4&<X-9j3x6^^@z_5C+>t_2&NI=~T;g&j(0}te$%4iV; z=}5${+6wBu6|(Go)bS~B`I<w1jQe@-3wDz=@)h-@U7+Ic-8;@`S1P`(N9>hTW+;V- zbaF)jr=c{VcM$j3Jss7?8>n%e8c~$xjBqYoADJDrXxcJy>f(rAj3F!&sBqNlp+k}9 z13L%SPgAr@-w;h5s<|aS6)mcSW<rewLV|FWmdMA{Uvw`2^zM$OlyEt^=!wsW4ujn( zEQRD7T&h`nWSaBq!+hF!FTpd{H_MIoraplLS+fG!aKjZ#H+8TJ{COPLIsf(!G!ih1 zE^ij;6jV!{^kaHFUnbOit3eBKwEVb-eEMMl8KbRuVy7o#tz%@!dM;$Nm9)0wv1ZA% zPhF%Rw+_HVqF%@I;6svM%FJ1i+aea-!5vRO{44G;laq2nke7n|O$z_v+jtJI7PHx( zsg*g{9A#aq^Hx*d_nnMTM;fr53t3XEq#Zfh4U63@wF>`z-l)fl$9i;|uc?Ekaz(Si zkk$XW&#gI#m4dJZzD)wS#+Y4ePZk}u)8ursiZ-`c`W-f{j+<Q{JKfHc)vX<*?H;6! zmrqME^R9?=cYt)~732}}{#sn%#5G31pU59zih`N;+7Nfwb-HzRH83D_XnLgY!p%rJ zFy4oI?K1~-wdv`^e989KAvpU&0DGLJW55&co7Sn`20D`A0p<FKjp=Ig+|ooMQZ z`W<fR3nU`lZD;SUo`n+JPGxp>v{vrcfv$^hgdUAuzAw>s7jmuM`^n77rWP!rpb}l8 zs2&{TZVgVMos6xG^@jJxi~3ng%aE&8tJLnon*HPfZ-t|8?Z8Y`ncVpUu=d>L^ZTuX zWNu62h+X(d?d?cy_;BfptyAFa;vhcr3qErq{>cOI!MgpV?-8WH@4(rEz}a!2ejTWg z3P1?@^K(c7@Iat}`;##Nzoj6*CJf#$mPkMj1Lh%Q0j-zviZVL?mk|=PkHX8w4)fqX zg(fNcK|K_98FmI1fDtwTdha|+REWB9_=bTGtO5Yivus`@oHB>G@BtL&B3d~OiBD2T z07Oh8ZVTmnTPVsCNpxaFOFjskfR|)BtR8JG9~32^jruB3ev3PV>~+^K!Mqy)_M7@% zh**`l`ekpzcQQE0jnYZz?-BvQUIc9b>0A&jQg=}TR*~tGn@W6%%uhTst2Sb0(yL8^ zsucp-5hIe#-{1&0<9k7{;H$wSsUyclX*#j|Fq$GaWsx@Cf$r0r=2Ljq;448keHn?h zXx`@0*X94&1fbWWTucj5N&z8;7658ydnL5pd*2Gg56{3)!@#de${+5j56e%&{J^4u zBvfoB2!4cv-Z&E}M8f>N`?v8|g(aynbNJd{`f|a0Q6&}z>1OG)J(1zxK$7OS{B`BO z${tK<fRmzA*G7i&k*~Dy5!9hnsI2Y<rRRfzk!=l`n`yzyWN*@p8tC8>)O9K_&KlA+ z1u!4NS#IBO$w`^MRn=6On*7w+mYS1>R*U5Hqgk@~_wE9T(0yg9lFl^8;SAb2ap~gm z$Ys!Yt&D4%qaQRUY8;5$Jb>K>kD9kegT&<QqCnk5Wt)l)Lc^>~76{JMJrUp7A>u3M z#cED@z=>sEs>)9^@aIMx;=Cx`pEhx{D;{b?k02$x#H^YaZG7L-D&s$l)gwVkw&Ii< z$!arlx`4>(i30~UgrVw@d-Voqm)f{MI2Ec@kGM<JlH;2HdRevamcN3Cevd4Tz&cYN z!FFea6MJKeC2-dCYOU&4n}|EnIK9i_k;QuVFq!6bx4mkkbap(1m>&;u#~_u8<bo|t zy%FzkfzUg+2071~mb^#v=71{Mx}EKjRA92{z5cMcq^HJaGdB7M+andqX-&^9S+FW9 zP?cW^#`P~vTk=>M!K(R~#fJ9-l*f0jRiF0OB<XS}((VR`jxIH65=9>vR=V_414J$s zL)<uOmzh$X-rQ*ABWvU^Y*#c3GbE}*?0={tqIZ(i!x~=4rM=msA=T-iEg$yb9Ju51 zrq!n&<9yhC$+SA@SoAa#m88x*jIpm;aq0ve;C{$XfF#99kcyOfMC`mfP-4@{loapz zxGgpa2qGEfb|88~T}z9#tA~f0cwke4SdYovRBYK9?b{Qho@U8JlA};jG%fHrPC}H7 zq~yPXjQ|H_2BOvnX_rMwPn`5}7^95eKoo(=kJhToLs)98(;mQSjO?0j!~@(%>!7fG z@%<A^(w&*SNA018(A{@|NxR|xlbY%H64cnQyJI^innxZ2cr*TFlj}`D#u!mL6Q%{4 z8Q}>9a#zCCK*|tiD~-)YIsi>%sna?jX$<YH(WXCIdng~l-q9J;hc_qmn%Ta()g?i6 z&U!qnIhR2i9q4{B6W5cogaITOP|AyYA(_*U1|o%)_hThfL|Q(14Tz1+73TgD%wstd zYsLgKy{g#*7J{G2=8Sk9!Btz7D`QhpJh@BroeH#WuL79^){n1w#f6Un;EV~=!K5w- z6D-22dIe+Hv*;Ofn66G}QUvV3TNdY<LE3wO0h0&7FF##B-+@>}e?Tyx6Rn&83<Q zNi&T5n^%RW4H)yac;|>=>o)k8hP0k7Y1UWNr4%SI=7H)fVf~VJ8^^cW)E2N@m+M>c z*$orxa=L5FN}thAjV6QD%L#ItMW3#^3AVaJ!I#jHJ4J^vbe?FN3ATzw@ef1AxNV{@ zY}ARm;bDa!sKr;z+9UgjSog5jN`;~qPk+tefREMv_LH<8W;<I`mfXhM$$*aRUz!ZV z8Rf0C3!6E!_`3B;+x7ykV@%^-x7rkUuO6G3y`a$En%ucFWzEiz;8gG{z{g?w7Kl$l z(%UvSJ+pmt!%gi>t4AkLg2-H9j1@RB`fBmXhegt)3T$qina4bBY~oj-b^PR?U=Ort zV*Jc{a8Okb=Fzy24N7r%nIGj{uq&E*Sm4)49751*iW*2w$+*N&JRZuc17Ab;lb+m| zy5LVT6-A9_I-x@?t)(*jvK^jz39K0JH)>8t;{`0*=>io*CFWTdFG^Dt6AQzxN_q@S z$TMl(WEl3t0*xceK$w|rhg%k33zNiftuZFS@~7+?qi*pV;7$m%{E{=tMk-&UwN^3D zE8?H{X^k#bD4*`lR7B%|9d6wI&DB5uIwCR`ckgW~`Oj>azj>4*cbbnbLKM|w8AT@O zo$LJx-H^cvw8VtV3;CIFP-eab=j1*(fBcAAB~yy_A2AB$a}3zaF(hqf|Kh8zoC<az zs8cwL)wT}4r1Ej@rtrY@b3(ZVod$Bl5W3|Tk}UJpho?2=@lH}bUI=lUPaD3QQ;hTC ztE7+&75o$DUqksRq`>8mS8Ef!r~^7NtlTFyFDHjp)hD(;u5;7Vz^G988b~*K&vCN; zM{2A5J_*@@Y|FqDzz`e=Pg@t7%ov~ta;1o|*eG@nA#+6E&xoXp<Szk>oQg}`mfp1$ zL^5+tWjF^;t9}No;(DXktG?gWMzKoSw#t;9;olh3Zlw#S7wQX}`}flbJ6^W%*jT=& z?=Cu`C5=*dx|i5J+X_34`{YU{VsqF|MVB4VAw~$UVo%vD^rD?fw)UWg&ylC<FUOk) z%ES&giHdcoa7-@b<G#rV0K+fo_aGRlHqTg9U%xRSwpikURl#zm6TTp5Nl2)<+A@W? z<Ot0<37>j+WrRu&p1=G}=k*5B+@7&LbF<0cF^KY<><zc9OCn;!qX6G}J}qLtG1cJg z41B3@qs>hrkLb+6y7yAz&$eBXue`->HiNQ1<!FVr6)ZU!Se`FX--6eWSiY~Ed`YTq zeB3wg@e=2%ivaxJkCtfPS|hobuQl)Al#)U#6_34TDmu00OCH@7-y#Z?EIO~SiV-0A z=S&0KC-@Jky93ofjZg-i>!*}?8n4GwmHQKgTXbq<%1YYZeB3`ZX2sc?n}~07S62?# zfbR3`+<Z$B0iIE8e#v=#lNL`$1(0sA$?u~r=8(KxYQ#tg)oh1HQ4JU=1oy66Q)3xk zqAL9LV%h^($7`7dDuTNvojbIs32ux`p^3g|$QTP*kfFo#V*m4$uwRN$4T?{BRarc7 ziX(0J|4{ZLUCi8Cl+xQv7TO0qb0W-g1rBG423RJv-ou)jH+XGf!qFy7K6HmN;HI|e z>?Sge-u0Hvjje6FEw9V8PTsHC_GUKAZMHL}k5n_iu@QLSXZ~`UI>ExAJ7BFTbqT_D zy3yNp(S0dFY}MapUh4amhU{mQqSRvVT1uC?J=)(+4&PQzm-yw!UOaCn@>%df+9;cN zwo3DPTfwEwuQpH4qkD+_GSCuQ@!7tyG~IT)(Ih2i*~nnV)s$T!rop3!dJ-ZTWmD-F z?hZY8M@s*?jhzCbe*g`rHAl3$vMu-|hecuiurtkp6p@)gOxztne`5oWKsb*1cS99* zhZNsiN0{yZ-Q#G*W%OKlS8f;+CY1XTJ>~4=r*@>|2W-#?P3fLOW6i8#x1nHSEPK`U z?tT7f1sLg|=`4(0F0B@xSq3<@MB*ve5v*?&1=Kkes$NyCPkq8W9n6=<dCJ`Dy`#j2 zjx&m{DkVr?5d9mCbpS6y4C`rcgl4}J5El2t>V36Rnf;KHggZ58@){5>dPlL|dPdQw zQOrOeH#b0U81X6Bv<_<@{*BVE^m2`Wx%Om6?s`tewF3ewgR^i?P0l4DGG}JKU8DLu z2G$J&&ZIArP}%Hlr7vASID4Q^1Zro;KV>*gSoP~u(n(j=v=GS_=Z@pRYxAk4u*lGx zkd&ZP4#LLd-INh)-?!>=o17ZhT1(&$BMewF+<6V2xGa{paB<m{0klowJVwd{66c>P zx;QUeESsb)Ie+QW#M4P5AG+=#zn90lG1tH1#d4?t;!>ZtVr8mj7y8}WtW=24%R4=r z%PnE!Zo$47>q6(~N^75AU{GqOJzPIt+PSVBd^R>VySA)pD`AczVfV{(ha%T+chp{p zz~S@-6?DEIw~b=Q2)w@yIj>@7a1XSwC~hnr8OK0JNm_U1QjaI;CxW;G*NP$M*G!YX z5l0INJ45-)<)k4qE<))(1YLX{KyaVY3LADm#mqskmBb`}>`I{v|D|uWj!1Pvzsp!T zs+B8Cq9!^H4j3m7plBnAAp88`3!&ldw)9LxRnBba!u$Fp{j$un7&y*zppc6U0XlPc zD`2y|X?buGzEp!Q=m1N0<e#z;EWWJEwfUH4)rA(?3`%I~dm%HkH8aZ<{LS-{W_=HB zrB$HbnOV;9WcC6)lf(yL#V0+Sn!1$9=)ND%>B-%*bG9m{7;ou?pMUswgf4=v3<1|S z_mV#d{aeTN&%Ve1Elb7Z;{PK{C4k_6u~f*W{tuQ42xTS$<X>S#Uq<gfQOwPa*Y=9~ zxBE#&N9??N;CEQ#II<S-1N82ZCtlXe&wir9DYMb)Ph;N~8_QvAW94b@#`9K!YvjW_ zELF<hZi~xr2$;YXtq+`*AzE;@@KsxwMtqKD4om}213!+Q>Nq<kBX;6#fZynu^u>tu z;SLpdUBCA^Bj740p<<GHbyai__RiFha$Wzx5POewvotodwt6M==L6Wv*2mkI-I$2= zaAoD@Y!1iE2bi9vVIX>;M0rE7nONlSxoqnW*R`{zTnDz#*WFZTW#F^34doBxceCQ+ z1sekKI|8u>(=7jan-;UnD;7UcW%_4Qj8P9BA9QAoGKr!gfw!W)hi|Y_47}fN6Md3f zoKy82#*lEUuMy&~lqPx)j>lBU@8)NgZ1WB&BM$`ej@bJ9GuD;Hrp5Dg#%?Q&BU*4J z*FOpn(yv~5^?_WJX_C^t78;T(6lL=<${F5V+0o1C_L3g8_7)o9UYNfx1Badb|H4tZ zDS)V1|1XZp1S33O+)%u23#%SV`YJJ3&G_m~o!iy$lTN2rxD6y>z?f(6XRQ51$w1|| zU(zBRSX_^X$bMz`?Pt|0c(kk;Q1@^J%a1J=5K&kCu<NMYbTvLu6>4B)3NdkyZ2;`c zb~s**PCx+?SL^U9CeKy-@ZhF{%t*(k*ugWTA^YX#YGM92EcVcK`O@jNn{gCH-N^aW zX!w;D5CPs02Ffiv3KMGMR=HbuqWV=pbBtuz-DzQSVZfkq#vFQlfwm9)YSyu*z}mKc zby78e<Kr+4YKdseb|9snK@38e4}#0F%>^3LY#lL%fy8&v6`N73Y80<782KHy%5gLh zmRt>^d7yhsYn7FvayLl5b{)XWjkke=egRp2M>MrV)6dHU@7H@R&8PpA@8~(}{QE11 z`O|N$a9+n8ie~GppHq_c&{@3;!=nf*W)Xqb;5hMm4i(}O(mu%TC5(-^X#i&f?>}zD z+$}aU2><@35I-;n<-|ra3qA}fQET&Q9SLDLvv*-#CRBgI4>Kh7L%wHi5s|KWxkdzY zUO@#k6X`4Ob!Wqc>FtNAn||=zczNIU8X(+baDE9=<9y+|n1I{GRj2b!QmN~Fy?}yu z_dV--Vm4VUY@bwhVXmTVyrzX=sb-Tf*Yi{jnI;;5zmgU@n5hFOMsN$~&mDo55J^Ck zEo#ALlUj6BM-`qBi>s@_aQ^y(miaN~>)>z?8GXzD{grmrmzH5NZu2s7y*t9_F?g}d z1lsg<m|#yCk>Lj@AqA560tvBahX7<G65vC%y$-^a3|n3Y$=0%NPc+<;5zZvmg-^mj zO?;PXZAC=2|7puD>Tt*$CCnMNIY{{CZ9)4e1^))`^Rff@EQIE7s{RFMviBrePAseM zwa%+U?CN#q)LkPS0Z&_1&(onFHJW(>1x4K=+(BX`@|`06D(awk9nKwLRjpFC`#6=; zc<_l5zZnHrQU0d@4ciHlvClye<mdanA%6bLo?$Q*BejZ2>wQc^0@5k&vV+H8!_p4I zskv7}xF1dwiP%b4c*6kd+_1<%XT?+Vf0Kt#k*-%anPx>od7`^>U1#Pl-t_kx)VM7v zgg{{W`I-^z4DePKru`)j&KiO)jq5ZT)X9Ay{M@a(*uofH>+<F#K@r-Ye*(R0ZfeoE z*2hc%1LhOKPA1$Cx}tWIo<`1*7g~$Wv9sw8`?f^ZNP7&N(*PHU;aO}g(2tQmXPqFj zg+Xa~q-c^Le=tc+w?Zo}+pE;rXu9QJ-8ek5q-9a=yC+o(mo2e-x4{GBLWk%XAFWpV zo6bW3Wz$?K$c<bHzg-Y^X*>y_|Cs#FDsLi4gpBtE)<k1%x1p`Kqx|VXW%VF6z1+-9 z0wws@|3i4$F!(RROMM$Jp?xX4(ZKViG<R2PpL8f2AdmS*6~XCw)eZG2)@DL%G8al2 zSvU#P+>(>_Y9h`3xkacbsDTphVy#UJ#fuYwY0eSyQ`%hTI!}O2s##+ubLF)h(i_D! zLX3dbfpn&wTgWuZZ;Wt%@BL~Hi=(6a>FE8o--&yKS=<x&y%2YX>M}k3%f0?*x1)pX zf5BaXRR05axp@A8yF?|!%`P$A8pE4`RzO9~L1EjcoCYvLnTfA5%w{d%nlfj#Gc4oQ zrw?HLb`5z>?^nyG4I}+U`p2AT<>?rc6yyB#|75#l6WY7^y@$cup<2ayI^AFtI&t>f zeLSw-O!Dl64kMUC7soKW)T*v8Fh6LP8%*hb=_9lveSP|xqRd;veyXfKHop#mM9X?< z_`>&G5~$c&dJKE&v<b*O=e+PZ=6WE`u|Mr6W~-v)MeePlIml5h{h?s4F`Zr<zK=0r zyY;!o#S$bske%{(iBzkp_iybq2ZZ1p>iVPv3_|D*?{IhN%v&vP<0|c`LH2640!;Pi zeXbuqiadY#H>Y^HT|C`8RQ{EqK|YUF4D0}Us|plXwQNTR9=;beWSZ>~0t*NH{3t^Y z;VaUgv_853wcA&)>u(MHqS>1k`?|b=iZeWtK`}BXgSTiB$s8xiSYI`G*a^JuPWE$% z$0;Q|7D2>_L^@c^=-?7xw}1OWMJ3|rh;qd>zU~f!9=~WW)IH%unW~iK82YcvHbXr~ zmygZt+lhHV9}jo65$$=q**QIZz2>j8ojrqm0U2x})JyKJ;&Jx2(f;WHoh8)pwkMnw z3frAm+RpE#BW7e{&<m_~s3d=jTDWy<$}PfZY3Vx1d?N>f2oyEKQbuN2qJvM~ikv2Y z)1xhf0gLP5@^iw@hMq6zTK0hW0m5rlQX%D&!OJ|~ddWTq^w{}N`$VXtEhj+BQ$S8P zLnqD`7m?!BDQV=y7SAZ++<qa&(OZ=^4<-kyH4&j?mWXXs+lC2QxwAKcVAsgj$klEc zn9QlMPp)iqt)z$7(8&cZB{K_C;uQ!*`<uICR@Iac%)k7i2!#`#SkQN&`LXQt3(Iak zEU)Xx2`QuWD;wu;;>J-$bXi@R8(T{ctA`dI`3Y4rc+`X;#5DpHwp-KC%7Lg{QTlZY z$eb-3=3i9D6^=#~<{l=UpD};!m__Dd`>C=Cn~pw3wUeuz;(|Tv3U@ss?|8so8P9SJ zoWq(};E5jf;N<PO8mPXotzGcUZR%5j7tY?z7`|(g6O!t8es~&rpjJo&SlCg^eKS7* zq{X_ex)e^G<=)h~t<V`^loI_d+%d}(qWc#)&Tn@M=y4e6Y2+YTEX&*9XE`o)bhUqe zjt;3oP^@CvQc8m{A73{5NgLmRv5tH_udmzF+LbhXG;?q*ndj-duXC__das_|HWPKN zuar}AGbRGd(}5S}G_rDkW+`TTteO^Se#tv~su8l719DS`8gVitpe4lF%ppIq3;QxG z@C#!i$2S*2#fm^E{|Pv~Eg=mG&;NgCIU%QKZ%O2GDe_>Xf5hgsb8zichv@5r3h3)U znz(tksvHbDx_B=?6B4+x4@-Z}Cl!kgr6)O*<&#{uJaDq<D7gn;w+ujZ@2m)eXMdHg z5OJQDP7ka;EN@ZOZqC-$8xdhaz>FQFSgBa8vZ0ZCY}%_hekgFg+jl8-^>9aGBLR*@ zp||>-lZR5AA!_a3QGm9Bwp#Ev6A&{bD`3S7U0=v-IDvAd%45P#DlH1Z{3yXx;%I8^ zuBuX*zGZMrUzo>ZF}(N?RX%=~1b)ip|BnIt?;v#y5c@K_Qu3jOV38fJvV@3eeno>k zjT}LI4~o1?j7KRSS-D8Q<<HU#CZYFzeuPq_;A0ZWqcEMm1kW6-x}w~JDN;5z+N=bU z>97P#^|Z1}xMFRIO;AxEQy-5+z6w(qHT%YB9ox@(LeVgZ#7Qwk*A_0H$)|^>w%@NV z9$J2=EnFvbY!`P~lzFz)QJBzCvWtL1T04I-Z>M}kclmnQm=5j{=+jKt<l(>w9s4E{ zN<<kX{^I*QPQ!f7g0Z|I8Hinf1pf#gE`?^247iNpP)he7e=x&WNv(o{1!rF6u|OOc zm8ja3R+^tX$dkmqk}os#LKBWFR=LYR%%?l*Ys6s%i6O=`l1wR5$!ifdDGVMzI?*}a z+dF_`ZOTfch;ALk&ir*Qu_7TZ0w-{~JV=+4I4q=BKsbwri#xK33nt7^Mj!N5*7CG? zL}q&RKyn7gvj}}%6U)_&0LgmS?wUtFAf~gqkSyn9ekE`<qlJRp`6-2s74;!)LoEK& zM~h(B&J2`Z#58)+UwpekJGlDePY?;0`n980Arc}aG{Di=!(B^wn#p(mYYRD^mG!)t zrx`Qz@z9+>e7jsDc3^nAWBK1gMwbSnsl4E5N*T3tO~Z*Uo(Z&ukzDo2Xtywth#kpj zb_k8=)o_mL3_hD)kDzo4K%ugMpxHt3c;|uyVy!|UiALxQZo1~uV#)mt?yr1)Q6mSG zT{-(xn9vJJ&Bs!Oc(JyQ-aLv!fI-yFTTaC=RIhNhTD60+Bz6m6_mM|tYRADMgU$g@ z)g~;BC-7)o*ZQ)CR^eJD6I*u%zg_S$qA3=bQ8f{k6OmPgmYE|C_P2GM(U((5FoDb) z$*^7}OU-5yZ-Ift_G+X>IthePWpmNeAP2W0IbtNd+JttHD@oX+plx^h3CJr6t^^*J z0Uf6RJqx_l2Dk{p((lj0iRa%u{mtN45<}^ppymV_q@fpNq@VRH>FwUhfy2lyN~n+6 zc@D2nG$Wa6ho?kvoD^VDQ=^#}#3}jZp6mc>1+=BMij%#A>u&l#B*hUAY0T6Lh)W3` z<nU_Ni2XWtGhF63?0|GbmEJ*fi{|MiHnCo80aVCBX^l5aPZ+6Pk`UR-$D$qyA@qd@ z=+P$pDyBDy-y$BQ%E;n0YYP2Cz4gEv{p_U2$OGO><XgX|k>v>C2jiD%K}o<d(i8pR z)8#q%=@fJefwWg;nb<-rGM}rAs(Xa%tJp9AHL*mt{q$wja8etui&_qV4uL+>5dZc+ zd8{eq>+mE90}P;n(|_xPfnz}8ygBtL5cKtRGNhu|R15u#;08j^zZgJ;qJs^>Aw*F1 z;pm-ZJ)^W2H2^7X$q^tC6gcYb=5_Sc3gs+-V$lIDFF}2Py4fA_e((~xK41W-9&}Q> zNga?<Mut_D({=XcHq<w3V4z-*V?FqAAn|;>BMdfRKl*cVo;CAgeR)uTI@=fWzV}i| zLVy6QKD+6Eg;r1i9)Lnn&_hVh#!6BG@-h2v{se*>hD%aLq{wVw$!K$^rUGy(MR)7N zGYf#gtOThUuvMe?3{YH!P}H)Qj*uUf8<9W*>_zyYRSvN$>Q8y=;4yLecYH0*%3NCd z|92gWoeh~`c{w&{vxc^iYuHlT{3$@9!2Mm)8%k+|h1Z*|IsE}sbiOzKA0fU=toY($ zdE2x9RtNQv{XDLysR)0YVbNJxdCXP2b#F|1oB8j%&UI_;EcVt3cm&dv^-@f~aC^21 zy!wst7yoQ}4lsmv5{dr_fh?`!9O%kWejs?RnUJadzgB3jOZ(}67tjeOkTVWY2Lg}| zq@Rz~VaW=b*TB{?n%DJz24(f&6)$I8mhb|+MZ-CY@D(plS7z%kV$Ly_pTU(clv5s` zeB}|>uhs_|3-Pa9W7xnb0UW;y!<MRF6)T>Huk$sUD9;6d&vksA{S_^Hr#gH7&RW~z zefRs?BlCo_9T`eraV^CpU#@lVUBGjj9=(3=e5w7^s6e#;he^~0;^V~!e?Vj&gFMBT z{9*Dn{KQS2s%>^{jmhC@^zeSFFCDcM=Rs{VgSaOol8y^ja_tHt4aD7*B36fVV6H9) zCrbr_lDvB)n4sWK5&NUPHR-s)uFA=-+>m7F%Hlx$N&>jfZi0p6;mZoq&VVX!12t~2 z4`ppXG29oItGuas;3?Sz4&ux|G}mn^rW+GhE%vtbbDv|^xCS&qEl+Ih^wdI#_Vhf1 zCC6sYChY3WYuH<rz$dRaJq<XB@G>8;Q-(c;gHEFsWo&_2KDVyEoOSpB`itlPlodvl z4r)qIzecn{mt(4*ZpR`fYhIe%2}6tlrTSsWU53$H4JOB9o3_5-b!@$ti!r%r6^#=L zG8aSTmy*|~QKBLh3{j4<W3Y=0_y~_MhYUWXhjxosh7dzJ9+F7-wNAmoqZ0z_QB}(4 zQ<tYa51oXF;%15G(G8oM_WW`=Le5=avSgVnf*noHG92lG&7~eayo06Fb<VZxFxP&L z%&HZVH}RRw%l$_9!g(uSz0C#fGRnL;&wxyhPGox5=P+e%#(M-ub&pP8Lp1Q`tfCWm ze)1MS9h~l$Bw&MHW!@IbA*_IPb^u8gK`-V+Oiel459n7e`lWFA3mQ3t1~?u95lOL@ zv`;wsuW;g^uofn%3@vE~u0er>L4lMZVcj82U(wJ|k!m#kT&~u)$)(sNmlY%MafWx~ zu>A&aU1$Ir(f{NMP(c7Rj4_(~;C-#&7|k2t;)1;Js*v>HMgj^0oLT>s@W=0c?}5v2 zK@aHw>kmoP0F_05=_J_|lFtn1Zpip}=}7*TKwbUoPBEfmcQ!TX0jg&74@*1qci)D- zb)%#IIZHFdKeuUd-g=vpT;j*K#EnPIIeSXnnAmqNBj?V0eJ-ICpmJ5bBNnJ}&-&<u z%CUJ<Rc{HVN=mBSHGTH>F&Y3l3y35Me>s4h*sv8G!=k2|oL1vS8TSCwKe`D11+P{| z7aNh%+=BU6eODZWL=7DPmnKL|g;0wV6gMZ129Y#5*rG5kSwN9;g=zpcaXh&e4zpA+ zMR#(%Z&P`Il((hyD^?GqN3c`L(RAhCA-HAgBO!G+)Xd|PgXA?7x2HQv)cLR#akty| zZO8qP#Ol8apD~bb!gB3ePdaJJ6~ns&m)PhHe5*WUk)D4sC(_#h9zd+^%}wt_@GEoT zE<sG!FDYU0g6gD-HvhzO{Wu=x%SI&dPf<MynIkz!&;j_^AFJ(b*+e}*qu6RLB-@RX z(ow(gSa`y#q1jaDmYKZ#E1NE_yrqA-iNTsGJrHFqIA>Cg`o3s`%PM7|*nT*vmHF;g z`kVWa%Z~LHwp-s{@4RAdQ)6-Q*GWBnvF-$W4?koscjI7r5|-Ky;wh?QCNF~wwd%)A z@G@PA4U`I3iYT$gNwrdo$Y2kXiWc=cwNk6d;P<s;8^39oCK?5R`(kwqu?y9)u(!dP z>cYEaH;^|~_y^?!4cfn2*<EzFr*UT6e*0S4Lv*;8#`BF|xoG4%6|8sWI^GhRYSY2b zf17G*o|-LzHPw(`Rm^q9zx1+~X#f|=7MlUPy8jv8n5}dW@KVioDBFPH*c81XZ5x|W zjlA*D@?`=Ytp7Sdfx;bPgsD1T=>c<IKAre~)D!byLf7D9Q?B)o)&W-IZ*<Y6;!9F$ zjKD)xvDFI()w+^OaclsU?57W3yzkM%A6DjOYjey!vqukfW_vkGKISRwAAA>6Sv%lE z)+Yv7u@tc^ISmWO`Y;@~-=7+k;M)NXHJdkF!@(W6VkI~>V~W~sbOFGQ`LhlF>j1Kp z!fR2nv5!D5c&E?8%~l;V!i`ax#HnU<pW1x!W7d3c_rZF4PAMzVPjmuNMul6uIs+Qs zJqtW~+W$zaeC?C4Vz@>ss8%ZI8`4|xu{RyOr;LH|+_y4~$%RMZyHj%^+2!+E#jB_O zZ9B<xrMdV2UIQ~7PtX5NoNhii+`R}ndXO`<fyce`|F+Nf{-ds#m7!jgp<av8RgmD` zOfE^-@+qF;=2AAJ;NIKo?6u9k;NEi=m2XoR-wEX}(mo<&6!9}`Q2~D_OSafax+ADL zt`<7b)KqmSY{1%c<!}v|l=51LS|Qlv8d}SzK}1cBx9}NR;Gcl1?0kf{cBW|>ewEr3 zge%CxnWC4)z2N3^mbM3kUowAl6fxKcW4s+@Y(^EJSCFvpbMNBR+IgDI<!ozeEW2v( zJ+Yi0_0@BD#+LN5*K>Wd@hWTP#Czyi8#hQYPodrdK*Q-c#>?2+v%%4<FC}7XG&gE0 zny40#ej8tRacyu6z+!N+X?L;iv5@K{*z!J8X(O{iZDS6IxpOPm;Im{XgOqS^?nNke zVKx}camKdDOvXMJA^(ZVso2P&C)-LaJjdo)YU45B?Lv%Ll=!23{3po$hL<{%Yz0Y; zF(VK7wq)qh@nH}xl9ark;YeY2j)QX_Mzk@8YXIFEp^Q0r9jWd`C@NPG<c~{O2N6_| zj(8Ju4Sy5;v&`+dp{%2RUosTA6uZk5Hl_SNEhf#R=YsZ*y;>CdQJ00R!E76>C~V^v zb1uF4Msk4)Xx!Z?Tr?Ft&E%g2`S+cembSI_R0C>9Kw=VxZ;!{)-IJQR(K7fUdlj^j zOwKb!pU=9SYevGu{QyEodix7olo;mQzxljW2CQe3d{^~<QvWjSj?n(a5WU8GyLsJE z89htcX~c+VrCd8#VUO9?_&!|iRl)9D#O+NCtq$BnK_3u=^wY4G-f%H39M(CWSC%9u zHTUx6%I+{@rQeT{P<nnP$4U8!HRejtnoHKqyf_C895%tRf+dH*$}>A^m9Gw(O+dPf zb+C5v+yhW`FPxoz`!*Mox`w~c8y8#`of4N_J}1wHTb)$W(DVSO$P*D{?_@J4gP96t zNy3hSy1M2sH?8()nfBX{App0uvA*OJ7$4r1R*AS>_3#Mx)^k@%7;fh>XSt;Xo$R~L z-$<pSM)vb<ug5jKGwzlyq>2jIHm`(l90&{iogrWW=l2>c34zPEgF)X8N|6BWt+Nxc zVNpMhKz+%Fp(nGSO&1lcBS+5zd$Gl7UNZjVe2oKW#z-JEFSw1;M8no2uap<HXhx|? z$YSR;pLSU694=GYAj$4=HZY4AaBDdBiM2rc&_o9;8a4o6v^xcql$S$Ehs26l3hEs! z;K1Hz35oqX3#=kiZZ=J@!u)`nf-(;Sfscroe_gQl$C44jMM^`sTCAXE-(rv7qBOBW z8W4m2M(jt{@sq#*Ovz9*!AVt=7E#u)4jw(df}69ExI9AN<HvA4^|EF_7z`!Sl-8VB zH<)^!A9pLD2T@w6FL^F8iuwR)wV(!*l0Z_QyhkdH-!Wpa)3Ae9O(L|9vzM2uPZ+G& zO1QK3QU0v}bl<&?>~SI4Rn}Vckr7Ej2OZkAh+5>L2G(<c*#Pz8B?d%XpL;|<UCTcF zdXIxOt4vA@9qFwx0(pG2`Um$m#Ep|>7ixDpAlm^#I}HAgBfx&J6GOywYn=~=TVEsQ z0oF7Y$HlDO2R-?*@c~iJb8)5M(yw}K5ne@5hww}MhPJgNQFGu7@$T-)4sPW_uDk6^ z9BGx!4eFfG4P3?s_9DQ!+C?N!6gkMJyoWkkD>_&?4sSzF)cZOAn#%?wqWILsPMY+W znW?m?dYAB(y$TX}b#0J($6qqlDYE5BmNE1axod!81ax??)&fp!q`4<THyFgziXdIG zTy2LO-6WZJSMM9OSnbXY(AF;{=^dAMP4bdkisc&$(Wx;&H{)P@3;KfO&epr8dGWo) z(t`!de_G8VU<=b!+a6c#ab0h*N|+eBnx+ueT6--$R9+t}MIIXJyI;x4q6N;uTyLw; zRu#6x;ZQ@m0=zd@1h+6#yB;l_sgvTga!w#*kec^{8!BN~<Md$b+f<HJ!al>MF%u8= zkRw^MrD*#_S!8R1sb#aZnl-BTMG!Khyb<X%K9lb8gJGzMZY2LY^f_sO7ZAf)DyUH0 z8!%M8{`muH#6wEv`K6d_W42HNt(}`#9KI{%-m!lEAY1Smfw^5>R!#VaigV>{nR#iu zIu4f7DR!fO0^>q`6w5+(wkV}0*uKm$+E8ZTpBKHOU?zKvh=&G7dPty~Dl;U8KUO1@ zaT|JNiGj^|Mh-P&+^qmIS~-gygK}F*QHcS>^F=3@waA+kN2D|i+CNly(-_bd&`&k? zF!5@!NP@r3_ssCiFd6G9ff63oc`_vQ@tTyn7vq|Tn(i4PsUV7zBO|?XFDO`;&tK`Y z5WXG_ycPs83+T!Has8my4rSDb(CSfoZ6RqTyWYUzt~<l6;z44>1zG^@gFmN{S=Fkq ze-w#U5ffAburE$?sw1A**GAlDjuNL<nXm;(_Mo*Ln(gY7^?_%F{Db2*ml)-daPSp< z>H|Q9nM-mu86Gb<|5nR6bJg+POQB*cWb*p-!*fu@8glT(TBPLF@^=!8h53^0ll1oi zQk7H;ivzY*vg?ypTRTf3(J+f?6P_G_Z?Av)m*!Me82O<Er`u=6{RmMedzz=n3MNk* zHJ1iwAxj6n6vz4L9Ds02i*tbCIqtmwHcj)%#0)4-`H4uQOQ;`ZLyriQoA~YJ_R{i7 zAd7W6n4e+H&KfBLTNvl1`wC(~mc)x-depSBTM)-+@6R%0m^*HAAX-`7H(TKdZc@$( zoC>arZww%xCbW-)|FjTAk*g~W-KV$V$EB%4!;I?jgiTq>Xt}92k~K%D)x)4*clQ;I zZ@9z|71D)^SlKUYN@Rx~87cIDLV3u#V2@OEP**7jsJtyQBC3Au%NGyxAVlx0Xrb$B zhW$SFQ$V2WA1hY;ovS?@Ur7hc!6O{wgh?Kb{hg*@5f+QFKgyC4tj!`!>tatf5g&je zP)xyz6jj(#rBb5`t00xzI94#s*QccU7qpArw%TM@)yzRECtPB0n9n+pNLXQ{09@zk zql(vz=jpoLL7E!c>%ew)=%_TOUJv@Zn6_g*q5T#!l+>sfdGqVQp9na5v3s7KT}lgF z%fC2*-C<Hl`%9su!XcJ%-;Kr!t!g0LEZCEsJofi?X31HA(g?e$lorUYsSt^SYML7M zOK6-v5(y`|Mfz)L_+yEB!0JLp6Wka+z9%wzZX(>a;>QgIbW)W31fKPfpQW)|`d+Ab zBoK)&KN=*Zk%Krc<WPSCFy)Mb5_VM;3!!@(N}v=(l{Tapc0ay66rxNSRa(CYp`QxG z*${&Lp7GR;AcGlxWI9O0F_l9Y`by5)1PC^<iXs8zt23f673>^JdCa~zO^-ubpfVFZ z{{kd;L*t;{IrHt<TuSa*Xeu@XXKGt7K`pu??e23jdn(k=qZykk^}@%yt6h-3%dC6b zF3cpPo%^UwNM#c9Z}R52W~;}}RGn-_f18boI`P;sriBqM@pu)#WwC(zEQqi?a7{g& zjAkq{)_8c5T`<x!_ZS~cEuPByPy7crb#k+k8$U&Mi;#`UsS02B@ZS+`#&oVdB}TDk z*<42u()j<u+&jkD*1zkTW!tuGyK0qf+qTVBwr#GmZLP9x+eX*B|L1g{z0-T|bh=N{ z$uD_kM#h)<WzLatKi7SQ5yMFjJ7~u}89K~~8!!A8R!UoE?)yW_@I<9R6cth%KdOs0 zQo<l-29*h>kBnDK7aT1Dw#dO2^2$bRU6O&nU(x3vN;(l;hjBhVeK1ZWf}}}f;o18W zTbj^>PJCF`I~b$swI0dF6d-%|>wE~kh?6u$6Du#&QNrg_(G1t|V7($H{QZMHVsSgK z6fQx8ghH~Q9(8(D`Vzh0&^p4Q<exF99+6;46BsF-vU?n(Hj@<fDY-Yw)gzH}yyf7n z+0a=rMtt0fSL@y4wQq0IrrIVp3}_#TFJ`7w6b)EvdSUz`*6rc{*UyR-PCK+R+07VR z=4@}IZx6b_VTNhr&j#@_Li13p6Z4hPu)$xBynX!iqxAU?H~d_8oXT=c?$`xNP2IjJ zw^at&<0u)3W0a@>>sw^D-L7s9V@xP6*NEgbVp?CJ;kJ^N8_4t$)02r{a?Wq4#N%O| zsH!y{lXPd$W5l-)bd<eX+P|O+1gbJ0Ck!<z1N@03YTtuq2^p%Lv@+KqtPrB%i6h<^ zx3Mf^m%UZQGBVU#DJ2{MFDeP(shkdb^vew28sr5T%`{$EY<T6&Dqxi%@XBL0eHXwg zj;(DlRaiTE68K|BP}IA*BMFs3n8$UXL<*!ADJ~J?iU}IgBDo>4PGhvftNYrHjqNC^ zq;*1d!s{O|x3q{HDbcxL;H8n%F6%HlU*4fN=<^<-jd~Xt|CW3T-=n#-Zzi7We%}Sc z|5Z`PhM%2tsb;@e*Aul@TF6MVJ>)Ah7b8YwOQ;#3G&gj*@Qh&{?al3E!N=W_m&bOo z<VZ8(RrEqoV32qmAG7uj@@upUs?4WjX=RLO&504;9WS${EIrTjCjUaETi)EQ{=l=7 zzV2fo7bhj}YEPLR8*@R&y(~NNGUC}3!~OW9w0N%+z+X6eOw-xt!GNdpp!2FWVf&eQ ze*X8|=8in);`x|-ov39vS+6#3>y1}ofmcW#ZWqXKP@%`&PxcyW#GQz*KLx_|VEG>8 zsJ~}&*fcj^rrib8fcwA+bE>|jd1w-$B98dztLP$^b=pEE`ewUS_EqiZiTUkoYF!K} z`zKnx9U=a}u;UxjG3vT!8Sbj%Nj=D|$I;Ar+`@z1&&uwT*j>PU@oTMtREB!e%bH$; zO5fHL{Z2})q~4R3=}k`(m$*_k+>n^FKpD;#ic*`%2chmSwjs=Xv+-9shju#i;)&<R zhXJP(m7>0>f}_v3ybZd1aTy_WVx3HZB8?#r?(=xgfE^LJvgT^SZBAe){T>jTCV{cH zi?Vgw1CB>ob<YB~VgZV|#hLft8&Ma}Gf-`@tC<bM{grI=;=j-DTum=a?+fFBN8gpe z^9|U@*fuX7393$)v67hWmmZ_D8_&>7L!tnP0}tVYP}m6-V@5G!OKfv58qUbQG2xQ{ z2lp^aVA>Fel4bI(@l!F~@~dGyA#mvn)Qg93=>WRKF9lHnj|WaaEZ|blUl05xnYKS0 z5PI*mp)Rmyu=f_<^uc<92ivk6J__*&)Q_5(gcsf>LLg3xQ%jpd&#H3Ys_HLh#SJ;Z zuS;;6{NDEvhbDww75m3ZV{wC!-KMY6;nDB-Mbuu79%v$>`}W(^NVnyYY~517hrhF{ z65nNRKB2n5E+W3KJ&Z55Ml~INd{Y_nKRc8L!)S#9hlDtXJ3Y<fmL%5vnCjBDf_P+j z{ej%fyJ8y>!wCP>&6pOLRX_N)vY)OE4Y3(P2!U5go6ZwzMEunAvCkS1M-l@PVBmzd z6E_<y@eSXAJr_ygW1bjx3n6Ikb%V&<xNcAi;huO=q*3m0E@RI05PnKVB~d<6NTc(d zR~vB#ZglaTx%~k5AmUhljvJS=<XZHqWj>;hlR^`az+o553Ye|HekLLrP<I1eA?Y|$ zv%kmMr!P0tYK2LZMSH!qP#4nehet9Qjgn|>`=@~Ov)h=?9lE0zl@4m!!@Mir8bQ8X zy7imtg&@6`bWo}EIq6D=t{?Uwiegu)w7S86&qs|G*sWJ+X+_3}e4zT0D+ZNE4C=4$ zP|>S})LQ-Iw!INbzwzSh`0JA-mN1^O5brFK*2Y;RqpMG*D;0uIe2cL_Q!W?Hlk|&f zqzgOUWb9$UQjG+D+t?=t!y(datcb*Jss@NA8TAHVOXD#Y{SJ;7xN_JQLxlxt@&d_* zpUlqlqpC(;|BC_Kuxfn}kcQ!&dV7)z#7IbLK=7v6@%mN~_57wQ5(dC84u0WIamNo~ z&RmZVmFP;?PaXfnKmJW0gPWY<&m_0OCnjsRcVQ}9<FDY4`bvqfKm*2N1#T%jImY1J z?HJ>i#z=jFkk<>HC#GG$3Ns0W?S8s@7Z8To|9s-V58c{_HSa5<`A()zKNhdE1n~tp zi~$`J0`3H84=i&D9uX8e&K~PteM9B#8R;C&iQ!-z3)H1=haW`4^9yo>Z2vR2LU~4O zy${<HrbE-L?8L@&6=lp<bG^u$^Rxu>nsl*QA`7WHx?TtNX#fPGLey8(@LJz#oF7Z( z=|`q!g;hDn8r{VqrsMz+GT@l*Wj8G<43~>qVigQgv!sQfy-nuku_HH3&u0c(vI@yh zCKsWr);Adg8|7D;v+(t2Z}D}Szr_(oi1+y{M^yWnvUS&ipDn-fb`PyL_GmCz21J(@ zmIk_I3;4IYeBW`Ti7};A_#$UhwMGkLEPmB<H@<K83X2*k+azxiL<hVK-pq@rv+K^j zLRub#g7#uT7M$#}+7XCgPOSibru-(nkgoOwnmgk-`aYB0Wf;ktZ&$<#NfN8o=5Oq- z5lY=p+1P2>liTNiV^B4s#A9yU8a=Ru$HPCEy*)&E0LAFLL?xz~c%i6tcPWZM>vY7T zrm0q93}52$)C{xB`NkgpGJozM5e&npaj#>}<Kadctxa=3K6!}P3g0^8l^f#aZ~2WA zfuynwhTM)fTwzm`7-xPq3J^iE<*Q5y<DKp;9$XlIbxt#1)$e)TO?bghbA!(2x_ds1 zf`}^ohR%F3W8L#sF7)>&mGf<f36fKR@J@GQksy{jY8dAUDxpv<H&O)*?)?yx?4_p~ zpaO$-H^8~CT7v0o{jCyGu6uNy1W~yG@HdV7nQZ|er~+MNvA@~kYViEt8RP*-@W|)n zr*pe5PV&PB(6%>I2nh!Cm!A5D`PiX3Ge$<|PIDR$(KJ@(ue#7Qph|sMFe}TAFMike zrB8OJS(xkXoPPEq5q$-1W;q?2WUCCw>io1nNoF}J*_{zOThLkW{g;XY1+?kn!NqlY z+BUG(VN2pzVBjVO;taQ`1L29Rqt55ASu2{e{flcxvlwe+I&B3nID9}M4p|7(D)u-7 zU?;Ks2$fAY2_@FM_{T4adv?F88BO9qXuJQ)S@!g&)l^i4zABs03mG0(kq_TtCgC1+ zb1+YF<0i{TCeYBVCdaj)A8q%Z<!%iCg0%_puz@}6(+ogT95OndiT|wrf%1Y*yt|4` z9u8<{xh;0N7b}1{`+Fb_8qAl1GG1yix>oA1Idb_Ebbi9}+*!%fH~aa_eZIER_xbLU z+ijmAy)UJ#v~&-4S4Kh;uV$gqgx_1I1(GPN7tYiu!sISmOEiEgOi3XPTy*D>g|7PW z%fK|e`(|L6KGRZ%UJTp^>HR=|?XWpRcwf%`xzU%qG~=oLd}MCzg)oZ+U!T+q2Jmgw z(<8Ri(`3@&TM6_rw_*WBnEvBe3yh2$z#K4ca9i$;9}K52Kv1r+8?L~++q>z0URD1m z;VfTr)gy_qRR<do@&s%Pz@Vyq?O?2GYi(xEbtz*N2x{TPZ_SbEn7PXQ(*uSLM*%AO z<R8r3%zphZ8ktxSz9q1eEoXNlUAJT5`NCq1T(r~RbVug~cgFXJbk;-T;%=FPc$JX? zxBC-++AZ%qe0LJ%<2)4()1~}B`D6$9pU*rV!94i+IlI2T<tH1mju0~>ae1r3CF$-O z;vQShc5ctRyZMgHPPJTkn281^`mt_3<^a!EU7Q(D*giUh<{xn(PE*yjIfMKn3oD>a zJyJ^`A2mIqJ55$Q>PqmtQ&*<L*{gJ)vA07f3HVY7%Kq_ee$TFc13hyk7`<xT+}JIA zU9%wkS8}(NhqMoN2lV#Eb9`^imQGfJmcM)p=|h9;(PL&?$XeJe3uCeIr8ei-l%R<! z!o&SazL9y1N!>I{;d_NHp5JG&i{;k$2rV^)7es{R|0?<>nJ!UG9#C-k?4T3H;TI>f zN>Ur4uun6<k{V&$$+JjfFjMoVWU!`Wgdm}S#NmtWf5Cu)r1g2I01P6$ltUB?&VUk_ zrxQ?Uf<cf-6|w-R@BuV&0A#f{83IU;#N+qGvo6EdQT)7Geif`Isf$k#5#1`iGcq1C zApTWt4^#ghk6Tm@u~HmAL>I@Q$G{U#n}Dy23bQ?dr^^w|Qm^9a9^csvGBBbz4=q3- zSTToiGlV)fV}>L!YKTxx%+IB#N@(|i^FjFD0RWVNpn(;f0RR%;9dT%d?vHSwnHp9= z=P#5i2SG?I@DaiT0t*PTNF!=qk{TnA<aipOx@5T_`Dd862LY`)UfScmIJpU?MKKK| zsI}w+3WvsNFKmPGjv(JGIxkXmv@}gqgUpKBq#i8jK#}(kO=eT_-Wfu?xAzb_O%s;d zjf7PmWmdWO-^_~NRfxP+9~lWmb@bPDf5AC>cdR(Y?Q=mxsX&PFqH=%{`n(q`)BVlU z{YmO~j{QSx9tc8w&{#alSi&2hIrA)_@+^#nlqQ48TpcrkQ&Ip@q}$3DnGC|on^VC> zQ8B`sRLK}l|F{5QuqFDynS+RG@oJ@LYGA{V!TZ1ofN1^kETLIkT)>Lox;zB?m3MTu z8n_^B@e1bCFT#BQAVe@3ElrTeU<ve_%m*p5Zxj$r&zXhR33*FgOR;L4yj0?j!Q4AM zAnP2H;lx5s1OPbkfd?GrV-wX_Vmh!OCj;RpUs~Zg{P|YURV5~w&61L`%DGs#3wxXZ zh}O-Gnq+2;!8uLtOgA|G5KlUqN7Wq}IcWe0f)8?Vzd^7GXq||+q^TF<R06ZsIQ0=u zV<#S4s*6j)bWHbLaFKegz1OxOs+pRTKVcoWuK;At!>6&nUErr|&px*Vz7S9Pu+RNB z_`#<iwlZ}h+s6rQgZOv~Fog=mzOL~n2-|CWdO__}HY=B&E4ccxb!aWYx1L}zOoJXm zK4Lzfx4E7;7EeWs>oZ?+cca|6vZrELH+lK4F95oamwM}NQ+<`=EK{>0um3Ze50@lz zBig+AeaM;S<DQONPU7WrA1K9h7c-*ZL+#X`@L;-;|M$|H5OFD?6=M#cxMdg`??T=; z0viP`fk;n*=r1)Y%U|TL`|R?Zt(Vba{lK%;BcZH!7rbwx!fIdKd;+ICqr+AKkug#6 zj9-R+&E_6yP~5bAukk-1-$38Uq~OY@QjC$UyBJea`!gBLB3+Z=f=tt}l9LfU{;uB1 zr~W?u`E<*7epZOhh9E(cwZAx{kv~|uTII_&*Vn?R+vYdA?|{{{-a<w7KCMlc?&5br z8Ef&@%MZwh$@k72r1b*vY4T!U8KWYza^>0%Wv=?=aewx5SN8mO5puCo?HYbsHdfxk z%<VbIx3R-(gIo04^VqCRQ$Zen;~6ch+pwwUACQk0u3~Sp%K}C)QwvX(WV-$6Wg{{f zE5Kxbax}wRv^CbX96Idcs>{NYR3xWas{6_<o!rRD9JBSdmvK^}w&X=>xYtEWgkRCQ zVX94GNsv8D4%<!F%h0>-3TE1sYQ^4_%WXxd()|guD1(zpyP>lwR$S}v<$ei7lR{h6 zcBMMT-~Wj52`K*g4-{Vwt<pe4?b|j<{N9G>6H2CBKONo#_I2cUQh=NFhbcG4PWf)@ z(di(ySS!{%rS6K(gs8(uMFXY&3Gw^q^MTyjv>>+1>K1LH$n)+J4NY;KReCY)C`Vwx zf5Y)X%$4aEYzePs{+9lJ88HRGcmwQHYYby^W1sa!ne%s(XJ?*nAg8->VDerO`YsWe z-Q7^Y_6<?sBf3LhT4NShQfh0;W+Z_uOJlKveO({Y16wiY0>waRHYI$QTkUVIdK|`8 z5j{GX>ez14?Fn_LTCeARGxu4Oe6WsYH0yW~9C87>l%ay)X16??YTw-0W)P#xhH6`8 z`EKIBbuXg{3g#t6<iMX5^^Kw?@DX^J%$DhmhbIyO+IX4Ns|-ByQ#2HZFFeF1BihWZ zMfKnZTq44ZAm9WyCG@&5qv>a>hc1TOp!{$HkG6hi7Eyj5himN>P#SsQ>7*CZE2DUW zxwLaz@L>|H5$c0cj}K03wu6nLOu@jiU}m}r#b2N~qUnd4tznUOX2OoPKaZOxRCZZk zk0Ek?6Ok5j&4pPTf0{IA8~#>b?@>?(T4@fH$NzT_pHXY8jA{kanrJaIO)9bTg}NSr zq1FH7_(<k;AG}U!!9ZLjnsx5785B>ELkQ*+?GH!PsFhu7b?Guu6Fw^bJB%-$!t{u> zKpSzd)5Y0iwxgQ|dRth4D5~u2FTUT$#cW&9bVyoE$9@C&t7t`tcWgr9sh+_b``S(E zUnkQv6QP{Gi#gy^Yv%E9@B@YTP2s!+@)BT~rvY@|d@g=Zz}WW)h1Huc;>LjIA2mpo zoYxbI6vpDzf?Y(aD{>a#(m}Oic@hQL;qS09DluCWFd|6)4*UVMT-_#KX{ZVrjV<_Z zUt~YlnZ{kGnbxGzU%~*7KP6Py>@gPJF=d}rg376Rig0CZGG&dpPYu!AtHaa=Wts8` zXc*rI6PFhkN+$*2_=z@rK1JbOZ2xy4AJ(0xiQKl_EqnX<GK$IicD|*jjZ-8MG!-z| zj`@fDUT|bJcSit{L1M@MD=9kW|5GVCCh`9O`L<X8Gmy_e7I<?5_9FhWQA+*O!<3Q} zZsyhh6V#RwaUBTAQ6fIrb?iSX(M99C*#BE4x~+3sA!0K+Sla$N)GOF6&;d3uf<|`u zXIeRqcL+FJtDO$mz^0O7aylsX`fqo^Eoo^J>?rLh;f<pA2Z#HnN6-8DucIfh74TLk zSI3|&AJ|y9=)Pm{0nBz%QxH89q3wK|bga=9+!prP^Jp0pZUDN4O71hZqvOd-1PDY3 zJDZWPJavE>ZGad7>g1o_QxtH?iX>kX<{JRA^pI9yA3Fk%;WDCvon}M+c#0IJPW*4) zx!8o}?mew5_5Pq_+BpR$u2r$Bi!vxwympJXOC@PiM(Go$3p5N0V6V5|n5zx%FSx}Y zam`AYTKFVDL6=kDG`%quCU*(^RG~<})?SJ4Nx-hZ!0rzotqgtNxw($|Vw&x6{eNFw z`0w-~x2FR{G@q)yg~VG((r|U6{-&4WJPAmkHxKRs#1s$PcugQSnn)2d#+6<{+O6(8 zwpJz!G>F58WCqUplFEAn0(aX{q0g705w5GmyaCq0IW@JqXN?b+so$@NBLO?yT<NxQ zqprIY5Ml7RZuv&EU3GSU#J7(P3IMqBuKG72x?gfp-s~w%-m-eraBd2melYve3*0Na zCQ2CulKZT8t2v|okD%E;pb&8{%qrFPGQ7pfD(cVdevXy&2JW(R)w+*}uOC6^{8ltC z)?1H&^7+3rqEE5^e_}+JPt+HKdv@62ar)1U=phA#|67dco&Ot*=;Z7F&WL`Ma`|5x z(Ww=S2QiTy1}Yjv=8iVj8pdh^y%T3!nX4da4HP|f;PT$`Mf|BQlL0d3md=sF8D8=m zo09W{IqU&Y<H#B-gG${m@Fg*T=5aBU8l>A`zh8Ji)J0>{c)d;imwL33WPd3jBMdBn z07S_3#vKd{|JNX2S@zxkzjWv^b^l(6u2u4n4xPzFgnyL`_MA(i|9?IA#E*N4Br}~{ z;mQJ-U%Mapx<rMAwW>sE7WV$un8c2OJT4dIM(Jrlu@J6hQ!5b2-q<8f?38dOZ7W>E zmFxc?Z}<myAsV4C62LMtBOz-9%-374G%uJyE;vlJxi?5Pi}HgAEjYqEhw>}jrwCJK zG^t6ZeM)w++KNSoQ;ZgrB8FP7)zh<>$^nMsr6gX+F^YU^LSm_EJ=(cnFV$fzzsj$7 zzFCU6eq}c>i|AeyoF`t5Jx-I4v;h(b4l?jJlA(z*(I6bAxz~p_1nAkDfDFNxqR9;6 zPsP<5{a9srC(JpVjEu~OP2tu9uV6B-`qb1`d^iF~0@`6&i%zr$NQ{>7-$9!cnaxG- z$uB-m@1dai0(nU;M)w(w`rZDeGoSg<ncx3QXHNWI=**3*@H1@kd!RbH*?QCwd<Ahp z{>8jmES+OsyGQ^ri{L{0k)q2#Aw=hL^Q~I20=855$|63Ky!o(ZoLC-7+wk0boqP_; zHOIb=WNhu5m<Df@bJp7a0W=lsOwU_=k&q9IdsmH^1bZzi1*7VnqV*aHdiar;^hZJ$ zdvM1Tdl(tI_f%FC1Cs@@NnA8X7_hpkD?VAN2%qoHq@t4>yYpx2I9AJA=<<Hlm~Fj2 z271ZQp1}agsBwxt0_@F47B)POkbiDTw20-)2EmeY$p)?AFv+8Vn#w@-4iY?gEoVpC z;#bg3X0P-E$j<}JILcK53mOTw@}1(;*qUeKz70HD*o7q3)Efy$Z+`94SK{=fV155X zmZ2i`<Ny)i@Y5Ek#jvu)N?T{*)-8^zQC0l`*r`lBpRaUNS9~8IA5~GCE3B-<){%*= z{$uM*%iG@FKKuA^vbY$HcNSh*nAp&O%B(}n`U2%qorC2aLbEImTMex|&q=H9DGQyV z`wl8K#Lb1GGaF9baN(93VnNIV7!zCbZXZ_mv!Jmx-9iT)Bp@Yd|AT!k!q$w0@}KD# zowv{Pp6WeTtAUHKf{7egJ!|_fC??+KJFRtew(`BMk7E|%<zlWpJM-&f-`ZURTltde zv&`vt{$Ds3D$v{nz`==ii1eH!Fwh6!GnT4kd`SY}C>7<L^1OeRwlmzctf~~e48*Wx zd46eMYdasQrA_fcS;Ve7C9kD62i<MVed;1oaG`>*fICV)8nkz{3<Y<xL!7*PrAhu) zL}kQJZUz&q1XpFoIH~Di!Pj+?{o%fOnyssA4_jnbVyC@STkbz;8qjw$5x1m7CL8K- zKT*pzzw{ajs;ZKN(m1liq+1-Jl>87H3Q#8*QbTphD|1}t_I6)kkssOXjye9duAxid zVC3ktE8~Kv4I(>ztPw>3N*t-5!hE5Xu@nk$zUZ;5+(hYb;4;NA`Y;-1;KIlGuQ{bZ zdXEug@F=S>dOHeg5VDbX>W!c}2BX3U(SDNjJaadL?zFQChy5mS=LRA{3f|&ItwN_s zu_AWOg^o^croVh2`&5p_!$vq5Rt*&Azu}zUy5EZ!`bq=qtg{>7yK&hU{(jaf06%oQ z`RGu(j3^XWf?uBFU?tR^=odcF5trK&Jm>+NzPwADIvf`-<%`MN%0e<7!cdO=_eb71 zho2>g-!2#`T==fa9mF~d({FGARa!fhE<^{Bl-1|WL;vnX+T!KYX!vADYEB+VAllxR z)x+Yxx>C-us`}SSfdN&x1t!Pn5ljSHFh<J>0qlY6$-K$9DnjJ>>@6V&=TBS;Ys^Q) z?r(=ZsXP<m!GvkSzhq(b$Fp}(NH_^Q`{0@5Y+X`ULO0}he^slJN?5BMYK5MqXf4ow zXW^n7C{t>rNl15x(=m`w5fH>(aJwPUK0GQcK3C+bN{!A;b)VqtboZQ?V1}7p!RiN` zu$mjL$i5j_F*&`TS>{)h=68F)t6snaK<Me(Brf((r~spr`(1~KRZ$yn7h#Qt4(Jt5 z{R;`2E)YG}j^}?ngowdYs}MeySd|0lTg4)41Q3U-o8HCiUGblQu4GLtXr;cn&ozed zNd=7WkDM6m4OLdE3~5Ca>|8@IZQ3#p?dH>EZE4yobW_8uDr8G~IT@+M)sfkW8L|l} zw3;}<uYUjMf|=NLR<+}aCC=?4lXuXp%tMk}h|zL--LAY{h;RecRmJ=MB2-umWozQq zH1#7JyJq>U+KIU>)jz|?w&G{FFU%|`7OKrNj!Lx)7K_#?-K8o)VRxWmYh4*i8U!sH z1=YA-h+11Sod(w4znExQKZa}>eb9Ho_Q>Yd|1an6L%iz+gi3Ded#D5y<?uVg1W1~N z=#im6Do5VkaDTtuQr`hJYsm$8`RC-4`lY@3ZOQmCxQ6Av@Ap}|JbtruDO8r8eV=OD z=zQCu<{mD5Lo0h`6)*jI{oisJi|KzPA=0N@CC<1?Z-0i9_<q)f`X(MP7|Wy0zH9~Z zDV&YD-J3Qz)dHdC;sUmrb*_iA^dm3(`a3EME1%<p4D=I-56-tR1GOGX*y~>?QOrLH z9x|iZ*H8Lf`G`3Ro3%M?OCAqw|KaSR-6A`l5V@FbH*9(WwMH-tr+P6mjI8{>F$CDK zdt9}?gse#{7y94zw6r}1Uhe8{hp-A-3P;93k{Kg$vVT?%o)maC9MwI_HiQztU2QtY zsxgAbFPu*O-<iW(v;o3SiNi-kzVSsiE7l3e<T2Ifn;iDBTMW6~`lnL+b<X<}q-bfv z4}0kaAm&7oj5iH;Xn~>4d?obx#wrpk>Vv)u={blby_ZZVQ#Df@A+={eUxBhknHto| zrC-Ny1{Ug+vANSGl|j$cmn^+Jk-LVy!Ch#~j@bHp^x$~rXbNKvJ9)|s;-%31%hrmw z<XvQRI^W|hTFIm+HhgN`3$6;!(~U-dhy1~RNIbmcI8)wKK%t0wC4!RRi><#BU<hFZ z0JoxVqzsfMia$lfAf_YSZwRCjN7&Oay7?0|vEx|D<>0nhp4katHES3PtrKwhR`LsD zazZzV7T5cGI{osJ9Dh#l^Sl-;kO0D(m6>q%%K;krhiWjY*MbQ!R^Z^**Ms{VFuQZj z(<21~YR2yj?GJl;f-;I>u%0ozFeDpXbs}J%8_-iRzl{(v@aeA>d?U*FIZ;|WF8>k~ zJM4x<GVtbA<0M*rDg0o#rtZqXZuo8(ArnTSq6}f!B!Iw*_nQGopiDxrteCJ06(WC% zyg-$!^(K)%9%AMpg4@3Vf~6k+W-hwLCjJ&9a5WA7NUYPEBA2AM$`KOsc_bM@6fQ|k z9hs0+rq47WZ_z#Pl*A*93!RNshFzRoZRKIfqqh%&A0IzgSMfGVR23Shtq&J7_Qt6t z6HkNM{(#KQIC>`wr$yXnVK1f<IqI|(!lnpwccn9ZGd`PhOhK~Kh%zSREq{noHU!*4 zXJC)z6jX5<K|(0*aOBAHvVDmP_aR0ukyvW9{9{zx1V;GhPgvt5+byxMB?2eA()=6? z^XufIbZb^FeE0m<8DMgyfWhyrTNB6RYIMqIDm8vf+L=uZJ5gcBri0lZX9Zcx;ro;e z{Lf1yya5qm>o4ZTO5st`gID6&bEY__WO5irkoe1>YiK(jN-)aa@JztwNPBcZSWH4W zVV$C}d`DC}Tq<t)+U!;1K({iW+CO76SOSJ!5Qd%1qF+)LGm(wIl&g=bg#{s?+D|zU zd&C2L?OGvvOt?>7nsv)G_o=?Al#lcCfLVN;3dlk_#bHYw9@};0fbvNLC=_Qcv_auL z77Q66R%*<MorK`D42Z`hVOu}Qe2<K2RFB23XJge$Q%|T^uH~1)t|JTn(lWT8kdSu^ zE_XlT!HjI^R$V_=ho5|1cI~>WG26-04G4atDf+6xx110=R{qU`nU#1hb8-nJ#K<^c z|DCRGtsUDcAFjFv21)`ZBm;yP?^&1ssga1SL+$DOO{Kx$4XTqFcZ-|V%KnK7mUm5$ zlT5$B=q`0;=pM={S>6~bP`vwXXdCYaa03cxOiKz;rqlQ%@<pkppHuc*S8B3Eezj^y znHbD;%-`T+4Z~OtxXWJaXSvkQeU6>#7~vNb^#6I>l)pbO#pf2pYO!|&eRH+sc;QrK zhVFA)gnY!g+Qx771NFU&%;E1l^Nqs$*>e&ifS;yz3CV}v6%609mmBZyO${L(gMye? zHO~`1ha)?mxZ|M#B5!SC3_qad?ds-^)s2Om56L{}g3Q`R)brZe6ix}-@bY5A3T*K) zM0R`a3Ru>^ccXH)o`t`9lksdIQwQ#cL{NDALU2AJu4dUaWbfxD#n#v_2aK;n<q+&| z(C0S39mP07JNI}8?0f*UlusB0fxqd+xeDK&IJv(m`jdCJYEk3nS|8%t-7~QE$I-`Q zi=Us<&&$(Qu>>ntUjXqWb^?kF_S45|R!~KmkLMH7Hf|i&a{!wKB{oHWS|Q0@;5&@m z8RQ9AdUF1pZzP6dB!e*~I=G#5r3M9z_3Hbkv{D!8imk#i-xSGuz+{?|la4$b6NlK< z5SNHxr5Pm@;OhJ3W&~wZkop!Ok1iZgE0i9#L4RHmW1{st^7*)Q*)K9^Q?$K#n)vPA zDUZW87fhwL03D3#s>kc=i)IqvyP7XIn#YQ(zDyzWmr=lSDMPQr_oiMu&hXLwujkpz zUBWqgsAk2GpXah~dry+d9{i~3qbci%R3x5e=1fm(n5dtc@5|Btm^k%%3xH1}k||kw z*>)PTwERk*&?`lbTdIidKr3}JF$V}&+mODf_wP7WZwynLm?Q45nZL>&MV?nog5<#d zlMCm<Hb>`)_&ybpMRzM?0hd2MaS#FyjS#UKZ!ff;W|U{yuPuJH>ZvWa<4mV)_|lOs zH%7GT>%{Y}(`Nw{fgGOEY!-&u-3v4}*3I)N4|Vvc;N43Jn~W23Rv;nP74p+H?-h9N zO=JrG(Vq<By@dohwcr{$z84MhEdFzq5U0tF;v!vHCiC8I@y#1z+sFN{3Ib5?7G~jk zHheR)h@DLAhAk2RsaZv-m|6rT?!{Zy0asUN20VjpQkeFRO@J_UfvQ~qX^@tM9FZ1Q zgmgWqynpQ!ww`n=2Eei(H{WGF0DvC#m)OK|>F%AQ^e6m%7*~&HF8DGT*S|GeR2S46 z$iuJZ9H8djZ{>qHcMb4-08EzV(Z9~h%)!Lru_aQ>;E?%E%{V%JcY8Tz10O!qkx;o* z(Yy0|kq4|zcm3tr{FbI}Rx~-?o{?Om#`N-?D&cqAfSJ}U<~h-G6XRCD2*mX`h=go0 z$$~3uK>dzQDkv7rT(H==f)lTm_p1`n>nPCm$nG~3DgZ67<QRnqZN|GLK#2Vn$K+}a z&&Yfg1Bm+9`7<EuJ(KVCv`!97JgUN45Vwc#qMn|PUe++GBgov0=jq_FSkwnMr}I2l z4=*?CmyaOLBl=(0C`1iGi)D>X3k5g38sA_?&+PlWd3Cp&q%}kkD=Zk<?nLa+SwvJj zlx)nys(GJME5w~T1!o&@sK(7bw`=4XG1I*6gDy<WotwLQaK3oHgNldfRafiY{UE*c zxUxW;#0)Ga>5{yi4j$_XZhKldEBM^ZovU@t&X>KAarBqJ=tjn{pFHjg4}`^np;k7u z0ro*=V^icz{?|#yp!TFl5VxrDAY}PFQ2H$M-|>OVl+riG2i44KS6m)HL+&bv%CS|8 zLKd>7+{G^#H;^AP01tc0j6*FgJ`>+E`#1gup~Ftvsy)5~_DIeOb81u;t060|A+wMK zgQ1dRulU=qc()J<ei#AMeas^KVbh`>V&YF+jZ^ym`llN7mlW3L9Tp=wH}^H}3vK(F zT@tp|Tl9~4aXjU(?v%myQn@`iTDxPgt2JZE2^9=o!CN3RRLaG_-B5hwt-cs<NFwjp zok7yLFJ%P~P5rHCVB+$V{39w)iN|ZVv?~#CU4#_OlZYe7*)JX~ntFGLK$rxKJe!9l zX*#2-z6x<LoZ$}0IB!5w1~`R5&FNAblTRQ>WW1BOsD#8mD|FO#-}C1m6!3tJPrP7; z=qSaM7M@mE2>S_A2_my}A-CW#y+kMx@hhOY;N>qgBIc~~%SQH2mZiKBI2Iw2>SD6= z=D5o8B_GsWpO~u;29a}NWYhk~$6vp77__*1&NT_%cj1eJZsdrbAbixS{ljwbn36KJ z*0F1sR0%$SdWB6=2z0*BW?{D+=WxwXuy^JuPI~J`+>Sty-1^+^auL{-O(C{n9%a0J z|DgwvuB6&&(H%QR!09+)5C||z+dOI@GF;@Q0#(nBWLBt_hpMG!QOc=GghP}Mz{LLs z8*4p)2}E3?w;hq0*cXXH$vkY{)GR+lQF0NW_H@y3g!Cu2o~Jmu3IRs6<7{Zne=T5y z{-ropIQ73Tq}L_T8}p|j>6`E{9P$<wNgjA1v%U=|D9bFn&aL(wdYBv>wzd)bq{TrI z-sR@|s3X`YoIywH)MlhdA;F4L1QD+rO@W}&6^BNi63X}INHl~P0QqAqyU}TmieIhQ z4$6pvoR|QqMuZU(2$+vF<c}DVWqdD_qn?N$iUe}!jDd_u3Yga>B;g)fsVohgmk?zt zVDbh%upkF%J&MJJ8Rb9TM^A_e1tFGaL<;|;fccGN78%9tOX4srHzJV}T*&nG%QCA| z?EcF=Jjjj*@73h%K?2`rfMHD7PI<UTJU^N5bBY5NHcSeKe1F$7%yD3sopQ7OO%4yU zkm&<yUPX?JH<U5qc(@FvXEf?|kl2{(BP`gZwlyLGXtaLuWMh;ON}VU~9MT`Me}Ewl z8YEO<#qiimju7j6A_06YYd|R1bfSDDku1?G0eGIuD|ByQo)QW#$1WWnURUENp7NAL z2Ztu$K8`&gppy6$?=T3AuDnzsYX1+hBP9q-sC29T9s?rzWTO6_$M5EP{k_)^`IBS_ zH@lrw^=M|*Ax8(7*WH75KNrv0$L9zKzkx%+A-Oh$S@}@odt{C{UL8+{3=_g_{d*@i z2(X|*s0n$+ph>BDf<fwU`Fkejo&5UcK@^N`cq)94Hagmw@+h%LFM3NZ<Y2^*T5}JB z^xX7N=t$oC`ha{5qZP;mfY3~Az_|?&PV*No$)uoAV6>v}aEE9Zb*F@>8_Wku9eonp z7?4p#r((w!r#|3ND2c1x0g*2<C7N2|U1vYT4B_9Ft-s`J1~4vrqwT-zs}F~-?u)_B zadSgKdi|8n?L2~Xn1XcZY#1OX5lE3CTLna!#Sh7LnYEMnNT&U@<Ab8et=W*aEk3L0 ztTa0kL3m)q=@4oY_V&7&PU=4we&pmpYKT*3c{Q!MTv&u(*-@z=L7d66X%n*0*>*Pp zfrkZq$M%%gfX4YtDL|~cYE*swiW6rjza=t|YfKAgqm)ZRcsXvZKYhYzPU!ra-cI!; zb>sOdG8-g)M8?S-ZyFC27Lub1{urb9kQOSdF-h8x7B2h@Bho^apJ9bsSVkT1<3d_r zQ!Vnem^|9uj<l*4e!=gN#+jKIh?dq?h|+KDBt{uLb`+xskvNH4j6n87+Y&-gY$!l! z=>J?C1!8tf68g70>w71#i_<_&uPCVFKMnu6R3-8h`OjT@^bFG{cmx`P<!VggaBnca z0oKRb&lh*X&u0e*t?-y~4M5j+h>iM4wnPKMSEIiE>OXbUa4loS1Zbx}TiOMTv+O0? z$_Hf3;$YldBAL9D<+XkTMg}v?^r7m=#eR&ArW5<65h3%91WHxf1w7%MH>->v(_=Hc zhqz2*^Ii}Ngue9CqKDJS?*{cDwo$7HoT2DCauP248AlkCAIceQ5+e{<$i;lr@MZ^N zxC{AVRpl%+e)|1Ydtm$!k#K?v8Y3hEAJt2JJqdj>6WczXv@qYs(spn1)FGd;^(>aj z15@w&Tc-+x0Tv4^8L0+pN*V4usycrZ0+aNpQJcQ>3|n_YbOsL)Avx65QqbvS-@vM> ztLZInIx488J6o&4!tM9^_tV_^dhxLx(@$8JBE4~e@|GmQ1@iJAhmJqZt&_Q3iEb+g zN44=WPw_;DX^_a;lQ=UI`hm~V*x2m?S#UeEV;DUd@HPPwzv)JDWhc0u+*6G_kGX)5 z2iD=_#&4OdJ6^$ZYA{+939tBZgP~xP^-%W?jbBR8e!WWFhUtqYzeM@+-#z6wdtjlv z?rIF@DOyvG9mv~p4O_}}ZltLaa#Nwy(a9#{@%s`Yd9mFhptzDOe|sa$IlQ-1#S3Wy zX;+H{IZsuw&4v2oJmF*K&ZRDvz>y$q4Uo8_PF?bW+sPCdhl1pNU=hu5sssALU*Vz2 zM;{nnB6k3o2Sz4MoDl>2WlNd=LF?Vd&meAp@~))P%yChxs%Yx0&}2x}bfohcf^;i| znqT1@p%bs?a8tSED00q|NYcfpnZu<(9aaJyD&w=gKt0_M%f2hDI!jCzfAEtkBJzi^ zSW5S|47G0;aH~(Lp|f~pYdbkxoa=LDc{|p8<cU0+t>jdR)kj-2N23&$Kdn*R&mC}^ zs9t4^-X#vldtDd%L|(mFJK1XQFe}tJwxmNGiby*D6HO3oL7Akef>%r+2!UW?9ghP0 zV`CfOmB}Ra*ZSQ#59ijJ4uR2th8?gpv(e_U5&i)L1FaTWcijqDR^${n<1P9J<}7yw z`_waTSE9_6^gwqvM)QvthW9($R^)o9+W9L=l{wnu1C8iXwq}w`s^3FD$$2_F<<8#B zx@-&hX=dE<gfs*X>6gwj*0d-KB9w74(De60-8GI8k13J-0Ay-;A_XI&HazDkkN=#S z*g~t>aB5i%86zM2TC%gO)no9PJQ<f99H#v^4b^U)p^JHqK(ln6B&T~+H~a9s&zTPd zi4pakz=TA4Zwe0;5e}=aP&lkabZpXBbj+tto1^P1aS}<}Nmj0XVqCA3rit<P(0#0Y z^!PyK#GQ#!mP@eqUFM*522cL$J@f(8U8KmWto#`<b9GjnJzX+jsjW?EvY4`bf^bm} zx!uhHkg8?SXveo`Ql4l`Y46Xv(!gJhb{1et3Dm%^V0C>w{K^@R!<U1b&)9!L5;w=q z5p7R*S0db{iJC$cySs67UdqWR49Gtb>?p}sr?2k~veyrHdxO(zXwvx%tOD13R6*c> zQMRDV>`yM#Jb_y58bwtMGfN7N0jts_k3Y9#J(tTBsr>t)Tcd8!Y@4!l`Sgka>4&q> zM58N+;c&1moqQOx%WSE%H0gbEtX={D&%`=SEC+hr!2{%HS^{f5dwUY;srvV5=97=y zitBaCt<|8`Q41%rRknOdOQZYvs{*R+!tXBDndLm^S(huThda*%6`RNjd!Nr(GC>0B z4%d9F5_qO<7CeBlIrkZkLOv15G|<4JfyBwvs=+W<T*!x}csFfINdPw|Z2xG1CWq5l z69+b2YGPPD{p}&Dq$?0oqV$K_s2uQ=f+Z0Erp+qyQ=-*3?w*EL{IwgOy&d)u-vlIj zq)W9#2#JEX8W~N)l5fXLVYSL0s`Qtx-@WAXvw9A1|H$b>LtkLTPo|B9!Y^LgPc2_Y zX43R1bu?vb&2agWBd`iJJGo<8Edy;@GO5ngWRcMeP}+`-2@ps^7lS|p7ZJQnBMNui z3=&lcnJU1xF_A3}M%6nR`dmT#(D9k(r?gC2VpU=s4S}J;ryrR4!5Y<g8TzCgn77X~ z$gmb`1&2n(<Ya6)KQ9NFi)ENhL2&#quNd51N4K~(K`62&FVj*|aAbBaHByyT;M`fT z@nx;2ytvV?HZ|_KfW|ez_0bkZuVsCnry8Yc;!(e<->KhTO{(f5_e?6DEd-=Hi@aUz z0G23)sHpMz@1y6<dHgbVr{jTD&4^ML-d%=eoz(qwNqLoahNKst%p>g?>FMQM0*HGK znvm7dt@2RaZ71dk;Q%$IJn#^o_*iz%Zd5ejo%q?Zap1-xr=nU4wrt-V3fV$PxaQ`l z`~G9M*W9&B^)xq0GGjxGGHXmtZ5}s$k)7wa4CiOL-{NT(F;2#Lg1oW-bi&%!Y|;Gg zwGpY2%!AfLzzE;HY}NGiCncvE(Fj)oUveS-U#gUE$>U9f=ZoWdAV2&Zlz6Y~5*>Q7 zc~1l%(Db>;vFIyu4tknOkHdB+8A?ct;YbN3JVRK_e&}%qxYyRmF(f?GCpw0F_M$yn zqE}lCoivo#X!Eh(U()BhQjY5^IkzdYLmld9SB;o~1s24U@Y{OiibG~>{MXK2W~pNQ z5^O!~-6(E3wp+ZP+s4TuRYzUtQ@_-@U%|`1;|pIOE#zQeQ<=zx#>q2{bX>Cm;c8wb zj$cxFCOgyum&%XS&v%a8b<VPJq*(lxIrrHQ*sni756leqot<{7pM_@T%f9AF*Q3u9 zd&XG!+j;ofPVUyBGJ3eXPH^ur2|*_o#}vTSN=i=;4$dC-#B7ZO?~OjbIWu}Xc(|`{ zK3USYaje?+>3YiZIFDX(Wjnl6&{=vo_$TK-G<&(Sj?7YV38fq}@Uyd>Mfx+$a}>Kv zkpr$S`&E2zOJ}rx0~N;f#vv@D11xr+D38zsRP3`Tiy-GbhzQjpl>uQO&8LB`RFuTS zt3S23WAy@r#<u_TJW0mOblO(OSYw1fI7g_pEy^6Lj604p5vUdRQ^0ht4#w7752^%! zGk6~erJ5vELvaBC<9E^^yQ}Q@AcRx#{H<+M1H<nnV2aCyuZIZbuqM9|FrKc4V1p9I zp^GnXk!)pvambTprf8zdV}RkgLSJpK1cB8TA2q%%b8_{3sgi$X_}NglNS+-a+ZY>i z3Ib;+!nmxO=WgTJ%fzcRWWfhZsHezhi=nHL^X+!``-)0}Hh3v(=BNzl@fqUw+In(f ztpo%HHtU!Gw=vlXVIZMM-4)itJd|xVJU&=NBTr~5yN5mD4g}2PZ`2i9Yop>SxEUo> zKLQ*A;3Av^(kF#mJcW*U5zoe5$?bO>BJtRJOZmp7^AXC@W$1b)xpU%dpv*Hi{MKzF ze|`~$Py-e+L{@1F+e;!OR0RRCGg55J<!WxR6z^@4wOwrRZ(Zv73DJbugf)TUz(?*T zP?^R1?Q1rS>M~lX!2(-efjk^!?Dm4{nLNVZEg?|mRh+~&hWrgMuyX1wC;QeN4Do!x z<Xbbhp$<gTWz?Xdc+J93=i|-b)TH4ZlLi48Yr~GZSZ_l`JgUHcpTv=u4+CU`TYV)W znYhgKLaJDg;cq=<14N<r1w<ozX%Zq5BnPmcy)#TmFB?$=mqKAP=E_v8tHQ6&;5-mx zT!0umS1mdq!8759l9($wF=>-()#b@_N4*2Wz^H>1jah#n^#XD54L`r5TAguKvUzK~ zjNZKP18NiMA6@%wWItQ)Nj!0q#Vg{Yq*#J|O=|zSBm|fO4*{HFynchv$NjGA<>l}J zAhA$Hh7dM-Y9ow7ymr$cO=WE0qkyq*G0k1g<;Aw2U)R_MKP;>!d4ODEC22Ugm!<W` z2<-Pi{;BOXiP8lEy|ma43k{gg3G`^x^iqJp_rFv4<6z9ra+eD)M1$?76c&iI_C=T! zY8LyauVEhXdq3J+9|P-cM#lF!XC!J*0KAt00WKPQ{Z<#^6QTvt9|HpT-5=>TozU1{ zBBLnABBqocKm-g!RlbByRrsiZdJq%B2|(JB(^vGK1q=@$QI&NNzzdSVuvo@lqGk*r zzs6b@Bp5&%O49Gt%ePoO?<bCUqGTyYjmuys={viRaDg}({VF^2azPWn9=_A{$CV0r z2rwu%wdZEK5(3CY=39mgU`)W?M;8=;$%BW1-agQy0nNqiM!-Ppr>D1w=m`b@Yl+@B zE3tN=k^QQ>iAXQAR6jc3-E5^8S!Lc*;|c9JC(+3`t(910($zq-uhZ~<v+*@(i_;$= z-<-#%7Y{~5#>Vr59&j@tb|lXI1&%a;8((;q?F32;tESnJvumF?*ta5R*dj6A(={(@ z&z_-05n}@nd5>Tt=L9)zvHfZ9?YYW*J>J64^<M7wpj7V@;m(4a8ZoX0#ir!C@K$Og znbNIiN&j+oOuK>vKlxNt%bOlUd_f9}@Mrk<I3op9Xmrbn5o0!a0r+0>n<9Lw>psdA zg{TX>9DU5x7Jlx->igyc8r5-(uo=e#qjNlCzMpWYD?0G8)Zr-z^x+>y2F%6=Ds7@R z9<+^%>?Y4s{~NA0-WI(H@eSpnCec#>DT(-f`vw8Qx8<L>=I5i*f)L%7Ktuyr&=_oJ zB4$)(QT|e3Um4U5__{b8_{Cu*Uu%tDF~TK!+2AckE9}?yBaGcqK{+d;xzfofhG-RJ zS8+#v&r9~KGN7$!SP@CcBj{Pt23A9SST{ytdU%pgA2;RR*i1~OSwS^;xu*AxL<C(= z*1hCN72iPG8*@}S??Bqw&v<b;&gG+EcTnuYf;pqlNU#(&6|fae@}$K;sA&(#*d2A8 zt`oS*f0)Q-C9pgip%zES!O|7VFJb$I=aVK?(n6ZzaaExZvhWObxP{i_a`ar}fZpy& z9gii+Vk1@js|iDsr3X~=WN4<~mUc#nIuz~EE&$Dvv9Zhtx=}tN|CbAABZWW4y#PGh z-;nAh`grOiB%ye+;4IWS5ZAOsat6;4=Lm7+(I<2{WDI=DPi)Nh4#&f{yG}n}N4Qk1 zak=Tb1>4G^8TbK+zDWos4%`kuBh{<PZ6kD&ysGJ}7SYp|(IzN72lfwVE(<&Ia!H8y zL95?0vc<kNl?qoo7y?f9uw;Bpl5r#InliMwKdNX>=s8y=|5KsfYPtp_h9gjEDs1B1 z)-N`mLkh#%GUz%EzK`1z?JPM)dXM{+;|G}N047QA94Rs+4`7eIRUn~+j;?EZx*v}D zA<P4)fb+?m5hqh>uujy0r>(oYb81)~PHfC3-=E%#WZ58snv(}2jFsHc5pKB=#j{}W z5_IqD*iEV0b_?3`)b~Y0bMvLvz7Czkj#zePkVyh3WvINfEk+?lu!$7P0QfF8^y?6a zA<^D	pi5M$jS&>O9GZ%GNPw8^iMtX#x1^vrm{0p)}{SvOjTls=#jVi0)tk_32-5 z@v`i6p3Gq2(#PH12zYp>99&5}T&9snryRa>h0nG_I9*ZD=9Xq=HlDrf5TLbDM#6H& zoOtu%*lU?T;fET-zY#an+@ImTpguPeY=Ms-Wv#F&*Ee*aGFt0wAZ^8cfN`Kb9IZAd z&6#vqTG_#KbtDLoJFM=fGH%+Gh@=VMK?%``AC~hEWyOY#($|BL0x*2aCT#tw^_t^Y z2|3G3-5qhf^bKJd+JuGw0*-v3BVT{R*<9y9v#wT$z{l;T#l3d~R*RA?q=)S#KN&y# z{x(uIyhjM56XszwtTvMag)N(q09i)iMN~7p?x6Q#0_d;#*)69Zdx6ebJ<grtRBD!f z^}JPF!Hmu;0)!v9Ol1kvAbM4as9ecNIgY`}o;co+zV~gDb`S6<!Ju=VL<9lsvc}sG zUo#+chn)YAz>B)vwMGGZ+r47MbZ7{G+)DZJksdtWuIv5FM+zJ47iK1G9Cbqn!AxnP zBLu%R(_xpoaORiH5IxL>rujR^Jk`I0y84-eiJHBcnI-$Fgtaeta|0fGs$|3TY2to; zHx!tPo7hWWV*2T*%Y-YGxz&%vFhDCnX_PsOd)_LQK)vlCQsG~?UN(vQ+G8j^bau9u zMes*SaZt<BsIwjgmyw6_Fdx@(FryxoP&JQGb*y}<=v|lme}d}`s&_FI@z}MubiCi( z$hW0)s^iAqaH(Lf>~GRz^>%sL#gzPX6skdB@f+`EHBeWP-@+rZx(Z%iC$Iv3pVuI| z%V2UWEeN+Zbfi0&HA{UPc{8>jj3hjBgftl6yV*Une^A>*0D3nyG&r2kW6Rv^f1&hf zZV7#hc3UV@T^8~evbCh_M_~Cd;skftM|HEdH7Q{K53Q(_gHphntcuPJKnz`r)QjK4 znEpfhK`+#H<(^oTy4)g`k5CNv`zPPJ#p%2&8jK1T(r0e160Ke-E1{t#nPC$NjLaK= zcGW9^ImC}57)?#G8!j^tT%Io*hRAmmmv5V85#^Re#Ue2`Hdc=Q-lbydx^aDSy_^i# zqMObIljGh+1D(gekSSF77rCxC8)+EO>yA0ZuK8q`uw1-wVQk)=Jsm@aAyj3fggrrg z&2|bx*OB*wVm)%75InbI-%r4wA7}mQ-c=p`pj}C*3iUv!MycO;_kWC0VH(feZ5^%| zPhRiVs#<VPTyPQVkq<wX+x&bWsH-JVXDB}J$|<4d<0W%yHgp^j<B~Pr(81N3c2Gz} z`W*X&g349mtO0hy3-l)aar4!Y?0pmYiSZ<B`#P;d>XH*Kv>OK0$QCA_X>)$<?Wb_% zjQUJ|SBHehn&!GLlbz<L*oicL+#SVkttYQAYl2*qnC%u3+mQK=_sZ8**Pl-heKE_U z`gN38dWPmX4+cXMcS}Y=zwT+Kx=~qCLJczxTN}%(>?(-+lEtP{mF4_MKjZSn7xRBA ztl&~ykyhwt>K*jy^YVi9W#OCG{LMO*<mohVwt1G58ZS@o$HNjT|I*&&F28NP-^T7F zXX^yH#$3I+6Hfzn@jab8t?h)$LVqLqPaTfhlfS>6IbE1<qUIv=<YgRdx&QCJ1?n>M zU60<R!udcldfnaaMX$cLosa=(;CfJS*RyVyNo#hl_tXX5^BkuyXsYpRXt}jy=}Cqu zkM46Q9M{*JFRZZ8Vta{XgOSBeVa6>VGwYKxc0SOS2JNO33M^rGZhnNd?f)+J`;w*0 zauxo&JblnxdSUnW7?!?uz-<m<U-ulG$X8qOWJX&$A7VqD!q&c!()CgISHJQIHUVy^ zJGUFlhC1MmxHS`Nw@a(XZ;HOJc|u9F%1iX=elK>OI{V9V4}-Md$tW|M-)Nlo;@QNO zXuT)9mi(VksfoNNZsRoR6+D%Jw#7`!4;wRp3v;C^zsc1uUa0+FR(?TAK?<;iv`9HQ zrDy+*`MQtf@2%Q!g=^1|zS463cW1%Z+gZfsSM-H@-K`dG%bFf#_-a|y<CQx%w)cO~ h|5CT%<MglTe<SO!&8L8>eD(Z4`@*SO9~d0m82~S=V<7+l diff --git a/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/verilog/cmsdk_mcu_chip.v b/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/verilog/cmsdk_mcu_chip.v index f41f313..39b38c8 100644 --- a/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/verilog/cmsdk_mcu_chip.v +++ b/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/verilog/cmsdk_mcu_chip.v @@ -889,7 +889,7 @@ cmsdk_ahb_rom .AW(16), // 64K bytes flash ROM // .AW(13), // 8K bytes flash ROM -Dhry /// .AW(10), // 1K bytes flash ROM - Hello - .filename("../rtl_sim/image.hex"), + .filename("image.hex"), .WS_N(`ARM_CMSDK_ROM_MEM_WS_N), .WS_S(`ARM_CMSDK_ROM_MEM_WS_S) ,.BE (BE) diff --git a/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/verilog/tb_cmsdk_mcu.v b/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/verilog/tb_cmsdk_mcu.v index c6fec0c..e122eaa 100644 --- a/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/verilog/tb_cmsdk_mcu.v +++ b/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/verilog/tb_cmsdk_mcu.v @@ -353,7 +353,7 @@ reg baud_clk_del; wire uart_clk = (FASTMODE) ? PCLK : baud_clk; //(baud_clk & !baud_clk_del); - cmsdk_uart_capture #(.LOGFILENAME("uart2.log")) + cmsdk_uart_capture #(.LOGFILENAME("logs/uart2.log")) u_cmsdk_uart_capture( .RESETn (NRST), .CLK (uart_clk), //PCLK), @@ -381,7 +381,7 @@ wire ft_clk2uart; wire ft_rxd2uart; wire ft_txd2uart; - cmsdk_ft1248x1_adpio // #(.ADPFILENAME("ADPFILENAME.log")) + cmsdk_ft1248x1_adpio // #(.ADPFILENAME("logs/adp.log")) u_ft1248_adpio( .ft_clk_i (ft_clk_out), .ft_ssn_i (ft_ssn_out), @@ -393,7 +393,7 @@ wire ft_txd2uart; .FTDI_IP2UART_o (ft_txd2uart) ); - cmsdk_uart_capture #(.LOGFILENAME("ft1248_op.log")) + cmsdk_uart_capture #(.LOGFILENAME("logs/ft1248_op.log")) u_cmsdk_uart_capture1( .RESETn (NRST), .CLK (ft_clk2uart), @@ -403,7 +403,7 @@ wire ft_txd2uart; .AUXCTRL () ); - cmsdk_uart_capture #(.LOGFILENAME("ft1248_ip.log")) + cmsdk_uart_capture #(.LOGFILENAME("logs/ft1248_ip.log")) u_cmsdk_uart_capture2( .RESETn (NRST), .CLK (ft_clk2uart), @@ -489,7 +489,7 @@ wire ft_txd2uart; .SE (`ARM_CM0IK_PATH.SE)); `define ARM_CM0IK_TRACK u_cortexm0_track - cm0_tarmac #(.LOGFILENAME("tarmac0.log")) + cm0_tarmac #(.LOGFILENAME("logs/tarmac0.log")) u_tarmac_track (.enable_i (1'b1), diff --git a/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/verilog/tbench_M0.flist b/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/verilog/tbench_M0.flist new file mode 100644 index 0000000..397c638 --- /dev/null +++ b/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/verilog/tbench_M0.flist @@ -0,0 +1,143 @@ +//----------------------------------------------------------------------------- +// customised example Cortex-M0 controller verilog command file +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// David Flynn (d.w.flynn@soton.ac.uk) +// +// Copyright © 2021-2, SoC Labs (www.soclabs.org) +//----------------------------------------------------------------------------- + +//----------------------------------------------------------------------------- +// The confidential and proprietary information contained in this file may +// only be used by a person authorised under and to the extent permitted +// by a subsisting licensing agreement from Arm Limited or its affiliates. +// +// (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. +// ALL RIGHTS RESERVED +// +// This entire notice must be reproduced on all copies of this file +// and copies of this file may only be made by a person if such person is +// permitted to do so under the terms of a subsisting license agreement +// from Arm Limited or its affiliates. +// +// SVN Information +// +// Checked In : $Date: $ +// +// Revision : $Revision: $ +// +// Release Information : Cortex-M System Design Kit-r1p1-00rel0 +// +//----------------------------------------------------------------------------- +//----------------------------------------------------------------------------- +// Abstract : Verilog Command File for Cortex-M0 example system +//----------------------------------------------------------------------------- +// +// ================= MCU System options =============== +// Option to select Cortex-M0+ processor in example system ++define+CORTEX_M0 + +// =================== Tarmac Logging ================= ++define+USE_TARMAC + +// ============= Verilog library extensions =========== ++libext+.v+.vlib + +// ================= Top level file =================== +$(ARM_CMSDK_MCU_DIR)/verilog/tb_cmsdk_mcu.v ++incdir+$(ARM_CMSDK_MCU_DIR)/verilog + +// ============= GLIB Generic Library path ============= +$(SOCLABS_DESIGN_ROOT)/GLIB/pads/verilog/PAD_INOUT8MA_NOE.v +$(SOCLABS_DESIGN_ROOT)/GLIB/pads/verilog/PAD_VDDIO.v +$(SOCLABS_DESIGN_ROOT)/GLIB/pads/verilog/PAD_VSSIO.v +$(SOCLABS_DESIGN_ROOT)/GLIB/pads/verilog/PAD_VDDSOC.v +$(SOCLABS_DESIGN_ROOT)/GLIB/pads/verilog/PAD_VSS.v +$(SOCLABS_DESIGN_ROOT)/GLIB/mem/verilog/SROM_Ax32.v + +// ================= Testbench path =================== +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/cmsdk_debug_tester/verilog ++incdir+$(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/cmsdk_debug_tester/verilog + +// ============= MCU Module search path ============= +-y $(ARM_CMSDK_MCU_DIR)/verilog +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/cmsdk_apb_timer/verilog +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/cmsdk_apb_dualtimers/verilog +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/cmsdk_apb_uart/verilog +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/cmsdk_apb_watchdog/verilog +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/cmsdk_apb_slave_mux/verilog +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/cmsdk_apb_subsystem/verilog +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/cmsdk_ahb_slave_mux/verilog +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/cmsdk_ahb_master_mux/verilog +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/cmsdk_ahb_default_slave/verilog +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/cmsdk_ahb_gpio/verilog +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/cmsdk_ahb_to_apb/verilog +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/cmsdk_ahb_bitband/verilog +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/cmsdk_iop_gpio/verilog +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/models/clkgate +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/models/memories/ +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/cmsdk_ahb_to_sram/verilog +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/cmsdk_ahb_to_flash32/verilog +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/cmsdk_ahb_to_extmem16/verilog ++incdir+$(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/cmsdk_apb_dualtimers/verilog ++incdir+$(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/cmsdk_apb_watchdog/verilog ++incdir+$(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/models/memories/ + + +//// Optional PL230 Micro DMA controller - configure in local ../verilog/pl230_defs.v file +/// upgrade to AAA 'rel2' version ++incdir+$(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/DMA-230/logical +$(ARM_CMSDK_MCU_DIR)/verilog/pl230_defs.v +$(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/DMA-230/logical/pl230_ahb_ctrl.v +$(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/DMA-230/logical/pl230_apb_regs.v +$(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/DMA-230/logical/pl230_dma_data.v +$(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/DMA-230/logical/pl230_udma.v +$(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/DMA-230/logical/pl230_undefs.v + +$(SOCLABS_DESIGN_ROOT)/IPLIB/FT1248_streamio_v1_0/ft1248_streamio_v1_0.v +$(SOCLABS_DESIGN_ROOT)/IPLIB/ADPcontrol_v1_0/ADPcontrol_v1_0.v +$(SOCLABS_DESIGN_ROOT)/IPLIB/ADPcontrol_v1_0/ADPmanager.v +$(ARM_CMSDK_MCU_DIR)/verilog/cmsdk_apb_usrt.v + +// ============= Cortex-M0 Module search path ============= + +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Cortex-M0/logical/cortexm0/verilog +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Cortex-M0/logical/cortexm0_dap/verilog +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Cortex-M0/logical/cortexm0_integration/verilog +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Cortex-M0/logical/models/cells +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Cortex-M0/logical/models/wrappers +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Cortex-M0/logical/ualdis/verilog + + +// ============= Cortex-M0 Include file search path ============= + ++incdir+$(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Cortex-M0/logical/cortexm0/verilog ++incdir+$(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Cortex-M0/logical/cortexm0_dap/verilog ++incdir+$(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Cortex-M0/logical/cortexm0_integration/verilog ++incdir+$(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Cortex-M0/logical/models/cells ++incdir+$(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Cortex-M0/logical/models/wrappers ++incdir+$(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Cortex-M0/logical/ualdis/verilog + + + +// =============Debug tester Include file search path ============= + + +// ============= OVL support libraries ============= +//+define+ASSERT_ON +//+define+OVL_ASSERT_ON +//+define+ARM_ASSERT_ON +//+define+ARM_AHB_ASSERT_ON +//+define+ARM_APB_ASSERT_ON +//+define+ARM_CMSDK_ASSERT_ON + +// ============= Verification components ============= +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/models/protocol_checkers/AhbLitePC/verilog/ ++incdir+$(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/models/protocol_checkers/AhbLitePC/verilog/ +-y $(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/models/protocol_checkers/ApbPC/verilog/ ++incdir+$(SOCLABS_DESIGN_ROOT)/../arm-AAA-ip/latest/Corstone-101/logical/models/protocol_checkers/ApbPC/verilog/ + +///+incdir+/arm/tools/accellera/ovl/releases/ovl_v2p6_Oct2011/std_ovl +///-y /arm/tools/accellera/ovl/releases/ovl_v2p6_Oct2011/std_ovl diff --git a/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/verilog/v2html_M0.vc b/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/verilog/v2html_M0.vc index bd60453..eeebf9c 100644 --- a/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/verilog/v2html_M0.vc +++ b/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/verilog/v2html_M0.vc @@ -6,7 +6,7 @@ // // David Flynn (d.w.flynn@soton.ac.uk) // -// Copyright © 2021, SoC Labs (www.soclabs.org) +// Copyright © 2021-2023 SoC Labs (www.soclabs.org) //----------------------------------------------------------------------------- //----------------------------------------------------------------------------- @@ -94,26 +94,26 @@ //// Optional PL230 Micro DMA controller - configure in local ../verilog/pl230_defs.v file /// upgrade to AAA 'rel2' version -+incdir+../../../../../../arm-AAA-ip/DMA-230_MicroDMA_Controller/PL230-BU-00000-r0p0-02rel2/shared/logical/pl230_udma/verilog ++incdir+../../../../../../arm-AAA-ip/latest/DMA-230/logical ../verilog/pl230_defs.v -../../../../../../arm-AAA-ip/DMA-230_MicroDMA_Controller/PL230-BU-00000-r0p0-02rel2/shared/logical/pl230_udma/verilog/pl230_ahb_ctrl.v -../../../../../../arm-AAA-ip/DMA-230_MicroDMA_Controller/PL230-BU-00000-r0p0-02rel2/shared/logical/pl230_udma/verilog/pl230_apb_regs.v -../../../../../../arm-AAA-ip/DMA-230_MicroDMA_Controller/PL230-BU-00000-r0p0-02rel2/shared/logical/pl230_udma/verilog/pl230_dma_data.v -../../../../../../arm-AAA-ip/DMA-230_MicroDMA_Controller/PL230-BU-00000-r0p0-02rel2/shared/logical/pl230_udma/verilog/pl230_udma.v -../../../../../../arm-AAA-ip/DMA-230_MicroDMA_Controller/PL230-BU-00000-r0p0-02rel2/shared/logical/pl230_udma/verilog/pl230_undefs.v +../../../../../../arm-AAA-ip/latest/DMA-230/logical//pl230_ahb_ctrl.v +../../../../../../arm-AAA-ip/latest/DMA-230/logical/pl230_apb_regs.v +../../../../../../arm-AAA-ip/latest/DMA-230/logical/pl230_dma_data.v +../../../../../../arm-AAA-ip/latest/DMA-230/logical/pl230_udma.v +../../../../../../arm-AAA-ip/latest/DMA-230/logical/pl230_undefs.v // ============= Cortex-M0 Module search path ============= // guts of core not exposed, periphery only --y ../../../../../../arm-AAA-ip/Cortex-M0/AT510-BU-00000-r0p0-03rel3/logical/cortexm0_integration/verilog --y ../../../../../../arm-AAA-ip/Cortex-M0/AT510-BU-00000-r0p0-03rel3/logical/models/cells --y ../../../../../../arm-AAA-ip/Cortex-M0/AT510-BU-00000-r0p0-03rel3/logical/models/wrappers --y ../../../../../../arm-AAA-ip/Cortex-M0/AT510-BU-00000-r0p0-03rel3/logical/ualdis/verilog +-y ../../../../../../arm-AAA-ip/latest/Cortex-M0/logical/cortexm0_integration/verilog +-y ../../../../../../arm-AAA-ip/latest/Cortex-M0/logical/models/cells +-y ../../../../../../arm-AAA-ip/latest/Cortex-M0/logical/models/wrappers +-y ../../../../../../arm-AAA-ip/latest/Cortex-M0/logical/ualdis/verilog // ============= Cortex-M0 Include file search path ============= // guts of core not exposed, periphery only -+incdi+../../../../../../arm-AAA-ip/Cortex-M0/AT510-BU-00000-r0p0-03rel3/logical/cortexm0_integration/verilog -+incdir+../../../../../../arm-AAA-ip/Cortex-M0/AT510-BU-00000-r0p0-03rel3/logical/models/cells -+incdir+../../../../../../arm-AAA-ip/Cortex-M0/AT510-BU-00000-r0p0-03rel3/logical/models/wrappers -+incdir+../../../../../../arm-AAA-ip/Cortex-M0/AT510-BU-00000-r0p0-03rel3/logical/ualdis/verilog ++incdir+../../../../../../arm-AAA-ip/latest/Cortex-M0/logical/cortexm0_integration/verilog ++incdir+../../../../../../arm-AAA-ip/latest/Cortex-M0/logical/models/cells ++incdir+../../../../../../arm-AAA-ip/latest/Cortex-M0/logical/models/wrappers ++incdir+../../../../../../arm-AAA-ip/atest/Cortex-M0/logical/ualdis/verilog diff --git a/GetStarted.md b/GetStarted.md index a073097..2d175ee 100644 --- a/GetStarted.md +++ b/GetStarted.md @@ -65,6 +65,15 @@ The microcontroller simulation hierarchy is delivered in the '**soclabs-cortexm0 ``` soclabs-cortexm0-mcu +|-- set_env.sh // source this script to set up envitonment first +|-- html +| |-- top +| | |-- v2html_doc.tgz // gunzip zxvf v2html_doc.tgz for html docmentation tree +|-- socsim.sh // call the makefile with 'clean' of 'run TESTNAME=hello' type arguments +| simulate +| |-- sim +| | cmsdk // simulation build area and run logs +| | | logs |-- Cortex-M0 | |-- soclabs_demo | | software @@ -89,7 +98,7 @@ soclabs-cortexm0-mcu | | | |-- validation | | | debug_tester | | systems -| | |-- cortex_m0_cpu +| | |-- cortx_m0_cpu | | | |-- rtl_sim | | | | testcodes | | | | |-- apb_mux_tests @@ -119,13 +128,20 @@ soclabs-cortexm0-mcu | | | | |-- user_tests | | | | |-- vtor_tests | | | |-- tools -| | | |-- v2html_doc | | | |-- verilog ``` -## The simulation environment is run in the **rtl_sim** directory: +## The project environment is now set up by sourcing -` soclabs-cortexm0-mcu/Cortex-M0/soclabs_demo/systems/cortex_m0_mcu/rtl_sim` +` set_env.sh ` + +## The simulation environment is now run in the top ($SOCLABS_PROJECT_DIR) directory. The underlying makefile in $ARM_CMSDK_MCU_DIR/ make targets support running simulation with appropriately configured EDA simulator, with TESTMANE set for the test required (e.g. TESTNAME=hello) + +` socsim.sh run TESTNAME=xxx` + +As well as make target to clean down logs and intermediate build files: + +` socsim.sh clean` A quick out-of-box test of the installation is: @@ -134,11 +150,11 @@ A quick out-of-box test of the installation is: 3. run the simulator with the pre-built test code ``` - make code TESTNAME=hello (or another test from the `../testcodes/` directory) - make compile_mti - make run_mti TESTNAME=hello + socsim.sh code TESTNAME=hello (or another test from the `../testcodes/` directory) + socsim.sh compile_mti + socsim.sh run_mti TESTNAME=hello ``` -As well as the simulation transcript the following log files are produced: +As well as the simulation transcript the following log files are produced in the simulate/sim/cmsdk/logs directory: ``` uart2.log -- ASCII character strings output from 'stdout' on UART2 @@ -148,7 +164,7 @@ As well as the simulation transcript the following log files are produced: The directory can be cleaned up after running tests using: ``` - make clean + socsim.sh clean ``` ## Getting to understand the microcontroller design: @@ -156,7 +172,7 @@ The directory can be cleaned up after running tests using: The design has been documented using a _verilog-to-HTML_ tool; if the `../v2html_doc.tgz` file is decompressed and unpacked an HTML directory is built (`../v2html_doc`) which can then be inspected with a web browser: ``` - firefox ../v2html_doc/hierarchy.html & + firefox html/top/v2html_doc/hierarchy.html & ``` This allows the exploration of design hierarchy from the testbench inwards, and cross referencing of design modules and signals. diff --git a/README.md b/README.md index 65dcb70..3c9fc16 100644 --- a/README.md +++ b/README.md @@ -15,6 +15,15 @@ The microcontroller simulation hierarchy is delivered in the '**soclabs-cortexm0 ``` soclabs-cortexm0-mcu +|-- set_env.sh // source this script to set up envitonment first +|-- html +| |-- top +| | |-- v2html_doc.tgz // gunzip zxvf v2html_doc.tgz for html docmentation tree +|-- socsim.sh // call the makefile with 'clean' of 'run TESTNAME=hello' type arguments +| simulate +| |-- sim +| | cmsdk // simulation build area and run logs +| | | logs |-- Cortex-M0 | |-- soclabs_demo | | software @@ -69,7 +78,6 @@ soclabs-cortexm0-mcu | | | | |-- user_tests | | | | |-- vtor_tests | | | |-- tools -| | | |-- v2html_doc | | | |-- verilog ``` @@ -80,5 +88,9 @@ simulation setup (of the form): export PATH=$PATH:/apps/arm/developmentstudio-2021.0/sw/ARMCompiler5.06u7/bin/ ``` +soyrce set_env.sh +html documentation provided in html/top/v2html_doc.tgz + + diff --git a/html/top/v2html_doc.tgz b/html/top/v2html_doc.tgz new file mode 100644 index 0000000000000000000000000000000000000000..90a45b850071c6737d1943d814bd148655b5c197 GIT binary patch literal 312519 zcma&L1B@<F*EQIE+qP}nwr$&X_ifv_Z9i?>wr$(IZF}zfelwFlGx;ZzoK)>|_S&ab zs#2A;R}n-*0%7iTngW6R)$?@O<ZPJT{YH&Y##v997kbOAr<+XznC4m}t)Y2Zv{EM- zE0K~qO<msA{mq#0@CRZ5CY20CwNq|k3zuSDJ3Cy33jiH!FCWZlNQdrVJfoBSD51z| zfw|%K>Sam5Eh`8AJFTa8*<P}o^}C|vQ6KH|Y5vC1pWus6u-Es;hvO6HTOZ2X`vW2z zB4W;enE=w)=ob1fhF#gztc&hA_@0_&@RXOzB~y{-<8D{T&na~inNH5GM~R-S_A<Ep zZT}Ab=8tf%04HMVHvum3&kfYqphn&gCiw4@ZvoHk^MFlYcaqmK>p9?x{5~EaZopzS zims0rb9iEqyzcVy9+lF05eBLqfG~Lr2*-MGWvyG{kt@U==5@)4=I9y`6_2_ignE)a zL>y+yjx+Yc&1}?)D7CvzO`=6@5-ihniq|S^?TdU{+N2k;+0h{%8C0Y7g@PLXqD8)5 zS*LZZdSMC=d!d>;Nq6zu3%*H$#j;Ir_0yC-&o>UJr`rYgv!jBfpaKZC|Czm`=CblP z*S7uTi9tn))D>WLFs=?{r)Rt1JkBgr#j@2S%(lb!BK4>O1+K-T&k-^`MHXgQl{^|O zyRDTdC0--#o7IlAj%*#ii*f6!xF*r;3*JtG4Nkk4Z*~AXY@)~ak^tOCKHTunQH^kb ze;GTKjEsls+6Hi~uh`f|y4x6zLFOb5TTd>{IDf^JhPtXZ>{liXX;Ax_bxBN>6}Fz~ zQajPPqg9E(x1~f-paC#Hm_**TP4HoLxy9Hk^`4-|L3@oAXGCE>t$W{YprVjV9Q#ml zTZ%)UfU@lRB9moFNj1;z`);RVa9f{%I2Q5*y(;}@*;^d8@V!Ij;CH>(fu$ZCHj9T} zRgZW0rc&u<+_T(QPtso11>`zBu`8YqeoL_6nQX|lETH92Yu*WH&0eQ1qW&{TGenDL zG9#TW_9@O9b&V5-1OdBO=i&%=gBU2G2#5QVhp_k)K_F9G78a_Fxm5=Nsj;I4M2m+H z#%Jt(_T@Twy0m1X$*oD{DommogQUQjr7`u(*&k3wUL`+fy7}xVbZgY(lAI7pW@M0! zQi__8g<tV(<4$1)GXb{NGq;F&&ax^2Mdd6eYtYuMeZp#6Z*^pUzY4)*ML3j%MUVoi z+gz5<-=SJ~nC!qd23xENi(3C2M&%4wnS0r5BB&*^sr6vB6hPv%9OmrYoGMkT6ro-) zSj^$Ih!$xicb-R8FaE^N)OVESD&p$_7L&@Y+SUq6_-wo1+W-7mfo-o!AuL`b(5z13 z0XFB1mRHU#RPKBL*37Ow!tlMq@?~?F<8j87`Oxj3(CzuctBEd)s%|CVz5GUcsG>&J zw&}_>Hh527(ZdBicecqI^XW?G1@jsVk~fy3<yr^%ZJRI4uWSmZK~;(aB49E$kB;Bh zZ{v7ha7kY$W?zneFCzqoZrmjN$#bhs@)Fa+Qek-(WXU`>fE>FJ=hJ_Y10csC#F;>b z@&$>kK34I%BE(svda*KA(Oy@$oe7)JHpF?AEV)xW80=c#v`1z)M(9+u+VZ}BYOvA* ze_(>YY}cQ*v4_Z9jw{tiM6O1>GUjC^opa@G{D`$>_UtPqh8(1&JhC-t?Pdo&O!%=b zR5jEQF_{`+BPZM54nTQfXDOrunBHF7SQ`r-OYg<~2H8D>hV#Q&lDHTe8F@rOi)~?@ z#&|v}Dy4VI?b$oka}{dcB>CxXdv|qOkr~V69c_?cKSZR=c5;ji{yUb_)+IF-&rHc~ zaYirPwpKVcgmt|{1`^nB?!{a}2f)OzOZ|bYzjV}F<F)E{hEF;{aWc-7<lwtvio)!O zp|**cwa>4%KB-EJzn<3<X;R3D8s!@2Km4oph-ESS5hL40UcbSb{d^H(4m-(4==n`c z=&6sJ*sz0<GA`Rhge>lQ4aXHLuMR*gqg57Rlz9n1GZ<gtDV#4W)aH|O&Odyf36@yN z{oqRuQqx-S>L?eS7J6Q|{mGc%<NjVWuKTNBgE8zwyNyETIr8i+ONxu1#z&=qqP=`@ zQ0F@_bjhWrZaN<rhMY&b**oq9r9m;SFmq`IW&;95C!LBw9Rx-|=}dGt1U!$)S=V33 zj_NpCPt6nWhRjGPr2r4w<lHP|NCiH5jn?Mh{J^636N0x3*;)$dNu9JC)k}Ci#}1ja z8c1^XRBqPRm4{AfdCjDs&0eA_m(mdEj>Iij_oa`caG2N9TIHUIjc`~TkF(6`I9s*g z%FttQ=g8W^9F_2pXauY7PoY(6ux(HEu8ut8VrNlXblm_rIjL+*LTaXc2qPu3>x}9( zxF>NXmYgSdrVhioVNe;$T}O#ZZrI{^$mto?y*vO2rK5^7wd7@13Ut#**_Q&Xm~5O$ z=pZ+vF56Vo_=ZI5#lR-YK4$N&DzJ~2P@D7h&BnBnbWjpaF%z%WQiAj`8&0UQ6X`z; z@h(by8Ndq#N&A=1cfhNEKr!EClioo!;wwPOE(cU{D%J7nLA;>jg`9#DFrhR_K<go; zvbqE48$v9lq8gz+jmXG9g|!EnFlDq@5PiAQ$$bKg9N@3S-R<Zw;+0ZZaY>|;`rDH0 z<tf3H8Dn04i6lCpga6wwU~&W}sz<Vqn@^|24NUfWGDrX{b4ZoY;<o{4)I4My8z;>K z#dR`E=Y}wD8W$Uh2KxTV7LS#^lM$3X{gTSmCo{kPwP*~(u+Q0p8%kg?cER=b@o`X_ zG&SB(GPnh(@Cf!tp(1qH-HKb@p))L1gftZeauaxGLSlos#E3JaTw4oET06yJic(4w z$vEz^DhIt;)na5ID(qo*4E(t~4MeCP96ID-Pjx#ctXLIBkYq&Du|Ck5R13{S;<1<e z3Ba}k9!xlMNfDDgv<fPs2$S|B#pUqrc=ZzD=WDC?)%j~b*Fa(wBh6sJ&r}^6Z@h?Y zB#CUr6x1PN@uR@H&C&dW2hUj4)bisj-f*nnRcw4MPS)@opQM7y8g*86Kk3O|d3tmf zECi@&dDWFo|AM5mYTmX&eBqdB|GX2R*v!8gE?mS{M-GZkZ3BicCfBjU;PY;euv|5J zED5x6Vb+4-2kY}O)QW-Zz`wt(k4bxth_@P+Sd)oWD{Z(6O%fz$YibIbbuFM@3U+N~ zb$8Zh0X4wxoFUleg&ydr#*0`Zelr}+koME_{q`XF>HJ272YQZe1XKhS3;H9#^n8~T z%{kr(>hIb`5h}UasJk22{`vj=HB7ddL=@?0q}d?U6HQh#Y~^<ua=zlc_<GN~sK6iV zo@~&hl>;qSGpSbQx!`5PX~R2ni%K4EKX96LR4BOPh0BURDy}ohmT^u`IDfs~<ccAs zn-+cG8jdj8KE43n>FduE3}+EjOef`aR%hx&(mj&x(euN5LAZXXWucuU@j^}~ywLXN z&XnXMLyS=7u`7u8P$v{gcOQ#2{LBY$sv*rdffc$EPA^CdMq~0<nJcuR7)Na-njSLR zcnW0O5LqZ;Fg*#-VQw`ThA=(3sWCSkj`XXzV5w;o30pbUuoy9E>__6kT6(N;K<H|K zxRDzcX;#dlWOOEDR2Bu?d(bqjEw(3~qiFG+6>Aj=eYE0Xac@mkulx=ozCbk2z);q( zrvv1lUVT4w36IWT*D0i;vqkdBL~-#ff&7(x{6E1|fdqU{qtz&>G$1>d7Qj0x4si;> z9POC7BMfqIMA`bayO5|70di3<XX#{MdDTxoE5bcKXC?g;-9Be0$08hXs@MOH3<MqP zx3k4>*gZa^562`K3<Tpx8fX84Kb5M70*PEN7Gi$q=#VbFIS_gzYQQ<?^c2E6Kp{ge zIMVd0Wn9Sg1Tyrm(~EtEKq1|QU%`dj27P$~YkDh%Ck&>SOHDt?h(|Am6_E`kf#!fR zwY^Lr8%XjOpky}nTZ$ogpnfXOzr>eN+j_Qabzm(REf*bgeRRV~oO;S^tGv)PoLg5v z2-`r|+nI4RTzk3;q+cstO1`4kuH|p05i<T4aZLb3mMyj|UZZyIx@+8nlCWw6%F7aK zlGPA{#cQMMQk`0TKeg|Z5_s<kW9qYkyjI4mEJrDSi5m~{L=d*+fSzM-$f@qgQfq03 z_3j$$!asfx-ri?7!0%Tdr=9z|J$0MAC?OgHJ3HU~`$GTZx6d*`USjZnmG`Zp?HW)Q zv|YTGgEe0m>z{9)e>z-roE(=FY&QwCU*hU3k0(P!s^3$|O-WLDSuy)PREGkRilZ-V zqmFIlD}g0^&JH-2+cuPr6I3ibiaLLy0WKe@c$^VtB5v_sgx_*C!EV_ZSelmw!3}6| z#bKeE^%+J9<^{bc3F>BRC%25VELs^_+4d5>T|Kj1M5IH_BGG0;Z^>b!5bMIGqvmZ~ zSMUQj(8v1}^VLmFLR1YHGw90BRc}?}&becIWx7{YE@^|OHXP3gqRY2aY!PpgwRFz@ z26o*wnVxP5q%dY>2Y8UkP@S0$RU?BI-SJ>2OjTH4?|rc;p)b{x=XSucHLXKBHT0bt z;_0Kw&pRiS4K~I1V?_Y=H5<Mke_SsV=tt2`IW_gVtgabE|062Cndvf~qlYz(!`eqc zBhgoNv$m@28S|YDLlV?wK6ZrVpZ4bJk?UezB=Bz{>F=ur$_*l!yKe5kTVcgb0A}US z{9O}0V*dYEdTSw1SmHYFk4}3mAtw6k;649YMv=I*o=b0kFnQYM*^1-dc5iaHcmaS~ zs7Z{uBj#VO-PhrP3R@7nT3j^wc7d@`ID+k(1>ZIhl=0O~7lv591ur`oR<@1k;rgzf zUCHBIj-ew3z;3TC??PcM`-6_`*_$g?WfY(L#Ygnx;jiu7>D_WnxYStS7)F&C-eP{P zT|5Q3uEQ)Ka6?Pb-6f&lOHE&dD>1Zk;9t_K2WDy9#U_|<S4ZC1u|D}tPhQ2QZQ}e( zvOku%Kk9bQ70wT_P5ZJ+!%VzbvO3AR5X3G7-Sc6~=`L<b6gK7g{1Hc4Wqp~aq@&#Z z-$GT6=FfaMJbA!V5TB4?_Qhw7iMA|L-WL9aH?^j$UM+HTJ_*0Nk?DotF$TM(^in8) z*DX6)VmF*vA18}b?H3OFhNf0<)tI(X>lb&QFmsc}&|{4OS{O8c`!w;=c4yL~I|0~s zbq%UH??p)++Lu3zzNu)#P=AHN>agMX)I%4IT?*Cw@~?qDd%8QF1(}pSA5o67_`&!8 zV)XLAJJx001JFbn{{kDwreTMhtNM9(I(Qf1cc_3Ccu>GF;E$R4Nf}NjePHQ+_j-G~ z;EtvcI=0{n_lV+F*`_T)1>{zHQ_Pa_L{kYJn?#xy7Wh(NQ`yT1OJ$ENek|nUZ&Ch! zxSPcCvyA94*IDp@I5^q~g)-7oQ@?T=-^1@D>kTc_&QOPcR=+@0ry2)HKonqm&@`N5 z)kKWi92m^8YZ01i9M}WzFcpo2ZsEF)4@5=Uf+(um=Y*A7QK`4ZX9Zhm&83o2Ts@`_ zOv6aT6Z^G&CLf@wK;I{#%7}vKeDDXG$TEy+tfaukMJUIonMgTrc!5@LQ9?Pmrl*r% z<USoSMiPo0l2))gE$k&A7lV<xb`L(R#q0xPUm%azez);57nw^-uV~WyE3B_Krc39} z6CP$rTMU^HqbC7bJHjI0X-NH53%IG0ZJ~yJAoBgEtbO%y7hXkfCQQlS325L2zU2#f z^hkbjUv9W+1@F%)#}*4;3H5)Bc%YI-iDmUnjpBW3L`5g^nivt0CJ;v0pisbhcvt-+ zauqk@H^}3BrMqIU4lD1uM!8&<p=>(=B>P`2O&n$*Z($N!lM<qr2+`>S(wDBvB9me+ zvefROIy!X2%tRf4d0;N0p&T2Pt_6ehvY|FKXR4l`!)zRG`Y>l(UlD%*Ro3Nb46zs% zs;o}P#vk*GcTSTI1cDcGY_?_+TZ%G%wPcbV2)xLe77ZH#__G|sGA}zGbE-P2c_`F1 z*ql_&*_9QN4(v;VOl4B!aP6luxiGQC^gLY~O-bu6Ij#gQicFo<P84@q4vuHH3|iij zQVA;iHqHCq%O+8NAhL&EYr&CoUb=YL0X~~qpgH8!xStm1xGd=Rgh^E0grN?!8Wx8$ zu{N~a-E`KOEsCP(R-IOk!!Iw|#TS?IkS6Sul*U-c3{zd$jQPt>Q;8-yy~oQ^riqGX zQJO4>&aQb#^U923>8~>VH?FP1vjBHNt2|AVc^6ypR!L=)zKGiV*(`(*O+e8ZoU_l$ z;fSKL(up^7(y^mf=XiQqqUy%}q|MpG2#-&>yz=7)Ud~sdgij&0*-67Se9wtH#U2@M z#kf1SUbwsOy_dSxp;5eQe6@HZ5t3gj1N$qtL1P-~FghA#mh?y;p;Ba+XcS0e+g+aI zd3wI&Roxyo3Mp+bJa-U0B@B9c<_JjT;szur=mn`*t%n&ZQM5ZrXN!0w2I?>XR7rgp z1^J}4W;JK6h#!zW>U0eKK9m!od+rQTl`&YsoBC-W_te-DB;V%g66)0uVcMO&0xIJ< zP`FHYN((WeeJ0*aK3pw@TTQ1{rz*14&7(YDcSgx?z2_x}<j+i>!S*S<Af#q`P*>dL z`d7o~^vITrgPbRPgDJ&QHphH+9aC^D8hWKv{!iDdA}Vy9l#ei@X)ppzy_D}XOKNEz zYdvi}sTk&we=~>S+wSE&#oYn$Z+-R2hso7Dw`J$JpWr(szQqTHpNh;&HG{t|l@?k| z>5P*?PqZGD7VR2*lV2BF_^Pw_bzZ3g8ngFl6I#&A5`PqZA0hvjliR=9sZ9R650z>z zL+Hgrv7&DeYQ=1t@Y9=e8NUJa|2CO-Z>Dn7t-+E1=lax4{xldmX58ll&^MhvCgH_| zYB>k@toHEw2v~9EjJWYK+b67w9}#-~YKZL<s=^QnJUJwM@gwbh!SgV~kEkp{64afh z+=4_!{Lj5XM1H*<>_j^T8}bID4}ykO71bz-Vh2G=(1!(Mhli8ttc?Wgt;VG!<VJy# z#o_>i=ux)1yX;;zFf?(zeN|cdKRXSa>2-x^S12p<aD>jYAmZ6Mb%v2KZ9+llL(2s^ zQq#!UFSA#aSt)~pzVah0dquXc7-|%-Yl)`pXgQZO0cQd2X~~o~BUx+yMpYJ7OoFJd zWwj7dG?<LFELsf5_|wp(aXbXn^U_TAtqH$5J>EhuWWNyxtr`o9Do<jYIjxi)cD<F? zDe1})<@{&<RFiK2>)Qn()mZXFjl58eZf=&sVCwqeT$`C{WWeO60UKtA>{Yt-Qa5zK z=@o5;1MC}=C^ph$ZDP?&A<dLv19a7>b{TU$It+ja{_F=%=F5}h;xkY+pFcqRA$9B< zly2rD!{!JZm$8YsY3(Uo@_S{AjXmDkuF$}w(1YkZl*1QeU*8(!cLI2n1Nf<v?F#+Q z#Sr~?_6>l&c&A0VAk^5wkZNAAzO@0>F7Gu<yUidp@vb0Fdf1{DJFGPF2&O%%TaY?S zDsWZ8jAh)<Q}vMCdn)C$nEUNFnU}Z(!Ga3F_XPS`?(ET|c;R4m_wBS-GJlHQAHU?U z-M6l-%I2etJ^Ab*M{O$J?8#jTn6I^gWclwG3vR6XILmTQh3Ob}q8?u{%X*t$E0w*P z-zQTnKfVnFAfx<uFXMhiU%v<L__dztNx304-3ko%c88bt_6%vp)C->twK8C_yoX;w zjxV%8C)Dl)r5MBtgGr_S{4#w)ZUKi7*Dh~*g@cLc!gCXAeM#P%Y9BAfJSEogjdG%I z+An3i{I%&9bw^+KR?2BIGs-cH<w8GAH<RuXXzw(VJ?R?Fa-w^opUrd{^l}ixmDY`6 zG1dOs{SQiZ8;bQnAHp#6nos=4L&?W!1Od&n3+5=A#V>vAa939~`))8V$iGnOUm_U# zTTWsaO8}D^pPb;qL4<nd)##q-C$(rGhJf<lg2KOreBztHe`{gI2Qe%ybk!YJkqijC zckwyl@1V}VGJSAF2TQZ_KSTO(*vDnNEeb<yzA(%geWNL%PRaFa=6zn?spE+qa)MW# z8T=BU23rrAjx`V5DC9h-QhCwc7qg{$MN2|hSQZ$`NpYpS<x1b=$rc<P8?55!a>MBC z>Na1}7B?MJy<E`cVzaZm!uTEPI+OnM(cHcZ<jg6MNw9py6p&NMXsfD35>QIejl8;u zknZ&Ig!A3`t--J?6_w-8mizW=w@x;ks%bsZ&$g@m7n)?kr5<cjuBG$90R^J+tzVg; z0fL_5W8nU)7B3?%hQA@_x7V8%?)DXYq7V{FK{}!JNH|;^q_Cgx-iCL?))lKoTH31Q zLSkhGzgJjHzY4c?p~IX%(@!T4qFBOhn}O<y)YnrZWY^_Epae)@9A6@Or~$hpHg3p& zUjlczD%+8$K5P3zG+z#DMZQZBRIXfE&1u)==NUG3Lh#i)9}%JlxE};k|9qXHV(q+Z zG?wSK$QrtFj!C)sGQkEnC<Ze)`0w0qn|}iNbmc4CAm3|+YWxBk1B57TKsK?&&fIGp z>in1wK%WYX#LLu@W+%+j_`W-vN_z;yF3G%@-bF<4tL54EGJ(!+avWT=5AmgRl~2;W zFTQ>0tUtxF3)W4CMyd6#QP6`3+4hlBKd3K*Rr-`uH1!vb2eHvs<O0_^<e@xS438Rj zDUQ(=4b-9jFth=T?N(@r6Gpx9@GqRz6vi5y&U)%PCk^BgJty5R`z=agx+5oWyE4}u z&|Vnq6Qow5s&Zk5oo#QH(g$PH416iX$w2SoFX}fH?whLp<O;DF^!a)E-a@^TGy1a{ zte4Y^V{p$;aL=hcn(1z;3NG8QpjwJ_Aq~hAu_&|T)AjI_30roWms0q>iu{bh`@T$7 zd$j@&vlzI})r;lIr5J;>b=om#AO!d-(J!WMic*PI#KGUr7=B<S#LPEHJ3$UaL-IM? z>9Wbg*E8dHH7EJIrQphHsy4XnFYuQTlGPPYb$Wll$*B3*p_U>k@1b})jPyXJ(p%Sv z7F}{eBSOpk!{e(OKmBPv5bym9)UmoWXci~%nnGJF;;uc{NE1(9l2GJ0crWKlEte~M zhr#-mtB<`hqvhE|vbMB2xTnVe&bH*i$7FzBV4IHwt{B{Zy>;lu%QYs7lHD=k$#&=p zVrCCp7s(IQ%gjpB&&=#v=H7%Odxe~}wV62=2igz1zlZYnl?^?+D~bw&8sRzhSGheb z&A5_DN({BnGdA37FGMc+m!8E>WwTf1vTE)UcI=71O|7<?jzWcX;Yyi+&~om60M$`l z@EpoX@RU2rhlS<Cq5wnA^)+~2--w@RZ86fuHd&h(_h>ZJN*HLbD?*2S71kq#m*XXv z=>(i}-Mo5->6YUtrZ;qf#U^ypp7@OHt>S9lU0?qi>|RX%a-SR82AgmDb>F-l0<fU2 z0&v;p#LY(aN->1c06^{}_s*mC9s~DzQ+O3(u*IIJX`^|Sy;<i=`+>F;_AtxE2hK!z zy>UmI<!`odqQea?(T2K*_Z(_I`^FA<2Q%B<pk*g({r3u-2+b9*-OY;oT%(1~-9wF? z7Rlda_l@&Y;1yd;Dqv4(p0aK6@>p7&cQx{8tZVPqzqX>gk<V$z0Rt^3ZLeF8Eh0K> zn_*1Dp?6&N1}4@hFd6l;IrFvrx$-z{1zT=0V-VUBZc5}eaVdC@$=Jwta+)kVA+A&o zh&#F!QYhMsBO=@9#v9Jy)S8XXj@wG$rE%lGM9)eDerUm>@(qyQBH@+Fm4zjANZgM@ z_P9#~o_O2Be#M<Qwgi9QrQ8p<i)Z1IDfbY++gZYupd_-AOlGthRPIi!^&J6y!Ba*; zFzV+n`aQsb27YgPb$S)`I>t5xPTM&66bbmtADtE2t-e<HZ%R3?P{gm(XH$00<muh> z4A}Ro_pC@17t-0b`BA=(sfs^?9Oc{Llh9`AtNMxQ9H6I3-H^yLT%|_Do>LPi8|ipG zoHoat2>4hyMk@^uh17f?O=B|e<zL}p2l)e?c9okHAng(I3k$SRqY=>4@PGDkxVteK z5JcD1R_hD<WQYCE4vWv^MM-CgmNi7R(Kq9VP+Ia#8MTTSwSru?iZCmyt*OFoZ<P|Q zCz}F=+eJR<UNf&mj!us@^|?$JtBZFab4iGCV0!SQtr}JJ9k($Gl0EODtqP4zudwJs zCm&0mSr5}3YbEWL9BHNY6+mY<spvUrW)v!M)pv*pbvUB+0RgcxqT7lt_nP@{aW=-v zfV%8*>;DvQ!qwjbvO{n7uB}99eHbkEy^9}u>d>!8RloZF3-?(=gn6q-M<0KM&bS^O z2u`m^`=3fPBf2UzvWjNqvsdbt>+z9H(Rp=fy(-5_OZJhQP=4pl^gI=A0*>Jkg+z8g zW$xT48<Wqt8<y5sDDL8i_O^eZh#trPf(+VD8%Cav3K(%Cn`C%J-98QO5SQ<$U+DP% z#rMZ%wX2spsi&GS4u4F;gDJ|a;o^Zo&k*ZbgzR8(=jFUFs^5SsR3=&P`dsFh#B<0# z`jmh7zJz7S;0FP+aNnFb0se(@$M`H3!mk9dYRl~g82GSoe47ezguV)e=D#2Fcks(| z&)0K64$Pj}%9@}12+DDV{ygfz?+wX%+$w*8n=GCgCAC#^Fcvj$Ixt=#f3B3^tbZD) zw|Yg{_c8R^w-oBc{qy?oxBOH)DM#5Z(nh_jRlin_1q1*tZ4M0i`hAYR8^=!iEOMRA zuW?;FRt4=G?b)T`%hArdg?^q}8Zyfcbl)x(A^WJE3meVGd>lt<1{`gy=L+XIY|cY1 z*vs@2PO<OD5q6h^YQ0<adXt(wH!U_e7UMhg8-0roE^v(yLKDO5)iRB^`@EKP)~Ot+ zA<KrYba=z!qGWqXI%)Z4C(%3lqWJ;qUQH7y?dHmrZbPv>zH^IR<uuhAd<7a~^sVOC z*s9Uysh#rM&gIKdUbb@B)2!Q#Q=jxUVs}L2tZAuhPR_AO{hULuM=N9s8Zj4%tr+6^ z%~=p)2thV=puM^s>mat6e7<Xy(iO$(Ahd8=)e?o7P2$x>;>}N%Mbb7+xl59If5hFa zV&N09mmjP`@V4<!Ws3IjDF<xixW`MCeT7Q1+gVRZa%E$|0M6!%*?)Yv*)UwSHTc<z z+$!kKmd1*c1_hvS4GWUE;b4O_pbv7fswF~;r(<i7Aw&cO1!rLD^B%-A#=SmXkB5)7 zUjAO(|MeHjJ(AfucpsXb3EnMBJU`&VLBw<z!}I8rn7()l*c4@Hw)sn{200r-lz)4% z7!*+l=AfCbxs4kUWv?bOAEK}9le^;-J#N30*<&l6EyLLTg_K-VfpnfMk_ufZeC_gz z!;@ME|5OGa65Iqohc(W#1~%xA7}7^EO9J>CKttWL4n2(GwN6TSgiN((VkDV6>f@|I zx^YD^196W#l0@+%R$Y4|R#gg1iiRm0Hc+6o6{xCS#>U2H)Mvyg6X^nlZ>&^gSGFAC zI3TDTNW|X6!!=a-h8==yisDz+>B=Ur8t7XRpg>RawXyO-Ch^{_J*W|QtI0R@!y|K3 zG-E^;eKUo$Hxy_#pjOzgPn^x^$Vny#FJ;qy?6GT<+O>EYxL6&&=PEOHt`1+iXvU%x zZ?YzBNk%lXf@?<{b6(}5VyxAlO5}%zH3p_FRe$s?^83}6nKXZBAt<~<s#GmB|B)~r z-!WcH<z)t~$I1`{Dqb2J9#4UD=C3oDq*+MZ$8NBY3i#lKYY&wnv2U;>X1tWK7TJX? z)Lw#2I8&!wN{0@A88h9;-!L~8U;ws=^zz6)9}hy&tdy{>!ge25a{P(I84rGr=*!9T zA5o4Ic=%9S((uG%YE!Un0fO35X@t&QX5?4@W>+QB`<^&bQ$~ERwR5?W-TvW7kS5mp zO6jgWL%pZuN#)_2%)8wm{mj=V+=e;ES)_>*U_+5TcqGR>R^qd|GZ&}dl8|~5dt$%^ z8F-(u5)ny%(zYuL1Vz;ej)x<*+J$*dG+ld?;3-bZksZB&6p@^wAhkhFi31WY{GFyW zQM*f25yj%Vi_h!s_U^9Ryq}&2&k4l(|B!8`VF<4zaJQI@8(6UhB@^l519>r8L=Zv{ zAqmBT`A3t8>mFeX(?_9JPt`f_O6%(SQBI+Wi?1Gug?CvU)Gdp0S*{^C4;e*AWyQhC zx3x{c!OP#WtLeLHKDKl6l7`ff+!g>SO~Zfx64q34Xe2ziKfYUbQeq^oOu7#e{Wk62 zxRu8ygFlHBUgHH#HoQg@h7u+TL5AT^6O3$|a|6{!B-8#_@An6ybaMZ^7XX$9Ww<^p ze7~({Oe56l&ce~Zx5%)Osa*;gM0E5-+!F>6h0)c}fzv_xPZG+g{+BupM23++WZgnH zB|K-*vz(vpSQ<smck5s21~0@aQWbgxAnbG&(Y(O;2*JUi-r;;AdS^HyjBX|?(=gvd z3;K@J9}jg@)3LM6uCaIyIbI1CJuAyHFfQSBULae@EuvrW$>N}R=)Uyu2vGT#kX@95 zv{2t8Bty%`cOUjjgv6D9?u|vSbu*4Lcd``%XYt1#Ph^d~T*$e*+y6b*`1E5y{e*fD z2I+HSaPJ-AUAtssmX>ca2I>7Nw0D^VJn0F|MSme54g&H%i~J{{kY$LVasMeYAreMM zTwiohNG9IrbZ*$IkEfqndS`5v{6s8rdtz}Z9Qc}E+XbmY61^Pyf?*C_g}=$fZ?dFN z*5g{*8>{DZ+fK4~OzXT~#i?G2z-8gi4PS|B;&d;TLhev+L9b`$g5l&W{<!==_;%`J zGaZjuRN4x98s6g&1%o%?3AGO^=U;haY-_ef<2vr$MN{bvftj>{K?9r9vxM3XI;Ycl zd2UY35uKtOviUx0?cH~Ml5~8>6NFCzW{8YhnF5bFzwDB(I`(<qr(5<m*><S>!~s2D z!3-IB`+TQLs;Aff9bHyKnWvKZW@J-7l1-!cl*(hBZ-%H#H}?ZdYdxF+q{<IC#>&^s zyMG7Ndwi_xeT<KDvT|jLCK~&*B&(d98X{WhS*P-Ji}Pfp3ECGd6wJ;KLpCRAan_=8 z`I~+r(x<xhfM#+q$U{4&!G&=p*D5<onc*5BF9(wvHydZ=iWV(J^MrnmfJqbX4Ae>f zI<5dKd?H?BDP}3t2UsBPleh-j9bCe-_mym(W^_r3ZJ-}Bd)IL7nmscgdoEHnr^g}0 zVH+{8BY?zqV0;>iZlFU&zh2B0C?*#^(sr&z-B^3&7Q@pBZG2W*DSHm_$qZp*P<a2g zNg4P%u9nkOgd5J_8zfbbCusKY5WZ6EsGtf_9i1e!RZMFHeO#%prCi<5;RTi^+?e8F znw2P$yUvGhfjpgsK@r_%gEv4sp|MkE%9YCyZ7qZzij!vVg`Qw$ca3|GgFzYmd$peg zE7{>ZYir?H_to5GIYec~>}DwuPRMQzTi<#-EP#6ygJQH)<1}~1R3>~0y-2Umv=Jtp z*~e(>HD8MpwQ><MZaU)@4Y_y{m5M|fiE@EU9TSiN;uq|gG&)6li}PLeG392s)WBa~ zq--GteuNNVD2EXk{`ecUw_x)6Wy{Jx=k{*brSRIDFiAG?$yNI{@&@_6hkP_+!?Q)I zoMQ~IK|Fcvse;OTI-ZGoc$5u~>+Hatzo2JTFvUJG?>N7Yy+IeZq%-5ccoq1el2%R1 zhCG|h5SyToNJ4A6fZs=}Vfsv*VDyP^?6}tW5p-vy>M5Lj>1mb1KZCgcL@2V@jR+_6 zBFs-p&u)x#x4RPov4Ut3PPRa)K8|u9BSyaPS*qtzb*#@eC?QibLsEh-K6WheW_IuC zEyu#c8hXWgpcH9le_@pKD*7U@e^#^I*lOI321#lU#qY<}-QmN-;Zeb*?~u2?vowBI zJdZv<06Joo12XSU<(o8x)rD#3Jk2@_EaI~L{DG1R%eDl?+=ozfz@ZUj$^I=Nl*&~< z2F*6qTm9Q)o33*Q5IE^OiDg`>w;+e%U0monSPs~LZ8E;f+wYr$;IJF61AjCtzFH72 zI6Fb~-`(Z||8+p1;8Ih+s_qqUEv@bh#=1wfi$^O1W13YxqRO|=${<zZD$hPNpuz>C zkTUa6f&YKV_gCqe0S)O>H6uFP5mn#m|Izq6h%mcjTCd{gT~t+}-b`w<o^-PDRDg#8 z?X{@#_tez^(2NDoPNIK5X&Y|=3!bh2qO``_?gdW+O_<tPoHUa#$5uq5)==zj^ z=mG8Dh$>Hs|1E6)*FiK}%dXPbMoMuPFVfY-HmBEUY_XG{CC{ii*~RR__#U+|36;9? z+egLblG=S1x$>i}j=bXSTG->DxJsY8{0D^OyZ>KMPY8QrWV54wteg>D?&O96TZ)>> zjT5`5Ei!0L$gKy0p5<yf$@PmNW~<y(Hb?)Bab_dW0m4E=#-lVxosOal`R9pjI@dqD z<B=ckq6<^2M53eNxGBZpx$+31Mnn)~8mM_}ow#G;(4%=&*SENxLu@)bfocxrp9dm4 z4Q!;!SjaoeU!`i^sMRjuX4uEb>Y|CSnKV%?{a6!IZ}g(w-Qj=tamkzorUks$ADA+@ zp1#62U#JrSr*Arb1uZMa9^l`$(h`cu<9T5?Jvg|=jLWsN%=`GUBv=t;9XoikWAp`7 zuGWm-5?!q>Ry=E>Qk~e`shFU!HV=1+?N#g<jTaxq3xfos^aD?%W%2f-c};lqBU;V; zyH>phr8b|gNlw~?&lXwgVR<OB1SiMsOr_~!dH3K~@=W>v%ljBlJSNk_2K(oI3{U)j zdml^x59=c(5G?<#ho}7dnGFM{Ba$i@dZ=h2_hO_j(K#|<Cfm2-cHM?`?+4!}^U(wF zRa?<4Uajw|Y{Q!AUCsBp?WL@Sb9)I@L4Vv)GK(twm+&#<jj(Zh>>F$2^Kmx1w6jwv z*Qk)BV08}i>N?S0&iQm0(?(>W+YN!4W+w&+Mw#gHti2i|o{?j$UtH%L+12g;GC)v{ z{#OHpo9}WFWL3@b*T=zjVBscyki9D(Sh?M?$Cdi!LNMX}Oc+UgyZ$i)=ik;)_+QU< z+rlBuHnn!vt?$hzTJl)pz^AX+@r{4A9ICP0<%w2XJ>n4UDm(csqZD!M96<CkwBL=I z^fER5FT?Uv+;dtyY<SMr8>?SES6ix(+@*Q09v|l3>@XGb{8QZ(J7bk`wAfv1Wzku- zUhLP`BYIG-7$$*3?WUdX+RyF6TdZ5)#x4ga(OYYonj=G})+}bvG;$S6W3iR4xy6q_ zstY?PQ8Y#;;Ju{bB3sC-upERtk~tt9=$DJ2s;v(5uU(n!xIoaVw74(<lY2`{<#CYP zkEglCf=rJz!~*j~)N0aamNr8*42Ut$U*)I$Dd3K*;f;8Ye`=E%X4p24C=>3{<{d<A z7y<D`SXwhfU)o>lBjDr^XC6Q@ya7sA+0W4qgpiNBE1SzCU!gY1aJKs1ha_KbF2oW9 zcAX;{Uz_qljr@UA?)#$aZs1pmyGZUI&G*gx)OdDAgHIy;5+%$H1cV57512;lj|-PH z8_2C~vR(vEURJH5wz3*X`LLS{Spv0YtUvoa!8$S2<m9f~e=JAhoe=D9<`6$YI8Wv9 zP-21L?~vZ>)yiMXwC?sHdg_@tDR%;enk-_yKv}=E0kPSkc`S6kFdZ|bb>c-e<nraN z^te7BoIH2`>kzb{z=x55G21lRKl|^n+^6-S#r37dr7H;i6I%E`<HceB|IQQH{!jit zkhs_vMNaOTQH((Y)ji1~y}ApOnba!%do3<B@kwjHxM2TCS`E6pQ-*rA>Lj&m9iO7^ z+6;1c(cK<#chYAnvYjq*Yn`>M4tW7xeSoj02A{vb+w=SV>&55bN6}+4`NMXzwznu; zF3y;&m3AK<+Alf-d;?s0Z;@$!Uasq$gtYQV2g$kBlEFZy;BoRZeSxhIqVu7%c27hb zwgbKqAY4?tp>`W0B8cUpA`RbjQo@XeG}HO<yU{d_(^CH_4iiEgURk|SncWB?PM%o+ z_K|#_Ne`UJoOIvkO>=k74>W@l2JS1pA#G|n;nT6+y7l<QlA%<+c7Do2xT^`G6Wm2U zcu2UayCOI#OFdN<p<K%Oe&cu&Nq0FPX>m?N1*>KOs88y7!xYs!8+&+%`N`IOGAN~C zipk_+kD2HCP0IfIW0O8bMTjlC`{ttIG07wu@khM)@2ahL)^9&zM5&flB3NAIV4Syp z_Z^~MSQ(s|T_w`i`~_+jPmWd9#=>4G2es4fo*RCH%&z~eZOBebM`lZH>MDXV4kSx$ zsyhZVnXK1D|ElX>gCvC5j8#PB#a!NS5keKVmU-CE&x3q$4-6pfByceq7p|BnQoQgE zc+D$9Dv>vanUV`jhye(R5t6=_6fNJ*cO!<zT@P!U&_iQAO|=PNww>vtLQ%i&EDxu| z9h^6`#A=<AOoObYvf&er`)ZvIUBdo>46qP4b-B|aM8*0dtB1LCe!R}<Mgwsm)U;?4 z%kRuYyZhA}D@1%TpmSRirtb=}a{!BVp4Z1?JVt_CrUlYZQd`3Q>|FzqR)(@I?JJ_L zokggCFxCLR59XP^66fIur&)HXSbAPrc%o5S5ATNE!yWs1@wZk4&`~?%$L}Wp?c%g` zwNO?4{1@u6vHyv<W?EsI1C62*GdX!+{qu9S16)s~mCqM%Lm@Ztg)WdE=M9X{otM!b zK6s=uoJ#0=&_Csa63~g*x8>P}(ZyK1+W3>(&2b}-Gmahp&?*p`$=|!?=M5`q+k%qr zWJ__^Wa1suIF)ogh(u`H3}#Gflz5$V^R1pDO`~m%q*)-w0A7A^{jyT6mxTS)|C<_N zuTFbSJIG;saMZ~5E)W<TnO5?G9}zqv*-f1ro(T^ToB@fL1PRa~5i%wdG9(>xghX_Q zJa0%=aE>%jNJ88-bnxg<KQz!v66zW`ESUp$a(HaW+hq`mln->{eM(*(-pB7lXqf$i zM$&`;0W`I$X^F^(4Ft)KEkC={)%oW0ad$GrVWZ$VNtB)ZG)U#sN=KVqgid<9XpqoV z9i;*+C%}ZwBFB>|4Q}KQPp=-)9QFx6GG9jN9I84bvdRnI$G>-M{Q?f{0=lu`(~^b@ zx$t3?p+k7e-Sk|6M_wyNBPu_GOoJ&G&u*yLV&E9=&nYk3YT!xm+|Pq#Kf4Ri`{0f) zyn{5gZ@lYM6dV9iLwUlbhBQTdD6UVREF3ifEiPjs>ZUVguG@V0VLT=OrUcrvRj>~V z70%5Hh<a?7(gc%jmjZGjPZi9{T0*JHFB_aD+BZ$h9;&!}`=#VcnkOmWz$~<LWV(!) zl$0bq#mg)PBaTx9hn2hzg_{T?5q0*L^pp?N0>S8~SEJMdqvvtxlOHEk1?2_{*As6C z;Uu~}{}X{vpoIvPjkt&-ly&8}#8#3U_Swx9Gy)b#3a6JUBv8)<M^pA%rXU^|`2@&x zehXMT=n^rA5vD6`JU7ZE61^fyr>OuHkuIPet^h1QM5rmro0CbBZ*c7|5YmR5Nhv0Z zM2?W2_feKd<8HoO1RuD3!O-uZ6Gr+eT3T31d}vfkcF}QC%>n<_76fc`g*sX=B^kG) zKi7K}wyqF21EK*E-*xbQ3;AKCv01dQ2zsB8pg2h1QmVCl%lJti<omyB%3A_9yB_4i z!za+pfM&Q#_pZDAU-sYsX4l{ZW$?LMvz#<JHEx{0foID+R_4dI)6RSqq?x_?aYiFk zV!*}s;nhN2lR{rVn6AmDUMtkT#rQn#5jUk>`=CJF|E{^1C!)|C(UX8)yoBJ`0fhmB z#ed{NBVmUX7z4ND5RZC4+N7LtAM_~&mb&kk>9pXl_x+u21%jyrj^HBFik*5**BbDl z0C&6-qi?#`_4KUEL8M2sxAg=rA6ft>P8w;+lrxc%^Ma@87{7iPu~BuBEiRs%x`*Qs zYD=LdQs)StWM+Q#8yr^|8j$+Ek9j+Ym~vOagFsxtLamw6FATDnSRFck7Ab+O?gFIQ zL9o#|`EV0G&rIw(ENBL=AWKZVjC2_uiA`NaP@=_<fHPtlF$V+E%rbxVH*5GTLb(#Z z*aDJi90vv7GXkhZG~AFefr5=>T&LiH(Rf@&j^ZS&0;l2$z!JqZ6xwH}fE%5JVnhm~ zb{b(e9#SOEQlC>$J}}bgDnlM+S)WN9W0n7oWtBluGyH0<ZCVJA$J>9!7&Zbsuqn7g zJ9D;jTlOHdS+R#sMs~k;QaO#WaWF19yBL?)ta{X!YCBluM5iosWHhb8hXeKi%0{KU z-nFg`iLD@NBY`r8Gq-2DrOHmgMUoj&4imVU6OT?oF_4a4F@v;}2qpE$8h|Q?#$Hvd z!>ptmy^LyP2(vsZIf<KJ?TK0KbnQb2`-h@Kii!0;jKc*=h#oab%_m$QeNSj3Cl8$n zZ{YXI@)4w2{n=unCXGH#D4bzri!^FyL6b0MOIO9`#1WMGG}^H9C=~%^93mNhjq(T% z0KJ4+7n9t<V*x7&>K`3Ww10FA(f-k?|3?Qw=juOn-2X!d=s$E&|4WDWj#m7z3vZH< zGiQs2+{aOj!%Y_fcMh)E6du->TM#-z{}z!RZL7#W*madB1SUy^++0cvPl?=IBqN!~ z3_v29kql=ROH0Kgn#{(W_xBOmp2}5$5+*T_z?+7Unokj5aEM_*W`%fmf7asgEPKKH zA=gnl>j5s+RG0qu8_lV)cKI<RzY~((yz8F5)+=m!XW{mOK#sRCr@P>-H6)lr8x^Kq zn&$GKAKxbTPcrB#9G|Z_9a?M)J)$FfQ>z}jnpY^@ORGzeqUTWukQwAw`Xpe8x-U*z zT<AYe;-`8+1<_XlulUt0;9yg>y*xT;1*aVZa2b4HCfuRZ#<ZVA`0PU@S4cN4QPzuq z)*3lHPxfPfgLJc;88I`1YkB(pjs86V`?tZhv`_e`iE4N~OJvQh(JsP#&^TVl2!$05 z=<%_zAB&+5U<*1!WGeHh01Vrf1O;Y7Q&<*BD=OFbZcPKgdE%I#e5T0l!LUI{e4Q>W z_WQ5uu@m%;3eP^7N{dZV_PQ1;&0{md*H2iBir7tQx~56rGi2#c9<sv2TyOU`Bj+1< ztrisZpzl6h3b#_9ubN@KN;9HEP3;ZPEUz3${MInqmsPzS=|gno8X&uU2LmI?co-jb zG9`Z$A2Ew-X`EVBTBWXbH@_(qz5z^;Z3XilkUUKKT<=99b7*pZsfpvQ0J@9^M#vV< z-^kVXjC92kDB;`jCAq><ZAkbhOml0ox=V%hz4i3#p)<vVFPqidO~G!7UxxtGJ$Bzo zr6TstPKWKc59>r=(g}oZ(Q^?P*#QXzQ%<fSCn}JthrNG+5ZoWlK{u?si^9?VAIy*X z78XKCDc^O`avTs9mE^bJn%H`sg`DB&XZz5-BD^zXg;*ZIU|TVYocjA7=``3ece~>W zRiUXcWANX~5o|DHq|%#>s!_`Pc$VRD^$czi+;XU(3?l<R_A9z&q(Yh2dYMf`OaNB0 zU*Bp)Bfd6eB;7|{0EADW*Fa?GTMHRQZ18G@g_9!Duc5Ow#hf^{Mw=5vn-Qnmfy$HV z(amo?@(!VR&SaL9K%n+da4<uJCHAt@L8+f>UYy-}At!3cV?ADKWMAr_z7{d5wAFQ7 z)SF+2im1FB0W=eMMr1;Qn|XOzo0qo6xS&J%m^+;-SFwBaMRtm@F2{Vm_K2kT$9-8b z?a7Blc909|ln^_bfLLcjQu<>@Jq%liaTg%FaO=6)X)VjJCt?dy1(h$AeQO(TO(w)S zcFGd}JO9%R%75OBVvN}sOOgyr+$5poW?p9JW>Tf!|L|@+k_W}s<eIdT0_C?zh4eX* z1x*dJb{b}F5?KgsLYrvsZkr^pAd;+_2vm*%FchTAqX4wX2<i@Iir$oeY0wU(4Rsj+ z*kl0waMl5)cZCnJ{;Bp80$tSk*LDfw8r{AazvHJmLAPFjV&k4KZH15VvBegh7lmVE zkfYGxY#Sz0{8bz08^C1v%dj}u5~dOL3(x0OvXOX&^>_*$sxD6Oylhx)_t7nmVioHg zk7Crywg$~(MRY2_u-QmZX|NxSQ)zA@G(cL5z_4$LQ)qB&4-zXI>%x?praKgxW`wh< z6R)2no&);0u-8!W_R-B4<2CEPaMbhf<Br(s6r)+<B`8%n5l5+2ua(-s<3PZ$L;ka^ zh)D{~3y^l9$z-$Op+$)E*HjX+;~!tP4b7TitoQ$1r`<T=^89K2?IXIfb8eX0HOMY+ zvr$iSINtUEdN4rq^`_1(pXqv6vY5QAw1{V6Z_h{YpJ%m5Gim41BP5(_s1e%nCL@@n zNA+o1z2dxl+L77e6j2R2u#W68LOec{K}2hRe9PT{s;D%-joFZZLJ{YNPmN*TnvlSc zSD*!DF^VvKj%z8=3q$xFA2*lto#C9@R!R_{`V@F)2c)tfGBRH6t|vV7Mu_HNKDi#9 zr$EGlKJ%t9V=w+b#q0{_z=^;IL=KXJ`(cA5YroHPTjgMaq4fCtTvi$gw?z+WzSxw~ z%FQcb0$wl+t3G-fWeXmsOL+yPR>%qpf%b<i<d!+(7wT&IIx055ieP-p2r6#7V&<<3 zaVuzeYJ3&Q{bCNV=vpUBJUs3aLpzm2LnbzObf-f{(?aIgO>mOvmi_}99Bm1_Tr^vS zO~d+TICRg=y;hK!lK!zGtGd_Bu$B3QS>%>dtGcyJ@aQh9Tb*APlZK8hu%)X6_Pwyz zbN5oq=D(?DkGzK=aROgP@InGkVc#!7=)8-gTCB1gvi6v;7c~s$g1SwFOVvb1)j+@z zOT&E#zy~wSBrJnSSdFFpxZArp3tu8yDxqNwadd+?F~u!|7+ANt@<TT$;^K+B-a|d0 zGHKb0!k1N3gy7sQ^W7lZ)QKVU&ftYoD8woMgKbX;T_MSI(?G%OQ*Q&cv5gvAp;TjP z`*N1QLZD-{3%(qoB6Py5!P78nf=;WkY8ZWVyyD!Ff*@E=3E!Q^70?S?aB>>Fz>WFy zghlskF5+DOZ?bmPXmqCO&^dPHVx;LbD*l6p{8_8VjZKe*uHS@A-CnMly`OF5vpRfw z)l(aYJs<aj8%XQ9L;kvX>G*EVgz$@$V#74-m@Dl#27FjY-LHg8N|vTWAk|W*O?oGW z#(s!lz3o*zJ@EtHbY%H4W)jPABe4!`yI;16PM6G~1&t#t-Bu@ie04jm^>JKdQNBk} zZzXljSh{EKO3B_1ev5WpV^bt2*|)gJ58dQ`*)idP)Bs)OK6F)kZ{u%_%ZYwCJ=%G@ zhLiT#T7SkG;mPut8mvq@hFpXZy2pJAXR@HVR*o+UsX?d}!Qp5YvK)-Yc$OX0kv_V| zbqXiDu(=N2Y|Da6t#N4e8Bvqy;egt`xi0K@+Hi_bzRFQ}Lpkh8YX9$pM<QFqFULgr zZ2B3nk%UAdPLB-(2ASgc9ks2PMRB4(Ir8<hOO2(4%|ec^O})gm(4O?fslpdW9qN2Q z5vR&e?&;JyGU4-b=&3Os!nP7St&Cid(#UwB>1o<25Jqc4DV)ags0&L0!SWG$Xnsb8 za@l0ap_%dEkcY4pDJ6}oBxdGRVKgjq?9<oFIJCS<XI#jQShZ`zH1IFeKN?7n>#E^8 zhyh(4XqQ54l_gJlDitEzm^9)Z8i0(b=8p-wwsZ+}5IatK2<SLgx5u?=%Xi4D;j`;^ zu;`+SnURUs;9wL*;HcfiSnuHrb#Otp6i74BivZRccH*jK+`AJ@IPxbrBJX|cl%1>~ z$KMkp`&PvsnL6+|z{<0dT(D}Dk2B7bMl6CCTvXxt|3IEXoIaBy`~L@X?--m}6Yl-S zwlmS4iEZ1qZD(TJwryJz+nyv7+qOA5d7jyO*M9doRp&ib?}zi@uIkm->V>+iuI~P= z|G#@p^6G~Thc&pkx7fHrnP%}*4FI>>Z138;_=&d#w;8lZH_id8LiI#vKV?AHZ(d3f zL1=-pYa+nz**|&!F?loe>s~{{J1WJl$yx}AU#BPjJsmS>0EZR9ma;2z-N6kF@Q{); zApcYHinRro^XSY_^R0+F<V?lm<NcN#!n}*<kU_b2u495Owy%3e%n)DbfwC)BWakEz zC^na}OYY@>VR`ROdeW#&xA=*pha19ESTD>SZlUtN+l{+Fp4t`wi)cU+Yl2q-ZxTMo z6oWnkkL)Kc{$dKvcH1SG_hhCYl}0u>?Rn(NyTXwcM`aP9;ZbQBk*?%YvVShkz(sI> zv&(^f+Ld$61l!(V<3z<ru(-nqKm<k`{RV*To+>P(aZu1wpLcC|NmH9hpCsotIBe}V zWDW3h7)~;oinaQA;Gls=3pb;0erv6bck+8R5$vRfhkVs*2AlbO|0!33TPXkp7?E52 z$29&-1M<C-SZ_3DRzW*x6^tX&dskaIo<J|19K1+#lD+;a4DZ!M%Jo$+sdxf_HCF3l zZ#W*XP#mI0OV6`Ea(P;!8|tWoPne4)v~cgao!m$^1f&iwg$W4lzwQ9k!A$%K{2_*P zo-0@iQ$(hUBX8LsQwJIQ*_4DmxIf)3W1`Y{Z`2`Ls_?0XBv9;Hk(pu*Q`$f(mwPXU zuCedKLO&FGfg(c!ViVdu#)H6uSg8C%>Pf)AtUbBV&q+-ZbOG++#`PIf@am$IoG<v9 z`&g-%RabVfoO~gr{YJg<S0-25BI33rq)ax2crnX1$<H0Zh2fuJMRdqwcwVbhUnvP9 z7vpuK*Z0g2l^a7ZY|6B9!(!=7@km6+k~K3MQ_vd~L>;J<32X;EMZmgk%nNni`u*;; zG!T?5V#iB_zw4U-JG-AhhR59*qzL|iU!`X6Qs9t&87BXB<JLtd1jHRVR>WfUy@970 zFL{It^30kII1!__ZOOQLvcOJ!J~<N^+#Wd>;}i@G1*?anahanOkpG1baGeX)XG-oq zuLl&pS8gC#uS4}J!FIh4Mi6!H)->u&otLe%*=?c+omRU7_(g-g1LA5!MX}zg)DSy( zb9c+9B)^G@BTxj4pxW{p=tD)=@3XPZOXW|c1!i~G&(cf(R=Q5FHdHU$X=m>Otd6&h z<0k;_F9BG@?}wLPNfahN_@2YARvk&o#GGfRh1a9>mY^LNDrX|3Tzj&LCsxg!7xG6w z^lb#dcWMaNAuQed1;zX4&V9S!Z$upxuxH@nk0#}kk_zR=;9Ml4KXXXTA@G%?{Sc#C z;Ym&W7Rqi_-@L(-wLwtsO%}#dEBMP0?G$3kO16B~oS{(vQ1s^Z*Vk#Sq3d2q+YOWX zy<4@`QOCUjhc(_f=si!6j*FUE>O7+vzEI}b8hJOR2^OXw56{1Aes)!u!+8012kMtc z@#on4zwf&GLCy4(x}4R{FO{e+B&~EkTuXi&V1|ihpQo>?Jv@CN89T#JuYu83lrWrL zuEyBWqg6hg8b2+>6r?v(j#fytt|I!$EfX0C<`x=1SJnyrvPbtIKzqIHqupo75EcmA zp=p1nGnBp|Xr>RF6?Zb{p8dGig0p@K>hdlM=;6<5#2A)N^Rp}KMDaPFw{)4WTG@g0 z8qkPuJcMH|0QF|{6ZoxbraEa)$Q{Ko@Bbu?7ec-?4o0Qs!4`6>9P=%?Q1qZofy45v z_~WEZp)HS1tuq<cV*<SEAYgOdUY+)s2J`+fe!xM%wk@wkd*<yOH|0OW+Sh->Uln%) zdrZ{F-sWJXgL+H7sCpb`mm_BurE>2@2*LRWvYE)&zq%zV!QB7grmb;Gp>CbJ!ySjU z&-T;vhxZ*Dl)&Go-{v*u5Pgq$kfcK}H9(x~?M|btyx(Km<*&ml5fGO@o&Bbm$ZrwM zX&$I-v_E1~WJUXd6vK{npTXyOcUj=;?e5^pYt$JkVJQXBchisXghpL-7AJm2S?%*` z_amEg@9|=rFUalou+~irVlRT;;(AhUT#WeURSe^#ihoJGk<-J~F^stm0s&EYW3Erx z$Z-9AX1$N-upkGtI4bn1qTH&Xcrpe#?ueI}Znb7kc5-69*@ky3N5{9@(Am)~ts2q< zr*oYQ=^NR|Lc<Jbb!5u`<+3bd?4#{c$B`81TpZg`%u}uM*7RtRtgNre<7LcN6Hp$F zgB>*n1OX%q(;Rehsp~i7(=eCEEP~4OD9Fc8PiGI8ojVt|Ew5IZr<|1>-n7^qi>K?G zdU$~ACH{qD5&kc0eO)YJ5JP$f<jn?r5L8=}7nc{mc%8d%8q%49+jsv=LAf4dlClj} z_?rp;c<kCmjnfPGF3fq|VDbT1*mbv|fAAPODA&wPUX>I}e{`Gk`EO$Rp!p~2Js<$v zkGE`bo$^@@TWry)WyKNXC;vWM>$syMIK@<RJ-V`yyQHnD-8LboLfjDN04m9!rasu@ z-%GQA@rZ!{EUu^petw&xQEU*1j3nP>0a2Ve{XTv;dyUKJO;bsxOlHa+JS}UZUzB<@ zLdNz7g@ZY-C~asLQ`z5&M0|u8`MK*;w~&7uCYefqq{-Ly1KDcW6qFRw1!CvD!4Wb^ zbn+asRTUXjS@8FSp-jusC$!-L{!X2<;5TE;YrVCzKy>Ng^8%6dn?5T|8(yy{bXm<z ze-2)R{_WYBjj;<nv68voE+se$4mAq-nuwtUg&L52lRV<-$1Y@5l^q<nQ8Alct12=D z)@==}i5KitIkt}w2cKpY-Du&&e7)RUlLBhpEnO9j2G=994@sA+yGCsew<sfqR`$w` z<w9ktBM9l${B8(q@OS#T;g^D|E&(JAM;FSBG#I$RY?vgMLL81+eSFWYVxw695Fk=l z!&|(!PFgr|6anACt#Ihc>X+PSP9}M%5u1y9!d4*OSA0}QQrMrSnsBCW$}K83nm3QB zb|h58m37p!>?nBipd<$Vq9BirzoiZ-c<1{AUxx@Z5Mcc+i!hZ<tvmN-P-3oFzYpro z=j&*py<@9XF|uy0i+N)7snga9+{A1z>U2;#Y$4bo@!6bpO{GT9lm@KNhPn_6j6`w{ zX;bfOXM4U>rcU)*XuCUIO`QY~%qWYl?$zsDw-v%{VtOGWN{cut(4kycm>SV1rQ0|D zv}CVrqmQ8I$6p@;>W2NyINM(9YS067ERa@poKa@LPG)NUWhDniptQPHMd07LRHHkO zPU;pq`?4^WIpG*UZj5Q1)WoR04oV?cdJCsp^8=oTCiLLK_h_^O-t)}|i@*Jk0|#2W zOr}gzu^;KYol<r0K;zfv4?f{2g!ph}1~?4+W?cJ#S1pq9yS245EmebWkt@>K8;wv+ zCs1eu%ar+>KAr9DtsWyY`nf$X&o*|hn>}1Tc+Vj4!VPioNJ9s0Kk1W>5lVZ4sLWei zjnFH;I=Q74b<wm1d``9VJQZZMs+rFTmE>H#8+Isvw|b4d4B=SzFtuHa@APmOnA{H9 zNCV=IeQAAl#w18Hv(U4IhkO{suE^op?EQ=d7tA2W5TcEiZD6aMa28UOKhZtX@*7A? z9+}<fXLRKr4a83510?T&sfH(OWWKN8SX$mDGN#dX1BXNU2<<`+1iQcgc|700Eb1dP z7Qy$0oWf7d63NYC==_29@G`dITg$h}k~KV63E!HEnPIZjj|B1yqChQIOye+1N&&v7 zVDd$kvfOu|Chre?hl8{T?6D|*EWDplQLNGJqI|wS!D2A>Onj}|O~ax&0|^}QTPx3_ z%8`}I?sa0jJdZ9{+XH}f2<)8gmLWXiT~>U%`{PbZ^$J`?qiR<IkHSSRz?M}qL0&Mn zuUJ;%V2Js<Y1TBKPF7B?9)r`~*5<=6_pC~UQfok*;4icet=s9wH1f+v)7c7WSU6Ec z#%*aMo+v1IeMkZHKX3(DV@S9>XjSRehT@3dEC;EI;*Ma8S1nHK1?@En*=5MT#yIIp z*dW4ZAYzEP^|c#*Q({2hBy5nG*i8oNm5ZlE6800o1ng&-9F}AoSP^Kn_=#MBNg!z+ zouIfVLetPZHG@JEAd>{_XXdO8HIa%8_X~AR*^+nm{prZ=dE9&*TwK;L)3Z>*j9TfX zYYd`GjO<v;s!=X7V_QDBTvi0-Gk87rQLf^NbRkz&C7%DGUbnCJYA%Go;In`EWRnL2 zek9x3+SGDXrF!bOk#Ho??AJLIn9uq#hFb4D)1_MD)L0XiT_n)p0v{&P9I1O(Vk6lC zi=F-^@%o4-W4DW`Z_&*0i)8E1`M&=3XX(p4$kn{}thj)|68=cmf5ZHAou*Zvh%+Ni zHP%TK$!nHua%~|~^LAOGZBqiDjlXa>Qw;v)Ro*`*Pt%R;-%h0kW!Yiu>1jWLk9xRH zVY4P-e>5aze>C9VhUM`)%ztZ~P0HG!CariPbHDkl#tAlcA4LMwVTe*^8hbT`sfM0z z@|`-^SY}wkk{sb8+;P2;roBL<u34@<jD{2#aX-G8mm=vm2Oiht?zlZF$ID7t3TOWA zZ9j?dzn?m|%`~21(Ltp$el{IgUGe^Unm4HmgCeE-f}N#wO5)<#y=XWRs}%car2ac! zA9{zaLiN8fX>-8-obImQ)ZZtLtprNUqBp6a2D1)NIj2`xG8a>55sq|8E60(!OfL>T z0R|+Hi*u%fqW0!D4981>)fNDt5FWy0Vo_v^^JL@n$fpO)6|-6{Z*pAP_X6xC?D2=0 z38BGO9JiIRK@d{i7Cm8aK}Vsr`~-LR#^GaPxGik743S9i8k&055_u@X#By^`#BVey zECu7ND~V6|i&RGwfb~>-Om2xm9?*wwn`wRIxh41=lNqNMhcC4o<sHMAfI6hIQKDgx z!rv1Bk^FfOp8FaisjFqIYT!9*tjUt=PJ|&+=hu_`!>Y@=8sLOzJ`DEaNXCZ<mc~S` zjE=ShHRD{ymmGRZ1VleLtq{ets|dkWmXnMf+#nZI+DI-9=H5cb4gkndN<91If``G* z@mPCAH^_;764EYo^~TZ8R^!OB8Awsp*NJ}gP<Y^rJJ(52N#$*cSR_xouaZ&FC>&Dq zuR)t2R1B4o-p4`?37hu@u}KP5#Z{WGQC<FAO-nfLcFldBYQchseKtHUbTLBNr(+N7 zJZOuViPDLKg+xsPhYL0$5a`o4gy-1WmM&1<>%nSiI-|25@aq!6a`^W#RZp@taJ+$Q zX-D0;F3vr?M33Afn=u&5n`iNijc5bOaip21Pp9x0$>jIAFU!`d=ey{kONXD8P_Nb% z142UnPX7-K0eIY1uMHgYZq)F#zyE;0FTNxOzXM%{AfIPoL#GET9&TYp{l&%34uq25 zK&!#NR()d}h`a!W@RdJX@@kM3yK3NzA!J!ZNO%j4>b8DyfD!<1PJ_Lh=1KNp%7Ccf zJk#Hqf8)BtGF+U8?7y(URTl|itHJ)cdCoIa3qcE5aV!4c*?$)mROr<TyO-Wc*DspT z3!rk$BHWM)6%Wj|gE~~eL&9Gc&;Y>o(cilkDt^ib;Of@fLwUffwH;j3^PjT(MHJ2g z?41UC*ClfuV>F>+z>2mK|8fi)$BBH$7}S!Va^r@ry2VSs=wc217P<angO2xGtaDgz z;(v+4n>rdkAH(f)`P^HQ9)2KC_pd?jkW&?p+`9>*I<foUv=R9($*af_BHXcCh=yw< z)m!yNgH`zyavmeT#2Qa%M#6(klJ(Ii82`;&e+I)GdakSNtrz1XeZiU{Xw#GG3JO!% zmGp#0rlE7MnUTmN<FY4@f?w3f<L$H6?_TPmpMKn6@&GG8>Sxy2g62_?9|j6rV~0k% zG-iy2*FoufFp(=zAmi9dDJ*<73n>#O&aL$Pf%#*N_Rd9eR&pps9glhDcLHWJb%|TP zq&L#gFksx*fS(+m{A>yNrCcC3o!de4c={@7f!kM8!WU2=KJJ#}*A8{P#OPj|sWdxV zurhJP0@nB~t0U1w0u@s5wc7d~qp=$cGF=E}&D?^#6d@HSPrX!TauL9FFe$YB2*Eq9 z0{ZAFACPM?fMvd5S#)u^D%QODAUp#^{5X9Irm(SWtIY8V(~}XGXxYL)wSWN0D`hsC z6*O5BnH=7eSjvXc4@-SP#5Ix(cCd8>ZzXqJOMKDt)s)LX5Cvx{G2oM$B!~*^)4i)} zx}eQ9cLks3le;{*<W)Bg<kA9Vt<zznDPG<eeN8^B@sfgaCUA>xh^%r}?XuNYjCdT< z-LgQrjukN9we8=6Jvh&{I$(0A)tH8jE0(US5AE~r3aJ@WE_=c9TR}h=y=?FTZvG}n zS~iSZU@SPFoqtZYd!b#}tC8i8#E=jW^tnT1KVJpnN9buQF`%IP4C_RYG633nu3WYL zecUi11<q97%hVZ&5r!&Y_sv>;VbWWf<&3H(@@~fD<CEcw>{zkVjRD_dqc?G}PuEfS z-#hexsy4=Z4#?VK@j>9Wj<%uev@0JW-K<l=<KeGc?!cI-x3o6W--|XUQf!n3?tWyg z2a?uWo}|7iGRXQ@UtRQ|$ZIU%`V|Dspg%tHcTw)%do;9gEqp4o$ZT$WmU{v&Vd?ZP z!L~9Tb#lpvFMZfvdBRp5<DmR{+dJr-Is}agy%E-_Fa{N|HRYbGV>ip+Lv4Tpjv5Ro zF`2A>?WyGa5=gWNxF;Ibb7Tm`H8j=h7S{X0-c>VmFQkSJ?89%tN0VG$PMPezLpB)J z!weQ}Fi;U*2YjpgorFgI8#;casGa~xsvnrQhVxtnCDJLVHrRgnNz0ZCUVo4;%yp?9 z_4%Spuo@R?78VRZ28Wg!wkQl}*Sd2Z^G`!~!)&@HCU3BMH_Y*xIsr||j5%pL153}M zZ!Kj82tnQzK%J5(E=C*B^Na@oxxXskc%^#yS+2rj;$q9wsp$IxzN<jyS@Njz;mrr8 zkqt7z+80@25!K$&OoR(JblSza;{CJGyrdeY!4jqB5p)~%Q9Nzth}`TC<@t=GcJL-- zaJOe2ta}ux0+OLyB<-I_ET#8Q8aP8{6ufV8PJHhepldt^wglzdbVx^4!3?OR09?x2 zAOfzR8F(yKF3-SQcDMUg3ZT)){JC)YGfk<5=#I}&v0w<!+dN94gyZ03edEcS(fQoT zfl>$CiX5%V2(({CEzVZunzt!*+k^HkhYj1dOEy>Blrx_R=N|X{I_)Q|nm3xI4_>~| z{|aMr{|$dtyk9@Vo%s-a>!Y|?V!0kvn@)ebdCfmT<trC5Fjtv31$%F_oud@U;e$ME zp8h@9;^8y!a`JHTQd${HuwIEiGlq27J5vg(%;Oax<W%09y+$kl6ccQBvv9{zAZHy8 zu;s<2mL7;8gza&bgXV}Tf=D$i1=+#|mYWG99aQV}#k!@W=?<XYx5ICng&QM#8H`@X zE#8eg(dFi=Cg`vp%uPb36m*;(ds)eJZTZ}3^(~sU09GI(A8K_cepN@sZP2k7Uey~E zQd0`F@XiY`ce2eBceNHW<l-YwhjE}Ye^ya4#^mR&8n<^elMJ3RTF~m3TQtH_1A3BQ zq7l-wmw#VY;+^?GpP}~AP5Rw&4OQZ8LB5UATt_?7O~Bth&DK%%NuO^#^EKKQ?9C(Q zP<N^%B-B?<!DViDi$P+hoUqg-v!(rYlP`OBVhD)6R9gdnN_OqQWM)xE{|R*YR*;tE zsJtTXiJLbtk=+Z54V-iF29BdGe~y((vbYV3ZLNO(?2p#W)NGIdoXfv$ip3u7?PtCA ztUFTHAYKuhk(>jYL|iV*d5H&%(pXdzT#njUAUmGW&RQZn9?>qEGVe_998F!u1UGHO zx?`}0k2Y+?>TPVN-7{nPCb84z-Uk_cG#BjQhadBXk!0(ru-kJu-;764weKTh!>Be# z1y6DqDT|kHx9LRLKQQ@G*DT*_?V4?vf34#}iNBz8Q?lujk&8n^UNqsfRZfJw2`eY! zrlSnB{FqL6mRu@N9SujDQDX#aV{HazV<)mL3gIfVBqG<Vh2@W<jojKt;LmeoWAGIc z-WJ7BlU*W_>s<Q^c_FuAqx*{?o^tE<URQG!&^BA&uof23QEQ|rIClme9{w}YA~vj` zdd4{e@xhC_KdIND@x}#1oF0zgwFqN<A4LqZk0XwTQzWw@Ru{POuEJh}u=P^r8!`** zS+ZiLatD`LzQ&%ElvS9l^FIPIAAneK;un9br@)h0_Ty)423oC~m97i8fWnzgKWGe& z#>yo@#90^p(yLkogzRTXu`AIUkJcSMl7m9bGV95o+2f%_=mYZ`S2(ZoDs~>W<i*ZM zoe=S;C55>t>|*u(psMud%>eBDq(J`YG8_({kUMH=fy)P3D2&0l(Xq+!^UpK>0on8- zLN$ib{Jk&i?2S+BJj_w|_PWo4gJu&Mo*RGZJN|4~u7S0^OX8^*K8^84k+3GaL+xw6 z6tu!+vNWV#P_1VXlIXL#C4{3pw`obyrfAYKf5g^wR#rAc6Js5Q3=S%x!}#M+NG~oS z3KT#_Ov~Pb&W$x9DLr<Wc#$dm8;T98f@vL+qrSNzXnh|VAM>-SmLfQ02fmJ57!r@O zU!8$*rSB?WHmt?JAQW1w+8r9LzFQKDvNhUdEYWt6YgsmBjluUZ{l}kkri*utq~-Fx z!33*s#Nl9b<g+mgKC^*fbLP5+!da0hAy77449bx_nRatBU?#BN!8Qp6aR>u4MZ&%h zMl7EYfq})BA6gIzGpW7>$0ErWwuK`x&`N$I6u%(ur1?|*16r$_X491y=cM_$h3umP zamkEcQC&#x)L`VM$5F^s3WVI=dmHl)V^E-wtsI@N3EZ-x7}&DFN0U6wxqu1usr2)b zHssc2@(1^`O+jtdxQJ&{%XTd{%yYyY+#(smE<!_5wV{8m_6$5~6LZSpfL|^U^)wqP zm~^!q5~@rGYHfk~*-uz@h;{CW2EC5SVa?1aL(eDzGT{evsRKnni{gr2n%$u~D3aFV z{@<!Na3p7naOsZfXYz;#6yM97H56p-fxr9W3@x9VRbfO3zto{tCxvlrg0yt3n|a%@ z>F*40DtNUsybrWAKKj(0)(QFbAe@$2dvGww$0WE>eOJ2yf%%c<?lVGsk!SZtytP6r zmScv3d#<Q|<a9S&%z0}Pzl}Kq^X{7yO+hh^DvXjMP9T0Jq_FukU0>K-t99V1V-D&t zJ#_r}bUHs9=1m^{;?4Ta&xU<o{2H2j*~pF0ZRTe^w?|g~(FhjMiv%tM7Q6{MnMX)H z2$QNQ&_zi7c<i`gw?<Y;3Hcqvvu&gK(Ld5hI;|{wQ!s8XdIDSROltC*m!6iRs{kl5 zqtQugT+we{Hg^>n?W9`c=gT1t*hL|RW2e@Q>|Z1{q-1IbOmj-Nb(UNw2*=F9m`G>R z{{h8l=x9Hn0aI<~cZC;U7HG138+Crn5{NSjFpJ)aw6+Z{pXTV-BC$fD3>t7gR|s`1 zs!Z70MKdc=X8g-u03`vvM?Qbi*euxA<<A(3jc_zjyH#mBzr}G#f@Yn_K5el)dzh5H zecoZ50<oX&xWEryhFL(Jj-}!;s(3)1n;Csf5e6CiJ_Z@@WQ8YK+nMSG^W3;;Dfy#H zDg@l&fgb9J3MSg#VWG+RLEyv$Dlv9*)*u!qTe`kjSzcD+1|aL0HdtUHq)5eYr20U4 zmE~ABWyGA?@L^JhBBqfmE&wh5twDe8oJx=TXXCmkt`fvz?&cv}m6(9<DoQix-A;l) zqC1OG$Rhpu4yZD$1pK3i8keL3C|-6E9a-R>URCnuq;K#11(4DgiAveFSGI+iYQ-Uu z%!bgI#l13&^_r6(!;}DFrNrE%KBpUa*oBYi*TI3{a1rfa0#-S@E+>0Evx`H9Y<8## z-F0a#m$>exfdW6GKgGgBNWk>jjTL}$@a;ctXtjdph66kr6kAAe^)-$tz7KW~xy!59 zvyn0}9!)~~*HQrMH<RH93HPJHPI4IF9&Z_!a%+C3?xMG{bZ_;HC2xtf;{^YDyNKvB z?#BtARqd*0oEwqsTzQ6h!JKmgfTrwG=`@j;F$hXR0r8r~cCDsYgpo>dMJ-7BgEIc2 zU{~OjfExL@o0z;8#f~}?t8bsUu>r>T05_D~Hv$>CrHaqP1B#eZVCwadMYIia_jGk( zVDEZYd3AH}WSe2a!c5$T$p_5eBR3c}fn#XPQPUU?Xs(QGe}W&)pWCYq9@f|5hbGY4 zFwV~+FUG?<c`6%w<1m$vmXG8YoKdSdg{e4oc~dfCsTkXpLjeKBg=~3q4J<GGwhm}s z0Z*&RD2jg$suQf^IjxH!x92Cmildx|Yhr>ITNW1KfyYsR!gzRR81zG9NjO^Uw`)ZI zWNJx&<U>m@sZ5`hxItY8vjJI>`sLSQLXS<s$`#}OJ?_LYp*#oV*z_F!C!!Vt!^|P< z7WQp8k*1u2T)b3NA7U%=8hD=(l>}OxmSiE`yi7#;{;O#Z6nJsTBQ_Pd&g9TlS7?ml z%!77k4VQf5XcTd1iN7S{6Mom@;FEdDI;H^!%;8E7QQn0&+;S<no%e7WRkJqgZE@WY zLYp|2Hu6%g2R&t=XS%#R9Yu{!QjJJfc}a-Y7i4!M5xCv7@Q_rWIl9DwGt}si_mbjI z7~$eyc1{`lMz1jVN~8gvGRFxL!2ih#TaX1Y7m_BczDvoE6O)W=0-wrAMKqoVZ7P&` zJQt$xpafY^1}!L!Q3zjPOg4frdTvo@J-?>N%mZ$-<o(^ib`=jWX-|<16M?W05z|~0 zEl0n(Z^}z`VLTgo()7Mccsv^C-ZXwbJ7w+>aW!j+fj^aFQKTraGfTy}8Y7SZwnpM$ z?sG7I5nYWPmDYp^%Gm&XhmajKiq;5dQi=sQ0Zc_KNgeh3uq5a~OQ!GNzjZpAmWZ?X zI1=4zx?)rugQ3WS$i%UzO%_W2V93b%awK{gNg>2hTZeSCY0l2NkmTL(No!af{i5b> z-&>l_)o{Phoy6Y=D=B@(|1iV&=f^s5@z2xH#>Oz}evdtzjT!rG<(R<kX!UAM>Ki+? ze}+P=$iG8PxM3}&M>DMZ`<)oHcrvX-U6Yq(at<MmHZ1hII=~_Snp46w`!GgM4ErIC z2ZZT_wk5Wo*mPD+R+&UZtnCE3MdVC)uanoL4YX$1dY$Qo0XCAO0Tj`1*outlAk#$C zffQEl28A7y*mcu3s<h#y%-G&xES|^Zkbj@M2P_B0DHm8J)Wr^@*X_aFE70t~r0#iH zb^w{3@gu$lPaMFLD6t5ULNc~*n?Y@~7?(($j)6xBl_Gt_O9oi?;P2#K1yAnQUf~G2 zn!vs~H3tgd(8bwmNTU@=eP5Y*{=m(7P4~~0O8X8Jv!!R9(V7~)kO^Gy{JqIyx<Lcg z@(jV>NDnpRGYX1vv<?VO&FGO{IoBQdIKDEo8mrVbsE=3BA7DonxRw~`v62wq$*v@W zpEJr&6a~xAm4kwX;fFD#*Q)0E9dHgaUU6sK;vIxnx(~6EAKu|P2Q@R8+-lr3*=X^^ z5T4>zf-;zMAccUgm3UBk1xz-5OUIgfAf>I*{qQ3}BIQbbC}>NAalC8@f|MdM%7f!I zXDseK9`6*u4kJLK>qSw#>9w*x-<9g~Ci=b$%PogO+ZR=>bdtT?(0iFpw3!7zls3;6 zbRJx(;$aKZze9l&TAI9R@g-^j6Xzj1pjWP*<C>reodPO9`b(jXz;~``a6)ZCU9S$K z22}m#UH{f!eKq!EMc7jFxN@8@;Nm|8|BInSY&g!r#Jh<9y;KJ^R4BnR`@8&!H#t<O znl-MjipT#xwQ|J$KT53v??V7L|D9S91lL%B{Qs6(9c32&rB>Pp|3R%}6fgf$tA^qz z(7V)YcfIByUpnTmEK={jZb`rv16EGU(&^wI&co-T#`eIOb$<`_QiN4evISb3#X|wn zOR3%t#DNyF1@5n+{zzcW6`=o##;F3}sbBR|M0E-3D$dtTVGK-LmzXK9vP|)@UOHwz z{e<~mb}#GvJ3(egd2#<F@lV<J$n{!?Lyvl$0uGbjiy5|wiTp!@q>3LWyyQqKEe>dL zmy#BF8Tq__5IzNuyRtEdKK`fhh3-4gm+&Q|q1^mbT0nczZ)ZLPRt0D2`)!^uuJg48 zTjsVcj+f@KE9fV<%T^6r2Hl`(<HBWA-_>+vJD87?F4Thzls@qJ3{nuLIz;^e>79&x z<IS(LTm%H%kztuuKNRnObT9Rg-=6=~y<in-_P&4VUhYvfds${WvX^@G@xg^=+mm^% zK_($Z>M21y)~fY|ORlDru`3zLxf#(+4uwf^-9$??1awUc*~CIPTfnx@o?!icYQ40) z6F<!g`R%;nas#JWE&KtBy=dr-1oq{U;~%)~TF4%gJMFSQ5k+TK86M+-x>AwqnNN+d zhq3my{Y>^7uQ&ukLl3H{Yk@pfK`vcN?Aj>*Q(9qhd-+Fh1Jqv6?ZtuYc{A(mRhYr$ zsbls^ASfeH6cH?b!#jTs{X6W5<F;-+AZ2{Uqu<v1UiN^`2^5Jt6<(<=O~Vtv_SOp_ z0}_?_r@)_#NRE9Zrng&?SIdDD=im~8_6n$LP{}uoa%mZr@^e@|LeckPLUTAARhc08 zxE2%&3;(&YYju`a7|J#<;^T>mL_uYLd4g@mFC$6MNAnpH>AR92U$BuuOEXpHYWg;@ zI1t5{oq+}b4+P0<@1XlSRVFEVdcOUdV(?ale{1;FoFQ10X*Rn2<>wzym07fBAaBS% zMQs0)Cy<w+D<I@NU%87#z4BU_FDBgRdA5`MIzfjN%elhzv-b4rjd1i>QWLeaEMvH= z!-}iFPrhoiGI2wVE5dZO0#Hf1W61}^YY-a*?HzMFP}`5dGoo_rEq~kr*%3Eljsi{? z(sI1p6UH#)yW9^DC7R8@5q=u5WvJUgeSD0E`2%7<t%=2C0Meg-EBRcnRJo$iXc|gz z4NnF$O-VEphHyUy1R>)op}-I-c^x(*54p+Yj8%}8hNxO|Zv%Hwjw?<9sW|SR<Nzqf z6<?YADnwh=xKS;&rdVlDu++5e)oJf(*4R%Gg&urc`iiv;KfG{I|5tds{@*aoQtM&p zh?)A{>kO1^cu$Q#S+~RVdhAlDMDDW$9*hu`A1l#zu6Lp;^zAzbeai!_j8`Ss_0^6g zg%cYdH)TF=nj9vmyHk&cSIFD=iv3oFnupB~Cz}whAiJj?9nW(>DPKkTiVYWY6yA3f z1S`sUcjo2KU)Kw(=H78MJCeUXVRN*1KHWu~Y+d1;2AUv<r0xuQ<$y4b*0AqnHkwQD z-R?IW?my~&ybcqgTv<GP<cM!E%t+jS<S1>C#&&bXbjyHvAE~v;x@?p#*yL(FU&&rh z<2f^h=?1V_aM4FlxmiE?7$8k-mJ6Tj#D8b&$xLB<$UQRUvzNoY<<T4(t+t2s&YHe> z+H`NZz^Yz5V0G!<<Ye~s@b+~5b0GAwdwTi4aWS?r^q^9Vc{B4f5SSheomln>LULSj zzOiipG;xyV@VfUQU9(6za1ldckjq*L4oh2jwm<7+^cy&qbu=j&*scya-1BDJ5;Zvb zE*%PQd~;Yt*j?;?uUzH>y98~nqd3iamwm&A@s;?gWmiY1kE4UT=O$lIrU<DYLx}&@ z8=~7|;4KamZ94_gjxAx95y+b>rW(`wN3e8n2V-8iTaTarq_Yt4#zXqYT8)qo3L$bt z=uaao#H&O*ZaJ%vQa{r;S!dDRD%oFPY6ecf+dQD+va>d#?$b?<HTUBK^Or7{A+JHd zw)%1}6Skk+3Qu6e3_Whu>K#?i8GDLQIC0;K_13#6_+?EOX70{SpbuW639G-oOz~yZ z7Y#QblD)?HTyS&|SrflL3;3CDuZ!Zb-Gbu65t!kDmt)5RmLAtP8*RbNHOl8blfcE~ zeloa9JdP-2UVV+LeME(HbMg?%mbYVueiq1(LX$33HW$ASwGg7h9G{W#UIbSXX{%my zX)FG&-%205wUv{-p52s%!6t#@qTpgee*4_v4aU{MD=bHVwa!|x|Gt0TvqW$O^)o=q zk$gDIk)n7<DS~55GWgzBJbAXqKsBh`9GGWxubu&mipaZakZM3}RAynl1SkORctEY) zJP__{T7_kL&ER>yaA;9xRG-)`$)n2D_JD>_3`YH>74Y|XuMyoY`l6SN1mNHr55KaD ze&T)DiUmM4d{`ZV$xM=7@id80+Q@8D-5zuqVz}FmAfLJ%bzR*15juQTh`QUZ{T_Kd z>I%}_8TTB5yWJGZRcvOxB2j^`+N3f~d-8x;Y3O7V6i0Kf9Zi2C)hBaKR;@C1IHRDJ zG#K|BIL-g%Ip&qbaJ9g32sdLFox^P=pQM7$wiJ4-NS7c1s?N-p2*G(!<Uh@Afkap^ zF9FKl6G1sF^9_wyQtVA)w+c8tZveJq>yrk<<;|ruf%e?zA9(<55>Vi#{F48SnkM-c z)sv{FAFL`^%Au!LnuN?CsOh@NH(y}g3$H+u9M9D?jrhoNnP~ACPG%hlRhM_(oY1CG zG-2qSm`Pxy37~~YS)=~q^#`qob~A=qkx0h9ld9R^wn0!yDJUlCx5$y}dRTuMzRFRJ zHz2zpcmX2u&lV-NKlcerH1;`?O&Q-dFTOb?7SI;!qJvJs9LX==lAXAOxpe0T7<+yz z_{O;vJHLz#5OfKT0~Nm3fZ6sj#;%+=?+0J+?pH`^+ZNQiYNEn5yJ}CG*gcEC?AT$s z$(&}N%Ssgi&8i$a3(djLaV%$-VRk;guV##arOs>w?+pA_gIMf1H9B-ki`5-uRwEJp zs{dt*G|0T(Y;75p(zV>{nkoif-p_Bs8g3W@+ymA39V^0zdqfF1FuJI>#0|hbnj;|P z8nH8j!rSoRYq2D~RdLE2LgFxjNzR2!w(fs?j2&#eV2cSY9p?eT=3Do*Hc=s5X6vyM zFP6}S!`wC_+DtjBD4h=@GR8;Nr>eUW+Pt<>@BQmbG9_a8UWW)~-w|RM0^2$jXhjX- zzdes^d+4$MTQ<K7lOaBJJ$alRB|!}V*4`pdQZF-aK@7bG;49))*RC4K>+cMzQ+N$s zWyCd)O)V$h_%t{drX6IF-$lsoL-iXXR%=Z9&m*gj<w>BWc0*}ql2u2)Tv{U4ya5|~ z&|1HETG_-e16X<BkIzR9)6??|?Z*U>0Jr@YdJPwKTwhs56q$y!><aSIP)<d0w%}~M zU5-B?ACtl4O-`t^4^ZHPlP%U=dR5as#%EYgMv9?3t>_$p<SS<i!l!M5*m1RiQP#}C zvkNbt+d`tHs)UZcw)(2kP4;a-tSCQU%rM@Y#V?NAhSM~rnA_i=a#96fqM+bd+lLQ7 zu?atfiFzG+G6SL;>Y0V@9lo5g%8cl~nH>LlZI-OG#@L88G9tb*ay}a5YzdA~s2fex z;n0!6Lm~EPGf_k!PTLJ6u%o(*#I6AFh+KP!B16P*kF733;mIp|(Oohe&mU|;hKSLf z7{8nggD%qz#TP01KSSd<H(|#cMK+Fs(Hig>WtV`$esDgfdk~}8%4lE*MQIVANT&df zQKgbHLP38sqPbU`Vu{Qv`I#9;_r=EZ@*ogK=ro)h78sYxD^V}QW%uKB=rS?lnAS^= z(|8wrZ7&#qM(SXhn<HP!n74ZUqv?+4nkwDWOxO5}0mXlbpi)PiL4R$l@<=vD;7~>T z;IqM{U1~t<5O^M2IAf96n3JqF6Um;1p&1Td4lg(WmNO&sTWEQ&GpB6jM-@%sylY9< zSpq6Yo_cP1w!^PzIeIwV9o=PT-Wp&TAJuuY?yshq)cL6TRp%j8?@gdsG2Jyn{fLM^ z1;KETLesT%b4^){jOjdG{cM&hzLT5D5b!YmLI=;gK8swcKNFBa-~35P;O=1aO-sVw z)+O*pN$}@t8F+?P=_Lj=yMy|UJ;$kv*dKNEXJ;{9p#t6ae(ZZ2o7zULyHy}bf1s91 z`wSe;qX;!9e>uKR_=IDNl9_1aeL^~T<Y*mYX2J8}9eMtR=U$oPx=jpb?l^eSRLi** zc3C?sjPABRQ=yG{yC4Q9S;7ZJDdcIG!ixGznmePKS>S;-WU#y3x^@(F_scOZ+%15N zf|+wdb^ZOqA#<wr<NboSYEnk({%Yt)I5aWu4!cVK{$OB2c!j#^Tc4G%&}+Evl^WIp ze>;UUs{42VB0{N)+nty%fc6%oI_=IOm(0J2Def6AVSr!z+xa?7Q2^*OfHT%<vmF&O z6p-qKV1R(3!4h`NGe`Jt%t4*yjj$7~$s7ksBt2z-j8he}5m!bhnDDi(U`TSL8+JnK z2xqfU_Nar{Jff?u+vCHM4hazd(DJGrOGlJCmmxAOH+yDxCeRX4K?OJu`D|vl^yT*~ zJs#K3-gHw}G?A_k7!_mZE+ECtzHy=4S?gJI63(Dp+g23+QDL#Bqg!WHC&lGzwaS3J zRWJ10#2{zgGUAopw9)H~&PA&5m~Bfs0=z5?p)Q>&bPHAy-n`iHNTRnGjShwjZ133` z?HQrhu*?o+G1EB^+pCS*NWrJgLZL~fhs|<r=KQDW%Mx06<t{U~LF|fF@Gi4ah)ScC zw<hwAZ#GJxmVCd9gqw}t71a0@;O~rpxCy6M7*dDyd(f0;nxmh{HkL(L_H*l3(;)uf zD73G})cZ>EkidVM6;f;xR>sJwX>nQxw;2(%7T`zmI0R`v=c{Lh%^(grI4iy$xiYN| zG+L(*WE35lO(nf?U)|nY0bhWbDuPyxRYJCFSL~?`GQq8xE^!Q=Gr@)QXLKr>eY3P` z`IsZy=xei)8+CY|ik}|u1KA<#zBr=#7LKi8WY4jsJ6qQ>6uq`HBt(laj8|B6i~^V8 zaL!0Q7}O`Fo|Hgcu`>at*uR&GPth48&J#c(>S^?vuEt@T*-%H}X=J)spFsP`Q!zqG zzPn#(C?@^qt}daI)}G6e9#`J<YE~tjm4zvo@KI)1;x>HQ40lBH-ejawB+(To@%r-K z_U-dRtll=dX#^dZ9Wn5`U2c2cEmN^f#&B_qn{{9Kll)x>pD1$F-4V0&5Zp(pmc<Ch zAYAf8sn6Ha1yXOlbU@$!Y|*A0ffn(#(iEB|+%VUu_JW}z>{E_6eEivX5UWC+BWktF z#??|8$JW+n-FFU4o8Gp}YombjKg6mDAnr3*LCP8&VxmQjrv2f8R5OfN2{;h1;by^C zhRI`3w)%-EL(c(SSr@YNwRA*X%mwrMGz?G!JXJZj{S4xvUj%ox0TkU%Jm-a|IOwd| z>Ar{CZ=Exs=#jC-!fFQ=p~qaLb`U}#@0mlgYOF3a4k6$wH?ir0D&h3#zE5hsoPgZc zHkdHWPcD};lvv?a7W3cv>dAactitAl*u(KSufe2lF8iij80l$_G2o<!S#q~4)YQm4 z!PXlE32`3F7)i#3q$R<`Q*}1Y#>miZx+s`&*Ono!A1C>xIfit67hC&g4-~;ah-r9D ze!_=>R1}wnx@<Xg!&AvkaTE&O)C~5+s@pU>qpN;~`~b&*QfY#%%gVWxxmnU9G&9zC z8dzn$|3z2csJV>f=W)O~C1bi(QoumAl}DTEZXEAX*x_$oxsu_XL2iOT6+&_`Eh->w zrJ6zb6V3Bib~cq#88P9WsVYnr$IlR8l>M7!tf=I8-k6(AdBPSYFgcIL9dPA+D$TT- zcqT8<Ng7(uh?%(9-$vTW8)|Ni&CM4y!4j(pWQud=%(wR9Bv|72j93BE$l{5F3PI}d z?itaM&eoWq*Ue)?Hgddm?O0{wmxlIk&^mC@Gb{SdgF`46N%KQMNRPv#Sy(G><M|g9 zK%1=YlAjkem8E_a=Q${$sq;#w&80x}TDuhCE|RAdKv0#Ew12L$d?Fx+sN@@$*YxPG z<}>y5d3f#37;BYmJv5gyE=i69%6uukN`VBRG>$_ZZpL>acwsv1Ohn+6JIn+A#MgyF zU=VAy<D-`(JpCd@LSE<DL+&%9m@h=iCU>N`qzB7B5{(fsK5K6}`Xk(KD-ioZ_+$0o zaa*TjO+sm?;MOw|o_dbiT=F=}9+}R{#_xQ;(_zTgl5dM^0XPHzoG99byq=prXp`vv zt!KZf5D$;ILc+EVp0?B9PvQE%&{SxSpxEX|IU))!d-Ef*7UN|wYYvz)Xif(-Lb#b; zIPIZ?NjVEHhFShel0NGdfHF=8LOzM(vHS#MowlzWxt=OjK(w5GH``~yFu&2}QnZ5B z#wT_##DLdsy^+=|g?TX!e(@Wb&9yvcvIu<BCR-0P_;P7zFzx7MdG^KJ>sQQxoX)qh zSC{KU7iD``PS-F*)un{v4p*B+a`bNBqh$nV$k<YYmr0jWf++o5)T{dm=e5fwqFl90 z9-?zr@0gyWSoQrCr_5De8Eb_Wi!o0!e2Dn)81oL9N=^#v77G<5<&BcKTw<q8UeDC_ zV&}~7Myd`i6yY)YEhmom2M%ywsU5fm|0}g$vMRXSOSe#Hv6Wn~igY*#bjS=&=~NIg zS@^fC&EKCC%xsjTVcl_Wka7}4U$NRhmrH@Fv_RLa>5%FG(g~=<FIZ`?ZR6gf==_T= z+1l)@72achCp^GNT$UUp*lt8F+r25IT3G499q?ZMS$N8|rMtvRq3vG)FSF#3X(@-m zqKfG5fu?HEv;?BC^=;f^%J^|=`>kTAZSikc^)a;=Asex_rHu@x<I8kRCh;Moo>13= zjjpTonhEU`^qPtPPe;Wg<BDa$8hmLx=Vq_%%cS)6HnyT24hnCXMgRFWJts>d^>3N8 z42S8jnaaEwGh4<_N~sVPe@dX<GV69(@7V|mCTm1C)|?WDcb{154`!ZNI3}I9@t>g9 z{r2`JS($f$>h|}T?y?ynVj4SJ4SBilzL$7nDNmfmgM5$Mai|?DS?Tf!90I`bgt}%1 zN9c6!UeCV!q6_58IJ<2!Zg*<c<105>YHkT^S(f1dEY2@0nE013sam!T@ipMwVE(&t zG<f*(GGe8ovHtdAdmBvIFQCbIPq)5385qfdWO_cEv$yJpWAE!2vaM`p!2eWy!Z-wc zX*{9gJVgI$JX5p~GQT{ef3=s>u>Ct)UyNMU!}g1jQ}Lg2{gs8felc|(oX{(Qgj6kr zHDE=$zm`+lFUu(z|0&5|kZvNt{@y#h6DoNn^rh@H+`F!r>lmjA4Fy)L{d@JAudBo3 zgt8@X%Ghvl!^C+={e6TlacQ=IiL_O2(vV%3WNoe<{t!^L>t8LW>p$*L%vbTh?$CO2 zZXd(_8>QkWihq2fUb*4_`b2q;Qb+V-k6{^N^F5L`F=NHp6As{wcL=NY>#GKu@~IU( zhT(E(?IF|SZl_Re_C167uYUDnG03H5KTThGH8|1}s?C8l-m5O4F_oT6kFBH|y6_(F z4LLH-d-BYhVK|v=9IN{9Rt@;_<AadLvG8MfWr`_i?H4rHm0cO%G1R6or7O7gPud3$ zS%U!|L{Us+5G+|v95irhW99YD?60(P&XxWogPzmzly7>;V=`YJyW&f9D**!s!FP*W z&Em;hvHlO!sP%uEMm0+Qn`so}|J^j&sQUlWG)jg~V*<g@c4w}nu|WN?Tr+Di|A{Uv zf0BJ#LXz2EUN!cc>_b5WqfHN9deNXmI5EI)E#EdHMrdk)TxD&}TOLqhb3hK*NS@<o z5%PY=`x89l$wfE*Yta|~zFZN9j%-&s$x>>=t!m|N74r9MpFAu2muYkq*RprOav-=O z+6o!k=z9$3b5o|wbqhQ%&3%Xe=i*s*%(7(<Qs$Iu$M2e@;xBkUZ|!nQ7xYRBRtJP& z1=SBr9k}d}_(EE?@_h6l2MH+)HrN*9=OG+mX9pC>90gWOfaVSt_|wv#9hn*;6FMK> z5Xz(F?i<!*p+320%UtM>;+H&fRS|yM4)mze`>u3KBNd2Xv5<nvETkBs`%z{pa@>{U zsrdY98ep)YH}Kxo>US-lgtueD-fM4!9H5DxmcD)?E*eOeK>s&<-gNSX&&?q#Q+&$l z(D%@mUpN0y0Vk_W0h3cg=@{4|&R2eyttIp9Q2LNl`MtmKk=qWr+kJg|AbZ`#vGfpb zcz)?xxD_bR3%LRu*4*(X*g_?YF?ZD4Whg+Moc|EC^?IB=?t2UYaHGX3abReC<kmfS z!EGue9hC@pXTfwFB{Y3Jkh)(8`F#c>!{?-eybYQ3_**eOqe5{7(?>AsSxRUIi?u2P z3I||IqBsXqtgusWd5^B_04+X~szSC@0g}(#%fgqF=JWPriBS5v6v!85q~GR;n%hsN zzLf$XhnAiG2L4Sb!1VBh_YPeqIZk%D^Nv#3L8V`J^uw$nOtfhMj?$gg$5TZC#WnCN zLO?Mi2*)`1ZR8g4cmB_u)#@Hay-ZCNCDW(H0jh^oJpjIQgPEn?!q$^e+yX_N_5T(> zM>+XF#?Q@^rxTd}i=W?UFpMN!gFXHyKmS1ZPkwGsDV8;kT<S1bj%&X<_f=r9`{L)A zpsA7m6GOfK@N+nAT8QZ{er~w^6>GzK{^IBV75?Jq|Ac8VL6837=Wy@;3qMc&Ect62 zEmr(rY@_YsU$#+u_zKU~F+tX<KJgqaSfax$7GLP2q!8JzIi-y~-**xaGe(W^F2g}Q zXg6T<Rt^pvZEYRjPOf)5#@2RTL?tAjtDMDIAZt*xohK2Fs(~>-v+He0=Ywa$yybdq z-asfGZe9MATAMf5z(}Kx=1-_vb7OXu%q493jd{q2SB9!~AMV|dYjK?Z-^)ljhauNZ ztU;w+gxuNiI~?msIfYZ($ZTkcgYZM3_Ch!O>+;-4Ux&i0Zh(euWQ8{(8<5ymLhiYc zYv!+JZG_y_@H-Z^P;zEMZU}5aN9Ig!|D)wUhw;q#tw&e1BSaVRz#5--D<=oFc_Rn> zdHv<5*5q_GKceb*fd#p%Zl@A{0^?-<vkDWiBqGR~MqGubWjQwi0^HZyWxQ?k?q@ls zYc|V;Fnvq=L@-G9pO3Et+xuEVFndmV?8g~0IGJw&APO74{RfJ8Lpe6ko9>Wg1Rz1q zpY|!+G~kNy;N@WP5$EC~o6gBuBew*2z>>qHW9jdY`8f<|Q=+SeRdCcpO=<A(3A=%b z#*Sl6>J=7wOgt-y^ELn>Cqfc}itMXB*_+eA$hFTwydQWs^p8*`Kp_l3Q&lU<2dYxA z<={e;4Vu^bdkdkF)bk*{^p!(cX({JinmDg({mfNq@&Q{OK5GJ$ee#{+0Tc0_)$qW~ z4iVP$sE+$Y!w^Gq$lQ;q$vHxNJU~d0EG|werd7brwBI#x=_PI!@Jm~+5XO(xyE;lN zRj429&o^DKupB3k>uWI@mj?5q=Yl?&-JEcAk}w3#`j5EWNW{08#^YwjnywKEFW@}% zSa^q~y6X=q70>ANgrOpcbnN@6)3db#+MJ=#xJ2mj@RlV?67!}@BbVnfIvuAv0t4V6 zwtv|P5DLCyE|Hm;wQy^ID96GF6AOhE?%sdAoYZO?I{~nv;1KZ$WM5s6v~T^`2087X z$=kTRf04w8$Up%N2#ri#43W{ghsD7`1&2mxO-mW#RFe|#v3{g18*{#5evUZ0$`?9= zjrqyDm9_peP<M=<4KN1Kb30w@ZmgM0#UTWAcq$!lcg~g1S`u;2T{%fc9egR|rfY7{ zF#%wRqI>b)<>2j8hRJDVikCxrb<qMq$N~x?-o_-_+}LvH&@zK)$iEY3*bwFtoGz45 zAy(Eti#A`9&a`Gb2Wc(ff}i_I5D~O;;P>6Xtk*uyuUl~UzXe|Vu5;96I@uiEz37X2 zhd&`4kMTQEk~sl_95ads>8S`ZQS8|J=35|6Ut+IYi2Mb>q3;z0eXHr$9^!#%JpcoK zyh*WDqEnt{Qk15VeNQ}l|K3*tIC3|_GM$*FF#*7(dpI(l;Uz~oLuy_Ki-&P1$xr(* za{IiZjU>wRzK1e^2h@L<O>-eD<#G_ue0jUxA81P&QPIx_VsU}K_O6KM%n0<^ce<g` zm1*Ul@gYJFmJ<EHn0pJ@Ji07f+sw?&7(>j=?3gKLW@d_+nPO&UhM1YzjwxnlW`_Ib z`?~w~O!xdV{olUQl}d8G=Tu20tB$nKuC<<3ArjS;R%;<6W0K#~Ktwdqq5X?FwmzZ_ z@#hh5s#nhQ>3(e)oW_X&X<r<GE{~A8#H5je;6*dOoi;>KHETMZ8R(?4Uj<yj!*$=e zbjNW@4?$@zVIlU(V}2XvCv|B3%jSi2A>kwm)oaCBC|?FY+WhQfb+hN$YxvBHP+jpQ z`@VUiNP7X_uW$v=xDNUmM8(%=ZP*1j=S-B+l^$elsGM%TkcXo6Ol<~kyb`G`Ykhar zR-BTg#Ng6Q=}1LKPl`lG%m}teu#asNUXSy|nnIo3xu4GT_v2U5pX}kr(idA&P?Oan z3ns}$OIu1NR2w=dpD|)~x2$;TeOPNZiDS2)0d3#AXd2Uo@>$O1@XL`*_^%U+XLGW@ zLTA1F@IMb?f6t7OLbW?hTl(NKiyRED1wV&m53KhfERosqEcd6p<5gxB4pNF4#~(E7 zXg)H^z$D`&sKpr-Ho6(mbPu)q^El_AMU10EjQjm<mALNDV`@tOZ}aqSf6m{{(;&vZ zz2K8*7OZ@nl7-NdgQOz0<JGlqRR7&!%YE_S;rmgaeGb)p<?kr%>Z{_U(#+n`KnnG^ zSDHJV#Dp-;({tdpg!0}o4JL`wzi(gewaS4~&^mWH3SJcQx(GT{@ZcHfsR*Ysd*P=# znS}<bhfb^QiwDzp;otp<)>F5|n%mzo``h24MVeTY#}rMF;<^3U0Hw@K%o$Fm*qg2( zSmJuAjYb`H#%LOk*9@VGQQXUXH!Xgn6bmL)Gye1b0mmFqq~Z^{HAwKh<FC;mGuqVf z$^jSrRe}I{#X;v;=W8H8;<IvTR5Gglr!PMWZFm+=wf+8gnJD4Tx{3G~w#Y60Kd?pe z7L&Lp{<AOW<}bdW4!D2x1%;{lY0`qdP&n>3{ZSzbms<c=BVYswY99i{h+nwqfCjju z!HJ%HeXcQPCPW)3gF3Ws@<&eXWLGk-7|ppHmQP4UwX^5JPc;DtN5t*$Ds2T4T6_c1 zJNx=h$3NnAi=_7mcxTH4WW~-9FBj=y{ZTh<51`G|I-6RVpCmhy>DEg8F%~&mLNL2E zp9?#cBbD?{JYK57l)th<=9CaQ1$FSsSBG<SWSySL-tnu*#JfKD9211T^o}KS_dM)t z{h|!7ZQF?QMg+OQYuF=VJ3ohAt3pzj$Oe4~2d`BQUO@Fe$#?zqk&ElQ*<zJ4IxxO` z<Cb{fIDMQ-6aaPoOKY4sBxNc2^G{V=*=ib=w`mn{Z8yY!MPGrf@>^dKj`z>?6|1s9 zsSCgL6=R_PlD;Bx`+ugdI7U-zgw|1(F`Qfbfxo3k_4Q(Y<h&eTl-XJ(Rwdr<2O&^) zjd*V$pSbyjs(wh;&Zk>Gs@t^y?O{WfFn^>zO{Xi}{*-NgD}AK=xWj3;yqB#eti^L^ zj~5AWA3qLLy2w-p;4OJ~^4G=E`O^~h`aZ<R;2T2I9<|MAyc833M=&V4!9UDE{%w9U ze+7ylChiFDJ<4<PDMuq(A3e&qIQ<5SNV$#`w(ZTV{RWDx(Iub%28tZnv^X_swf+W* z{B`;_P~`VCVBim+$lBilMJ{xZDY>QpR93*I{|9A-b1FsWd><NpwVcnJTc4Xt@SGWN z0hG{|++-+9prhyQXnyw=_9Qc|(DN1c6_okpF_d9GryyyVYHxmBx?;n=>g34eT`eng zYYZP3srE_6H=t#i1yK4;ejhF&#AfBIJAq>q%Ng*2HEILWujoDHN(&xY()Yk|FImIV zAch<$++4*!0(h{TE$l{^25;8&W-is8udQ*P7*9)H*sLh7&5`C@S1&aO&)h#=qd6}u zxyAgttt788iBXc^ko<BZUCK+29D9(~rKSDZXspN<Mg5feI82Er5r5_29!p<Q>9Cg{ z8FV6cj19XkR1uZkDoD@C`8f`QtrU(NpeQHcj^&S?azx6`UO=L%+|bMG4hOShjW}BB zQ~hK+_`Ictuk~{1>p(ZDJ8kNCuA;Zq^8?W*qK9>3mF8%3SvhMe@k$Tu!XUe5eIKD3 z2@c4hW5VlGdd&MuV)C(B5ZDHHlZ>tK>wf-+j`1beD1(9B@`HqY9{LGZwU@3t+%cNB zpN@cY3FX4e$pA!NFKB{yfY&7MgjsFDhAv^r5Jtmyd2w@6w-7H%S=6A`0X_xT-G{{? zGtxJT7Xg4{IC?Nc24P$nIH6$y;?4z~v~{56S+jvd^_FgOJ_PoiI2&Rb38;ZC8D^@; zf+88lki#k$@$2Lvh!zqgzFANw5M~Ni2VQm6*4sWirD;G!`ALjx2%#**rh=OH)<e~$ zMt5EH*8Kh!iYTLH`=W&o;cLsx6_CE6Rj>!);no$%wTBYn+{sXl#q%NBX99a+w*o*( zZUrUhDD0*IDDu~lvL=Hs@ob=`ewti{;)X+R2XFf|=eiNuP!at8Nn*`|q<$G%@`lXr zC%%eAU@q*21Go*a6<7f54cG&V>xp?naC;paumRhK|8VE;pAtBT8D22^4i(36&Cl6W z^i`0UL(PC{zTLcAF)?KRMPqi_tA)D-Y*BvCd8gH1waXd`6KMyq0gzs7m`~>nD{%9Q z{KSmV)vV;4FpFfTurZz)8<iC(01DAdfF}aB5#%$G;9=}peR|*w2W;!@6|<Q3v+@!& zgK#GtppCuOmFJitfFDpcDC*5<^49J?KA)~t$}v-7P=i>Qz6k&bjK(sVTsU|-VOGb7 zX)j}k$m#BdMDcz$6~rEb7J_u<9Jp(P*c9o>hvA6A@k4`sy=0{<M;tzb^q!h=qWTrk z^F~~5g4%&pApktrVuik*Rf?kB($Y!~r@F5wJq_f~1+dQ)U-LRY>W1vYvXz967b(U2 zYZ+-EpAb@yu^OgT%QwhEZ`gBO@)<U1N2i%+d+i&*^1wCy#O<c$gH)sjDb=>9fWv$M zmGZk;vzhPiOSf#J7v>9VPqu$*P=l;2g(o)ObE-PX1tE@|zd2ow#P%XF+3qhQ9V{cs zSl%_JPZTAVl$i;~Q9OU^%#q8acXJy5R?n@W5FuD_CchZX7Gu){qMjbe9dSWly^*2y z4S3}UTKFoOHkA1mEXS$y>4XWVcWvqJ34QAL7~^z^luEO<W*HsoVV?(g#?jTPUGsFP zm5H=%kgsCEu!*fW?utJ9yJyjT2KRoQ#m-KqttdF{*QBcClHIHdcr!fK9Hu-mdYE5z z)uH+bX@f`G>ALWbKWiW0RmQ$t(hqVh?SP!$`<o=(+UMpKwlbPDqa-hoxR355+~2B# zcg-wUs+^~4dP7)iSBPchmj*l`J4%Iox>3h8<yL)IT4bh2Gf@xz=HdX_&y{r0D7mXA z!M?sjy{vZbCd{3)XyHK-%aE4>IUgSlJ%A-r28KDCYj7C<GXO4hR(kMFOc9bIhFDEf zGa6)tjAZ!P1U~rM-vbe6&JhXigua0YD={_CB!wg~BJU!JiGfa-@RL4e!LkwE7kuet zNCx9#XB_kVt4r@r77Rysw8c*L?5gIHkIZyncF}Yae!LGYHmY=CR~c+}Z&w$;+rVMS ziYN$*RjsY)L2=%Y_w)E3M*m){&wf0>C4Lsiw4X`|mp}Coy;m&*or0dTE-9L*QyKDQ z)lvzg@EQXlt&~NYsWgWJ=>VG#3n3CQ7OM8e?V9&AY$wLn{KEPw&Qm%Y6s$<Ho*BN# z<S!7`G$O7v+zhK(u><khoyJAO(7!21!7!P@rTr)py-j}w;^v$GJu*dKjWwCRj35pj z6;x?`*yQ{=yNDGjJ;&q%K@aeq2`QhTBwrm8hmO4$E@A_xBgj!RJ49c68TJN5A2<!M z;@dDWn9zuC^oY=GN|^aem|zYE^-MNepW3+E{9IKVn7%~DZ3^OX|2ABowcHmdEe#+F ziin}eG{H0`28vmbFr<1PXP$3F8DtuYI8;Erih`=3PGu>DJE?J?;o=-b;Y=pW-$Sh- z0~Qx{>FH)6PE8Zdg(d5Zmlc(+6KV%i+5DK8MjLa9;3Fh4XX1)sfwpZcV1;XqoRh-n zo4@g~0qtkS+*yw=yWwpQ!uf7O&tmvI6LSR`#d)i}p0zJ>I#7=mWHxcEJjwRuhty{_ zHLtkW&f1seS{{tiNdB(w$<6;f0M&gp8C^Bm@9I9W;LqcCb^q6SKz0AeJfOO-Ci5~O z$lm%gQvzs5&oP%2np&wIr76WiQ*Y32iFK+%-M+&`BHsiqxnI0aQgTD0I*`jSNZG2$ zFglJ-CS+t%n;Zo2>F`hrcl<*2G7(f=h_cx`=;L}V-d^Q-ZH3s_SD3?SDWRi1=3ep~ zFW-|kLCa!r)Y50f6%^n!9Ie|Q`myZLQh|U8VaCAX&Q=wDYknr_@AQiR&6@8J4#(yC zWr{3|TF}oIlAwUi6w&Mh_FF6I<{<3k@;dNWa5qLjcI~#m+hpwa{#Tm}6ScQR?X_-A zoNs*p5`4hcyd<e`oQT3z=-a3Av_iraR<5Y$E`O*`9ZG8rMoJustnSYR{AI%=59NO9 zwpPhzF8Frbw-c_8CGJ>-7MiVyFe3Anc;E$XiZ~@EB{5xnT}a<-4@94~-v6_X``{I^ z=q@1F>Qfq*Qf#wjx4-3IdeQ3t(2FiiqGDb7dmZ<jRb%>JThaReq!k_5(-P?W4eyUu zG|_FtFT`-3;2!xOzJw4FJq)yXAcUg-VWUCE^j~c>NbJGz02_^#w!g9mrd$4N_P`d{ zKe7kX%>nEIm!khO_5f6(<Mgw?S>ykdJ-}`3&&L06U=KK?{=puQ-Tvq7fhcGqb{qhE zVAuFxV-JA-yV(N@Z~r#-z~FX;3yfA7IBLE@^wMTnX)Z#$=@+|HwQqZ0E(YbZMv*fe zM2hi#Qj0qQPU-M}Qe&>{hc`LYTDL4VYFVXoBa96+?isA`IH=J#(qXP7MObHN_x)`e zmf+86z<{@#XYfEHV$^2B;|Y%2HqF8Ko7<VqbHCiNJeEdy12*u-X?nw#*n=s*mdX>B ztI8}eJAC(4DZ2-s#}3yVSOIE0*sX_7x{nsV#kDr(%=a%d>0GU2c*=LtaFSi=nehKV zTE1)qp|oxybMK+)-J~{9F%85#QGl}421?FS*lih5UoIhK^#MB0%W!~-^>1zFzxIyF zq97+zvRm-Vxj?Jl59%XMdIlJ)<b073yVc9`e&)Y8xH>@B<c^*U23^ptLD3Tp&W`C) z*KFy>+a^`?#J6L!gowC%oeC0hJ}~hVIovd1DP75!#739;;>A8_Y<~sSt18x!teCv@ z)T&6t8qOJr)5W%msqnS!_xn!J*=+JSleWda0N2%G@>t2*XIqW!Z8~v@_zUjCtmATk z^`*Mm<OBWJY1ekzo2pj*M>z3^S5`VI{_zP7Cg!sbxJ_$UX*?NMDIM1tYjb8_;sVbx zg4SG;IN>5*j}emV+*#xVf>`=ZIri+lRMwhF&Z)Z>ktN2e_u#i9mUgT40L9hx;ts!Q zDnBx9c^vOuzZ`7usNOG5N6u)iaMHdD7b{mT@-^Hw@y_2JknhtP1l`Hm+9&A5uxTC) z0wglxaU-tupAq|d-n8cZ<TI;5V-2-8);r$ZoB;-olu3rrUeLlIs>mK3ZA17I%AljW zWnmXCHFOYtP3RL7s&C6%(Xz~9kXyhpc@4=53s@Hrp4+OabX|Uch%0q(L5)Hc>{#5+ zwA|fNc-?RXK?QjJQLK1)U70DUE1e|RV}<^RA3Yeqpfoi|PX}@I*?&Q`{SaF`W9>b! zK)&C?(I>1#Y-|?mLi=@?yp8jwsFkl9Zh0%kxS8BAaS+MsXufIU*a&Y(L(C|TP+n4B zu`9>j`tw+XTHjf(?}$e<#%d7Ow?=n^FOtNtP^xP|gl&xAZ9s+Z`6g~%axU?JVPw7# zuD}bs4YJf$_;Z#GuQ)?Gg~o^=)wknGX;Lvr(X(+8bwdZ!U{7b&+rjjL$?>~oy$H)d zx=Lgh!>F2c%fL0jbKm3WyDmVz*hSUEEI?}h<q_?pys4{xejZ?bF2uT&THbfmXM8ZR zYip@#%;iSK05kT1P%d81MeP;i;>8o_E44U|p4Bhtgykm3vwoJG?NR@d-Pq=aM*RvR z2OJ=g6hlCoi(l}Kw?mLBgA}_;hRQqu8{9!Ms05Vo_KQ5VFz<BLbjeUjZug{nR{T#q zhk#IfIoYvLG1(*nR{VM_Dow^s+zYB*&hNUoBI=4-q0&?FkLZYc_5%l`UEtlA)Pl=w zfs0orP$B7L?50z}S=b>y4O)sOWC$oyL)qg2)z%_C*((f>t)IZIdw%h!;*(?0JZ)Oi z0RBVxo>6s!yMV!2VG=%Dl-uXh5Z$XDF-!l?RoI;kCXZ2o^-zWFZ8>qdVi~-l&ivZP z+Q+Z)+0%aFou+EZ=kHdB!LUy$n`_wX$`3*RZ=~<MO_mXs>s54B?rn|D`)AABY5($o z!DORl1lr1v0cj1V<FjRPno7<ymopWLfM(JrOG1N&p!F*Jbm_vV?8ij>1K`Kq{4my( zvewdL(0R=~)>@HL_eUAL;hmN;?ni$)V4)Y__an%D4i{1fTZ|CP)Pb`lS^_$xGGv=L z?|L_uuXPINP)^t>KzAlrUn}s@oN$;%Sho*|f91n3mkzrbqd71sj?bIef9fNGK}^8t z?Non-S{lHx!iYfuIg$<;Zr9`lrg@Sc(vL(4=bS~hj*?`?=h-&hpj{VK{QPcmMFm(! zd?zMXU=_Q;8_~ZPQnpKdb92?i_BIZCM1<ukEq#?eU|{fH9vH4y*V<j8jb=`!Q{!$X zff&_C-_LBJ2`v?8FQ6iqx5bD-2Qu&C`yNSRgMsPTpw2VM9oBm7NrA01D2a@bM#2`9 z2%f=GPLY3ZMs%jI^tMR1SSRbDAj(i|O6WeNH};M4_d-(qX`^1;5*uj2UD!NGce0Js zQ~`MCI>1AZwf>6(*H-G7EsYgBtH!vE71c2Qb*iF2jJ?otkH}bG2$sMy3f7v$elI0P znKoly&7)Ztjr<_p&9K80Pb+oG#sKB&Vs@xWx|6daL)4X8V{N0QI{IsC>F62IO3T4g zz!FN0p*vxLK;Fs9Nb0FKej8?ts9dbe+662hl!>Ifex%ea$}5^IQ@z?PCBCIi17bKL zdrF^HS-ZOmbm0{{f-jQGVcD@%5;xe^O-C|RO%`r=gGwV9?yxcCMwM_Dl*opxidKmF zj)*$Wc6jGME85aFIamAg1BT?%y)`(BCsGh`k*&k5dh|&Zgov`)0EwAofH$LINdfR? za9N9aEQU6B_KEeg60(t0J=GxFPlQB;TJl+mXx-?OZl?im{^bd{WdFatWY~3aSn_uN zOm7y~`=X)kd*Cn#yk)b9Ub|~lapdJbU-Y=`i>q=A;x492D@U+TsQ7oA!>SlD9I+v< zC)*euL<D<Kjso~iGb<o*M^Fw8-0q+2K+%&Bc6c^GLqDJGLvb%1?LociZMvrh;2&F> zf%!3yUNg>lV5=d;9WuZP|MlBPUbQM#B%ZSYypay>w?rJ3j~na~=x1&OFq{li%wMjA z&$Jw$tB}9o0s(*VB%4ici<kOl->U(HUnU(QWzgpwWhdG0^&n>|z^9Pua@*TxIk=%I zUL6idx+PBs7h(BPa;C9eJH}L8@$##fu@}O&{f8Q{d4MZ88hb>IwSpsT>qbn1Ll>dp z^qcqA?n-e?SPep~ZCAFRr=dTDCo;_r2n4(YOGE}BE4OBx?4({3vlj3v8ly;Gbjp&; z_AU8W-t&1zky0L&l)3#$iKnn4J}#M38bV9}K<Dpb$uvo8Sl}pQF^6m<+@hPRg3t*s zP1W}-Fx-P88rR93jEB@~z>^4Uc<$QVK-RrxZ*twJAUcB63B6i?8q4h2MvZOCZb)co zLA+%`eTJJ7Ss#SqCd^xrg`*zW5mXx4<GQ8mi0|2^dhcj+4qO^Lh^<BqN<LkQ`+f84 zg)fG|0<E<?^ipPP8wuu_y%DyC0P1~y_p{Z3x;&}*+P;|_)Vu5gCp?4%<%GcvZRJ>; zL51HE_^Kt*F(BwEnR@w{MR7`&nSvgC`fQLh{Q7b=`wXPLUkgs#1K~aRbXSiZp@Y$A zFR~$|q|Y(92A&Krp8$KuFxmmKd$L*$H&Yl7d9oU&tAh6n(rxAV6S@iwl90p-!ei}E z99_KX0&73C%BSr{h&UaAMFOJ|s8Rg1J2OOD@A}vX>oi)II6t3BKkHmxbZJBQODk8f zoXY@sK}MuVwj7w$;S9U>+)k1c0|btKY^~!^(2)Mzkak0SM~=F_^)@<uC$}M%<4by2 z(dlSzykOQ^V^G8kH^*LAWorEd@q!rMnr*!iuKIeW<qV}rmLJ<i86rAa7RsJqa_|B| zZ|}EF(=QypTPbRQb*WA+-AKDN9%7KCI$={dev{1B{37^-!CY%J_9<0q^rk$XJ^7%* zarZLK5V!a1_;(s+3FH-?7VYGvg(0MJ8@16UbFUg@aT=J*4#&@-4WOe~qw5dLl<s<X zwVLO|lZAeWta<&kU#xL|z!PWr_RD-6H=pw>K@)JZGC>CO;S;$JEb5gcNTD2SEEDk> z!l6?XOOMCa^#S6yQs9jhlM?VrMhmQ{ER7`9Ar%&e!<mp!F<=Z+P;ud<;rzd&9V;H1 znNa7lk(8xI1!|}(E(j(3sLQR$BsZ23QRl`T<x*EPNmrwU9c4N5fnzp~g5cSOoQul- zBxNQG08R4%Xyo_pKHjb#?}Q7raS+l}AhF@xG7B~C;fXM7&7p{JPs0P@vKeCE_`oT) zKEUmteIUwBe19?sRvDS|RXjp>pL#|Je@UFCxWS8G_H{j1P|c)5y+x;8ZI(<kt#V10 zq$S2JY&Jp8$9m<nBmY+6V7Ik>`xI?eW%Cjn59_f!ZqgUn@}o2-cYG5yC;RGhUA|j& z`r&1xR*6%C8N8-3vV(svx6s<x>H_i39QN0}+vsJ#AnMDR28%ww(5Z6YZaK%(9fBSu zV)72iJ8-Mn-i|*w+#BAv+K|a#1z2h1xbW11pWS&?(q$O85~dYE11ua2towzpv2k#W zM}OG_ozifvjNLI%#3Lx?@fE&qI6l1NNFZKBY&_gFTxI=uxyvfNK@W?nIYIY}9Rf0I z(+(+b{p?aqGlH+EZ7(yLb7tebomjUuxxjox&iw%tjvpz$Y-rXSG|h_x&rx*j7wQ_* zA14UZZB!&^!D@{oO^@Rw6AzJAH3iAMhudy_uzPCqXCjW$RtF%E&YuNL5GYVbQ0S9Q zRUDgo%vO^8sIR_!#ZDUa1GxIoUOb|Ec@RxplNz#Gs0Iyzh}GLHdZh9e2L!LmMZ<h3 zW6S)cxf}jL>jZuI=6F7Nn^Xe^>rdJTl$}Jh+U@eq+%2?qgu8z<yTYS^ZcZMLE1ttI zDc-5OL`%=x%Cda-RO7X;=A;RTQ8u35Ck=ONv+#EzRA`tkx`3Z+%fH>(dg~?#V(*#~ zyXWw_#KtSle(}y*c4uoXke52_tk_C&=@)|uUJ3+G7g|d7&|C4Tmesx^YK(<;XBK*= zypNpT6#O-KeQ0ljn+{6MTlZ`af~wsUM#~Y1&fDjfd@PX)+)tnbWen=JCvMER!SeNY zlo-})lX?FQcqsYDPR|!Z`R*&o8+(EB^x7gMMJD>pOV9U7%y}!Y{Hx(CE_h-Dz9!DE zGTQNU#xAEI%UBk_TZs2~DFCR_V5N*9MC(4PE8ezQ1HB?)Te_i(5vk6&pS8GsdY7`V zSqjt5Lbiij9eO-?1%k}7;b71g@b-jR#+Er}Vnja`VzfpXKhpO5K$x++AtDlXdl&DX zOn5GTen&?WwNl?I6#lQGd*n@~l&+MKCrLl`NudO0zVCTX6}zo4_wVc3L>fz(EM;$f zHNk6lMXEo25lS{C0g}}0vI`ZGvbrgSF%40a#{kppu0!S7605ln?-usxfvJ-wTR2sG z2(S-*-M|0seyt#ej0PnJ(nNJYbAQd`-0dD6w}4_{P2j$fKSV?jM#X!SPY46KHsNT# z%C4r~PiH)>urNxefXgoscE1HZ(-No%0rM%=0466mZs{AZjK0ST<(Q>86hxxEA+Hr1 zEQcF?>d7}#L3<T|O3&xHop#%wag#Pgc<~^5*mh8MV`u=S8c>RF+`gOotUe3Dkq1&{ z+hgOM;++~knAYM~78$HZYRp9hcCRUL*PD&BjR`FH!GNoddJjJk8yVsLMWP|D&;>S? z+-tV$)yaG8Tk;?=3=LFoqZ6#mnIvOM)!d~8bJ;fYP%IG~BbzTB)K$RKcV`|XRbx1A zsjQy@Zqg%6)+`LaaP0i2zq=4IaHpa|yex!QL|~uqz|pgAI!e6m-<%EL-;BuHd9i@h z;M)(%(+xW2%By@$A2Lh*F1eU202EGW3SvMM#+*8m!7$14)ku4q1J%&($UazkyIOp7 zToEqW@h@pXAb_+W&y`)(-=yD=zmk4|6}z3A40uaS<{LUKzc`oR8Uo^g%9xdEUH(G) zC0gnKd(v-W6oB;W7Hc*4H_|V$#$QOkP|YaBGk=hN8^J5m@vhjCK?*vFjXrBt&Uh#x zA;>Lp@KoN)0u<e(0>{{YinY}N02K%cUdlfKDxaZ3Yym)D&7+P9x{yks(u+U!-RtOq zeGgW6oz=JN2T6S{zH_jD%E2Q53UcV!Z<2q?x7Cpl_-pJRb7x-Ukr1jEIoiu^s~pC* z^nK?n|GfMJ5F*q!xFu8Q8n1~E15&X5{SS=r+J2I-DKpf+6`Ar6px<)gUyHudP+9d3 zq#HC~-+UkjL*08%+g-g6;xhxFTfS4I6gHI=rEnUXewmy^tG^K>{G1h^yM7YJSD!<3 zBGz<$qmTa%dW|IDR{>nNxxy2^OoI6%NYslmJ;wb<#z<r@s!22rtqCa<m8rD)!fW$a zP5R{dtzIDbV2Y{1`8d8xUdh<=<$$~i$V|aLS?`b3er9qiq|5Grx&2dMx+M`gSFlyL zp0FbB?lK0*o{e<<Wn{3|>p6KNDQrlJ?9H1_E9eg$Gk-E@gPZ1gJ{vq}MQsbWJ&;*p z@6#%qV`mP-J>+XiMrD~UNF7cOML1uT8Yf@}D!49Kkn);S{zVtCo_A`eGJ$UfKY$A< zPN6*Pg8vlsg#7n{9+BUI9^&7Eo}$BlCg@?F8`{{?h3aU!$$PE%2hQ*Mm?uLeA)K+& z&$(3p1bI*wZCrX~Ypn>0Srq%Zp5iqt|1RrG^V|*i*Cu+lG{v?XAuBe`GubwsWUkN0 ziuFsST}a32NZlaKVZ>0MYv2sVWcJf@Om?z=@#Euh*h89PfgFcG_4WV*>`EUx{8ZOi z!4mTz>)5mq0rhrZJeVCT?(lH?3JhqKnn!&Yqn`3;<s>;xYcOI)&+F2OwVwidNre?m zWH<Zm?TymV(ZH;3_lM;Vl7NJM{wG-vq@3@b#t;5(LjW3x$+s($GDrVu{djVh@=mAG zDIZ2dac7sHf$#s2ngWy=z=qx5{6D3pXnaOB0{M4PQ?~w~rjX$Lb5#$X3=sD0Z&i=x zzozOL-~2bLdX7&1eX1TT(<n?c5y)pFpn#%|#_|+WPPa0{63~lOE;xnB_`jtE-6ZVr z>~Y9(PAWy;SRHZ5wSfI0?y(yqZ{9;${Vne4p-bNUE$)G~X#s1}^8Z8J^Ox!0;-25r zNm6!yh<keePTT`X3yN6!3+LBw=09+LZGLlp-~AZVq9Fr%`cVq^x4IkPM6=4CHzo1r z`VNRh676HS(YXO!L~=Y>g*_q4tU-KZNaAthu~C1LQhg<`tt*ASj1j&$=do$kN$>uc zwYFLf0p!Pi^4Bu?A@7~4D~}M%C;dbF^B4PeyRIeUC4@~Vf-8irm~mhrE`d7(*=*=U z2hq<2AelQiK6w#}W$iv5Z~AuE@aqzUWc^-S%bK%FZ7)pQHT771s=HSLse&-ra+}C; z9Ugk$C$}V>1fdLC9|xUX3)T4h`++2=lT|4)td3@wUTvtIzxJ>k6VS00kS)c=@9@9H zCy_BqD{ex`i`B6NDVdA&m3d<HS2dBFoun9(J(c+Rs?j~)zpeokAkYtwfg@p`(Cr+- zkm68PSUllkFWfF#Z1$hqoik!P2Cl!fLNEIGV9;iJx3l5f9Ryc6ce~IBjp8+aB$bc* zLE0q32o;;#?X3CrV~1*Q+3rAfN)0wAIJm^AgdP)hWN0Iam$X{|#~@5~ssry7J0#fc zIVIof2eG4pgcR9(=(2uNzJw41QGTyEDHn^f;FIk*S;M6A`ccA&jR8$Tx%y&Zd@9-S zlWrs;{7CrTE4_625}ZYs&c#~G6#W;1b(B_o{}0b>x+q8nxxdA|?<Q2;J*Y~%O7J8= z9c$fIf0jF+l$7p{V(PRwDrrB_dnsssMV?TNg29X(Sh_o)2@l;@$rEz&@OE{u7e$?J z|AOs-WX&UWokj8#Z8{OC@i4$#jZ)7vSzQNXYF!X}Q{G!_KIu7bFqu^pbd17L7?MCN zbz`BRZy+(#t}&N#=)h8q!b;MLHc_5Xi~3Wnfei9f(aW@{nGU&$alyKy4Enr=1a&7( zHC4!PxC6O<zw?55uHK!+W<&bna2&^Ei!5IBL?wI(zfd*2WX{r78=%9g@hx1<q)jGc z_O()L5OeKu4wTYt_lm>K67jAglvmYIcsmsZ7ztwoq9#~sN+_6Jd>A0x>K}J{mU_In zy$Wy)yT&xhu_%i{*O}1}oI#S7eGa}h;K7=pHDu7w{9<8H=b4jou>2~oY~qij@2{-m z?~BMV)qoFpRfq%Q(WHLF`%sMFJ)8OYx`jU3P}}u{@a<+`CF14r_<F|a74QlPmK&0z zWmL@3kgbs*ILnMsOhPhCWQaPa7a-2*l}(D+JZszmc|n<d@*AN+I}gxLSXi}xh3l97 zb^<byP?&-NNdH@dFg!e6R1WHK5R*&bEdgjN780*!LS^Wn5|V`+!LEU)Z;gJwvoQL3 zr)1pnrW|KyT;==i1q)n>A^;c&^!p{K1LE<a9o>)&+@oJFrt%8qxOg;jZ8x=A5=4wO zc7ZrehIN<vL+B>JhbVbs%HTu}n$4l8iZ}C;N@{-;snsh)%%=pJQYpDlmQJ<`qXH#@ zvtpOjy6@&wlcIxsRy0M;R8qqaVStNP)k9Dj9aT9|4rJ}`L(&H_Fd^CbWtP8=Fq<6q zwX3uSvL^-phdoh!uHCNiU{jA>&#I^;N13qv<M8yBaa#m74RvLs6ijfxAi@`$K9`B_ zdpiWA!9(d=nj0y<j0p_B06`(NsCkN=%ygSKU}XPv!DHfxTG(b^7EVU<&i^5-jbZHt z+Enfx%2OFs6p-Pku1m*~VA`jrOBi#^jQF;=rjHBp626Z}$*vWCl+(ftL@tW`qyyvo zu(u4Ys3!sBXh^N}QP^>h$^nUF^0XMx!?l1X35w%QKvVM>ry5t6n0=Mh)kOdzVV6cb z0bACD_sLB=IT-I0IvRAnjao}7QYBK`VIb({8>C&GB1kaqQ;GnuJ+ljzvk;c1^E6el zD3Dt5`D!Zn{Z<i%hSHwwGI=B;y7eeRHBLwC*#<DSy0F}1V%A4;IR^j6Pz}x%mp&Wb zHbtxiF`3gA#O;*{wv~}}9s&+nQfI^uGn4&uR`_qEw^u&{xE_XpA91P74WfWoNE?n4 zEG@92DT5h`oJWPU>s;_@Ops2aMW;+eEXe5x8p2+(r3iqq>oJBt7OV?!==B3WS_(J| zC6uhyHeUH~!S6YlMkJkv?Yj#@X~Jl|j!(hKH_{?ZF6{?W)>@9JM7a{aM<^PJQUA=f zYNjGLNr2}{kij$#ARvdTw0-w*oVnP!W46OjH>Ff4H}xk6;bz5CbGwtSG3vQC>e7>~ zw!N6_@+{HSTFdMLI4atjJIiiK2zs5F9b<M3F(C}Uq0L0;4_XemCl??VOZ%~IRVn(n zN77C-*+BCHbETX#uf-?{X?&56xYX}^^ffHhGh%06fGAo*z}50x`EFV6h)~kXeX-%y z%*RbB8TvJa*TurL6s1+?T7gEEn8s`O$1!QWER&%~<C`fEo<t_V$mEwKRq!OOFb<D6 zV`OR_=MRsgB?2(85*axm8^c<=Dp1cHGmr9Yx@#)u<!WFM=D|47Oz2Pz2d%eNPAUNY zj-szGwr5Oz(43G_LMtSV$e?76$EQWmtn<mBV*eUISMVtkAmuCf09Nzh$k1fZu`{LZ zNQYP$ugKBH<=MXnBpFSN>>Wn~NJ~+KLalNRIbLoU6}dS`QOPsAAP~tlGQ%HyXeb~i zP4gZBGcq87{U65l3X%Ot1~m$4-FHLW>y2AT*dRa&3mm&1_XM`Pgj0~~W;~XLo4{KN za7rGV7Nog?RDmRu>+L(?u{K`YHLqFu_-d)|Ba0^i*7!{;8(AMA1I?!?h@UXImueD& zjJr!L<hiw%WTov%NkW(I8&K0_szJ7EftobC=iZy}HSIjWB{>Cj=z8sk5D7Eg1obg` zia3D$ISzRq(aP$`@C1Q)?l<>9q#=IV!}Usm8_<$$oFVGQ3UIkcBl#hjq7!9w>l{cU zXxe>Q@Jmc0q?mwgyR9J;H5=UsK-zFamM1cyLS_UF3tdG)<|HRErb4C$4U<?!iBluL zhOb&@-#f~9T6HQ#z`T0dWcWP#?l9O&p%rNOMR&5Ud%Q6gncnysHMSv~vAQzUF>+=o zeubM1X;~%0W#AS9EE}E&nD}EwO^669>GN~hY)(Up!k3}W<H)GFrdmEFCbe0r+!tV^ zhFj67uy=j}GspcmB5{&D5P!WjI#7%JRY9Xd;4?>;+{^F8j1hU~q{7=oeZ3FvmuXNI z1$b_OG`cr9X?8|9N3nJ%D?oKl5>3mjYROApkVzEj>RQ#a7W#JrZvDrS47km>uO1kI ztPJ{4dR)d&=Qu%hLvbh(dpWImNubbE+f`HzT?^pN##LufVWNU1^gC|7$v1L5D{0Gi zcF^66{_rwtXs=@pKzQ`Vc2%bS2VDAzJUfa-6-jUXOO#LHgeJ~d8wtfdcnINF$0El$ z4d?+<D$+Wu1GzJaXARN)BL+*pN`q)OP|gm5Zw0$dPe~0cpMPcBa8miwfH6Y3kVM*w zQG|sDR;~DVbF>K@f(48S8S)~@l;vwpg7>AoZg^vNpIjiMHVyV=#X^#Wg=>lP95e!< zvR<+*b@ow@!uZdtlM<F$XE*d);8E?m+oep&)F2k6m_0jmJKsW%5(Lz6m~V5s<nJiP zJM4;G1FdiV(HjEIWUb4+;)aBhw4w>UKzeY^^9m^+V`d<n3mdTKi&Pf(Qb0twseysW zWm-9k%SK6DlGjFh=go~2o}?ob1)RVYvw$!pSut*8d@~9`0}Buq4F#Le5;v;D7$F7i z-BLjDuT6<@FK{>{9fBATMCL+uW2sLm6&(2v8_X;I<mBLPKbVz|mnRV6XC)Uc#AAhw zZyF{}k8+`#toT{aC+X!ZuHcgQnljLJ1@c(=8)c)up2}V*yXh-T{Bv+@haDh@SVoY8 z$9U%w?KbcKt*!?|Ykte#^pUZ_|ILVG$3de}c{qo^r5o*M`>`4n{aw0I;r~~<(fHre zjimqObffrxmTqKt{&!~g9Nrw#p6OY9V0K-*^&^CuPve4@4@DU+V8C5GtY}M$gMLd? zkL{l|d%G~xh$Q_Nd2D{f;EMYzC*$a}bc4kaww0%U!<W@}|F3;aFNlr!f;B!cnV({_ z)T{+cp}Bhr+I#!|urZbX_g#<i-(3&>KWt2=|6SL^=*E4z_=|OWMyQfP8J+V^tx5G5 z&;JI+GF1aJSHWYJu~mr{8cT8<Z_e7V^=z;`HcVeYylW<N;g^zk{%Lj(?$VtrG%2U< z{Ilob7W7Yg9{LcJXduUKzk42$fzxY$^gP5w=0PU0Xdw)1i5C2d^O6DKMgxBhHzG;> zTewlme+V~%PT)X@280`BtPk2o!#UeP6Wy+U23oe++qjNj6-NXPzHqz*OQ}+M0p)2N z^UndnT6G8clyp&fF72&&VJyX7mb1qqdoF0H^y5~_s}!rad-3637rLav0zp7Q@DRqs zBS#0l_8afgO6IXoSsKN~`NteD(nC=4XIKA=iIyrkG(*=e+&2QSaZa-ULFtt>%vNpU zx>!EQcXU{TQn*UW*9Gwl<#%CrpdQC1hQx@}9|u>TrVes`FbR$k?pC*?2qQ4of7Z>b zX3Nk~HG9r24+rAUX~<3*ol{O6?Yu-a=-0*ZAFR=z7g+>yr-}QHK@|lPqmo~p^Ib~7 zzq5i1TLvBK4!ElPs{eMywhckQmmmC5i37t00JJO5gq|D$izSA~OaiZC2C`?<IfwOG z5?MNwu7u4NqSXbzwXTT4P%>jdT}w&RJ?T<Km+?cKc15B~L>>mCk2QQnKmvY#n6r6H zd|sX_OJizlBG4)AdIh;EiRV>%DSPiE^skWhGfv+Woo5kS(_TSF2sXIW$vo{`R$!U^ z3a-uyt@;9d3GG29edw4_<&Exg$XO+H5g@d|yBNeH7EcAm*e;6sAsR{j-iHCfh!cho zMcGQ|9pML`UDFh!e2E$AxX_ydjf#YrsM;HosWE|&&dUAjYs+D4pXBBD)hR~~g27^W ztUa95B_QNIgzGY^v=3>oU9iTX(AAJiZm(IEvq~xYBw>eZuX!>Fa1vqTIH1{b*r?^C zN#8nwAF`LX;_%a&0N`(5ONy`=6Kw(rp8qxt9`omP%OM*-4_{y2N{E=9?5i_8&sC!1 zzIVG@65mxk7g{g|nYCCq{|iMW%I=e+o=tf+z|cgB{9K&eC1&*+!_AJ=c^55g37U}O zeL1NuPZAQ6Lrxf2LlRVQbJQE7XWS@kV({}j{tA@bxJI1M&#FcEQs0-=K{sowch4xS zgD+hw$twkq2wZ`tU3z_=hFMz`O!0&e9L&MzLUgaiu?}$JpPXt@v^_8IKo8v@Hhns3 z1NtBPU}TYj5!dOseFOY>TAMC>2KYA>+DXr@7U>^X{Xh|=*89-sK~bkg(Re*^xbDm9 zu;GNt#<8r5s9iqsYVoeyTxTL~2Hw6N?@sJ-`pqwbV{6X}c6%a|Nq0?#*+5Oc2r5fp zR3!7?yQ2#@lV|H5inc|6Cb9{(M0)!k-Bun*Fx2;~5Lk7$Q-?L_*s&sGB>+BBA)$<f z-2XM3yyc8Pa2V{I=M|961K}TcaNc%cUJRf^ZLI49GZec(fyupUFn**Y;dHs$>X&fa z(<<k5vmFlDe^kTesi9a+9wvfu)t5L!Gu+u$^TWw@vKG_?HGh6pT@B#s23l1u7en^y zE-K|P?VDsRRS+K%_1`eTGQF(C#MOr=<v8epfJM$?4eyNr1d>2%<Mwf5AEBx{eOAVj z)eys#o9I;zh6P<~?ITOz!UzYYlw=AT<|7HJ!;;(kGWCMc!PdQ3+l6DeK|x@jK(UFd z3i}-^A6%`B;VoSR-OUC2J5TPX_pQafJ|q^h;Qe_=g2$8EQrpsKtjyLZbTeT+$AkBm z_!Y+{%VD=)lf=?M_yS~@=l#<TS6e&eqc~I5%@;mkb<o{X+)><5;c&kbIs6Q;Dv0I4 zq7q;W9<OXf@xXc}OZ%{n4CISKT3ix#Bd~W+Di~P1E5oyiS~(N36dT5FZqk`A2~U)@ zKoJ(X1RC}D=rstINzt72pys^MQiScZS_G&Z9QfA-U{SG*;G<fuNet4RwM5hW1~^fo zS-IMLS5oi?(lWJc%xbwtkWq`yP^6=EshysJsGPT*>b~pldZAE$p?O8^AL05GIblo) z$sx|RHDB)CCq=M19&;v&z{};i`%B=^G>#cgHld^1r8zV7+ymXX`>IQ|*c7w#NYKfW z4X5nJ1iHiYULuP(jRoRk>n9IbK?)-k`BUymX|tk!4NbHB0Ay~->?Cks>tt_D6{qLx z+uh#M$Wb-(LMK#vD=(0l)HA7f%k&+5Mq0vKfP|?01M=56Q$yqgbMyK*BlH1T8L#UR z3SOCoC1zGcXnQ{fnTZ87_u2W$GC>SJV`sOKrk^#1RoIYB%j9u)p^ra;%ug+<wv2J? z=#>e0Cmqsz6oaVzP13mH2T*Xv7iX3*WKF&F8kvg=8<+;2l01k7){0~L)%yaa#I<Kz zc|Q<6WY4Cuh;rygOYvK#59Vqpgk?MOpo%iMLNiLK!^s0#ij%%q<kfKd32l$i7e=ct zF*m9*>idxtPEMk@D;?jL@nRUIS{jJKtB*8I)R@j;2Q!ZD-^oXY>P*$H96o8K<*4K@ z3=hn6FVG4*ykd4Qeg`uY#euVg0)iW*C5=qv>VeAHWd~k1f9vDmrn;=~ZqSXDJvJ>z zTBd&E=%T%^DWyxanrTyeLmODGyZ2sKmW4^t+JY1V#%=il-?$sKBq4ofP82f)(G(|v zqET!qEXDf0oecgb#r4td>1mR1lA-(L=c!h?EF^<1qvMtAAyNfHT1_S&IBu(zZIMkF z%CT6l_1~1@%TQ)iSZfKsIE)Pu_rS8@oeS(mGe}r8f0_)2KDKY(4)?kQ_9lk;@Yxbg z-D2*67T04+JUmXj<`{AbG#JY<YBQ3wV^*j2M<b57<MAqaMbE|G1rfq=-JvS&zQd`T zer$h`M6(hl8MASg$Yl*Q$-0DT061pM405NZP+D6?*mYBFEAi!gF&y=^@?8=Uwt09$ z+9oq{-^nr<=$dk~Z&P^-bxnTBmA!~+c;fCMm*r}xv)s_p%0pGz^}?+j0=|+O)*D4I z<Ko^$IKf&a$dtUL-^@NxK{(<c1BHxfOOO?F@pPdniIu%MY-Q)$=Q7G#6(|a?X9rQM z3#bNVt=eIa4BU#<F~`Z-*`-C856|`lFh`EpVdVKeClaJ=e+~(ahn`plBZT`1hib#) zfdXxZyvwk?P#;oNk|57u!$eh1*%*k+VmJd8{SARG06?JM01)U}-vORx@4B%<IZyF4 zjDVlrg1Sg`scW5k%W%OBtXYKQ*boOpdc&`T(b~E`?PJR64GKi+`70<;H3c_lu-n>k z9C#I2xDoKVl1Pna(^poIGTv5_WKfcU<S;3Yy-?jOys^@hr3XWnwwCRkKJhd$F;1)w zwhy@I9UUp*7~M9X^A*IN)xw&vb%`IWc7qMsfegB>JcD4HxOg?hpsOwn?-wgG3cbxh z?3rcB!K3@oT0^f=e1%Z*>^@$ZXbOK0ZmJESyPPoz)`WRxoGW_6qlozA%;SN7m|ajr zz2W5=?^c-nnA*XU+X{?8cU&&LS?Kryafcu_iL#)VJO4_w->nG*RBfV{muo)jo6Nv4 zqc`bmEQl^mt6)KZAPs9Y^_R4zl-Lrco)!#Tylxzo1git)Kz)r%ur0Vyi%>QNz^+(5 zOq_BfGfPXS9Xgnm#yK9quC;qEgr~t;s+jj;8W=_<As3$eq<(ILjSIHJ-~-~_n~LQm zE1sYT!v(+dCC~?fq9S#!(rUyTrSR>?GT1>oBHUe;F`Bz>-Gm51n)kA=ph-%?zWvk5 z6S@xrzsLfxzO@{KZX%pBLkJPm9Go_TE#UxFVNDO76j_8G!5o|*gWNT0nO;_q%q7H4 z;|5bW{x~rzC-lBDaKJc2DVO5{R>Ack``itu5gx5Y#N}cUPyrnlqr+qECEUr#(u72| zdT`ZNr}81NJd&FOUWGUky$J5cS~75k1|QyG6~^Wn7VK=zDx<|KE`t1KbvH%PkdBHh zsa>NQBevk%uqoK&d08bRh&apKSKm*HslaLKcqOzZzU2;Sf)xXhXGFKl_*&+Vr`^3L z0z$?&i(d<Zj_X%G2XG&nO0fFomh!}3po69Yy?dX&;FQEA=c6d@yp3M!cc&@P>70Z+ zlQZ3Xf8F2fPj!3wG)lzIhPe{pj04-J;$UiEUnyA^7PL*C9wyj3bV{*sn~vrGLzMUw z_3%0S=KzBixhuwgN$O;;Je@3TM4xmLeFWc&!?>j-Q=!_1)L4ShH{#(0t2U!ADfTJ^ z%rzVyGG;rbKr@Xgeglh^b)g<I;%u#&#RtOKbRH_4*1pxVbJt7VU!Buv7i8l_*Uhgh zx~0-fDv21qNE$&V`DSyc;AUQ=!AH$6GU$=)znshut9HgAougjE(*(#W<<Z0~{fgV@ zoZ*%k7%{t8ML@C_J1PIp4QqJFtd4z~O8d^IG-RuP<W=M!#PE5RjIFjG?gm>XGI!R< zc-s6+w{#Nq6TDS9mrU4XauPMNOIo}1$jQ5s>6frRpd-|{E6@@eWQeXS#9^@Gm0a-T zW#zWSr%P~q!VyfZ?V@BXWn|vDl(LA%a#%PbS?mF|h8~6i)KY|KHV%6|dKE%CRYI)p zBo0V>iAZHgagW3~OUZc%jk(?OF8E17=8XEh$azM52yGG5NApp$d2M4oAk9r2<(*G) z%bO9(S4(zfws<Ya-9U{4oQuLLA~52mF+FF=juum95fbPwYaDcBm4}dXeGIVG<N1B7 zW-IZQ%`SN71u_UOdt0tfqv+`8eMu3xUk~&=E2hk9*>MRDYPcW)jCEeF1>W8Jj&F0# zxgD!s?ozgvE@+J>bxhWbOUt>u72%eSn<k8nE`6d|Mpo2GHjK*}W1^FWmKgDsKgcoN z{VU0tY)a~1{EEiUD<PYTTYYiU#jaO1uozb&-pI9Xgm)nL+|FzKx>&Ha-=+Y?_Il=f zD^oCI%9tUuI}s?leVBiflz|>iA6AJG5$Dev9z_?kdM8H*h<=a{AA9GwtMkjgk<H`h z_8C}yPMvPbw<%NK7l7O5F)kUIDchwow|MiniMmVdWwEqp(M?=^Rx7iIxA>|t5VqO1 z)!Bd+I+=8B0!tF^>@3B;L4@#~=#);{7_JlcuLK)inivL@cRKK}{d!JFvz3ZcP)E)g z0=l`$8C#nBGRHR11ArA#r*;Yf`u-EzC>nXX3>N>HRMr;$WU#>}jMna@&V9~DID%!) zhc__iH^V{XArFJAJzDx3tN7thUm;h5b5x!;TJn*^fh}(_saFF<H9*j=7z%rwWc9FU z`Uc**6@3xlo4#!7w@Vhu3eXJ^F+A<_92RAXKv(_hWB5*y8O|7vMhPc`&UJ@<0HRcb zYC3&b^vIDJ$b4wPq3WMH*wl#(pdN$vPrQOlrFr)hpO=iehiM_|*qwn$W?x2)Sp!V( z7ksx*ZPs>+aG)=3E-Um^Hw%`IiBhYU^_DdzAjpFvYnGNnSc=NkGIGa11{maGlkgxU zsN6KZpKczROD>X_&7ic=E7>XE`Ss%943MJX5Qi3YtHrh?V5!GalGkuKk|qYGH#-aD zPcXtzTZy3<=8ix^SJS63J39fD!W-bC+({_Nw}5%1Wd)|Mk}qF9Q`JdL9~=s58>Q#v zCq(iJhZNLO9)2&^-+x`Ls%GZyz;(T|HiuF*7Tmk5NLT-EAMfEssY5J!|4aodbN7vR z?ZjU7G_B{Px`k!J(=s4b*2=}#?wL17NI!;OhL9KtfuD{U!9c^?z@&Zdb%aMx-SzO% zQIll5jJAYiJDbNFB_f@NgkXTCU>KQkR7i<*JNpQ^){qo<E1H<XAM#X~drJXqnc9x0 z29@B~$i*Gx5h+ch1XIeHSWcG5>NNWj@7K!G5uRUB7B@IJ7Zcy`yIOd6ay`|f_Xk^u z29$063y}}^(<nh2PC5Ngj2=FZ--~PFsB`#8mp$`j$t90Mu4dIbCXz|Qgz!65o^XEt zIX=CXpBXjy3;RW&hT@lgi`i*ka2@Yt)=&KwD8(u~zB*O$<LlAL*+GuAPK5{c<wg#^ zhn^;Av844{+{k%9R)HtN3z?%5$AfMu6?vU!SA~do`SpGZnM~c-J7LY(Z?(p56Q2ao z^4yF=qZFEkdc$c4OPE`gK+gf{#*U!cn<J1)*B`3ARgEWGC{<`%Sr)h`7E675S@us1 zwm>$^c%1`6y&-wR?*hAbZ~ot~))A8cLdTv=RkNkbmFd<kEBWEy;gMose+iF_;@FSi zT&4OU2DXDmXS9iL-YK|`?L*V$HqBZRyOhR)V4E=}y<>{mzExvQ^LFY_g|n|RFxeSa z&wgRUx8dF10w~ifEniNGXxjBj8%{E`eLA@~3-9g()|?`3zInNOdpknB8-~W%XoEPV z^i5HAW%-cx?jhd0(817R79SLlz%^>hPSARVQkV@s%lWla>S<h5f1dK?F}=-YlF#hT z`B<TR$d$i^o7-dXvs`Cb?lG~;#1Ok#3mLL^0!!f5#o^$Z5u4Go-d3~t<?jVspfhTQ zQzm2M-H9}Uq~OIrlAno6ZJu9tlL-=!OLIh8{y-x&lowW6E2~1546}%hkP?8MD&&8~ z3g_25*p<#wg$`4fyBxUyMD!NIJev2!4n;{fOp$qmBnla%FgPP14%|dVCJoU<+Z>22 z1<ncI-2-q!Ft0;2n-9T2g}Z2(7Oft%ejHmJw081dWN#pooAgMW&ynd=Nd%4l5~xI1 zDB07dq4(kXU$ngiR9s)0_KUkqa0xCUxVyW%ySuwPA!u-ScX#*T?wa5l-0D{H@1E)I z={w(iYwlfZQ|vk~RB@=qVb`<Y=l3+|iR?qr%{Dh9DJ|W9ctL>>W(R8wCB(4tS~dgE zD^8w<0%7o|INIs!73Zp#^JhPCIQ9q8x?%CqGsCk%`w{*z;=@fM*n@WeK+IcD{Af7* zug5n!p5cbX%Bf^Jlnxt>eA<?-wb*{=$)hyHK=qm{kCCf6JFUeizeS^f^-^7rPe;K0 zC?&}KJs+-Nf!0NvsWz1jn9`L@`y#Iw_QCS=ZHMwE|A8_k<ykPY|I9e4SX3YN--{-) z5NPPW89F5#k)$y^kBHqi_J50Z6+QL6gTVZ;^n~4nUb{a!BI4zAe}K;A4|q+Oc@UKR zybOJ(`Rn>!6!3g=aFOXc5g#I_e%D)Q&oSPC8ETU74GY@u`NT9o8e}hy#^-W_fNw5} z(5DEl5qqJH`ruX9K*x<G?5$&U1;;tk&(2*<MS0moI*ovoc)AOYWw=&JJ;=;f#{(&9 zady^|?(?^*E9V>$iw%7B2_e)*xh;g#9sARLk6M2B&xDtzWrW%Bx>+Llu@WcywmrUC zBAJM~2JDont+BH(BaOajH!l8{62NP<+f)A8VYL1PnjczI9GKcvPJ_5NepwH*w)$F# zWxH==E8Y<_y1kL8<KqgBuMoWP=JefmH+$xV>s)30y~V>%qmG|)sFz^i2ls4^2GbkV zT05Rd+Y!rAwP?*dmE${)yxTh8=nOjH5{uftA@TBpGHB0gh>eHH(BG31MMq;M{uKX6 zZ?%Nph<+~W>55}MlyT1?u&=~mwOUfP-cq1oL+j#0iL2I(>pW7221WjcYfbrx{#gs- ztLYC#33Y2{>hZT>$?NLYj2Q6x-EB|&BHEzEp)n*8Hk3>&%BMR2x$|k948B9QBQxeR zkm)vHDnxie4c=agZMJNvpT67h?%XjVPBCQq_r;%|ZuuM(9T~L3q&^feI?o{&f=I;< zR}$8!{8X6S92>%X2}z1r49c~UoVt(-F>`_c^K0K<`C5zg-n_r^J8t%q|NaWl*vVBr zWU8(}_RJEz7-)otXzSS<RW}&u=eYB6Vow0Z0fNg<fGa|j-w5!_SX`xBJhKAA_Nz&) zgo4czOXr(4gybE*9_eU_)z(mu2Dgtj<ZRtDpN4kXk9WknFkj$bWYa{Q8Hy!o1$pp! zd&w@HHX}AQ<qc%8+?~yx$Z5c&$KAmaV1;F%Uc*6E{MH&0Hfy^_EpFl=4GVEC_h43n zQ5Mj5T(Ka6qu%<ByV=R)^5<2s+vF!5%b^t`IY#I`Ux9KXcHc7F!+5q_BkXR<#xjJ< zh?~{y%f6SyhK6-y5w#W?5i5E@;yG~<0_N~WQ{zJvG*Z3frdC52!lDOf)e)@#eQ4JX z2QNX!`W<|TgrOO0R<IslOe$UPD+ZljMm)k;&VIsRV%wyN&L@xc9@1Yp9X4!i(E^O( zr+wgNfx;yOsHY21qWKFUsnN%Zo=OQ~arrZl@}lgG$iOsaXG$*SW9@n2hthc~otrT% zuyw8{J-wWFsiO(GYnzSLz~S9lXW_%B*(|Q5&k&8O>0NDI6klg*E!c2F<4CmL4%l$j zj8yn9k4hIT4d1YwELdhiW{wV^i|w$>$IH<;z{ZNuN(usrZBwLns7MN=3>FvDjZI1D zSthCjt)a8~DmfKW3>H`hJ}Tc3ilZ?93bWhe6OR@k<swidPb5vRfyw|}O~OcI#cYSd zaS+5^hyoLqIPhT?%+!#VQMwm6T~w7juU{~lZtXQx=XwlP!pkg_tNGgJ--QLVi(=lN z$gNw(Q~)ZiAtx&w5RJM*U5`D^{e`$6CM`m61xW10!9CL4X2WO5oAYZK6iIOv8QKu< zXcX3KOh=g$CjzqW(FNCN;JbhGA;m*1^F`29DVT*-SnyuNb4Lk?BhrSz)lewf1q7%f z`A}4uR$+ZuOS=rlHrefr=r!zgw;!Ez?K5rUa~iZ6ZaG^GB9NHBkk=5)G#*UrweSxm z6i88M-<ZdI3<X9G>cC2t>}oKDH0mb%csq)xC*;=SXfi>F8jgW}mr2GKOSKuRWl19! z|0YvsQUg^*K0R_JR+`pdtD<4G>j?ubw)TGTXj$@PG$jXlPX-Oejd{O8L;g<6qhl{$ zB1S65(SyCOs<C866p3WjNkR(wavIMBu6H7a^j9}IzK}@X;UMDr8?D=Q6gqf1poZkA zp$w^oIk<GTN&~rEleOwHU5VgiSc9>><K(+a<)*q_-w;oIYIm&zr-DOaS;2^%=)AZ^ zqJ;bMWle;lE1Oz30e)rxoB~<0%?7Pn3lj)vIoj@iAXm~>Bpp2dy3=4rgE#oUZml-X zaRYnBzk-yR{}r!k-u9ywhxjh@+kgUa|Fx4CzZ<y!YFqTc)`H&*>_-19snc5>1!=p% z@v(c(JLmr=koY7<>JlIImtC(UJ^mh^C{V4-h(C<*i<=4KTKe1g)DeX)sY_!;kJrJ` z{Sv85Wj{}Z`$s<XU)bg9$G%hav3-QISVn}xcQjq}gs~2v=JCb{g*C>EHN!21HS=#X zucMe`0(B&w%wXAXx`c9{%niP#Qh%TFK6K|><xGvUwhYqrs<4VmQ+6vpIFn)ICc3@e z<HR}X%06O-=W4KXq2(u9+T|xk2gMl0C5G>wEGVUOQq<O1aIb$#Ri8-vPQ_#Rv$fxd zEhxZg_?ziutaZ~5CryIS2s0)YH#Ry1$Mb865XaTLRBJvnI4ozk4>^)N%0XbD$UG9Z zGlVmNOh?Bt0U7ihs@~8Vm_Lahy`3Amg97xkaieUg_j_;Ye6~{PcDLbW<H!VU2wT=h zzLE--OC#3k7(~s)ZZ67pAst5X{$Igckf#!~#}SO2N?c3o%Qc_tbPMK70kjdhbKHxv z(rls1nu#Uy&jnGRoO*CmPlnwhix)s!gtwPmkXVrmRBWXm1OrRklL`V{)TO`|5$>+t zAF+fk&pWC3Pappk-eRu!5AYT!tKQPT;4O-hT4?_Y5*NLaueko_hH`RN{l8K;eFpz) z3TI|+@H{-It1!gw4#=?Rk<TAW<bHt_=rY2G;CM|G(Vdo~A5+!_jIlv^7MoH;&GJ8k z^EEI*yUx{s(4q&k@@Q2Y3__eJCg*;Nm?+Zu%3KtK{l8*3Q6es|Kx|uX?4Kkr3c^7K z9ymR~WR}a{Knd4P1tUNaE_gsOCmz(?Dfr7C>WlDHXJT+EA9ByjwEo74Jo~SlNR9t9 zC$j$#zj2hf|NK8Vk%0f66X}*ljQnpok)i=a3TyJxrdH)rruvVtjC=Hmg2pSgRwOn7 zok<ds@ZZEjN6Kf_6~@Sk1@+akUW?&Eo<Xp_c^EyPx9Em5?B;;I0;wS#O}e{_QxN7B zV52Ev@DpHdxB(n^^besuHu*L##Y>^`ACqgqp4pbfzfiNJN7~6u*4%AZL>2c!A8|({ zkHZ{5VvRETK}UeRd0Vx-OZnPbsmi8pY9rSy==%kFER1EB`KtPFBMYjP^w!H><y+wv zTmV~kpoQzaYUJGX&#BTaD}pN1Ln_`t9z%H1C~i5W^m^d9Z}HXh9t86)3D1NG3nVc@ zu($HR07!;00EY0v*k47$htW5Ydxm<$<X6_kX&&JRIjs%9hrmXJkJIjs$W@tzPo@+2 z^|EIUahzre&~nXCf@8CulgmL8jP(^92otnUFYHFRMe}NO%ylq+D{;y!!9S!P@f>%| zcFHuD22Mm<+xDt-LbN~k(_!uYj2Uo3wQGZ6kHt^^;xHlF`h#TS@UZqj%0VN4$}Kt} z8AOCRhNu_9q#U&G?NHf|<D3or*)=8dZ-q#qd5}_nIO3KS5T5V&shjQ6K#sU2h`Tvf zbjl%XOghN^s%W77<iNS029&ca)HLQb(L^8-f%momc{6E-aorFs0hYt%gmqOE0oJu7 z-?F>JFf89sxwh}3a_&wsD?B<$kK^&2)|ehk%~QS&j+HcQ<|0!dBfYp4WNH{on~ddS z#tNBOFw)hU<oftJ66$U`HlimBA4H4ct&ct;)Aj+T;{7W2VYUHm;j$^R>l!$pFQAjb zraPT%Ij>L{21q)re=ZTR3NNqEJnJ_!zB4{F%t>Xo-|_2UzF3{+{Cc@EBFkBOH79dk z`w6g6J)oWR-?FDf8s`bV2drLF-ZufboL_2wX<(SYAk)*;pVPFx(HAo_lY!`5$Yy}_ zN{iVZ+slGEOcHnedfyB`ZE#-t1i~tgE#{~U%9HK!d@<>7FZbN%oCtJbK1R#EQlThk znj=Nu8VhE<?7g_ZYUmOlTabDn;)CI|_VT*qc#C}}4-LC2fSIy5!+Rb!;7NiGyWtlY z&`HE~bxAJE+sH7)L+(c0P}>a$|IVqAaMdf16*eY_pi_wpGARF*+#0vRX3fuun9R+q zTa(yobZasMeicOipsk6)=pyzm#bN&$wGd{&NjRa0Y?ZMrxtvGjD{WkeDD0M4EJ)#q z2<};M8;{<sj0ox_0>BKPpj5{Yj75*a!4(m&JR`B8Z)ovuq+<Y?68x(4Z>rsIWI7PM zxa02CX(Z$Td3mct83g3!0I4_wRHb`4<ra#ojA@wead#G6;h5qEB`tey_yj}sMx6?K z>04nn%O(aeudHd1%nGptK-3k~PPH?G8{Q|^a_l=$3z`KS>*sY5!o5CfJGe8KeWN0w z#Kxz<!c#s>*4YRhmMNg)*e$wxRjM{BA8DzmRr;)S6ZcMtGiF&%N!su5m&AqfRl{AT zu~X%+5Vl}xmDmBoW%!EGU=`e@qEa5xC5f^}tdK0>mb!zP5z4}DSC#>0DAMKiMFRfT zask%bRd!)A9n7WCR6loU9f}wVO~vd8Q>S6aUj~M%kD=#-zNn+)26VZ;59GTVaen?a z)D9xBFbS@eGL-{MWFXX*m6a$Jd`1urf7`s?7Y&%+HxN}rmk=N<LVX<8_9Y#%0#ByZ zo5(gR_=t#7aX=LFwV!tO3^rmW*gzJzY_E{|xV#_oWi>xN(1BXLgKh=e!(g+SSSQeh z*qTuVs@Sx&!Fy}h5*vcY0v~+AT_2de2}=H1W*{ma&F@r7JcQQ0C(~1@4^pD&8gaXv z^EZkFMpN;itQ<LeP>@$fNChV)j+8?KfVf?Wqng0L=Z}Y68EqlN*#l0WRqkW%?on@F zC_Mhv9(;8EqvRLfy5U@?Qg{5y3GA{w9#))9w~?wG(n=zjSRH=YFmRff9&-WBiWq8P zka@`oS9qdkd~u0S$-yU18Zq-s4jFRLw4;{j&EqY>=agAt3cACK?D|tRsp}tG!!Q=i zZ6gFW<?(fg#ny)bW!NdZ#g#qvrhPs2$i0TmAsTR_>O-ue>Xg%MpJK!qk+QmfFiAvv z9+i)@!#uU~AO(Y@8%HCGqp^T1@Kdvqv0u3BSMzT=DFh^#;6ZSsE&)5z8F8XnhFa=F znPdq2r=pROytnKIKzy46izkfDyhLINq18UHqyZ^{Mik|QWN@JSg;w<fv;`|i@j70_ zsbo@U+@9bb=C8W~=7r$rA6x?CRvh^n2o8O~(8=jpbaBE}zg<IAH2f?Cf0JaSNbe2_ zOjxa&^)Z3@5Z))mF>Ev}C3`wr1SMcNJqp!wNNElrD6PC-6T6QJB#Vzfy_k<`Lwm@{ zpG>NN4+W=15RD!VOzwT&VGv`6=}({wO+(-=Cc*9`D{Vk!#-WQvfC(S4w8j4Nlqyam zOT@`Wg%tJ4^_ByBGIf17CaaReU}e2ao-zf?{Op`?d<&mgd*K4r{Z|1vthoF(ZtmA5 zpEvF@&|fSd)J^^=DRwQwz-@iRxD$=+2HPe`p3~Pkblc<O&}6~^K5{Z%Q?^u7Vxid& zAHmcOIHv-Lr)%a!Gzs4`>9@uQawh%^=Mh8CM`z#ww(%hQfBrN4{E+@C0&kuBjQ`Xd zYV<rGe=+}hL<r}{I@yw2*Q1`qI}o}uTD~!x`p0qJnf42m4o>v9lhLP-Iv?m{1ev~l z_!;&OJ$;s>|9wv%o=2bHwD>6HkOJ!)p~sIiNk$O0zB$OzJcifLFqQrF0E2Z@h?kO? zGO**wMW}D-wWMcsh>P{#a0U;izRH`bHryI_h?OV;)KLVB+{-hPZQx4kDdcl*#W6Jx z{8$-=!p_lTe}LMBcbB>UyLIPI$AtA?$iUU^>0mxptNkA$nNdo%Wq7fy-kW!QjeZQ@ zWJQ(D;pY4iTUBgZ6`CCBXhdcD6`_GN9@zKhzLHQs_N(o7DmL7&KjG_EZJM~xy>w4H zOQk2(guOm4uzw7IyiyQG(KEmqOwRbuE;u>NU*pfk;UJ{91BdrJ`dtyH{ryk$`%$G9 zF$2VxJ~u%Waun8?31IfS(ciP*2`2v|`(5Urvfm+W@e{>?+3%pEfA8o+*!q`_J|73; zztH4h_y!J#u%-WmCRf|wXoIHHU!0@AD>BLiGu~eJC(o@f=L8Xj%%eR!5A;$UJh?Nm zcP|H&S*3S&0F)mDHn8-%H(<Nj58L?^qc`8|ZoN@D4~dCDu3g>rkK95>g`V*r)jvfP zF*RmiX%lrRKOt{|KuntssC+hC${wlU$q7!LhtQOW>-lMf#5wrA+b6Pn&B04I?>M}g z2JAIp&QFU*Sy`3lrC&Y*)!z~ZcOXm^-4OhsN<>O4mxYE$?Q3_C)MrrG=lT;9byea8 z=vKB7^m+T9bFL6r0LG5|uEt8$Ib4k!1qVNdKr@?;#)nZ1(7{!YHu_gnbkhRnrq4cb zbv~Fgz;<&jnY>vU7h@~GDuA9U3nZVwwqT=*7%^>|K+H9_0L0O@a>t$eiM4Wt)%e+# zmq*e24g6q%+`Y_s#q*0FOg$H5vTYES>O8iqyRA3}cGRM`YyFFr_^gaJrpY3i&N*~1 z<ykyK-n8P$tLjSLVJlo0GMw*&0oDz+Y(DYe)d$^IEXLwTWNqw03o<U2>?6NNIw&@u z{w+SGK7HaTO?YDp837&@Jy?D>D^_lsrL!yW?p^$GZi;?);SVy4a9lAVBQ@b%4ms3) ziv|!)v|V_@o;2cV<BG*j-^%b!fzxJ}Z)G}QnY3Hu<h?)8cAQ`xfauZ`kQC{FU!#U? z5Uo03I-fy*UjhDJ29itvlz|7HcCT@gLHJpM8un_ecOq*tnP2vw1fLiF00Rk|{s04; z{{RD5t70wpw0Si^v$=JNu($JLFe14{nH|KX3Y3rA1mJuG;bN#~Z*toRhC+9;>e0Me zrAU<Wy%kAnXEQ6}gE*(agxK?0(jD0pT2B?wSQDJE#<g4oJ>jJ)FiWD0^aJ;9ZY#sf z>ouSy19I8XzmOUcaZwoA$`2w<1oIhb-=cCye<Lx{UM<hzGHThgF<>=1e90XW2}OZC zi&hoHt6g_Y*MMDl;=E4hG1B&oS_%0nh(@e&CSuqfQR{es6RC7y4I_M_utNXwR$xZl zHgp%PpUMXZ8`O2jZbVC{kJP=;y)f(|dQlLsle=zhCE=)w=Q1={hD`{A*5a$^0S=kv zk}WrCi+P-hDq-WSb|Dd&rl=HthiEsUI+)qq=w@U?API89V4JAX&Xf*Tqu~pK9Oe)a z8R{<<2_YK-GSHwc84f7P9afRgakh~woxx-zZ^UCXN>LG$i~GR+aNIiNeVg3gGPg|i zLWsZ4D0Yvf4$`tYwL}oj=oc|8$|tveK8d++Jfy41f(bB@wGg&zz|V~;h8Z;lL;Mm> z7}l_mu^YF+WY!AMrdM{$#!YqPv)uf_WCwpci9K90%M~{g+iBvlavqC<77>1l`lxRl zZqh!y)ezr9;@5Ggw446<EVQGQMX#YH3waO|P_+MgWwHemij7IMnI-CJke?%Dd4E3! ziIMYND;h(hm<{6;6kMj&h^MGj@012+BdB)N{NRVeRi$hw$%x5dGJ)C^*b!pM3FZV_ z9J0tb80nc}0<uT}Y0Ty)F0GAVGFj>+G&sVxV`#~xT%@&~&4|U}WRc5PgoL<t7{$t5 z#^hMa7GUJPdvppeQ4=jMLg0be{!vBJ#{hcs05I#LYpmf*|HEd21d9TI6I9%zG)D1a zFP^78DuC@tp&&S(r{zP~o<;y5%^ET=90aTb6y(fU)Oar7zyd@lVp*tva;>$Usarjj zio=OIfOrn!V>*=fw>46DP&#}n!#9(&e!`6+==9G(;?icw!o}e-lcNV$*K5)|6DY*J z8-gxL4}<2VpF10oAwy-$4856xBOxlXz{t<unG*0pAq2)eCA|qa%3u_c*lYz&rS_l3 zt4dJ4ZD3FQMR7=ZabR(&KSJ_+@H9@292wFzqgf<fXA8!Hhvk+HSO~YKQ-+N`$L6N2 zQ)bGa20ytBO}t%p+QI4k#D@ScyT+u`$#8zZc!fKLjz`u2vVTn3pu`MlKHOfG9?p#T zGI5A0V840ufy6<Tmo-ooZVABkp}beO|3OagP&Oc_FcM8`sC*=BF9$(sR>ho+a##f6 z0h{YBgN$2b<_aNOLkj$8Q}JOAAFMVfURXe|o--sQ*}E0xeYkvdiW=dIB)Zc2B1FIy z`%*}rMm<--Oh^UDr2?LY?F90t>#TKQFN3j?2Ik?q%K{_;54U8NpH)-0ugK0~k|3kb zHet}UGr|C>CC1Y64<FDRC?W|l9icO|XK%zq*@{BW%n0)l8U0`wsa{)Qp?p%eCSf6v z0LWH%HS)B)moL{~{zMTYJKBvxITN3P_c`D7HE~JKOBJP^WNP9DlBds}u$C)Pm+v3H zoZ3dTp(+ulybZY~&y~b<e&yiBV80i`HFdhZqYb2Z*VF0Peb`(3+8q$kIiyd9egpH} z`rCqH>qVO3?Ecj+kzl_ZRx~28*@u^**a1{D;w0~$oUDa1QU`9WchRps@nNYLzHj}M zv0ZO`F`dAV4iLxg{LcvxmfwFc3!ZqaJ49En%-(zYWb>Xj`TFA3Z%I(cO=K5jO^}@0 z4j<>WDyDFqBGLp>+_Y=bzn+L~Z<uyzP#mD9E>o2i)E@o$A)xXFJ+*baJ!tJKNcU?y zt1dJ-KiO9R?*WH5@cO=fGX7^|=M3C5xBoSOPW3G{^)0o-3gFGdcVOSPt*atX>n!`i zUUb+9DzzB=zm_^N54FaZSs&SA^P5LSZ4DK-$9@{?(U~(9T!p0V!+lwW0UO6rPG;q= zUQC`ab!}x3__rpK`E7rSYZ+KL2%anACP8n32A{tPotrK|p>rqQ&pz8y9OY_4Sva1~ z6hAd6X!@m|{M9=THi0Z+a$oJG)salXwQM&DUIyi<4w{YQ_P<HO`8N)dtvLlc=`=x^ z3z;=wW{Wg`v$YHEoj)Y1idRXy<yf%;jrGl~q`ykM=!H7?yz9#6*+$|Wx1)^GeT82P zQj5(lL>Lj}+MI9_?nNvLlL|!s;r<_Qx2C?_BtHMEgTy|8>pvYNdzGN@Rew21Om+T? zg9K~HOB_Q#V$!T)({lM#=}=VHs=1dauNxNhQ$QbH&=uGOar1^rH>?%gyXV_{Um&_5 zn7Ri1Z)S$mOyVE^2o(1snBRv_Py?03L8Zn$8=i=t{?5!89{vwz#_&HgGY|$p+5wpv z2h(hR&L*YK(jbaI{6J^iXaUJN2Yg;I3_dx*l)WxhYeYMBx*rDNdv~VL73zHKUCnP2 zz38>u6(PUoJ31lbCX^THpGXgJKn{1e0Hg#=Rh>bi;Dppy*1_+}BNk7`wk}iv%8M+X z?tqeuz*hQBpEh(K`zcr7eC&>w&7%k64^x7_;QALYx@XSe;zMsauWB?<q)jb&cU$<p zN^XeSL1D5d!pd~MSMtWHd-6de#>3*?5&KUL;X6g7`97ifHtpWF3(X_zszN-17QHp9 z6c?AP+=u3%kiO5Mu!JF2Q1nBMYDa!+6tGnBsKoV!Ows{EyER>uR4W%KMRqWZrYzp} zTX%&)f2Z!v>94ERUdPlsmvLw(4>&Myt^E||0UFV8?WF%WK`}07Zl(K(Ve~|kYj5n^ zm?~6|b~L>3ss-pOw}A5r=nl3h1MZ^v2jcI$2f)nql(?PNEG!qREq+_=y1SBk`+*f9 zo^_VCrhfPEhGOCh_h}u1zPy<6<YFzxfdQl9;l$)&F(yB)nP#+HvULqPKz@bPP$;KB z=C-0%c-j%uiwNWSqVMwoW4ef7#4cU?BfXK#HBmD|#H@si1<&l;l{SLSV@Q{8VNj1i zX2XYJnbZJ}(oQtLvjr=+mCDs!XrBS<$Ob#w+Fcf3d0V@1(<_EO1?UTA@)b*Ig}-T= zGsXCg)X=u$f*DRTX41e3X47wNQ&GFRlumu&x3(#2+m_D;)HZ3YCNP_RM6LbSHve7* zYMZ~y%{q#Iv`x|T|I{`^W0c=sTR7zIoYNoG<XInmZcd*@@P-rR3eBL2MN!~<60z@D z<k13^W8ZpqBB9=M+>&nhS=AIPb<mr<=4VcR2phVvl&F*C#>~4Mg_VmnRy+G}W9Bja zSN}8ATU<nV^*yw}8;wJ$H#nztz8;$giBxBN{FYr(WT0pivxPCLXeYNxIv?{FDh(59 z=im_~f+rIAN61D{K#sSx6rB1(w;*8y)pVXc(X+7XE$VGsTLYIEbSwW7;BxVJHS`k0 z>(`4724CQox0!wnqsDkF99OtM8&~tkgO%dFd}Sr+33IX0H>2+`ozC`J$0BK{RJC>3 z-`W<a*V-FBzEQZ1K;gV%WiMQ+(4UZ_fkJoxbTb$ho*>Qy1rf1-CE?*kQ;%f_6k7M< zZ^RLK;h}JgfA)hRnM6vk3}8=Sm;p(FdJ?FeGx$n4{LE{Gg=oy!!ZCLx1|N?RmYSJx zIHcUUey~^bMfb<oz7ug}58mznLLhO9q1oTOUVy5HsttfC7>_?85=fm$PJ$G@0#kq{ zBvQ?puHN3vewe<rn+6Q5y@jU)KJVJ}5esChls+Hx5l2%?gW-@)%%BxOe%dkXm&ENi zWlzE|PyT*&0>jj0Mm~#BN#>>K7_P1)>!C_{;Po6Xu$Y{?K!dfJb5JVHATnUzj8#&S za!A?oiGXD0nPYL^xnBqZRp7>;kh)%Qo7$;@X#!B`@AP~cEedK|2-5NX!NR}>X$P{8 znT?#IiSrhuGeQ)3l4}XPQvbFO^plkE6Hz#cf~}coraow}*`$zmGZRWQ-Kc=?9C1!W ze-c%3etw8t(m{3Z!4l_r$bw?@)|U)sPo>?QZ&C}>%qD!-dUA1hCZ;x>iOPbB>j}qY z5fSLzf~pV|`8kE-pOR<gR9u5To?UXN7R7wSk=eiD&=f^)NLV-43nr138L|kbG141f z!YvjrTbMOoCebXe-ifP&-?8WNY&w~Xo>!NM2dad!C0$!FeU>>aN9|B~b<9pIXS(3o z*JU2Jg}T85*j+U)h&Nl!)hA>b*@Bf`+0;|avEgQ>)}6e;xkKa+2vO!PCIrEqc$nHF z#EBAOX<{xyi0UqAhKG(pc^g<HDWrGT2S2~4gBJ>)S6LupI22}8T}wPzfgOX#eX6%7 zUJV|HOyR-DhrSN6RUuF@Nq+gk+CHABb>;Sey0is0X5G$XhR$0#_UQ$g(l@!<yCBj8 z+1ftvtfc;Fl)_shQS+YtP+i8&p1q~kZB|@17v^w0diKgt)@=7-y5{+i#~QB=7bVlE z(n7}8gCNah)>^o>wP|2|))La?HdC1x=co8}vGlz9sF>hF-zmcpt7xoVF%vUp^Hpd$ zQ|vR+LHyky(~)1qu#e)M2V}0E)_1tw#vzG=3s036OTitbH)eXng}k}t7jCx?>8QwB zVl`G@2rC3kR}sl=H_<}7$+Y#62J<Vv>!piTHl!vw%t|%DJ6qZU86?G%RIci>C=mN% zDE4Iw63p0OlX*u<fq@Jc-#=<xiyHO|mN)l??3VMvp~vWmV4L^XGNix?<1}C+XTtvq zHn1yW08y^fkZC2%1p_f;H#7}1qR61<R67OTr3eX)R^t_b>QG|<VFQL%l<mw(^?P7B z%EWWEYC`nFq01?eiY^#fxTJ!RuNsTY)nbXN*stIQ%)k`{rA8o)Fh}lt9?V!arW+`_ z@scCP$9qfRx$_Y>_8(EJ!p0ky&PoM{awg@bYu<LIXOj>Q_EV7#TjuJ}Keyl;Wyvlg z46fZoVhU_P)#>@R?x0j$%X*FrRNLn0d>pk!IXefs+ienLahXa`Xpn|cv<wf@aHB~n zRr7-xKaCSc*P)fhmRmp!O{KO{#Be9DkGf_`lRB&$L(CS;&#Lt@B3-CMG!aCE3(huR z2iZkK-+0)xCW77!I52>9srqsWG>T7~g-W-vG#O^P2DB$??d}yW;FK2y=;2oGp>q6z z<_@8RZF{Xx8fNbW@6U!vGtDT~Fe}f)DJj>O3&|&@WxGXWn^D~2GtJM#&N`>U@{*c) zAqn3`*XN3xwB!^J^OBUjVZ-rMT2xDZ<pKlo%P>?5vgeft=I!u6jRp;olu^}~jbqvW zSd{r|0ONZ*)5|*3se%8R)R~k13|{{5|E%xS{i%<s*L0>Ir+NB>Rixz)*CQ#{sCk7+ zAMx^04}tx!eby?O+$(y^{}*gI*?-HH^Zy679Mm}|fo@<nFe>sX2>lEg6$!f4dN^5m z2?OTmX+>3E6b+tuapkGs9O6&UBA5VC=ec1;#2y1t_L;TQDG=6)-%XwU>bWmFHcmb& z&+3`?YJ4@(2&6Ko2tH2O@KF~<Bh(bo*Gvj?FQ2CjIfq_>NX2R>z7ou=o6HoPy3qvw ze~c9Wq|oaY`9TN-sK^$<;#(1lm8~UT1cNEM(u#u~SAT;l$2r-*yrK`;TKDX`|JM~V zy)wstu8^^<1T6nbiYz4~gB2(6S(~JIT!+f}@VwdUe|o3Zwfe6sWZbV<LRs;Y<<np* z0sqEkEr;3KuY!Vvl)Ejw@AZ~DYJ3DJhm@h$KoBED5f0G(CyzC@|NomJ8v=QD2W$f% zMsK;!AQjHi26Je9ffQK(=Q#2Czr~5~2nF;3xpGGT$dy}z1#;yEm;RS)WS;MWU%+`h z{-=`cicOH)=l>5fT-GlCWVmqb{`(A<Kp0{o?B5wKB>(da7cCmnnG0Rwkw*ezu-nVB z&1c%XrZQDlQ#X6wP9^^*$UQ|$@8WyaH(!1T%`C79w!SarmeK7U&7}AULnmEatG-PI z7R6O?^;T%r_uxC|_Y$cyhg6&||Kki7JU&BvqOu)&l*7tUMsx~dLYkToBJQSi0yb;6 zN6>8t+Vjd&(5g~*VI~<UI4-3?@vN}FMA@0Yi?Sn(<Briz_yzbQR3nVdF8BpHCh0&? zR<!sB$-Gm%B~X;bN=yDC^IMc1Z3Je+eP;3nzG?q^87RvBDFYAu7G*!A@%@u1+b5Uv zEJ*+-4DawKE^=NC_U4t7p(BZ=dr|lD_qOj)lC~yD=k<8=Lj=it137A8lJt@S<Mx)j zYw_XJ32ElUMlR{j4uHP8ntzMHj{`?XHz)Wz#qEIyXpX=XaL<_CF}%<A3fuNfF-8sO z_E`Dt_V7<xVx*aJ(~}N)vxxn@u&W&TywmeQ((iUA1UfPH>*<Ha)%GXN;6~&HoK07= z)NNxT5WY|dRicGZK-OtLqCDdJL!L8j2ru??08@znr0dnW-s*G>`##U^Em}7DN>A52 z1IN=+oPgD-4<wC;v#&3-A7QXicCT@4B*K%r$wIV8>Vd6eE7;(AQ=o3EyZy6&$Id47 zN4v8YW7F1FB8@26H87y3<74TtnIoDzIv8@lXxw|Z?5OKjI!a_UZ2Sso^59}fFFZDh zjd>C5*b@QvE*nJL#A*Zxj1O0yooISC1)5g;tC#)CYZ@s}0kg+B|9;j836K9waDvOJ zV;>WZnym*4lRB&|=`x?xf^2IMZCC|ax{Xg`)Pl4QQ;2!P=Ob@ZtWK#|se?#-RKlb~ zaOItsk^Kg}k~}@z;QTf67{B7>LdFr%*%BmPNjy<$^j7eK>^3<IaO0Bf(##;}VX6#r z*k~^=gTbz^*3aF;tTl6_4a)VSNA^&lnddNH8GW&DW+c8bC0B3)!^zsx8+0Z$tABn6 zTJ^1_oLJ3)dwlB7z}m!O=5MS1-^)O&{-1JNIx|1d&x6q!xf84JlN07uhl)JG?aQ8o zL(H`eTfeB5`V}aCA1eKQ$eE*mZMt9uU%8DxHlIhmfk&PB#hLZX%`P`!9;w)pBViiw z4fm@Tv(I}8%=@=Pf4iN1egHaaq-BZ!GhEl_>DN#HFuvb>pLQzE!?#*_&x1-()x!AF z;1i7Tgv;HxkKjqbNga-R<8*7PwP0^+)8gOiFW#cTq!MmZckqi@yg80Rg|aq^;Vn8s zV4M0nBqFhA2o{ynvR_;G%Gb$_+2dE8zqg;0o1-gNYT$u019m`(vL}=Q?k?;07M^)m z%Yz9DC<02;^9+v8xW^Cn?^GJ<-tzt5RdP^{FKTivU`y-J!PLBp4ZU6$LM$b<7h_eg zp6>T9c$n#+bK9(tc<AmrJ$d=5wueu;tW0wcK&Z3_0>z8G*lV^XzEu25oY!ZbsU}LO z(g7qYwPY-xPoCd}XLKN_oPwKJFFoX{oc+YK_Qm5J6@!Yp1FgqA^;V#9%ZfsDr;-DF zAc$JnS}E@emV4`cWJ|qHZHF$H`_-KS)vX3j53PJNv9SiNJnot3v{jhNPJJi~oc0WK zO16nK=;S{==;pUAA#Xp+<F6_?kktTeBF@ElJKvR07AEd;G9Dk7{o5y%*KlVP`kV+X z+K?J`ptY*O>6QbNPX#8fqn&v6FL|@;Ou1E!!_0kzaX9hv$KEW&b}2qP(8pddbU2XL z7o0iu60SZe?MemC7tN^$Ro~{KsRwtzY0GSN7v*&Ndf!3CNPvKZ@z_cP@48DH<_v!g zf*OtT(2_g1b5oSkfWD~j{_b)vptIQWt9apw`J{96`&<iSV_fz1ywdb^#bLDXI<%we zc9A`Q%9QxCkr|@F2c}15Mwh9ku-U8J?t<);wXMeHBzvECgi~SL{+^<HwyLf&p7405 zUV>!sfLuOsWT_!Lt-6NL;_D;yrEsedf9Z2uE$=T+I*elVV@a4D0=a~nL`6*ORWcDC zJaHqx0+|}3FL`JX1B0Rvd1)43cuojpM_!{K1$dHzfM1s*o_4sL@;VM7z+?Ki`#*IE z9h(aH7_x<Cr<IB_HV)nHT2g5wv#hn6ZfW17!M9-l<EqsUb<yo`w(iRy;0mhtNZIrA z%s6-M*P92S@%`B9Te~gy+IZxy4Q1Gbs=*JMS|?16Hn>V1$mX|--f>>rAKA_LXO_mR z09J^6PyFwQu4cM%8z6C=fC{~owezd@nq;b^mmaq3$hECIueX=BnI#yMR#sg?ciOG& zs{J;b-YlGz)_XU1fFtq6KsAk1tka135>fSA4p|1je&Lh9G#DWCwFh)O>Afd1)*nz( zu^jO==g0ko(~D`_Tm6P#+x2;hgZ2*(#w<T9opnWXFr7l;)ZFtq^C$zHa-;sOF%2ve z^9%|q6JDzBRI_!uYd1N=5n3)U1+iH0AM5xouuv2N>>XJ50zIQlF6Ts}XL+NLpftGr z)&0&$@|9g&5g$4zJMO*v1WCHVdi(+aaoF*`E7}+O@N&NECZP&L3?6u#0@tSQ{2<H< z6?Q$(yT+EqpU`ohM-so{aFJ52i<{~h63$1s(gt|!VlZL}<IU=AqOQ{Sqd(e<U-4Ch zD$eQ;`a>{^UgM(hBV0AFfDeK&b_t34@6cq+d*}4zANl`K6O4xpr9sh!xNDN#6HFBV zg~mg+!}aVTe%9e3JLsb+(MuxwcpSVeA5&OM{pfPc4m}gkzbKfax!M7ihd=)ntnQp# z7nBcrt=xqcg~Vvs^#HZ}L_<)%RCqXGJ4mP(8c{TE*T3O1NOxxN;3N^&0t)1efw+Xc zF8&g}#s_XV$>G;tZXGS$IQwFwOpfI4oB#^jr|@BQJyZ~o4|TFyIWWRM^s**%AA4gd zHMObqG)>v$h+A&TZ;+!M`C-9B@;g|<6$A)TaWe#a+y`?<zGkSSRd_Kfe~;%;SGzs% zOp!g~C*FDUh3-cV!B|BLYwW;l{4n42VdV-iVAf~OlFXOf11=R<2WwsrnaF*J8Npc& zntwMg{R-A-y_ChJdXEx)!ut*x916n)575VZqh$r~&jf;C8cw2kqcmDq>;N=i*uK{C zcwCPQny2)Z(!FhlbFXs~EBeJa`Y*sI5HmV<;?=uCYi)nVNc;tY!U{5WP^s-6WX2%i z($On<RZxBCD_7#h!a5Qgd~CtU(36qORCR_P0GbDq%ru|Jxx28)Sx6*`?!N?Bw{gGg z;$_)ks~Dl})dz%yBi9r0KH5SBj3kDI!H{HA%GMC9&RZ)+562jNIWAb~SshsEDT*-$ zghAK)!^fD6#74X}dp~C|Q|(3TqJ8s&G~XSLa>%z72y;TVqLv%*G<_X34UPDPE-v>5 z?VF}NZ2VKT6Lx<4Y7j1;i-<-1d&_GxQ`$4$fK7Qxwh#kXkpT<Ov=)P!ES%Z^b1kIt zV!i<@2fH?d*tHO*khm^ye<Svp($opLRIqIrgQ8qdo@DQKCg;F*=F{%z{c+wdD?%wA zZoF3@Q@pGBGYYYm#gz8KS1iby@Dt3%1rFGdYff57^_vMj2va8(Lz8%Bupw(#FM5xP zn_9_*K2i|)uTiQH9OWU*qu`iGG^$>HQfKcsLU^UYTt{pX4v)-6l_Rpxr>IZ$4c%x$ zILIf05EA3qe&0$Z=TdcNgf$7OuPvUTC0-04Bvv4wkdD06y@KRfgg5JN%P0wYM8rTN zAEO8GH0xe+V22YBq4lvjADhdSGoFc#`?1gv-fo}oFJ33YpNAGVpMd0ujxVRQBTJ-u zs$QtbZFGESip^s5U<D&!QguFzi#J0Y6Z#pO5rPv~%Vtlft_F-2?<_Vb>HdXK5GH__ z_@|YUa(6c;VQtoC+cM<gftSF%04M^8r`1tw-F|z67c{?QLHLF!3R3s|1b848xsgs) zo}}CSY8dAds|N!tmwkYr>cW%Qjq7oaK*4VD)ItKM`*93MGZ?wHCeOEGs}s!!{xETq zkpp$=P$VUkb`m25QN=;*y52(QEJV}~8%gZX_UpGjNao@zZAyDM{%I<2Ezy)Vzx|<u zcm_3!8zJz|#bfet%->$x%Eco^9w{Wg3zRU8(tYi7x&Dd+u@^<qk1wEsaE_mXCS*1D z83+W4dzT=htw`&*EaLZl;GJR!M<!m+MJ;}?2HM6r>JjMjt@k_OZtXI|HQgL|MB30M z-I>K0?!PFM&|BwmNU)J6ys}B6ngjs~E_`ou1z!!oa9u?k#OtFdc+A@wT%oD<#n8Z< z^6=R}^0o(|4%o3?7(1F|`j?ErzYl6iq-9zw6%d-t03tFt`D@J$Y#6^7oCC}+B@OV} zsuS5iqt)qBI>fZwS@}4AA#Wq0PG}!0V$-qwQKYUlMq9OPT}2Z;8qd<PfWRvpN$cGr z3(8)$No<S69<z>ZxAy6TG!zx<qJOwp3~8Z>U?emnKRlyZ?i5P$1PT<l)nVtp3jn~+ zd@2k>Be&H;@`+&nH3isu8R-Ocdp1b+ryVs5@}zLT{6en2!L;lqPAWRr)2S#n3tK(u z5TuvahOf1|mDSd_kc+eOZL{@l>ym=B|1)``MrpeH(fnt)E%$6JwN3|2m$I^f<n&cl zuzK@eRvWy$eZ7a|-YN`Qz-GyKUBohQ){cM#V>MN-lTf^{9bTyyDODa>GG+|sV<+_v z>{?Hpu6-MG(_U~L`FXDS)2(Y~xz!q&yyx67P>D~0VKml$0h!o7L5^XtFPacAmXQ>w zjSN*}oFO?~yIGjm9&#f($>98r`WH$;<(YFeR;TTGaa5cDHzA~00=P)h4=|0lpv)9v z47BKAi1$1^<<biVWPE?>9TKw40rmywcmZ!rdIp)Ls39D>%!nw@Zws&W#dr<9YdV=f zT&69GG@N>$t*p<P>zZ>uW@zWue-@E-&k=nL*21moA1M8~Q16yOqTX*xfIjv##BW~D zD^Ojq$R;Pe6)8-u`jy#)@Xkz51B*C%;^2dIjPQq#A~i;P+_nycyT{!ys<h}E9$(-c zqNJ9ZRI@%EX$^s7#goF><@RQ@w!!M_CNF_ZMRL(6m9je#qw(==5|Fo%@`}t$qIyB` z2Kj$Pf;$7Dqk;<h@Ts31MJ-HhVnQr)k+qgA$lq6Hq=n7TLdy_MeCVTosAO|!%O)*X zBmAC?{cN5tiiP(Vr1Kzwbxjuw_E&M+-oVbp+zP4EiXN@mu(Fa?4#HaXe(?1~F)3;9 z3y*QCA~+#bExN#;9iSL763yI^wWyvM=?qk8oMR%@QXudiH+^9189x_OAzA2);E&mu z6)Qe+rYC$8rFM&4cPT+j!+qHPNlrKWp)xV*z%K$v3{-~FiNCfXWJA94AV;7olaQ#~ zPON<D=bnl3oYN;(d*k{^ijKf4$|V-!4T?Eaw#^P(T`GA4i7Q}Ece|;+o#$dNBAWOL zNACknuAf*0eJBg>OR&<WPq8?u3_E4T0M@`AGY77@*Xb&5^5$yt4=H;K1BJG-+ek6| zYRxjV<b_4MMJ;X1s;b5%H7#pe^-zwgrX)4;BjDJqhOqV31#8e)D8kekG5`l#6PpDW zv_iR!Z^J_8Z@P92Z;@f{M$TdrZ~8S<ti7!2Zd?3b<BVQKgp0QXkn=nNP<U(I^|&9j zbF#BBP`w$g-`VP0T6_PZwC}HSk6)+ndE5Ypv`Gi#sgL;Ud&}mYXW1%-^t!KaIgUK# z_}^U*{$k&7F1j4*%ChgdU0m^b86ollB8&*+x8Hv@l%UZA9(OM<$9mq)=_~TCGKii} zh<uM@{om4GV&8Vv-%qno`Ci(fxBa)-{azQUT6i%%_6MCC<hPuUduO$`TYV_}j4K`9 zFL-T_b(h8fCD!oo{@s@EIWiuvJ@l(LfUMS3K+p6sVCNMDELS)CWh?^-oFKXbz@7kN z6U2K`2|7;GI`loCZVzA9#R<C_FZ;Lw`+=t;{ErvednHr)WhU>6-fva%N5<?$axEox zyzj?pv(vtQPc0Yv-1j!G$NqkMfpy6G9>?ti1j~&&el68>j{vJNbC8u$Kw_6<3&6eQ z8IaX>_6|VCf46^n<;M4Y&(7NhoQvzV?$&$&tNGz1?pHvfujzX^%dPjaOG&5$=zqxO zzZstHzM7=>>j2F4^tfKeR(ra?g3E8jy>HdTyt<xT^0`fH<ydk)s(RV>0g}w_0FivL z07`GIL;thUp;v&`(FXs<Qkbu=Hl7zBUw(G1{Mvn|wac{l`wg@I4R;nD|2w}M@H<y6 zQTQxuINs%3rvWE&MOym+g0_ucfQc0PfgK{TcLumpb^yQI`?31A91mbiyapk&{q{Tb z6xo)t0^zfe_N#W!(+_EOzN`qoHiifJ7M^?L*tc;!BH#0+SfBHIB0}E#u~<a<thdmY z8vn=Hk!8II(V9-J_RNUaEp~oCTiVjIttL!>JnIA?aZ?W<-}vfJ`MC}d{7V?7^bnxD z0eH|kewo@Z-{wQVc*>Xq-}XJ2JKcUOsv+>Wk)HNh^EkS^^ajvQZ>4s$wLUC&zxM$; zyFKPF{o1aw!71&%&g22MPgO)m{_nE`r2JStFKNpcU8i^_^es(6z12F}*MN)SI{+PE zyK3SbFoS;taF|sMNPqYL_5$Elhw28L9kmc%&|bz9YIR?>Uw-1b8T^&g>HLFS_36t5 zzt?`GJz#=+Wc~8(nYP8x>}MG~{Y45%g>?(yeBU|?;I*72&+GUOEw1g?)3N;Xjp#w> zxj=CnkQn*?{%r!F{MOY4eCEj0tAis;Cw5E95ElYs+JyY6`2tU57f2Y*xSPXd7h6?2 zgmb(CEW78TrvTv>jng8@9V6l|hYke9JQ>+Dh%FePgi_c8Ax>Fl`v`_pr7;vq6i6cA zWhVA)1g#Qa%&P<Y;(H^=%s%Cf%>gBnv$wEOv1X+RhBx4Z(<WWK%rqdm&?HJ!-<6{2 zq-doaeMjR|NX<su>r>reJsz)MbUa$fFyW%wSwv#(j5NiBKaEk@8dc1g#N<I%Y1Cfe zjO*SgFsX9oe2}QNB5q7PtPC(!$?WrRJ1%DHw|TWdQfSM7)BZ_<rU51PWwcVq0I?y0 zem6}27l_D)DguA3{KMe1cz_2;?vlrNtmhUjV{Ep_MR7^}$Udo0;{B$aHwgGgto2<m z3{P9gk0?F3!sDnRlUe~J8YLJjxClAuX`vBJjJski94nh&1+vBJXTE(SxrnbeirT}y z+mj-Lg0>Cp?d)^ny@`f9D1+3Jb^MOV#sn5vw+_`1L*zV<k3Lh0s3UuqidEZ@kq!^d zLs=s%u7GlEVbl+~zO24^WP=qDu8%&2Y{5EIRw?kkn-8y&%#cfYb-q{=eu1*_OLdFn zwUfY!Q)QvG=PCnB7L{~)amSD12w?O_%#tA~Q|z{jBfo6t#xj_zVrJPc#s}=pNR{Mh zXOb{;5yT%XpFj<kg4VES!1gwNQfvyvL~~%;&J5~DD4+y&Y3<Ftqqe#vW$^4OI@0ZU z>V9ZMADO{MW5*(dDCGtXN>G3%kuD4GmGvFowA<XAa%%{fxJl@pmU$FYXyr(~t<^s- z#>ZcvROv000Ci<-J<hoj8qg3xpko7{fWz(I+uI*(rDr{1dL0=6g}z6;EItn+z#C}& z7=Q`m-@guW*Q@)j1pR`Tjz!P7Nrk4GvU7pchm>jqp9~K~9uByW92T6zYoOfN=})Gm zrQO<EgaAH<-4l*}tp)4n=gzyX_nwb%Esvb~Yr*ew#J*ECH`J{e_5Azb3V}Z+ADelg zfKNDm2BK!*%-n4o)7N2X{?;#5?)@pXsTZ$zr<ng3OAFnFlX=UohYyVX4&5&>^xAG1 z?~J{7C*OZO=blz*Gv}u2B}(E~iBCUGHmL0q*q?K#S)|Qp<NwhyNxSu-=cySt_acoX zgK8^TiY&oIQtkSaxzv_OttbAs*h+7Q7-lqlvW0<<Nqx~D)FzmW$+=05iUe7F=10ty z0QCjRuUF+yO$R<fmrYe&!z%u7H8mYQ(^-IRctGP4z-^Cfg^`Ps$=T}!W_^a$_2$aM zE(F3@SGS~HyuDq_Tx@JMEz)rgT|N#+R|yev8KclheK~$#12)!<m}_)mw=T;2+9Tyx z&c*_eo3w7$$<|1E_w2FC?F?K(4QhGJ-%==O(DxDOn4i{vNrVosqYwho)zG3bSFx1U zOc+lm)Mqu}?FhL;m{@C7i@!sST#6*S)m1Z#k3)bB2mM^V<99VS<X|D%Ax-_ENTHB4 z7?bSb5?O_xT`Ikq>NHP6>=j?Vwy?fl;hQjOf}trDFTEwXW{OpQ=_vdU*7t?J%P5x* z{bo=pA_$x%$pg)mN@Xq?m3T8(i#K<b$qGWB&)(l|<-^Q5guOCXFw=%<J=Vvh9flMR z3__OYUAn)Pa@s*ueaTqdtQ?S}@08X5O!eF-vt0=SuSITmf&MjEDa8>!tE!mUT!_N> z0HrU-BqF3;h>V~%c?qEn;kvQ149Y+S9`h5dRGQI2v=P)LNs^`zpgzjrv(<AHV@B$i z4ETyi_7H7O{bcw?FOW2Fp_O>*G!ZRM3yh~#X3L@m^kb?oh6NlI-$iv&lQ3&-zzGK( zu?$LSG-<yf%{XP<-asbr8DN3mD!>y7S&+BGdL%>Orf|q%oeLi4X~39bG)PDwt`63? zQ&@NU#ZwQkr>Pj5*evP}f)!J$ve02J?>eeLQ4B6MuDWS~(>mjlzuHG*u%6?89{Xhw zu135YWZYIc%2hpCK<gB22Fb%b#$ua`B<`zj7IlL=Gx|x2nEFjE_#rAmF?)moK3P<@ zuX_SczO-V9q|<iwDN9TvCb?jSqn)Lu^vfELEBeI7q7MbCu7bMyj=$9HC*WI0I<UUZ z&hm;7#y%cl&2)BNN?|ie2MqO5%WX6=MKpZqo=*vix|##$m5OLE*`M;#om@aCx{2_? z#YP*O%MVSTGcdPOjqz6$Px>KgD;c%}Tk(_klT68bGRF!@SWH}cvoNc2KLsU!eG^x3 zygn{yt4n)|JiD!vAOo`iLt?<yfkhSgDnppV$;fHfhpK{_&G7vV81cmC`tcf()6B(q zgXgFQA#bFs9WRlu7rhd!v#s_6eQLNRv%%-acjsZ$SLApm=hcrvg1SkrKIFEbnP!aJ zP$2CDF>zn7P9Kr_wL`!34QRN8<!O2@22^MHl_@P0dGHXLB!t-F6?fsYIIK8HJ`>n3 zc^OxeDnqH(TAVcco?Zqo=9(2YWg8Egvgv+-u&O~oB+!^KJ<hB;M@msz6>q66o1|J) zTIffI#P(XL#>t4##v<<N_+eDXvOo3zkoL~OnFr6qV6?H5jcwZ-J5OxewzIKqTf5;C z+qUg&Y+IM__jmW!y;paCUDedopu4AM=2JDF?&<0FWjgaUM=YdAsGKL3$GyX-7L;~0 zYWQ@cLPw}A$5qxkF@f=|1p{SD3%{K_FT2#M%#CSaHX#BP8ffONHv1EGFuPLL5@9i! zrXa<=^{YM>559vG4O;ylc_Yq`E#xk_Uq9PRpAN-_^7TMr?kXVh?=@*g7L-8Y>NY}z zrvg|Bb3=86qWToXK>TUcCDoQo9vo2RiGc>w5zWjBPzriRQU4Tk`m-$>n$KG9z`z&u zf4Cs#GSk}4gIx-984N6Y_ZWrdr<&^a6u|Y1K_O<w)zl1(BMUv#jtg<(FWlAKAreEa zu7Ftw()D`~hCXJu@LB9ZMjWB4?txoyL}SM;b|JdmxKq&~Fqjkn&F14u=Z^vv39iB! zdJ)GoUZ&SblVGVAPS&C8^B_jT$dj7U=d~qHa$pkmHs|rJ#KrUI>1XC5)Xm&a%_#4S zMZ_HnpCJy@k<I88Vi-~2E6&P7aHYv9Xmz2&>A3+Va_6Xy$dT}H(iqFDko4+>=ApWd zk7%i?EeS&={3R|@%!&*Ul|8I%!I2j4%@t9MiqN8qw&Xd=Z-tLXz{cwRiyjTxikT%u zbNmAa--V!Jr)7MK-9VM^xIXepCIk>8f=(02iu4})fabH0NMQG9;}*RJB9+PYn=->- zs-HsE{HR<wu^+m1P~5>kaT#!CFk)&;V1T+C>7IRxbyg$+vOY8voiSHiBNM=-x|6sv z>$!AO9J%e%b5mTOgxLKOJ#|Br3ZU&jF094kA>cPmf?2Q#{V);0<DX0(9HA|J<6#fO zN`IY5+rcakAVF_R6JS@1Ie@u<(lv&$Uh1LG3NAC2yr*C{OHKlxRkrb<$EydCj}Pt% zD=!z>MOfpm8&&(8^eP%Y)$)&4345d7OIuidfc=7Kfm!T2gSDVM+RTe0AqbrASEI;% z()UT5shae+9)l<cS*s!sGAsd%-ohe<*(H_1#HV&Gq`?R!<wph8tZR!*jd*Ad5yE%^ z^|CS#QnHW|TijH<E^I^`2;Hx84jGa<873+TzqH`bDG7J;N7o4vROfmCT?B!$J64qf zqm_j&IOS0cDA^q75f!;o3E~#M;vcQB6An3SmsaS`>r9)e5mk@ylK#S<x-_!_<>q+4 zr5cZ3g263^e8^76L3<i5W4=f=47BO}DGfNHClerkGX#Cu7*kN1*F`{Ll^D;`G*JGl zZ%08BA|I1Nom9`+3vWHl5~lSEQS0!NGbTw+;usVnXEuGi8NIFY|9ZFG&@!*)iyRSw z{lTHc(I~vG!+JeMQ65w7C%b(2y4i`)h=(_@WITRQ1{a!jiHKYnp{FZCTK=0Hi8Hf! zT&@qo$dCA?1#^qq?DEU(h>CYP!|S`yY$8%=%g>B8Om;JiAW@NaTtrmE6c9O?jx6{q z?K&-jGIY=D*}0ilCM$ePH29vfKlW)CHi=vyPl$Qh!Z_rf;}x|M(UAemYLYdqHcqZo zk{PgwKN7MGidiM2UhrYXNf`Jvf@P}}*q2(?d|(@peguH8j!2VpPgEk<T@Gg%)*&AD z#(-|~^GVUCtN`<Iy>LLpHUfj6FW||O7r|h|>l{rpWs6LVmI~FyJH}93MyBGO?$oR` z6c>v7a+uDVpq#O-`A3b6lV3^LjFYkMyU#Kp-ph9U5}3PiAmg*cWGD<>(+}&?Dg<iv z4>uue9eUoCrc?W2KXgFGAj3Eu1oa;1Y!L-fBI_h3qdyzVZ^hYpKM|I_Ai-#(a4|iT zR<Bh7eG-tNg$8s|vMYZJoGsAGq2Ip-{W(K-P(@rhjc0r*65X03pG+8dbeC0ZN@PZC zDqJjrfc6jkU7GY)&=5p;M-cbI*-M7)U2ph|z=ueNCwmQ-R`X%F`q%2Qv2i{g0K2_T zqU+J%>JranJJHnRlI7NqfTm`-I|k^69M??ue!r$pxw|PC*TrSa&@HH6wTZ>Ixke@} z6%|vj4tvXb=UE_MHCeErCq`nPvJ9vqLF;gkqjGWkP9`dP8oDIPZE8#T^CY|_rBr8) zil(EQ2o&@86H;wJ0Q0qTosPd6G2CsPiq3!t&7VdT7R2DfV7oO^&EFv=cS=HfinrHL zm)^uPp)3u*J1A<`bfSs=q(_&Y3{mt*l(3fw4n>}o1NsagN%vMyP9`K>1CE6|Q1u7Y z`Az(N7h00zfVpspaQ5@CvssBn4RY3!NfI?vZY-&hTIHmMY(iTGj<WjYvIgE07WM{= z1opiEk#?5qXRBCIUWvN>w3NiB6`YP=-8@Jdl|_s_7iRLQ*%H8i(!pJ9nENQELbPdy zYeYKgMn555GO#Y>a`14?)_Yrs23~B8j8@2_%2cGWrg!o6b~*jLpjMkXX9z<5*7=qk z=Hgw!@6d~dd$+XB;!QQvE}A&C$ySF^P=(7wng!`!$l)_d=`3!A=qXHhFu$mVw6N+# zbWE?6HLF8^OB$XI><=tVypMc8Q%}O$ZPkz~obP|T(pelk;eHed`>_~5Pfa-SWy6Tk zAuu*oT~Y>ncHd(RbsgAIUG~F`hM_czXQG+!pYmd+o||PW;`aT4Y>mwBspHuoi<lu% zw%IhT364AzX_z`fVn2ha;@CKr|Ix#p-nt|L%esYo>yOP|^4mz`{&WXh{u@Iksda-= zXV)M>eVU(mLwl2{cVakHMX@z=IV*PJ$_Pwrlh`GcpJmz0k8YIR)Xd#B>PtiL_7nEa zLEBREXi%>=?*cYwFLeykA+B)*?ajr*tG+CvsLuQ=XO@CFzd7a)J*8B6pKRRMpE!Cd zMf$CgSK+ePV?LY$bB`z;ChB^a+uxea1o(q5(XeL>IMIOTsMBUP2G-Fp&H28>etaB$ z!rH5k{v0#@Rq!hlJe`it%o|jg=(1RY-c49GK9YP?Ht^766zc5%WT)i@vrPK@+6^3< zUNABgr<=mEO~CO<A#Jm^DMax@WzgNdnglM%l!diVSxqaky}aD$?#V)O&J4Pf#0pkz zz8uN}e1E>lW^JE>nAC1$*~BVEFkX6x1f_26Tq{Z8I#|~?i)&QOnayjQSqs*JkJPXb z;XFS)uGG_CMUOYDwI_*0I}238d5?V(@JkNvxyi<sFRZVl%Sn}k%0UHZKRU@_s<{?T zXqNwL0AgqJFc4<L{_6PTpQ6s4)LFRAwFKDvIlHV~=>I7Tj-U6PvXojL>sumRxml7Y z56MP!kYm=B7&$R;=CbW6QtD$U_ltMd8p4kR`*k6G-g5P4V7>?9sKMw_$xbfm*QH_^ z0r4NHBxM=YpN^U3!)4pmJq0R+*&Iyx=giA=_ga~kcCcRvmTdvmm6V3`rq{axSzm1* ztB=>)+aO<3-qfWgw-K~nmONaKzNu8`(3fT8VzyGF?aQ}TRYrSCcag;a={h?`i#I*0 zob(-*6%Cos9FL3cguX2h=sHF`_sR;Thmfphaoh+Hlq{<iV!!SKSJkNI*4^jXgd5{( zwTIqBi@JJ7c8$)hr|$C)Z@+bpI<Dxe?l^(GI|Af`hNj%-zAQVp{c7X(82R6CT5|Jt znG#`%R9P`(R#G&v?#<uP+`ZET;5|^JK+vfYQj_M6Y>bm24g-4)n9{L5I#rA2mK9n( zHnQF1>?svhAg&F#U-ZgR52lK)q0NOT9>ct12|Q){iZ8nOc(_{?Q>qWZe;Sg{uT|7m zc0wRDzFW@thkiTU<Y~5|z)5#Ah%tqtu=sl<FM?pv!gacltB?P6u1qWpJ}+EZOE&<4 z5c6*N7aYOEYujp<30fAa^g_dUH5LXZ^JRVp1c%4`i%YeBwx@x{ny!LHNQBl8CsVNh zVsh4$az5K^J&QfH6Z=mA^?|$yoP~#<lZ!W-x(o>$6a6gDII8Rn(UDvb9SV-!l%0J2 zDC)d;RlUsu1j75n?a)y%HiIfjc#X_Vnrmuph9H@3FE7svePAdS37z(>sjjI;42)<) zL&_UZXc#gHUD36vu5r2_jHr|Tqe>0H&9AM?YAQt9X4SZ@y9XSzrTfs#DLa%WE<->! zkA1HLT{xJ#e8(PcLyPwtqg_P<;1&mDu=Bmx@*)AG(+K`h-u1W7;{lDCpV?=g9|oha z8ToeDqQS75Rt_Mv8k)7B7u-uLx~os3^I&ofEoYvhH4blZ(ic&QU*4R$ka}b`#Zk@d z<28>|Ccaxa*-LO$$$$bdvZuJZpbrPPV?3vu5NI4~&PvM~=fVy;D&7%l4`u<IiOCC% z$9WypaHGMGxDZ;eI#rms!EnNL!V`Noyvt-?Wq9O-=uOMFL!HTjL~9TW^!rvhT{rL~ z$eu2eVAsGQezkMeOoKK;-r+IHiGNlgTkQEq%b@o1(*zd*UjH)5LAYbz&W_NbPt^CO zIZ%vp{iqD|g9ly?$Uo}iIxgohV-J9lepwsuJ>+7h>m1f4x6+w1NN0>I9oALm{WZ@U zuXCa?V-v|ri^P^5lk*V;poI?p%!k|=3IqJ9%i-ODIR_~T+1~v+lAyZVV$VYLP0QY3 z47!W4YtE8Ed~V5!jiM>pD@=4(!u;z_K>4vFE3!xO58r_<D5}qI*~Y`8?&;A{r8F|{ z8fCM9F(@u`DvQUL)5TQG+6^CsW#~}eCc9+cf}9yV%NBc}!DRpk4kZbbNL=QhDU<c} zcB9HAGDix!&xY!?W$8}Q&5hJvTzg*+efrC!7&zr0spR&+N^5FoAo&fps{B7v@3A-2 zzLYw6z{$LPPKDI(wX-R_b%fPor9FwF#(iX~6K8qVRt-y7b`LhSaoDz0j+@hs8*6$& z%aqnXC6jzzJoZf(MGfaGCGy>ZRSY0qmAuRQ$p=+K`dbTR0QM_{mb)||5h-)wq%XNr zGw<-oR&*MiFWsPu9OAzL=H7w^eJtS%O31s22%;3%$i%Zh#toF@dxL+)5qJ5ANg<Qg zz_U;TN_um8k*Rt_AQ9ivgvi8FkniGxB!i-$vsV~{Bx!#nU=Su0NAO4l<FzveNa+G* zDK>uKB5rx6^U{^6o>_Xy9vTT}u%O87K>J|J%&BIT&b;!~*=nY~yReESDFraJrY_7^ zA<N1^oyur3aq<(`1dBbFfQ!czc(2%d<6g&jR!QGoW3Yr9I(K||P2ann;VQr<b?^>} zwhbA4j`?lP>fQ^~qbQ-1S-&;DcC7{0fuFwrTDi6dNk^E&y#4jK{$-(UTs|LTYHt(K zf8PnO7%8$GMfO{rI~w^b%CDR0@}}PK&7BCOS@9|XkAUs~?uPOAjgAOa3x7dv1)%-5 z8sPJaYXN5#X)OaXcb32ZSD(4hdRC#Ndl*y&F^+zC5#OaU?*7L7EJ+GaZgG=}7Q7ja z8Y-adVv;tq`rqJ5EiX5L`$yt_iduC0!ZNO};SNGK%eDCaXCC>LUnFhm4|n*It*_$6 z25}MTjj!TStIm$E6KdZjk1u5^k%L9~|Nm)M-t$5E|B*5n)Jw-xPMl2JbTSy8CUrkl z^q#*H84d4fASlR*ACyCvogm*Rr$kP@F`4tJm69u4e<pmT#oB^!_RU)XYMwZawplkh z%{sZF;p$X=s_)o`g4B6h8%|`dkNq|g>L$pn$}?y8jNhrL0%LRTg{?yIrHZJ{zG$tL z(xKIZQp47?)1tIz1|;m#L$Rn;2UE*NA@xW>dNtLL%C<keuqrY_3Oej^!6ft)B*quV zbgmhzDQfw#R+Z6K@W$MJ(fx@>t29AkOiN5dvxQ~M(J33uQzTRqu&%}8R)wNg@aBym z3>AGkW=km~?21L?ipJ2^)<5CQeo`xeuxov>g*sG$1P_`M)ax*ox#7^d;q<q3sp<As zlR`Dh?v=<IQ*kcwJeZg<>nV-1X^pXkG8^maxT?amc9`h$=(S<fMJH(eg%MtA=N-dd z8ZK?-e{F1CX-2P(f@cTE&TO90pDxRPBvQvTSGOE0#?h=u?Mx}EWppD&bEYOw(Krgx z(8R=N5CHktQVVN;yP^jb+?iQrQ%wqe5Q1d_F%q9J3_jcW^3Y&y$2ye%=;BgWK6HCL zkV&m5$Ii^Zp>4@37a#re4s&-C_6Bj>g#y{WlIH$jIP0>m5_1|udX`YCo!>_Sy>!)| ztm%m#ndpbbr%pq58cDg)Z|DD>i$AG#KiNWy-c1UtOf&)tU@hymsI+Z(nkj7t8Hw~; zE*9IhtJ~%pD3#R#p<?*uP?V<-ltV72?6mx)*lLb{*|RHYvIVm%%<uA;&e?HNdD}1= z^4q`^JMPgjMA9?mOM&QzL+QyeCFMhPcELWdkM(D?A_01B{PyR8?A3CU`c15Kg9=2P zny{FcVz+>$soEz5K7Kba3o*>KCqq7;6e=0{G!)3j*(mbKm^6c{nb1Mdy~B|dXiH|8 zSzh50HdM;s)|QS1lO-0X9v(EJdJRIE0-sddcQlb0XOQ2(xIa)-cH?*sX}EJadx(dd zgQ|Jkx;o1f?NL&)4yxNU6Kdob^=Df?;}bTe3kzgRc;Nt9hoo&l@Q93X2Rn1cGiw?! zt;Tl_?k73>a@dzOBGeEQuecFxKl>7wL-j8b35?^(*@J_V$@O`C=D_kgPyM9Vx~(LW zCNf>TPeusAS##wFhmz>~&V6j?`=5mecMO4<PRNwF4w>*1yYC=mk!jt*`~E*|>=@+Q z_<rx~727`M^N6eD<0$Fv<WqvU?=v!ha=j3BrFtAqheqX#rtj8F+cFBWP-w7e&>oH& z5;5M_K&r1E2*&-Jy`uoc!Jiw?+zn+PKayt35(^^O@@a4kjLL45W9~y2cX^3rvGs<y za~o86t>_KZrev&uJr(Sr;G9iSLaJ%mX;>1dEyGl%vpCwuh#%`ULm}~`2WkI?!x|9; zrs8_BBX#N<9gm(9Q+T2r*YjsG`xy7ix^nNRJUP5dfKgJ6e;TeVP0bAWXj3^^zM9L4 zvvRUz5?~KUtoD=bt<*zd+f2%0bNHBgpe@UtzX%;dGPz{^a?WZ!nJfiz{(_lE&pwS$ z|Kh8YHzFcG3QayIe&7#9H#duK=oWh)lV#-m-T7_}#!k^4%~(3l0dv%gy-CQ}IaY4a zZnW}9Iz9vo7MKQ`_RK8Ek5BUut|7~&`iHCySBQ=)Xx<g$wYGB;s@In3PLAxDkcjhl z62o32T}2I;6WeXiT2c3=_}^Af#;0<ax9E-VM64^Zx_Lq*(c;6P%#1rrcv1yYKeA>x z`a?Hj0ZsqUqB`WT`DKxQ?-R!;A)*$wJ%hmf!XgcYS>IaT(4JDf%6)JS>eptGu^V+^ zz=GCp{M?tDWa|q+GGfgJPwCt~EO}~K2r!{{5dY8TS8>PJcL&Zno)SS9zLM|9cjZ!* ztJvq|RciFS%D85Ca=AA&T|J()u=5)+3K*RH_bEwa?0KE|;FXq`{Pg1>p5?<$^5x(^ zmHWf^+n4ViLVXx&#b$x9WWV1Z#WNB}%yB3j#P_RI*RmJhWro~5Slqz?Iw*qy@5VN7 zWWNQ@+=Z%hk?4<6N6IAkk-CJ6CoVZtO~awh{Ua$dkni}ycN#+FCr5$y#|n0KTeOMl zd|XelC2Fqh^6cpDze%v~1wFs2Nn9rjOR6>f7sw;@cUMSa4P<bN7lT3NhH@pbconv~ zJJ6~s2(kNt7*#F{B*}7#8z~FXV~db$R#u_gwGD-tHx1_}i!Vvl?htzWNU{0&+x}zR z<^b*4F>!j~)$1~S*~=C$34O)O7JMYN<n~n;s}+MrzJv|29kEYIg5EK$S%3_!aKuf7 zwkdm5!E3=M3V{A_K7$Y;`rt1|aAeHOJq<;CIAwzUj#)iJgj<>QruW`>PY0T|tH8n? zEc(uFkGIG;&|gajY@KSH8G;Z2$E)Iab)JSNm>7|SK6KZev#Vc!{(28vFD#vA=oY4l zhkEWLzHVRpi^T@cZvUp;FccSZq2PTJlUw=7Rw}gw=pU4webS#NG&x@IVroeO$K~Pe zD+-tn9q~IVRu)*$(zvjBg8}h#!i&CbmTjIW(tasDNp0P$@?Cdv9@c(KJxP7JYk#zz zpTxg<<&@?0^X2TaZEM}zd=EWIRbIMUYL?}6?|=*c@D+EtJ8}nQR-TTqyY#?BR{iuj zmecH(|1b3KSK0Z=ylsL15^eW~NIw#BkK|`0a=7Q7{U!{iWSxt&N&V_`{hx8{_p(Z} z1iHhvIW`wOltF)67jpsosnyQMxLG#3{5X@*Jkn<;x~aT+?%9v9jj<>@o{nMh12A2O zj(g4dO5~mb%RvTn=)oYiR*mY9lRQC?3x1^qz0h^&nHEYoQtGTsS;LQna|q0h8A&Cm zr`SlG=?$Lwgpq`TUm?)@gGro88{nG$&hJzS3Dvuw^{Rg^dN{n}(!wP01u|(o7P+cw z?#+4;EYNdl=?m)DN}PGlP&%D<_>UOHE#<)^3=&kC6Fa@6Fjp%JP{lM7RsnM^+3~A@ zS(mQg`TvwNE*t+>`TyRYcKPuC+W!9quM4a?vG{%A0{qT5ow}-v9vbI6ON$;{=R5yf z{@9l|)1;a@a{ydh=&CL`H^jnV@@p|3M<+OLoC(P0UQ$Q)%)SE5qaeVJY!s>6bdW(6 zc4l9N^?*#X#w|d%hFm;wmcoA$XFj!rXUgdZx;M%X=S8Cg!4Ufc;D8yG!%00DW___4 zke-3_2k~9>@elN0pg}v@A3%gJQEJS|uJnrhVa(iRd<c!vvq6BF5jikJ9TvBO{SIJi zNDkDlX5&z^K2(i~SZq5epBl27?66|0NnEZ5X4+IPO;li@Rk)6){I~tIwnnSH>$JXB zixmhdQ@KR14*4H4R_O!f*B36n;>)M)>(A;Y&Q*IK4TeSf6VtCf!c%(#ot${{LRjNv z+^xoTRYjalpDV3g+!xs&E~76jPK&soy$Eer_TS=lXO{L^t|P6~F-Sy19LJUD+PHLs z{p9-ic#pmK8Y6f&N>f@|VK;$gH~jlr$A{9rb;9F#k9yGKt<xS*^cz4ww^={ZmwHYQ zy=%GuW%b}>_Ga49`{pK!<LM+!OcDL-3o288TSr%Cdny2qlcHz3I(A!}3A1Fw+UM@< zW-ww~V~Xh_Vf*#<`0&_hiyv)OVz}8{JuP9VFWtLGKCUo5iX*f%XPd`4cl2NV<{ozI zKI<G8?f&|m=bnv-ECk;i7jD0tA0J$>3`XAW7t+Q)0UC>@kC*Yt*5(1_ZAUHFq>wTB zH_-k%mB~#3EbcV1IbJ#Ea5Lvo!qDpdEH3gTXBU4`edX}%qf_w*%XNyUx8ZL*TcG%w zc?Ow1i4%jlM)Ys)?k*mIIJT)`q=JiVTkK&x9NL7T45BY%2Bx}h$ne`ULS|o6jHda$ zX7ybfB6H0jBvrqb6CUf3FMXk|82q|Ay!@~W8LKmVOnn|M-L^F1?fGqhjd+&1Fs{H> zmZOda2p9IbY@|(&OXODt@HlRr&a;*_>m9q5ynb5((kby^8|KQ0T4(##k@Wkj0A|6V zH0mvdjLT!kE&ZJ_b@Erlhv;FRyI+!$=89R9+qRaI2HV8%;KFh!7^^)sRF*Tzbh479 za+2njfbc}A0#P$XVSVUsUh+vU@+wp68+7(O)C_Kld)4o7esht^b2^Er`cNebeK=?t zU0%MHfPCP}k_$Hg%yg<m)NJaz5$Nj)*ykdDD4~L}%|{2M8w=~(f0F}bC$6z1djuCN z_hnPoWs;IxWuw}{0DOco6#DSc=p_IRT;x`605IV>ssMY9<OQeVVvIkcqcT#GBVgY| z`ZV^^O37*m#x<!k&=TcfymR+khQ=e<^~NNng5!TAGYtpV4gm$Dy2swS%59QGVWdie zi$bui-*1B(jDk(FD4B(ylRX%CA<1ElWAp<s2K5j5kPI*Y|ALF-V6sq$aJo^cKY8SN z#jvTQkn8+WQ(dT|*?q|Y@2<Je;)B#usENWbFUevs|3OA%&kypj452Q7miiM+ulo)6 zQ|aAw%NaXLNf_-Lx73As>3PxO2Gh$S0Y<5h$RxmpncDDTPd&f?dsOo_EOI(wC2GSf zdG!A^?3-{h6O5)HjI&Joe^_QaGIsK25QfQ$cLA)h<~9xig#j*%jDj#>-&3s_5;X<h zj3*VoR`BBW(3J*DNaB!Ze?(;7;UIm4{1Jkq-bMZ9;xOG|>G?oPkymNQ!U~1lM|h6f za0)G@^_x}%j#AwSh8(d8DES1lA@GJ)q0lpVg8?sz?LT~38?s-q6Y#*xAuCf$k(1@1 zHo4R;KOex{V0t=bXNXJj5W`U!#=<zq=w~AOg*+jtDN|_*N{;0wbeJh7p7HD1ic?Ev zP)0%jU(=aOZYWH?J{lGN9}Z$#hZv<JD8#6DUx_gPvs_E*7U%4~mP+ro?^$f^(*E%( zfEBgQ)F&L^3$7<unz=HG@c(=QntN#!qB&@Nxi`Z9`HXTYv%k>*4cz1t*o@-;3#4>l zd*~~uo&^>)Q5?oRX8Cq=*!mOo3u!7Ell;FE9(9dberMl`lPsV@p&;92oLJK3&K<U% z#KO-xBP0J8OqU<bP0?C?li5A=Cd3Z|U_nl#(LHA_J2<NVN);p>pXwSB=7oIkOgd}V zkj{U2ibX}$muErwo=d9B;`av5B~vK^jLxJugy&o_wcDH?7MB;O$Q9vONdLQZ5kude zmSWnO6t&T0NYqm#aUNs^vmrT5u^PlB@4%K(QLK{!xT8&mM3HUigcTYL0?S!YY^VqV zJ2U3-kE?Sh%+#$X0<TfvgXvXOjg3J{pzcQpYJPt{(`6d>(7|@2L$Y5IJyba^f6O(; z_<3mzF#Tm^eJ#QYU&2v1i(-PV;2vO(cis~UiV)JhVXEnNdHAHnKuRC{mD-m-nhI_c z&3#E^ik|+1x6m0hB33vmL<m7n;5WR+gY?2Hi7oL2n5<EK{w{G3VX&4R)`*Re&BUS* z6RacrX{%w+Y&r@&flU%OHU_oW?#W-rWTWx^0s(?x9_rX$k`k)QRQ4t%8vp^MiEB(H zt~2~Mlq38Kp!Ni)^J;)hbBF~Q69Q1wK;;6|G7sll%e^l6*w86O{^K>E9V}&pnkqs| z3Bp2@DGC0v-U<n^!01maQgUDyfUd;*bosq_uGOWOj7e<bg~!C|P)i<a=PMR#jr*Ez z$jqL8_to1FbKXy&3$+y{3J&2%4$Bq~ec+UQTN8`Gb@P9!aX+10eBI{QEvb#HlBmS` z&LWqLloz0>BNwbtiOQzP$}kdLzID+9h2dp6|5&KG#GR6;(_@f-IJ|wP3JHcx#(~E= zY?VTrCzU0pqE2NF-~s%1aIJb_>4h6f>cvqj`6q_f<74g>I5KGLS_^EL!|Hzi6D+3g zmxRr#bBs)P+gC!TMvWrjO1P%JE%kv+6n%oC+Z}jYZaoy$7Fow3wU^g6ji8xN)sa&% zZTf3fz>K{%FI-p7V)`9CR#v%Kw$!mLnWT!^CeeymDg(W&kV$GzIFT!|VN~ZlHA(dl z775XUFr+e4#RIN4X>q-^vJHdeMqftAlz{?X=qI*X3SZ@<!*+^P8H>8lwW^VkQVPwy zn;CosG%EXH3x^0<oz>z&Qz`x7STmWFD}_{5eDQ>ps!RVgn^YXb7G6P7v;B;QyH0;7 z4znITj=a~$`r!nOFXzG=q)4!K6du$+u!)VTGI}Q9mG@@veJ*;h6k=?CHKxD5u^bTy z$n^tqS2`@p6R6LBR079hhIm>nF3`?@rw$ct<&-h-No8WEi9uPTTQP)2j@E;_05lg} z?2fk9!KH_6BnLMz0$wwQQAaoDSK2qt%HzzC?&6`g)a~Ld;t$UoaDma_HRm-~D|Zo& zX$VY75_Q8xQzsV?2I^7~n^@U15nbd&Wr0j2!l#V56=kp~iFogKA0vDgq$mCq)QJ;Q zq-w<@73m8osTiW!Ac=izRXFZ_7D^0qSSgL?LYZ^xMM+7xsyFJq&z5j6oCA2)P|SdI zX)!fGdJ}~Sb?5K9%TnixA!^0Z6Ux2w32m)uhB*6ou_n%Xd`wV=R|^yPnf^}ZUIW|x zwbub`oJY{kS1VNIW^?igOS1sg`^SHOoxOcs-<>7VDWpQjg^hiF*6d@F_OeA2EgxSz zOfFU(8tyE**%kSLqpnlE1J6I6rn&TFj+E6paAZ1as5-xY>-H81FOdN7j6Y(<&_<x2 zV=zej@3Djqr`OlBipJ%9aA45sFqdH01y}OmxpOs=@Q0r%r8$1MfkiQ!@Td_<9A9|X zd=_PjNIKGas#J`EWq|~g1fHk{@D?<a$<VO)26{2|3r&OSV%>tB{*r?nif@F^6+G_A zDw<klZvGdg&R<#$`C#nzdzoO7J+(X$lK=>lN42oxE5}_U0t({=f=N&v(Qkg$n#n&e za0Y)I6}K2%!0KL$V{;G6vo-p=u$K{@4H;73{7u}1@O=S?`L8~fDG_3BC(!EEc)QsQ z?Q{u57KK+1Enqs=VP_I{*Bweck4JM6y|mqv8fcPpaz=~{5)r^WN7xQ-Eo=+P{>V-| zPFzr%pg>X|9|SR9tb+)12qsQ6k>C$EZb^3QjJllhW&{RW8Z?KmxzzBBxhpskTfJ8$ z9Cgp86!%;qjPzql=n$gy3382h3%<EpWF89vD^eZBl?4`g%>eCm`Xk`vI3bGl5R2*0 zmlGD#G&>9gBf5=&TpN;?hcmQug#dwZh3Vy@@YAcw&c<0Dd~jYgJ|xm&$h<g+>mew` z$(le890eTeFPRZ18rn<YT|5AX=AXn`MK#PRD^TMsPZr6xN2Car+wkAfVu)i{M;e7P zT8|@&%9u3xpajfu#gfaPyP|3Yrd-LW<|w~T3rBgABkn8;x|RGTCRiEf8n1p%kC7fe zCgUM6;XU=qXx%1@DPxL9LSKj5ivE2Vk)tw6jE|$PpZ+DE=9~h&sI7CaRgKnx;|`57 zQ)X+hfjDC(sqJs*i(7bzTYw;u=8C#usBIO)CRCJa1{Es<Ns<ren$f-~vz2jO?+NCM zo`?Vqi`Vur75V*pj#FGRj~A@9DeC0OD3DYlakNWex}~3E=-p)NiERvu3e|r{z{YM% zS^~=VPeC`klEQ*FI`JNeVOCh}6r^UDlstW}88xB=?_cDzY-lmW2(6~+Hqmdd59xJm zby_Sfn`a!#ekZPNh>4fiqyDY4l=dVf1d5_CwGXGVe4A_$z0$lIOj4yy?73|ovB65u z2qPPbeW2ASdCtT3adtjad}zHT))@zk&GuWa;cpk`x6dcRX^>-HZGzD^VBz6GNCBl` z#&POECae77KcwIcA%>Q#;sIJsdd8KHM4%OtJ~_KnI<3p0qQipIzb5qudeXhEV50g> zc+uk-*Jq%j2G6vSDNZtA(DbtdX*2TV(ovDiB=Cgl%r0FHNv_(ILm7<BVGi93XhD(N zXC8n}Q;go4t98rk6kM0O_F3J+uHE-nu5Ty%0+5V1M7oc=tf~8~A9XLA8L}V)R};Rl zt$qwf)5fAxV=L~Pa>CT`!)ZAS85KI>0n>h%joYgyBxLv;2~}gd!w^{adnJApW_&Uu zQt<9$6jxZ&LgTeU^?7%6#EC(`r)v{PD5Yt^nLy^e)t91(6q)PfB>G{H00X;~JMNEr z8DZOdqcZ_+6i~VZ0kt3YHH@Sza4{n?n#3K)Vg=B+hcMjzjL4yk3}-k7dar1ANu0@^ z8Yj22Ld8m=EIbzM8-{4NhsU?K_d~<KVw*BiY~4nvG@nox2}#T>mF7{7uBU7wcgB`# zceykKM4Q|L|1yEIYChiZvZRR*cIRNq(p?*^Cp@zl@&;pa3h{oQ^DRF_uAnsA`_h?< z!Xy#GO(H@|xX^nm6A)P4*3hUY<14dk(5HiM401`Cb3lze{4s-qXHS2}N>|Q~jnFg| z{^dOW2blnJ&)q?krG`b|9l9L!w?dK{oP(E}l=qRXy7{>8M=gkV?^ec16*YgdO=mqO zQRy~iUxkN^TvN>mcb@g?^8}1}l6Hgx$7PF|3V-%ByO>chka^csg*sb7s+9mPMJ9uC zGWvR@H^GUN0B<xbO%<soEkj%(lSXL+%+Zvd;7iQ3Eclno?tRMhQtltD2=YS{eNDKU zD^XgXlE0oEobAox;0t-H9G9Gqb^4i%<Me}KxU?mfeay0v+JD8sJO`p6VI*!%pgGG6 z32&uAMS$_4kIpjGMPX=qyBBj6>Z=m=)FKu?l}ot#MFp!_eoSK$Y53-Wb<xhUfio-q zyg;}h(v(ySsVCz4oXE+UC~PY}7-@Ea*7=TnVAgs6(5g#Hq*C}OnSz4<{E_2bGxjBE z8Ap46*nCzbXMV8d@g*I-IQPkNnw#@mbX?+V>)4M3G!dESWJMqObFmu{KM;f>6)tLt z)CvBrewDKcWu*XG2~QzTPpNEnGFgjWXNYdnHRDS$eB~y!rl2Delg;x0`71bzz{ZJ3 z2$5<Q{qS%<Hky}OBMBAn^eaAqGt9zTuW@|2=59?=RUpyDu4Ga(9Ud8h;e>d$V1%{= z+z7LuktQh0g#HhN-!6#)Euf$!;SIio$#GN(gL;Bz!gF^-$<IAhnuberH0X_YA;aTT zoV{iNi>UsTqba6!jJe$dhM5@V=a#d8NUi+FND77?QDHIcEQ%C1XIIPY^_!}>YT%Db z&7Tp$pb`iRnFHPO6hl<oFXY+$P34`}qH`&1E3lYA@<XHD+msr6jaxBcmD(}@MD%_~ zuo~S6PN;!^*C8yk?abHP*CCDjzp@+>iSr7hdZwMddVUrtU1XI$tndd(UexF>G~lRq z45P)%U?Dwjb+g#5bNRFd^=NW|h&*V#Gh<l@#zo<|(QIPUtdc%EIYv@KR7gMXJ+V6u z*(nb!QbLOfoK$=b<_ESGSA@4gNNWC1%mVY;Hm}eqZ~GM_3Oz2!()s0!fNuX_ID}lM z-~Em=i|m0TL@S6-;%Z+>LREg_IcGn2+o*g7<-%pw_~8;|oVU$j%>B~L418gwWlG=k zNPKE*!1OfG<_Fcbo!tlLG-E2Igb^WSxiCdvkx%c|AjxWw;NL?GS*PH}FO;K4KVw|< zpB|}2={Y%)?Y``LE`ZNz=v(in`hd1_2Ve~na@Vv-%lR;x=SKaTIOz;enBIw+$KJ;w z_~%ki?tMy0p{DBj9_edCcr|mP$pdIT2Ta9&c$jI&KS{+yD8YxF1c~WQ*a9e)LC-9_ z0O0)nbLR947Uwy?L{zBp3<PK4&XsxSUf%>%5tvSMYtA(@*L^n9%UDq7QTx!WyPzMw zxp&Rq!?P*F8lzt9?d4XsePj5okHu)A*T|cr7An3;nF7z+mHf81HiYuIv?N7b%*H3K zuC^AgHeR7+3C^7Q@x0uR!yqRE2c*Z|ul?gZ1V+07oH*B1{THCKuf5L=;IXIsNA`k1 zo)n{#uzBq8P){(uK+WFhRM(FnItRbs#smgJpE~pL^t)%p=A;9&{z)1~)BO)D@As!Z zQ+DqX#1nd>pv0-%Q_W0%BX)ZHv#3>`uph_IkLRZ>*6(8ju%cGD+<6maX@Bb?J$~{q z$>Rx;WPe>1{GrKu68ZY$ucR<-1zz|!06%~}uPCb0395_88rHn*btH+qpB>}U;I5g& zSz%iK$lUmvchT!?a$*)_6IXwLy8{wDR;YIp2wi$&#IB;{5u)iaJzMP!#!4E;tB+B{ z!VuLL(bES<>P$`g)6qzJ)mh6(t<FX`1v6Qeg9#NH4uQ4*^7YzJD4F}Ju>TZ4r7o72 zkGGSJ$BZ+h)<}g~lNad@{$<Jn<bY{*yC?I&wUWlL$~gVuoU@QdP~pAVxsiDgY*W2n zXwE#q9ITk`YkFoNFfpk@3y^$jfDK5ek3~86>(DwY#)I}^+I$PNDRspqcErEcQQ@<V zN5|(Qw{YC~INJDk%~?c3O43kKRrokZ7i2^}j7hm!WaC*e&MM<UZ86N*M`C7wIX<DJ zYRW>02ZsSVwkaxIeLZc8AyPsz)AncB9=Jq6@Ukd>UCP-Tmv;&x>VhhKaeOF|FH>HZ zjify8HY>lZevTIm{I@Va4Rij!#NEX=P5E(3JuGGxE$wG=%yF8*&^p+ZzKcRTeyj^5 zszhIHj^)&@<d@36`<j%WPqfRMkA0@alnEhDkJq}hjXLmi(s`4Pm{2EC4HebOLs)O$ zyr4}USBh=vLPo&0^J{NV09gCLSa%#~?s2X*t!w&MdFAaaInz`WEW8&1qW4jmVX~=$ zmDsR5>|S&8<)#W>R1%f)D(ZZUWWM?eiM$K1`Rx^{b^>#Gk;t4opPoEVX4UrVN}QZ3 z*|^c|o!&E__D3>%z}Rc<?fHbn9+GtA{rJX{D>_^T#HjD+>KD^qIHOGX^{Y)f*O`W^ zKAd6eQMr*kQ_0(WlFlb@E)a~JPjF9?@UcWhhZ@)x2TO}iWrw3~XHsX0k1)#2Sbd`w ztdu41%gb+Pni`nX(swdBdx7H*j~S7-&N}w&0+e^JryV+WciA!Q_8gx|49%4(@xP@^ z>QUOsoCX)w&Pm3cYUwf8$xGcgv$npdFxSm##-^QWALL6v6Mj3>re+eSrYqi;?e+oQ zqmr`ok_dI~K6d^`^_s_xZNX6+)8)#^jBi|HF86I&-o-MK<&H19@kmw=f+!rth*;<K zPxQ7UAcNCzMP!^HNn52;5PK8B9^?^tAgVl`EtorxF<Oz{iortpdmU@fzWilrW7KKz zWZ8j4t06%_GF&*dpMBTz?2p<T_u@w3Va1paiJD=;i1*8)Vnkl3(sZI*uv<9nHF(?v zOtFbym2$p+k^y(-nHR=A46&TOy<DtaoJxuHp$BTm!k9<>z}WN8ye-Sgbl6iJKYV~B zubh?Y&xW-EQ$vd3)q~kd`{T`rmqld_T-sCJ)AWcE4`SX9ds&@z9AcZMNh~p9HDwEZ zM+A&TPV_DR@a_Z*tifzyVkY`{@l#u_))=~&6@I9WDuMi5?%4|oxzV_vse{?4NtM#! z18G{}=IRabivM1=>K{bswxVGd(H3{7t}_4pp+X)oP~^Uf%yy4#V_fcj@LZ0BU7TOo z|6Du7pCsw^3@dgzq-Vd|U*os(-D8zcDl~c!73=D*&KNeBt<Uqcju`*)$9bcYCNZo= zGeZD<bv?veM5k5~s`|zBI_+Zr=Otx%dmrQFYf|=iqzK(tueTHbjlnATzSgk?Q;mM7 zS8mrb+2KIc`CE#9=i1{GiMv~F8<iR8@Mhs4Ligd`C2%L3I_WJOXdKL-a#+8YrNwva zN_*suAuE?Z4Xm!t>on%VMjE|?ldn=_mNSeg%U|%at)5TgYM))1ZzIs89<lT))<5Ca zBs=v{5$&{Z?KO(%39@V3*MczG3MyzvXx)2d)!XZSy<0r@y3xd5T+^v^ax~!+Ag|v5 z`N<KN1iyWB+t{GBY}n|9xs_$ypLvj^T0`D5N(Yd8zqP6zsHS=Sa*EOhLW&{hjXOv` zNZxOK+beMI+4{`BG2oopeeuty3t+O8`DFYfq4{+5at>jTW30CG_5L(-W~uWW^@udr zpcCa%Kfqm+=FeI^q)qWNxe+~ts&qL`IaEAZ7^m$laXH~@l$NKmwD{C!Hk%mKJbI=b z;Wsq-zW*D22?M_1m<L!o__}#|xx71@z>4P4!CLsLsry9{B2?2!jovELF$dzRjhtg? z2l;^Su#8SSYjUS{1|6)U5www!OZ&V&iGQK>;r(hC6>+vO{S_qn$Wc3E!^3XFhIitQ z=tS3TE-5>@%2UZ4qy6*roa+)~s9)ene=%)xqoK@|JQxkvy_OGYe!OUDv1VGdw$n5T z*d3U-+I0ii7hRdtHV>WZo1pz0?QuUzsXjWn!jsFM=4r(HEm{gG!T$Lc=NQ~Jro6Rv zIVnnFGw8XUFCz|x4+kFDHM`&{`}7Q~3vfJ!jvQNQvkMamewohg?SlHT9GDWAYEvj* z=1<Ln6<%WQ=&|9O2=!A<WSc7Gz~v==VQ`Vgja{s6P*nd%xwv#cH9YFGfswxb&%HnV zo}!$7ALsIV+VwPQPe~v8IBKzztYMjDP#U#!%Oy%uI%QyNpO1DqEB%v=rQ4$p_Gjnq zbVF^UHg4o(;=|H5CWkB<$?#hHA<s3KQJnr0pSCAly_C#--XGv~nGrP34OZY((iGEB zdEj4F@(Nxr7Qsi-F32hNV`7OXjPd#UTd&?oSg8!|6{EXYwNC9CM@q9x3LWY(>Bzd} zfPHr;krb@_#gdT0hU~t_E^gh`=)3YpJJ6&^%$lQ8);kuabYsmizAW`BE@0+a>Kh~X z=to+2{zm;!U9xx6kzV9oc|y^KaRP3-dns<h`wD3<zQt0x&}3YO8JtXX#OhfL{KE6$ zA4umGOpU3+i5Kf>Qtqjh*JZxAWJr~5EjNP2?hnra9J^Z2hInqj3(w*KZ0)zPql7k~ zr1W&rTC+f$Mn64A90tn~kS}h5%eWEfO)yIT7tnmpJJ<EUV-8|h_#WK-ZDORAo+f=* z&y%R!#mJI?%eY(4m1yKLP67H5j4D((u6h>xyxoPfZy4QK5IcXa-BCH-Qu}S*K?ArL zuFT{AcXpDw&{Df@J&T2@7fg?4``T*tzj%!hnpb!eXHxT$LQJRK%#|1BDbf1HFFToq z<OrMH=^+XjmXH(JLUWlLY=Yjap9J{&Ez_3=6KUQOhqdaRj&F`>$0_MqBbI<^%jr`0 z8!lU80rK!>EER}}YoveFkJ8}wp!3q|wC3q}J>{0e$EsGa7<Iv~U*v*RDM5pTq61%t zIrY=d1=>TjpoduLz@#x@o=;^%$TdN;CeN}eqR!SYZ`O-&NzkS(^3es{21RbP7o8m| zA%_(5VsyTue#@!mm^-gOM%h0!+4|wd8?LMIf`*?K0f(z4j-)L*dPqGvn;`2GdSAeh zSJ!`ey&d9R85%}2#PT%%;T*{}ppbq2maa4lU@{YGU3K~oeFLaHTN`mRK14^FI&R*N zKa{I(v%-*@lmySa`1rp(tA(2H-}Iik1YY+)G&kjJy`0y$J97py*FyK?ATkMZvN!$$ zmSJ&)TX|5@?YA~v>=q^aw<g?l0z#SCj?_J%tX>cTxJfI%EEPp~!J2VEk2we0vD6_J zr&a~z7A-`E-_wZat{)GN>(ESNt<TUT@^`(v=bC=9`GB}5ONu!3i7GyD5P^{(FFYdt z5JV=?LBGaj%aV@e_drK$vj%SJ?3YSaC*ISx-P|k=P6EH<uQoLv=yUC%&YT#0qzc+D z!{dTg319ef^9cNkV0*8On-KDnwgC}y459lir1O!=UoF%>*z$S-rV|tj)?!3s-=9jL zzbQixQ+*dI?9++RY8;9%*n&2=2=pc5B0>3v684Gthr@R7Alse-u6p$I=(<~Zz31!x zrp{_545*8*Q?Rw1X0Nb~!+inSH@&+FV(4^9aS{3C`hzbW{HaSfq4A2wqn1FMb=V&) z`vR73raktJi^a`5m5=HCkwUK%-u;f~-GRf@*KAVf>({&Cw)ST&|Dx`EW&`JyZ2!{5 z=f~b<=P!a?AYyIK&go;$ZWFEUa;BP(2+^nE!L{u*Cl&<oX`}a!#N3=pCjQIv;9A1j zgljrEyOxXFI4>K{2$fGg5YI6XFE1Z)NSE-tqT?8-lb5f(`Esu_iY><?lP|>-WR}G5 zaz7F7w0ZKmwbkF>&(I`X%R_LI)XSh3^~g`_|FqS~oau(Et4d<>9xv`ZR9%xhfONRA z0^Zmyu>|oB>=xhoSz@21jJZ2?Y34z=TKyjPsd*J2_VdXxlCZ_&uH^~J_w;upicf8Q zJ@fsGEQ8&Zoa1~I%`4}vyL-wQ=&SSR<jepD*HO`Q8$y<q_Elz5LCD&1|9>sXbu+GP z-38DR^~Pl1SG@O@W3PNu+m)`{3U=H-YFVEb@Sn^#KRdY*^!{UFj+wJOpZNso#<^+d zj#zo?m=z~Tiw~MYMC2Nvb>ujQq;J7{yag$R1uAC5zeL6^8vPOp^J~R0LL`lwh>hQc z;h>j87O$-jRB=xPc#}W<<n`}b6)~QN&^HHadz&Q^e3=Uw$7ctDz`<{w8nI(2U}hiO z{?;E#Tix;46)_xx&?^dxZ{sU6$iBvK1QoMmLY3o_K?~2LZOEEt%eu)5hGXmB%=d#r zW!a#^3*BJDG9?~5`kxp!*MmZ}Kf~5`Xk@Q`!aaTq8I$mPf67l(mRf1mbPrDufvDMB zu#GP0f_<UYl@^oL>WeE4Mub$T<dcz46o)s+!+&yP<*kYNop|`W+=cOoA0gCnbuJD& z0Ybg*qv1s}Mgn$YI_R0yI_Ymj!OSx&p&>XHSX&k7@IGG=T(C~%1`SqG5J76~j=^>1 zRI<_%l8BT*{tH+0*o!IIA670V({z5=&YclOiTn}Tu$Vt(3tAEd$<KUdSI%nJ_Sb~A zD%kMq<gltnK?fRPI@EdbQZtydx!3=#{rK)duanErdL>!2V!@b&7^zGu9BK-*-e_zP zr!TqFT>Un$WrMS6Lebf?6R=viBn5kWTqb1gsjmNNlJjfrp`mvQHoNnt+&L}GEAw-7 z#5JYgO?EHvNsoNfg0a7T7rusj$vvo@!4sHX6LfqAzq9HkzLyn?W6Sf(a`a=t#D7wa zDPx78CwG|Bq-LJ0EA;11e+e`;@=gox>3c2k&Ia{ML-xvV3?y&Q!Qz_p9pO@#6IhS~ z3L0Cj+5&&AS3(@`2+gJ3-Ru5~jjCA$J!rzX$C1y%2cm%tto9|(7KE!htfU}G<PQGY zb1m-b)q-p?8`;;7cLXG(=sD8|2z6kDK%7L<g>B%BPUy#3tk_<F%pn?MvI2YSvc&=} zCzzGw3wezfGt6ntK316nY>1PC9KkEf1)iyBEf2cdmS!yN*!HzXt6Peph2_c4vwPwi zbaBh)Q4grM%S2X>E;U#sS#Nj&=h$pZQ7r1%tDbldRB^zt!~C1e_I?><CX-UsZkXZ( zVR(0{YQO86M)7@I{^ycT&z(hK`yaGm6eCDgF-UOFLuC(l(DpwhUs~?x+7Je~ZT8hL zr2@B#JN~z7zOfln^If~!2alwRVSzJEBIi__E@bOu<gobNrf2y4EVxy!MsZDxUHXA{ zG)@5d#3YhaZ5flzmK5doc(I~hDa3gIaTmIEWJi1kxN)&SVsbqECQV>rZmqUzjkZ%x zokuecaNTnL4FUpi5=&<d2%KPb>D17GDg~c~#eTJ+KSyJPJ1l<7&%#zX$R_lhR@N(7 zp;#4HKgi~lkV{JkD%m7OLpwAD(0uLa>zZVn4EWb0sl1d+$~MZ?-TBuS|MdwecKWI0 z;Oq_dJ~SMJpnnQwdtzX0k92V^Xz&NqE6A@sEFGUQ+#LKRAv+8>*}v{RFNADR|DR_L zB)&v(z22TSxNX+(hrstE{7tbhR$O8+p}HphimM9xV#!FJFT}u54v-1R6Sx=rw+p|9 zD(1zLq039X`>*Nh>FF&&7Lf&!PRs)})NI0HbaIa9jl|+BnlO|$KV}<elTG4{7xVrh zQDHrS8texGsn=bl0+lTRlNOpVXivYwp=z3ovG(E)^ff{4nhf_C7{NaOj_TnyZl|i> zKrxY&PXZ_fZr&z5b@!QC{<-12DtU?s^@>aJRxHt~)x%A`VC@IQ{!4Wju(MjQUf#<s zT##~I%fS}u-!WmO<_HA4`o|v*d-l&qTR6DhL16{FsVSXM<DU)7&DVlHtJu=N_-ulO ztvFwNkm7pu?9E~!y@%B~oBwp{Nt&lM{}I^4+sxI~eN8&FpCE+!T3|=`(jFFIzimGK zqNR=uB>a+HYS8Lb9QNb<q@ZRm+j_=LT-iK$V+}$@gZ`L20)1-Vy{E46yv<u?l&g-l z_iN{WDBXN$=R7hW{##ey{G`XXwf?J>PsrY$aVnvSwm^GCiJ6$}|6uJcV<L&VMQz;O zp>Z8xuwig__rcw*ad&rj_d#aR#@*c++}+(BKHi+1<lcO_KW^^%vwQ8`NmZw6r#rps zS<g}=IX}<QQ!_{mqU`A8xC57UogHvYohE(ybn$9;a(1pamVXr2Na4EJ0MVG>{v*!) zFe7<9j9Aw=$;4c2u9Jc~*f3WRsG&j`V+&}yCO|x<F##007DLYDOm-MHV~cJy(tq5! zk=qRpcF$c8`K&Ti2WVY4h;KU3nMAr%7DH<k*2BrbMbBNXJ&1k+H;$`JFDD86_*+@2 zFRH9_v{6HSq7QMCM@Tm_<#GKY6#+Ij+pcW~CI;Ru1hzJ@m3|pT37wigGaCuzN3WM0 zJC`|sOp%ej>Q>CUhE7Z)TO!!H&9EC*!=Dq_2F3`RqF{>-eIGW(uZT|lG}y)6|E|V5 zusbvz)St}KE}Wwf_i_5H01>cW`h7q7p65>wYhvmxE=$s+L%L#jl<|2=l>QXr2FD*Q zPB(0F{FFNfKvowUDfj6o#le=Wvka%9;z6Gpa)hI749Irm9T^)>mV9~CkkCW~NS`pz zizYhcoSEZ_U67hMoOG>swuoth!suR_!jfSp@f)^j1)u$g?I6nq072783|?p6@%$GA z6p+O6o1j4U7x#5uNJ6wmfh+ZN44_YXb<WJiaQa%yBkV!BI@l1QEmslh!H`v<xl=UN zY7dhuQQPLm9d)m~!bS&cSQacGzT~tR41rDM&CJip-9jc9&RSZyOCUey4C}J@46?-T z0tgWU#6sVh9{hMq8Gn9Lh{NwSNCp}lRJ>wF54mBYRl=u>De6E+#j_E6`5Cj0%m@JN zY4JZUzuY0s3ie8WtIq@xoSVJ+T|n=V{9Y<ExE7m&DX7C>9dI;eq>+@MCcZhAV)sFJ zvJHxLhqin(kO{g{y1@O9Wa_#ZI6tCME=oANw$<C|E5;1!f@Xym8_PD1jF@YIgD<5S zBe?B6>EN13Zx;T6E=M|-%^kR^d~^X9S-BFlP0=V<ZW@KNQC1$AssX^}*M?kxoH^z< zMm9gaXLO1{?uGFSz@8nsWJ(+{j1$9s-e<7YKo*Y%lO28=>Z93b=epWoQPcKrg~5ex zLL)|=%{+)*S01}5-}ZAoLKojEwesey7)jPb9dx65?#|ZsjuvjzRWbwg!0)aKdrMcd z1*`!~{Yyb@yHT*u<uQ~NnS`=85I-Q;-?kmkzoh<(W;M-gy=Op)<WJP$`;s$$h+=*g z;L{!{@G7hH_7ItQ4HrEH`CJNzw!SG;q(Z^2k~Y^c*Y)87bx@`aJd54Qv8k<1`}*5* z<`}c){uP*AhzbTV4@oZ*f2T!TI(9C5%&70v>#6&!;B4rn(5~l?2}tlYr)xpGyQ`0j z+3Zy&3|SXAT5WKSCit|*KHo-%ESq+W&{lXVkAP!^*tN;YfUxzqfv`Oe5>O*mWJ8@) z3`n3C)%~}(!IFPE(8ku*&a*{rap7(q>vP}c4NGd}frB<uYqi3&KX4Qb3@L_WlJlbQ zblfx6dKY{nv9W~&JZbW6ep!1M<lkjs2jn}Gr@3a_0>5>tEjxRVzyZy(r_$gjzd)AM z2snk$;MV3(7zdP^ywld>vo-kKI<pqkO9Fb~)(nhz^C?yrNSaLG(ZW(NiGvC^z&vNN zu_9g29mrR5Nv{!Vu6*!KI)7}nfAC$ozVY`Qv8)=326y&-YectSuEEEn_IzUf2Mcsk zx~#H``QE16b27z7C&k%)*ms0k1`q-w4nwsKJ@B)i`)oNCP2din2-OO#t*{!SxIGC} zKfc*XY8UE!bW88YEmj5z2pZOSMm0tFf({F^D1mW>-zBNo#VLSHg7cA$q92(jLEz8y zfoPgJ5%1}L3B5yL*_-WjVYV*#)NI6XfIx~JXb)DFWE*Bj7G#H{i`}pZ^@H+>IM}RJ zfC0(YWKj^{?!wyrPW;l(bNW0$B|`8@mHLioB^8Tw({*h_w3?m0_#CW7vHD_oRU?<9 zNrck<+ndEQKg=_^KMuJ)lGdME-D`7){NHrWNfX`h$yhi$=r=^dd%B|7=+NpheB|iN z+SB|W-c=;fHa;UwYu8AqYK<+iTGJ!ok&{H4H`H-WmM^=;vQ%Qh(`id|c;9@iU*KY4 z%A-~#wNdMH2tfCS;s*6O1L4qf9#$DYZwidQ&*<>@g||m+dwpp<Z%?pUy55O&_Xc7e z4TyWO)|Ue!VC~u`pzQ0<-;dwXGiHhFc(2TueTuS!h<P8NNw&NEk$;OExJQ6k%*H5c zQK*3t_KRCG>?`D$A~dKG%#b<06pB6pajf<gYSYxYBA{#ocR?HpySj$w$ngld+T9hR zQ^wp}FObio9vkRf(8Q>ArO6Mq2}t+zod_eG0#C5^8nY)2^Xi@OAUofS!e7sDp1@C= z3kt4!;+p72<qs89xT=x6DoP)enJ}XJPy|VpF1{9~n|WE>#LRAIkwP}nP|d_PIQbMw z_;&qfojBwt{I7-Ow1#6`BzzTwoog$ni=77sf5q6HzzB`R2xz+6jS=?_OpS}chRH0l ze?zDTu}G}c^U>@h-$(Zr{U<ycVgB`q&q@CoT-s)mFj3~)IcEpr1C4{#x2MEwD8g>_ zIRjRFYwl^EsXBMjmW?Lf!Bvx&9t~1N^mL47EBQWA9zE@hR!wA3VEeu22cNdD4K8X7 z1deD0Zt&}O&R8v>-h61@$!k%uSA+iPK6xp>h?&HloC}KpuOCnslxk@oyLTq0i<-9c zUG3p{^4|DJdbeIXze=O*h_6EjPwinJab331Y4q+^wdtR58Yv4($?m*?(@-ABQ&)R0 z13!ry1;a#EpBa~Vm%=$imG`#%XI^zA**9~yrHI_1dREZk=&m>9U;3-BMJi%@_}x4C zU;PZ>Z=tz2NY&;HHl0kN8HN|eVQ(SS(mvl{p*y-admes1m7Me4itmeUW^PRmnY0?t zYm$YGhb;hqEQxiFlx-eUQ;#+dmfcv<cb|kI2>q?q2M5y4t7AJ-zljuU$z72_5gI^O zz@MLNF@(O0KpPuy`uJD@J^qD~_@bgVO;g~Y>f>#lZdQf=E_|k8M*ag1hM}GxkL5gs zMUVuJ23P+)jubiwybPAFW?vnvy`~|*OlrO!k4z{={Wu1y9uDg8tSNZ5I%JKD@kXx> zlYROCWuDYAKM=#8H<;J{4Hc}X_CmATdr>VUJr8}<2K4ghSL`OVnI`T+j01TKh?s|s zFHs;Mv9!?d!qXEr%`p8GiM}%Wc|+`K6}cNvvm~`1uXssYDUg55YAG<$T{(G&jD_*Q zKR;T8PSNaH`qS{kDp==(_R6M}&`sKG<qVQnvU)U#fCow&l!b83`*P$e0?)`X)|xrx z6_cuJhjismDf2B03UhVUll$1tvcG&}uTjlEx7CW<J2=o-X1BnW=OlXW2~}2qGQ$$1 zpDCV$F6`217}*!2!3oLwI1Ntms%?$m6IKQEsz{Wk1er(CgiDM581ghT`5bI$H^Rq( z_$-#7YOH(a`Sk8>a61V_&er``d5+O0&1HuMcw~daHqtq-vV6?}Ca7o2sS+HxM{E5Z z_(8gQcC`0thb{?m<ws8^FHKo>c^ez#Vu_hNPXY`DA4)riM{k4)zT9vpLF;dho{9Ku z7-$F-<^Tg7<C_`xgXV6B)$Tsd^m~?|fb}ADcOKckfVTKv(5@>|QO^_cAUEMGh@aWW zII>dIJT&HIOCfC4hqIn}4-%0pl?j^p&0RSfUSn{H;5K33HFq}L&9_C=-04`qDrwiN z=o3E4gFOTFjsN8mbDix$$XAOev9)Mevg*?Mq;pNQnsf=>#>LqUuziT@o&}btI^)L_ z)*W-b9Go9pwr2<xIP@x+T5l$z*ZG=Iu&WfojNnERdVWs0zF=ZGlv?sHmU4Mea>qqI zyj43%`Mwv8<@p;%c=%V5MQby^fY@PaS9WgcltuLt@6q;@1`Cfnx!^FvIK*xgf9{TY z!jXhsAc0fKt$B{kJ@OFf?A#sli>N(6-W*nW%9MS6G#_d^8XuU&H`KY($}Nn)1`b9F zN&GIkj<r5F=!g>^G&hFQ2&IPf{-R0`hJ(4$zdCPITzGNU5=V?ShI)gsw2K6~&e$y= z^@P|_TI|ovYVZTd;H=DAk7hEL(SpP0_kh1wb?_#Ob_tAS`vlB2sxc(!)I+ey6#+|c z{&lCWA?VX5JYz0<tCY9BM#LLJdcrpzZVUHD=55zonrkG@hxh1>F8u9T7eyu_{gzLl zoh(<rF&EIFDfg54Y)g=U!yn-@@sK4`BwXAuq~0KOI9i1Z553x!eI?j%BN^LRDzYWS zlSo6LI9g~H?r;Nfa`Yb0mMj{O!O_RF&dGJTg|C&DU+fDakiaedO3j*olG;70@QmXg zDvHmC4pmZ)=b=i8f%S#FhP(;s-9(}_lixg*g28dFC$yixOY6IVmm*iq4CNR*H7eM; zHqZ71hda=`hk=%)@cwRmsX~X8*N4&IYycy^xmGnlTaJ1l;OyiNjiH06u3=807$ZoV zw2(m8=CUv){5=Rh_X)l9Q9M6f+lkeQnTPE+S!nWH0FR-S*0-@Uw@Ju`l~-i_Xd7>5 zx_eki1%rUzvE}BlArz)dN<jy!Z7PTa69|Ctn-ktwkp_zzaj)vDqCLq)P=hiF-Ccl3 zI{`Jpg=x54hRd*?9Ie&^SnmixNY~Hk&de?MbI0~Tlgc9;&?r`*Z_b`$)Msuw!`fp+ z6UxxENdxHe+};f%dz(6+QAnEfLRI9M`)I$0G>b=$iFt%7pFqaziVbMEJK}2=y*b7j zM3Xdfd;BG$o)$^W?Qj2lv2%9t`DFja47sZ?UELc25IIOWtORgX<F21ceRMQeML2Fg zB8kp>KhH0!{)G{c7Eyyde$3FX^}@n&Y;>d&1O7!10)TvNJTDgY#sD|!HDFk~|7gkp zs_iM@=)nMR4AO;K`YIHF+$c_jx#JJy_gVWhnP;T|2)Tp?6F%Ho_n3>{LpdD)?q$xR zQx@*G7aAjRZ*>MHfpf<Aor!!~R&-lC84Z$talKav4Wc<gIbIRcp2S0SaA642db$83 zb@n~&cZJnKth_y1+xd8hZ+%gOc^9HYHwJXfp~3i6IcRTKVDnqy^}f~_v$VbNr5@%i z&#}6nEzgPhYQ~}GW_LbkMiu0wMa0nd4K}c>JBUAZ2{k!M+^fWzsxWwd2Zt!ZtCG7! zlU!2Vy_n(wXM#&|teNd5Y-#7DfQ8}c%KfOlNyT$(l=CFlJuv979GA}vVHgs(u}Re} zzmkI%bSH733fNHkOZYMFBSmv*S0w-Y5Cr<x9ro)=FsUz!0b%(1dH#Cp=;$U`lz7e6 zq9t784Zeq&Oo{(D>Bj}QT`MM%)^~Qtz0~VE=rAosxA&`|-P=6ymh)#W&GKjGC%TNQ z`5I7vchkM|)8CA%fx&fc0)5TITogkF%-;LaZFoe(=VP=gQ(-)X%2WDFaX|#j{p0v5 zbKzF8_FBS<`O?1D1bFKT><vfzg<O<FGni~^x?GE#M~!^DILnMGKB9k>-zDkOXOdO{ zb_&fMpdLN2xQ)(bE`c^aF?)vHW8~httyC=!lZfik$_zk3qQY-;g^2Hb=t;#+*y-P) zpdIa~*}%LT7@`5nP!37U&4yU*+H6U~Bi`5yk=aj~mCOl{SiLeFv~N!Yn%N$INpF9# z`{F@6($a(g^sf&DY6t)v(GV?lNxd=EdIJuaIcRL`ws_E&(h3M%ox~G<jSI`eUxx$; z(C$6G{P@J5F`$5bz-mEF7Ov{7{egR^Q$iJ9)&-yz$#c|>w}d9QN?3Cg-+oKhhNMND z6xHr!D8(8rXJXy?R+ok^xLw&KUs`oMq)t;>=JevXk)yYfOSC=z&QV)=rjtk9#Y4kl zd52J4Q3V_rF=qPZ50UR1p`_rbKO(Y;Pz8<Pl>>nV*3tBp<Dp4DP*huN*?BW&QuBw1 zc5qhOk{lj6&T<oA3EqNNjYu>NQd7|~2*}G`*HbLdxk+xN9D}JWF&aB~*ozi^qGf}3 zf)1A9_Rmq5nwz8fsh&a&Xb25kIi?C(J8AsO>BzwDD2Jz%X`@iat9tMN$B5L^#z(!H zJB^udb`4mVGu!HJ=4&k&_q&H@`#Y+a02aa(SW1HxsnGnWUe}CdO)&U|rC6$_oMN|g zFs1e&4E?R0j~f+#RP#6EB=^uAK{0=E8v85(^yv0Ypz`C&iJsOrUr45<4}_|hxJ>v% zu&0{o<uq8xs=k6>fufhNenqCGUx^`uS6{EYOP?yC@j;=OBG~2>kCj3ZUqR&jk}aQL z!L4=}XRw_`o4&SgpRb~oQIf=1y*s+~>jR!$^@gHk^@SLkxpit%HNlx7^S?uZS+l^# z*7HwrHYlzMtuZ|etQ!7a?YY@8<5BbcO4~y}MNxDUBDW68Z`oguqOw06pDzNphIE?2 zq64>^aVQ;Zi%=b{H(%@Qmc`HT(rvwc?mzBebP08d-<>@JIIivxG`xi0D_DHu2$~;# zd;9viQ!4lhSSmmrasI-GZ+CYuXE!tm9W=j&3A|h&vEV#up8T+U75*e;XfrqK-(0=V zOqJdRDrv8Kyb59SGEOgPGhbnJ2=H9^XN3!2!eiSpL}@EoTYbcLb#M#xoD#Y`XzrG8 zu7!0Bx-vl^ki|+23Cwcx7nByK(iRqJQV2VEjxdv1DID4UVbKk<SFH@&K=*-A_Y+Q& ziD@ATLKHxayEeOid7o&rhJig*z;8^TwJp+&Ga&wvR#)p98^<`ajNOsHkjH$HpGw<b z1P^*i&MX%wSS?7UZ7zzSIxcZ~{STLl;xOkaqQpmPoM^41OKA|t4+gJ{?Ws7i!*V{` z4)IbJD>Z?(_!9>131yjTAqZ2U5H2!!O-Glsnwo5FB`uU;&DxF=g}kX(@BwP>?#k*^ zP(otSf;mW=CGfZS8$<HPikNv{y=}pHH{MBILRL3g5UFb6R@Bssg&FDLMrI6*qLPUT zlJ4&|OQQ18gZDp_?@vXPf7<T)t;ZKn3A0HW%GhrCbr!(juCU#4q0A(vdkgV}%4q%L zpVllv=)Hn3eQg){Zil;dCU|x~8E|cLxeTJaW5D-I&_0k7jtrMVjzlR{ikr$Zq!@jF z<Ok2i>cfTpW;H0t>OLp(Pg8kv&WUqV8FOks#jtM2URppY(M?odpc0=4NAR;i*_QHl zJg3SpVEB68)zwr9KW7s4n^8<^*ac<Yv}70p&HS##8r4}{L9tu8OJn=o+wYJV%_=-L zFti@Rg57byt5>{pn*#Mdu9kc=%R~AhA;7z8w6Ll+!477aXO{8;F@XcPO5PH!(hi4* z^c?q_U{5G;kP_UVH~roAi-3C&{?Pw$bUjM~Yhn3~KJl8GO~1p2vqJ|}1GxhUTlbk4 z@kfRXW$7gxlc2_zRkDMw&jBCmTMLGwxsx6|{Pb%c4to3+Zx0DamIf+?vAsF7g`!h& zK~IU$y@mhEzbG2PKp2buZf()isB+oHWpF{TOVEY)NoH(L#G^UK=aA5JVt_Y;dP$ue z78nM(0De|Rd>HRflnZj}6y^)$14kME;O15R=qaT=+-><E-G##vDaGNPxUP0=>GYKd zQvCk!bLnv5ue`WeosSssmgCq`q7zZZlR9Se{+B4fpmfU)0+z3ek2CYGagaSaQE)EE zBbD82+0)CveqGDIpP{!x`eZU**3^5Fi!5mSsfb6kkw+X!IDDb{^xzO>0{$T>{XXa? zd(zB}HYJk6TFO7eTY7Yi;L*BslL2Fqe3pB<PW^%c>U_%vj5SbjhWu9!v<IwcxId0U zo+ouw;n4&MFU&^2UrmRzsW>%+0me;Jz#NEMA)}OIdHac!JuSlA4R^Gt13l^0=Q5(` zz=ahr2}X4D@lJ-*{nNt5^(W_}?P{AqVEy~dxiKH-@aI6)JspNeYA0+eL7lkSx!9~I z`n-;ol@qyx8!7)g*p9Y~J8-6>n(gqd2~iI#KD?*dJ>p%dcdjPt)bVXJpC81Kc|~p% zP;*46QH>ds?HgJZAAPee#!~0oUwx$>zyV!<;T%E(jB&W)FdsMUyG^ahnl;^}sR?~k zLm3KeB`!rec8RKuw6d+bbgs7y<o$RZa!)X<S{^SM#=zO4R(TWu(&5oML4?HXU|O*T zaikuFO~(u>4oO#qswCNP(xUg#@+JxjGs+2F9-%&KxhPt^Z~ncp^QfbFe?AmS)^E|W zmTPP%5v^?C>dVd4$Cs7j?{aKF%>uqEMy!OL?yAG=Vm}R-s8>B$B-zB#DS0{joQ)cM zH+BWDHp(8I2eYk3HlQ*Bt5$M|&^`>O*Jyc}&H~l}{E?!k%r>uL79o~Gq>>KRv`BH4 zq@5c6WdZ4RuPdFu{%#C8rQG%h_Ke4RN@3KKTPiB4jVNU(I<2GCF99ItSJBeZWfyvA z)dUA*60r{s^~CIpQerYsU4IX3#Dc|#+f+Xaz>~Zk4AYufOvqrYCq)++I?}0o)edYx z|B>Fycc^;FO;;%_&F_>2ol~$a&L-fj_N&ehFCV--QMq4@W(Bq6_BJgIR{otpRX&XN zbd-<CxuH%KTo4D95%JOPMfElZ`Qft%wmAfWg_a^t8t4$t=D5JBFvUWkWy{E=K4nBj zF-OV;f=(xSvh`<^yn`e%J;nXI)>b+~_fp1IE9Z;c|Ee<SG0Go=GQDUg&|=EaOGk?x zp4mT^IC;6^=Ws(Tfzn{hma!RPRM%q*3yO<`{y`Srs)He`t<1|P1L(8@X=BA1h>+n5 zqAk(UZEMaE!m;nkf9>l@g!VI7l^2ZGbz-B3k*L=R{TqFYj;jgTiB$};;|&4E0S z=5#s`$0QKqdt;-?x7DwQ%T83nB0o%IkpSLSxtka*kF7En-w`i{oEe(`48t*!Qn=w( z4g|rsunVD}uLRN+6HBY^u=XE8WI|pEw(fl>Hd~R+&r6QpWG1zpZ)I}*gLq4O_xdVn zYF{Ph)2H4oV<2~K(3!f|2HGKlfn&5izAG6B*hRqQ86={;puTiHAISfjd3<sAL_4y3 zhyxo3wnef*X?uaPEbsq-)+X)Xg43heh#>HUEM}wEvJkL$dh`{lSnq9<FYzye3}wlo z0~mOaHCLO1q7V;FcvkJ5{$92Z<r#J)mNB+({UQtR9!&DUSl9@8<qa&tegoI(j@&oC z!_%2U<nS#aFrQu`%=Ede`kuD7FI@`_C%w`0t>r!hTTpq5?XGy>E1#Bi+fC%>`^mHU zL~rNTn!`=z6qBKa{VNrX=FWUva{+C&DhdUERcZMO5(#t#3s6WPGft&-m!hM3x`(^L zr!{*IP5T`zs!?Vr1_!jpKEZ;T2TR;dv~G8eI<JBzEqR|}j+6!FwYh7c=}|f%+CXlr z@QV|H$LQ!TGc*R_`fnX)q;MdL(dusuI}Gj-Xi>-j!x#m@ZGM=?pqWFJ2<B!d@Z(r) z@q+e6*E0yY4&pX*>T%4Y+y<`FebUid&^UW}n{(VAkDf|RJIE5OP0Lp;9*@qmCZCzK zG2T87oR{Sf7xNN*eLMA5G%-6u>IfK#+i2`ksX0$RdWJpRIv7?p-x@iOE)R~(jwcTu z{e%?k&F5-$#V)fWYmjZfYqgFI$4K}SH=d?lSotEyZDO_zm@Z!=a`eRWr{T5?n-T^1 zp%px@WdoSC(X~UBan<{~&DWMeGLcrdHl*P7Vsx%wv?-gF-MJ@KoqFJR<+#@%VG)!Q zLnc(snk82V#<>=~uP+L*dV#W^J&OIFY~2vpwVK(~1Cr_x-<3aJAVpdwpN~^WqvjgT z5ZGxMJ1{H~M(2?-`1dDG!1Ikv!*&&~PrQ?Q&Cxe&whZZ`X26I}WU9e*uZ|MIo18iz zs-aOKb`6RezNJBC6-=87w@P-16ZZ(`i$w|z1_;9oGD@=KN1Y-L3VDXys6o&whcJ=J z-$J;{Kwwu_;f4{;t?K3K^cZdY)ToYq_-7y%<;=S9+auwuxFAYxOa3qNWwW7&En==I zbGL9MXuk&m=ZA4OGKy$wVAgRx6$}8dMMK1{BeaC?_?LFtz2WV-qE9bMOwioLk`>*b zcg++X&CP*TXwx363GC=QqaDJ-^E|p+3<jC@cjz1lFK=JZe%5x;ZiEH7@Sb8<cXP~1 z7}ToPT^2DUAvK1^w0IPvwZgO-Y&DqS3RDEuxs4y-xfMw9x|f(YB??PuL0*fgp~DXi zGSWNpO;F~u{*iJ_>>6yYwAvU)qX62*C_G!T^i9jYHOXSlN27dAj)iv0w1e^Ll7fu! zCa{nL#B%mM8OKvO_a>x<0!gR7@L;)!uTlb6CCdwN^erPPoM>I{(3}G@v;c;5u6~%@ z14=Y-#`J>$L$Ay{L8w*(95g%JmhU<TY^Y2la+uezgggEni13_yk>F;yv7ugg2Ter% z0XG$-4*7wH;SM$Cw8030*gPwyQaDp@7_f}qqUmUs)ub;7{uyFR`ib0E309|ia&8Fh zb8Pz1?6Z?(`)d?pvws{OPE(kWRqOFy!eGRlG@`vdGNI{nyx7LMUU|M*v|~A&q3@^t zj%8J4$N+U2_hAQ5FusV<MNvihQVq*H{6<XdU~YUm4tfeKvJjVlb>dDWKAIIPouQFf z9DzLkY~g#c{O8eihtH5I(G?Yl;@gMf#9bXjh-DNjHVMZ{h}6rVQ$ErniJaEIM$X|^ z38hl;B;7lcE&V5+wz1hln(()q=Atwyv~?b(PIZFtrcCdIayR9rjeMvwDsPq-Ta<vz zITQ*Ey{&ugFN!Uor`oEk$;joDS7`>Bac&7;(A2mBn-;Os_RkWyUyiywaa=}OFzj<$ zdvs6zW>?(v#mUyT3P@KoC;n;I`OnL@^femIwt|iknjR#roXB}aCvoY4-ywd;97qY* z$op~e@b6dIlSkux7X#VqU!9PlX(nz*!3PZ|>gBq96AcYM=REo{Db8X!37b$*yP9^d zu&-~387XwVM|W#)%Kv05IMcL|CK*q0nt8X7KcX8Kj^pinVZXukM|f<U;=E$3MI6vD zUkV9*Q`3%^yC`PO#7CmW)jFTF<JLBn2UROmG__2Ci?TM%t93|@lFIRT%B|cagU0xh zGXH@br}P*#v-`yZD1|F{;@y2bJrdbH$ti0^(1Kn*XM&3<Lng>+llc(%Bxv#m*K%^u zU$n&jmAc=BJQgwt1fE4L4*v{?7_J2%W#mi!7zh^i2qXC;j4Si6hxgsz@@{wSE}FFD zyD(7rK`2UbjK!8TFuN6wOTfS!+_h!!Ty%OCYMLT|@AzpSu!-3dr}t#>R)e3|w-ibB z_-{oa>4++N5Phddjl`%Y+W{x>j$D-Dc$2VYN061N@@_o(=sels?g%?rd<xN2c7W+H ze6W=LHLhJ49>;}1sYXbl>W5i2&AWFQX0#D6E;Hov2%WvD(_N=YHBZ8s){N1&8A2zy zgZ*?Sv7}y<59lyl!o~iO$3KW=2-(aN2}ROVmOq$wy}9H;9N=38w<wK;N4#vM{gVSv z**nGgOhdG;iBOz4c1h$^{j>=(h=p@k(j$ywF{6{6V4pc|<4uDOxO`O6(O&h*-&+Vd z1>`|?q|{z5d&vC?Q-8i6W=6mC6Ey97ILg3#efb`)U#A8_r0+JUr$T#^ZTkOow;5F1 zD+|J%w-t35-(qu2x$xE|tGwsxy$}1OVamQ;&aqz!_&|Cmg;jT~dhrSVZ?xwz1@D_X zrfg?bKCIK$MiYJQgDy1c@=_qT(*ooNSg7Tq1Bt|-?Nlvn==#Rb>-^>{*zK@7+FMp2 z$Mfbk>T51qbOrx)Y~z2ko)pXOyVV9xr~eD+X&LSp{^;7XNs9Zwa-Ossl35ge9wmFx z10(padphy>&pPmq{qT<7f!ultb>`CX^z!kin9fm6B~vNc+mwA!nNX}22PvaoW}+<@ z&VF{6+uMds9CiOidD?CgT>7YiU3PdG|2$`J{VO{BL>6-pt!u~^K{DO~g0=FCtAKyf z_lxO9G}vS>W$evapSa@zGXHOs=OC`zCF<MUD;C8Q1QWMN-lj#MzFTSYu^RR};Mv-{ z*wOzZ+mH39P1xg%`MP*B;(tM&Hle5h61tpG_S0YV_Wv3Qp7=gKKbG*lPhwx|s?=S6 zx>vK!EorwCowq%FySrxBdUA12RrvDha{g>vXM}X#-)#ibn-FuibZEbru)L~T_?09% zvZ2^{RuQghjjq;!Rk25@#&$WeIv*c69RjT}uTrC&sPShbD@hfUh-)}cYFErC>0A%< z<=eoMmb(;FEcK+SPZqOi2%bUU#15vY3{Go>t1e;I3((p3Pf3kcl}phmWK$KkRT&OZ znL$m`hVNK`xJx!WJD<yT9;##Nu?`um+U_8mai%vsIAyy0%qW5^V4x4MV1N>`qO61v zNyX`f`%6{{C9Vet6G>7DC9DYtA*`+rQNRc#Bpjq3Silf01d*@)m5@P#B#-`j)n|4J zg6~%Y(kC@{pZ$SOiYii%llRqze}gzJ!5Qbt)#}oNe=!=^P6^V24)4vg``AHCj?;uk z@EaA&cb_*>3+&5t-S))63Dtz3Chz&Bg^068;MYS{Fhg(HBx$S4<<n7|kkp~xL}N}* zwjli}h<G>AYv*3krUlJsL{%JU3zxqrDROz|NCmYo)|~|b$NpT@M(~;}7OxGlq{K5n zy`Y`pg$R7iT#@zCE@~_X#XwW8RtFR5yD8brE2st54-+od|Ke9%Xug!Wa{N^9t>|1L z6wpt3fu0P=98U;T^zcG589CY?kUmk;-Xbd-i3urF8U<Z+aBJI`{Omj?v5Naj&1u5- z2Qv7{si+Jj7Pjwqv}j^8bzbkFzH-riYsNqV?=#gCw5O6peB6l`xuo`vAlP)grW2_M zUN3wPEi5r!+^X)>I}cB(u?`lgzha#vyhD_#2o_U8LbS?2opX*~twq3S2uTg6u35O% zF%pVjkjA@lV=s_=Y9LUD=vzc#VOGp?pyJeefsHTRmLrmn6vN=!EW7$guGU-8XpkPn zchGCM1oxtZLtoG=SZN{0FxqnLj&l)0+1VPEDy|9N7MczRZOX15*l^TcqPc4?Ts8Yz z^^BHKwvvLTUBmXPPlQMwMTEdsqWqcfH0mKFl9F^=8DpUPt7BKQ7W|kP4VT=dcSN*R zlK?459FmERw_QCk@qse2yw<TtDr6y9WrGgG&qH5IXbx9HOe@L5e@BM8SO;>9wVA{j zdv5s8Rxhe(r(ukBynY)-s9eH-CWc2ts8xXmPCYAsEDCs-_Ip(yO1$-S45^Tw0h@x| zhqOoK-J0!l<Cqib0eu4MQGE_2<^Gn}QymcRBqa|OQ04&*<)IE}*-*V$dx5{ZQm$k` zF1z`XRwL5+%4h3v-y|Qj;AKZcIU%UadO9$^eQ*&#BhblJLC~3I(g-EI#Flq!<)_Ru zmxL!RWCfD`-l_7>B4=POoAXy{uQ<V4lLWh$9%k6!6t~u?gtEFmU{RZ}qSA=cl?An` z()UPbC@ZxFVthpy+T1~jzvu%nH0C8Srs$ZTN;@6!2I;JHq$2R(-&!srlYfgw^$QA~ zj7i($5E~BNimL?_f9Kp$hVmvt=vz#fqc6`di}WVFnSk)AM~IC=ax?`!#-n44pMq5F zb+#66_|cxt0zR~%hoHSgE+G@_{@owYePb8>S`KY_MWBnX4BmlQOnM9$=Fo9DPbt!d z9uWh<Z@`joUj4kLORcQ^_M_)ja4!oQfsmcKe5*w=pd120M^1Iim3SeuRWhm^LQ6+( ze#ellCFM%z_lPRETvdtHP5#Xqoy7tU?P#Wd;ctcX_uaoWP~CLj7I4oN+rMs})TVls zzmf6Go+X@YF3h6pr8<`;e4p6v_pR@$7UqBad;vO7H5j2$3w@#8o|1WvutLfoa(MKH z&5~%)Yb^-pllMM5+YQ*fSpRW+Bgw-4YlQitVT1M%MXIXPVnV)H*q@9{)z*;DBOU+E z!p1u_{D*~2>}E&1(I+-`IV1>rrvLPiaGUuY7nf9uuQ*>kd9^~M{c23v6~tSM3|1%f zBJ4Zl`$}SCE$h+>YHLe-@>?gqBqSm_PoM2T7w>m??8S)kqBxyAJ1y#%UIlN!xdbLE zH$5)b$i4LtC#_0-e|K*B7WdWITI!|B5zlauNpDDT61}gxU8pL=AX@P<!DNsrCHe4O zWU$pSJpPf!>g?~os`m24U(d+((5cm5j&KZ^Jr}-(i3IC&F9w3YcaNO@quZeU=GLIt z`vm?EpwEwvo6fecvhwG+Mh`dC2(OO5npf3-@Lm<k!f|bnF#cBRS}YgJ`nv_knn4mz zxSj%QPukD7F>h<y#>RG6%*jKwwT}kNd2b%=tf{VB?lva!NI#Ce+=<Wc%?>PT+<ct> z@wK&kx~;Zzp6Kc2ym%%diu4M&$n||LTr0%9Rla;mCoW7|jc%IAmCplQq?8H#lCUb( ztlyBO6ngyeb5q%c{cb(F-)%X45P-RFhxbuQ<-4n!RSJCj9`6?u&&w=-&(6*})Z-o> z<ZTtES68E)exFMp;k08=AGuUqJjyfC=l>NVY403b;4A~q4y=%aT;H61AI$9jqGsE( z<nP1M6Z~`DWlL>R7-kngmxje?%JJ&6rw?zpQTO&a6Wxf83HY-c_u6PE3&D3;e%-nI zD}3^%MrJHW+;U_#{<dPX<vikJxqqSd|Bt_xj;h^*CD_T8MhS!j(rIfquUB#5<LOt0 zYG)ar>K|DAwo}uuciW?T`FM?a@-ny56zSWjXk5Mob>{83V3+Sr&{;L1-%?mdp5xf! zqKZ$wpLNA-@hp7S0Hd#dH4*IscWfst=Sx-Em$=>McJD0fXcdnzU*8}1)T{IQ*#8xF zWQFP*P>0Hxo~4TyN9v&o7wU^F`fg7DY_LOWPmPp9KAG+rf}Fj;+Z%(84!o}cP<~t* zm28N-=Wu2De)_NqBE9=>DSUY`AK7Pmc;z-&);7jnDkFltvXO}Y9&HjU6LXr+g_eTt zLy~tPS9}1Ggjws)K(|6K&DPOK?t;EUtqVuSA_qwJf=jXe`i9aqU%kd%#oH*19S=81 z(yR5r37wkvqxsWG$|G_oSW<zoT}v)!zFxCga6Eh-kCTM9#6UcXApio%EOPTq0SVP6 z0?klPN;M4Cf`VtDjrrC8IEyDskOCkU%vlc-@S}3#|C}`rWyYfdq;s8q1j}`|!G|wE zo&5qflYJLna6fyGIaibT1d^H~e69;ojJ*VpOBr|vVjWpn0ji=nD39tmuzdm^I0$aQ z@$+%F`;kNwe%V@~y2#SzvgqB1CR{Y@D%NS#hJPE+^Bf5KZ~XO_|B7qVp(;tMNoMd4 z|8Z0C`GyJm;Ai@(pL;V@vue-{Ix)t^IP+y|&$wj~YMG-E(geJw2Au^!b@IBMumE%Q z4M|n7aYlT99cxL{>Qp>e-M2qHKbEcT73Mh$PI<p5tVc)Y{ZODl>-qp?VN84QqOW7Q z%dRPfP6?msDhb5K9F{&d`B>WBygs>FM`G8e=v}W>xns*tJ`wIH7Cv+GbaL}>aKZ}= z^A}53T+Z_YJ{?bIbmJPG^{ihH1ij6RWBimuq4oeD;Qzm5Ur9Ow9WJWa2#tI^t>vzf zZtGba$JR1}(p({3w-5Gg-Q1dXlxo@13~NWX!8Z^}DS2J_gY~_=XIxq6d2I+%-me}P zuS)_-kT-({?@cmcA({_&0yd7eeO^krn)E5tPtcSbA#80i8EwMefxGOh?o>!Fn9?^8 zvw4IqIXRH1ZO>7tBX;~oHZoY&=1By`e!(PHlF!{W;4I54Yik>Kqu+G3XWdlZ(wZ>U zDJ@qw+32in>Q2xR=^(+FfBJInHb+&lo^n;iz`Dz<9QZ=Xa^I?(+mQ`Hd=z$o?rV5* z`rG_r$EQXLYgb7$`_;Y(P7}ow)b!quaWZlJ;Xw8{=-kBn(rL3ftA~l%iQs?aHgFX7 zK@r#fu|RFVwL~gGLN$4Jq)1Q5BQYoCZwq4m5f)y7{q)_vk5UQGqyD!qkKNYyuTVup zz%X?57nGtU@ov*TGlTRmsz{P#%T2JOt&^?x%oVy^V6lvH8!QjCNFm>q9K?`6Jj>Vk z2(r9{%mdhT3aX(dzHcw&;ya})4_@Bqezo_JNggB7`15w%>T(794N1-Jntg`pf!8@H z_*^L&S`{K@25uG6=liuy#9Ii52IP%86j?1d<|~UT*AoIN(0;QOse9e4VkPqX(vo;T z;*FWnw^f5ZBZ3|3^&!-(>T&ff5>)mkj&A<$90^#CqxHqBqnM4)yZlwiQjCDDa@ixE zdInm-&hzGu2t6C1^eVVxf!=yT6NuN&HcawL@oA>k2kAx(j6rk@uU^@-ZD(pnP)hZP zIx9e(k@kDP*rC_aa9cPXIYJeIm+0hWQ@+pJvyK45%1feM%Pu}~1<;A8AwLNcD~G3c zPmb7b_HJk>T{;r3fC;3^toX8UhpscJhTD|TNNmBwW^~#Y-EF}Wg^pNuJNLtKPh>!& zu*LNQ%>%RV(SYLSV4mK{_m!u0IErY$n>U!YwGI*!b;CUie#>I@b@r9wM=76~$JT_w zi#Qi7pZggif3F`nKr(Fn8pGIlJXOMkNOlQhyQ(J*ST9B$Do>1I2*dp@p8o<->a=xS zl`qE|X0-pY1+GchF|lw!|AU5oB-YxkqRrj&V&Fm7Yrek`G9hBbYV(6{t@h9Kom&|) zUJuv_96!1@7x*TVLOQ0i9n>^^h1RYeeHhqw16QYrZ;B{9xKRq8o}Q|a<4-}0fL(;0 zFl+VDh5mK?6Jw{l=F1~Y^P#U=8h8KUTUaB`^T&#rZa)YXuGd=j_dqgZX(3)co5?9c zZ$#4MqGki~R1>3s$O^X~dY4eCZ3dOMX5n|&K`j)0(Nb(hazCPeJhK@%<yHnb*Pu5j z|7CG~8bi<c73bcDtPQh@x2^hAhkiC(Fi4S2X)CbwlKh6T=@1SC9enuHNuPbWlgOAD z3ykjHc-BrkB5aZvxCqg1RROzULqRQlf$#aHZ^P!(k#$qQ(XSqJ?xKeIe*saY-L@~K zj&T$C{x%^Zg9cUwG$ck!)*z!eMB+9=gI0Q|&b)6Pz2Sl`U+tyVvn1LJuDREG-o=RA z`y+7Q9~KZgLl~rvEaCV_06&HF`dE2ed|6_`7^b(UT{~siQQ6633$VC24et1H6DOL? z-Ia%kglb%&0;SuVlK8K!-_YolT2XVWr#|#Gdc6Z;Il|}Z*B^B>g%E4mZhPAO=l2CZ zg@l-{+-mrcJ1xDY+Lb(Jny8y^Su$)jT-2uf9YHu*axiVf9QgM<>;0n33wWw}HqaXH zie!?i5A^<W#V>z_Cm;OEewD-X2m3=-__G!0615N1_>~zTA(d7u#4sqVT=jirBXB(X zceW8Bz28@{6Mp^tgeoCp0~6dq!0PS6SG16Y5hR^HU}eQ4sO!Mw?pOgbjSc37w=3)n zveJ{X9p+7{x!8_3^u7Ns1vHK5^!GK#5Z-JKH3s{!eWBawm&kn#K7=bav^va?P3lH` z8GdI>fNy7zmrUmrZex@~(CN$W!aN4?LmNa;FyHFQkI-2tx+bVU^10{U1_k15Dm3^y zu1f_>ZXZ~vd+1?*DVa)8lb_kU#|{NELwvkD1uc+m8HF>kqG+1`g&k2UDAFEwUC-?P z*1!=2;$9jra&!<yx2MOIDDk}k5G>YS`D(g%eQ(#t*<eDvto~}Gr0>4jR!-%Ci^LL1 z+Fk7B;~e<8{Qjoj?q#gj&QAT&7ZON__&MV=P2^5G!Oz{+8+r&9^JRnHY2p_4({PWA zAq7L~nW;bSrmbV?4hr!c?BFLLw+`oCVBsF>u%iHy5U_LC4jq+MqeioYI?r7KVuP-x zg;7o!aq&o^jGHr8`vLl6uBjHjg?{X?M?*8aCc?Hqc=-iRoL7df!6tex`YzD;pWBSL z-|wq7HgkOb68<1bf}1ElO6O0+lfU~6vd}T*F(F)mXt^7*SggH#TF0ee`ef^}qQei_ z_i}f}(rE&e<NgbQt0EQ5y#C7*^x@mV$9<W*o!g!DJML=k?aH<_4sWw)_>qLZ6XHa( zDvAbc7MU$ed`+>O!XpKJq?|_l1|#yX`PT2pcT5WSdEe?<gJTYN&z}W^+U&eiQ8eOr zS|`0JM}-=RQ;YtE*~nrZ$lvIe6@M-G7iJ=hxgdYDT2`D}@Hb)rL^iPHYfOb2`5=E| zuPs>8fQ*~~eq&$sH=+kbR+dUAO*Xa;TWjd<0n4M>L+8c*&(pWP@~G?yz2rp$rqSiI zuM<8L{=ZJsYH_{dC%W3&qDbFN{)PR3Rb`^>bW3mM&GmD78yGPBmxJG|DuP29x2gAo zFrWC3f0wn~;V|Y&$6g^G*+Di+{k=W_Zf0>gPi||E;;xHaISWiP$@mhkU!X7)i+*@> zIW#HBrbSE0mn5f-p5#3ZL9d8;6buLe1?Wz!S(;f$V`;%5mEV;ARHVeEr=Vnmu$0Hq zTbzWWM4j%{`$^rYQJo~Th$_V~9~52+;FK8rCO<S4MvWx@O<^onhMq7DPca2@jy#AG zC1s+aWU2vAGDgOnI{bTtq!Q_0t=kZ)P+0~N6OF!{NLh+xsOU+*fnUP|+n<?z0W4Ty zj1vWUiro$hWI9Wjom@U%cVo-*6Ej?du&%s@*{r`u4B<YQ7C6fhM44k0dEBII_k*8= zJ3<{kyUOXo?)pZxP|qnm>K>~hvC{HR`#wX!7!ESl*{(`C0GT5A9lxe=s4W4yHbtLN zAo7*)O{k9KnT2KcUb%mra}ua8ML{1Zx^+{?Bj(LAyOY_!ef--A=RPmA>mqnapX*VM zv3<S>8PZ|K`Jq|J8xmo7tEScN1g804-B-7pkh2-FcS8&Z=9`~RW-YLrEl2a^9GBc* z;P}nZZQbtbmrru23*cp!f!s9Xw+f>dHUUZ)qzH~4@qZ?m@_8F2tR07~EkvL2$8btt zo}UV9rs-r6_LL#OHI#VLXG+aS5}@y!8ojFf@m7H(pe>*@#s3D}KRUKhhGz2QPL)V_ z3jc)(e_N0b4AYNJP(eDQ`hz*?bG%3???Xc0y_9{q+@@=FNSqqF=PJ3r*1tA*Wh<3< zywb-ntUgvyRMG)tG(b_!`B#1A(MZTRcdj%pr+Na5;M1XH|L1I;7PH(Aq*$U+TvvNw zs(E|!{Ptb@^ExdlsjgfsgycQ%?j`PT#oWB;yF>%EjOqJO>651VyM(^)Xm6b9_E7DW zvE~!#`<n)i?{`Fj)DV)f|CeR)4Srn5(c#q}H;vKl?`jlw|8+FG>MIKPu2Bl9Z0ETN z#qB=3crGPgYevohic~0KX5;&_ODYx8eb00VDRZX2v7OqqeHhk5Ok&*hTYNISgnnUs zNQtq%r34Ut(rNC9m0spkafJSVhEJD_rzxHm#!O3aM4D4e<6IW;F3G8)+vvP5MSit( z4@GLDln4#K=REA2E^hT9BY1<To33BnpXF6^V{g@87sB`hHzDr_c!ew&J!bbPRL%cL z7>(k?xR*RrVCrmvPxMkL9+nDkU<$F+lmM36-)OfC!(M)Qg~nZX?0gpv9H)!^`-a$< zUaU|4joNYO>qBx;#hJ<yd1dyq$mZG~qz^^j7ss;wQyy~H)Or3L%eMT-*kj$PIeyFI zWGOMj*!^sD4rFcwo17Ko=%i?iGCI+-*udrf@W=R;5}64<`nnlkhFGRkGo23xW?G-# zXIw$&+wIWkS4sVc`SDw|yu{T5Q^0H2FRF~s5R%kqeTD0~#y6(;ydTfyvLAFwU88%| z|07}arT5o0xy~{5Hpkz5t{ikr9nm+vS!_yp!yel@adwp2JaPU}Y}a!*P-^GG9oV8B z48NbFZ{2n)qs8t}K1*BIm^%WYr*&Vfs{fzi(?#=sir1Q6wlZAj5!(Z&<Ba~=U8okP z(fva@LrX7GD5FHR#4<&o{XciU*BS=5M<e}HbusZ-pRH2qz>jZWir}`ZT^nx<spbb{ z#W)x}Yxn5?NT_z_5w9{BnF=a2tvG5Tpw}|<Pr*0XG=W)KR+Z;6FjvQ~>(1{{*$c?g zg*OjGH%o3Y&^KoMdQ)_G%)91LNZxWcjotS@!^fiGuE48z4f8yCDmsn_!4$kqyGA;8 zXk}iPnqGREr=nSCN`|4|eV*yp-sVupT`yw#n<(?4UQ{w?`nAK`KToB6bH9CB1EWQV z2+{L?yqC*<(#>{_-c|pPgh<H$+2jQUrWO|X1TU4Lp+9E39OY)V_rV?NqPhP=k#(<H z*81KP>l%kW-Np0cErsq1X2Z2Ed&Rlorb92dOVGe;ss3aiOnq-gYX9i4k-Pd<6mW48 zF1RY*mvCRRyP;jz<^`0{PRjYiMRjfD4&KR1G%j$7r$G{Lma&Z!pOuOqW?`mvFgUPR z%pfH4onCH3YC^^<vA+KKUQVc22@fk(R@%vHojL7$xK%9w>13;2Rh6xS6CEtW3qFDX z{m<L)l*SGRn#nu+tWz4a+DuJ5cnU56zktIc_m$M23ahRBkq41PUnZS)0iG5gz@Dwt zDmqrC;Q}5V95j+)c#M$$p)nft9M{`N9_tuix0jmgatBAJyWfjoQF<f75SB={#Tq^) zi9&?Q!-SIJ9$HAHiP5HW?oyiI<(CMbs_s&mI(e-^9VKqc55}8ZM;VJaO;qVEKCSG0 z`1PLzcqBH=08;?UQB1r96$Y`#SP8CKJxg9QKkA&SM-853O2ki?H7>qK`x4(oQRjB2 zO9uN#KX%s(P-peOdJA5ynhYE=YExd-9*1di%86Nivdi0py`zWl3KLjfBin8>&WI%> zN`FK7L0$?R*%9=N+ObKMqqCj6oxMBmIZN#yFTQ)k-Jip)IZ<HhUl~C6frDQ^Z+;h| zTL7kGX){6Qnv5Uzk500z;xkqU5jYnW=JNeBy(De=Yf!cTBy=H;K_UXYrvSMJ3>2!f z6P>QXeSGcG0u3LF@CYXc@<|SG7q%E?qVM(*f-j`!hm;{C6cmMYTo}sc7|Mw72~m`y z6AAG!N%5YN;mVX`TCu@DGlG8#3(vj5M=18vGolsk{lUG)iK42FZb8vrtCIVR&FtLk zy%`ZGMnw2xf_P#RcnF9y(Pp|3At4(goDvr;&Ce=|x>(*{uKY|422Pcz{JTD=&I*TO z+NJhsmkDOoUD!C@x$>LX?#5#@mCyaX42+@1*9bK9rq7q^DRYEX{l#q>!G;3{_LDn8 z?rP7;S_Tnkf;UYzdLP_4ZK<Q)H|7l6r`D8xxrar_jDkAwAPzhg3t^8$fn4QGgydk4 z)UAec-Qx5!OVAAMPw_SMq`0c-t)B2JT%uc9F9Ce0D3pYu6I%7?pl&Kd0^zF18qBYs zg0?U}>)JD=(ZraU+noq_Z46Hm&2t^38Ll!jacdC(n)vaiNH*M!2)OzTOx~*0ozq=X zH8DsQHXv$6?ZCf+#(yD@dKzF}jB8{^+<OBXa`O``Mg_MLkM3%Aa>w-t_1$byKUY2a zJ$=snKm)a;u&vhl>YIGN93Qq<>#LWH(~oW&eT>o4<AoLf`rx;@TBC?bhIV^MTTdm) zA*@H1@HUx*Lqc0~P@~FWWnqwI&A9`alxP0IYrP(|F^T2FkX;hHVnu4eYZn9~p8dgz z;M`-b+(7)UcHYh&>p?oF*Ab=e$Y$dhl39Rr4ITcg3S$KvUL!K<>NC7u$<lTM&=5{t zK3}^%wszFri@$z^6=BB*=p27XI!iBn%^<(FG3iTdu~BMxYNYb>whTiDS-4xn>Uo6X z&&8%sJwvaCq3avtRN6zx$nYN_(7LhEca0wSb^ayN+4ne7zQdinC=j_{{&Tx#awUR7 zjsyIaazcN+D+E);cVBp;(?V^&v!cReGnHa3P5re5@v75OBHohPcp=cBi6*c`#kDER zyY%4p|1tL#P<b^=qA2bVB)Ge~y95aqTml5w;1b+DxCD21_uy_pgM2`6f;$A4x8eWq zd1q$sdw1r{to7bn-&(2O)m2?x)m7cu?5chjwx~VYs@i3;*`gXJMGwly5qnw<yMpqn zSB*@LMCPT(wK7BGar88kRdP}^Q_A7(O+rRf(iLFJCjbKu`yqmp88Nuk5lOy*AM~k? z@>G@@53MhmdmA5OJ{y5S%UJcMXkiS3xioXLbt<4gVRGq}+@^X8J0#n4jw(0ZO<ET@ z?oz+Mp8dq2+A$IB3fA%~o0TX|@p~@XIIrvIvs<un?$ZzZikdx<mW&k3qhD^2fzM8c zqVd3fF%-Tj#-|!{8b^^uE&&ZCD)f#mi&Um&a>6Whm0g^c=yW7Pzd}f={%itX9sT}v z)I|>+XZsjmuSpfQyG#4ARD|c0ouI0-&v3iygSXDxMR#X7u`le^uBd^qxj?#5Qx<Pn zQPKoq@0(TW0I%QV{v@Fkm1LjaGn8&5JP_<&9hodOBZ`r~*P$sT5@;1A<GFjc*-uPq z|Ii2{MJ%`*jdFKCH`5b*Ntp6WO<85_vUcCG>lzpQc*3?z3_?JsYywH}7epzH$Iz|6 znuH~4iJ6x}Ks}j%b=D|r6xDVot(^FdpC$oXe_}NosO|Z~t^XBpJBhp-90Twt-QQpa zM#^{R8TpJxEa8!fF?;Ppv7R9&aL)?Ep@|}E%X6I<jww4N%KL*yW<k;CKTcz_aDkdF z!ewsndBRGs3EmEf!E&e=c^1*N=abP;>+r5;q6x9A-#7++5vUPDM9cAGoiQWl?zoF9 zKHf32BM)?gl{~8JWoVONLp04t{~VS48^J2a9ZSed)@{ryVaTf6wx@R*nXhQTZv;(u z9j=oLx&<11+QiE8Eqq;}h`V<4T0kc?#$tQq-e<Q-W>WB_3AZTiO{nXIZ{pvyF(S^% zOKQP6%aP*b`VdhkaMY`5j=19(KE!3!2AZ*{6q77jW{Of0T-UG^@vTDDn0u!RbUt#C zlHi`?m~4~36LLz9ig2ldxC&Bi%mfn_SN<hFr;x)jMBOJv(|HMhHcUUR1eYcJb^nuH zti7Am89QkagSZA(E<NH@1)I-^nA2~<{-uPiFWLH<@k^m@3Bir@E1OTd7%Lb!Hm4}I zvlvO3^ec!~1um`2Zr$b4OFENbu&MV<C2YF>kF<*|snac~eV-B^`1Fc)avv?ri`ZJu zQ=X|{>VLPBN>-4ls+kH_SP*1$eva`>_7kN<P&c^J-Sn#{2uP6VF8#6M#!z|2Ly$b5 ztDo{t+w!zadER-jyEIq-z^Y{(x$-(Vl|1t+J)BB>&&N0lv5O8kL62NPHi-gK`%n_* zWINbguoSWB7&kBzCK09ENe-r;`zzRm<_erP3bltx3W3@6t}d&GM<>6+Lq<neUw&By z$3FAG{VCN^>0QXDd)J+o4)0>ouRAw|x6Yrgi*9JQpRPqV2Pg{K4%`3RKfwoDW)J3n z_cJ;i#kpr2=!mFcHabLXI}{uejDV`5U@pj96^e9G{Yi4k_EgV*03+Ci_w{3DTNdAw zpp3WPuEZ?b#`d+Uy%T7ZhdQyd9IySCjPYHZ>e9j5h{*tD=HcN)8<WMrGI4wKx2oX# za*GH5R;b|!ct@Wyd~-`C2GP{dBbauy^ZVmJdy`~LOv8;3r?ppMn)s+46WYtx&ki5N zS=-N4>#Nbj-Rfsp7`clwelC60!=sTNMb3{?tmnuI!%}DCj>ckOu+7upSE*0O$r|hM z6G%dnP_d*;O_ZNQ*$LZa%+!L3(vS7v@61W-M)N^YZi^{UgS`nL;cY<L(l7Dw@Njfm zINQq^BF*{suJ^;7SSUqDm0VKXqvV=|Q-g@z#FPC9VPOoD9oSECS_Mvg{0;FEP5+gS zPTp3*-^g<wJmQ<t-@cP6^~}d|v7=H#Dv4S^3Mtu9lPr=xkyJmMZ`2pz)u_X4ysgC| z$arY9bC*8>zPGoK$LY~DirdDdGSPcieHh2c8m=${NmseD>@dgSL+G1I9?b!6mG&+j z%%D45t@*3kgsVq9l@Yhy85<hwctSDT(hQ9u(pxHc<cZSeD{GM_up13stJ?;NDsQ2? z3cMJVNOgv<pb=MC)Fol#I%Q@iPlnABEaqOOcNc`$1Y%)}`3RQCd+CJ7=xHqaIq#=P z)xneRel?fdNcq+}34jT<M<6ZL&f2Fsj>5m(=S%iS;3ML-Y&HJ2>?Q1No}CMW#2@9k zT)N?tgMNpD8CgxL9eU-6mhuLe<b#C|LLSh`qqSqYjbxIVMC!Tctii2~KNxm(maB`v zbvo<$nOEW)K4=s}Y`Lq3ugibBbS4j~Fs#EOHe?2KV5|Wnaqwy)2%4CSPFZJ9$Rbej zhH7-GR#LB`6+9>~YriZ{%KS53_oPv*`;MBu^D^A;w7s;=WsFMAJ$Jj^?I5B0E8lc4 zItmgJ-X6}(5Pu2cmRRIiHLhA3CD@7E2X_5Qxxs8A52^hCq=*hqmYyqB=y)*9DxxSF zq6#Mgyc(C6O!7$%8Ul(@hxm{Xy&I{wXdh(;^IK5kvEE?RA`knArANtFqR}B}$aS|Q zL1R#2VyJ0_!mII7b_E{#)Mn=v=8-XrQ+_1+92KS~FO7rANQh}dN~g+Naw{;f6vR+G z1De3p8$8oJ^V@8f!Mgc^f|@09Sr`S&e}Kcm<BRXv(?W;HB`pnFmFXw=P!e1#Fs_#; zFwXWzuXe`UFKgzGxpq<UvrO(&xYqn<lgA_=LgYS;>WW8yqtqLTV>TI1s@;<PcwWG8 ztDNXbE8FqkdW<CJL$vkHZ_h;E9XI)@0;bTTMeb|;#M|f7X<>t7OSb-cVMiTHD?YnG z0mq6A{!>;lBoYTA>f?65>se#c)|T%gx^!66>#BWAH;%1>FK?|qB1sAnyGww#*5K^N zSXD)Wo4Ulvv7F+B2RIp<PmO3?$jJDF3r0>e^RNS;!%e+A#cQbOQojO&B-rrqUe^2{ zX|98o5;Co!TtZXAX^@wjyY_6P3todeQW+oad5C7YL0%xj9%>&KhPH~8+56Tyg@kw8 zM$Dbjuqe;z`jscW%wcq#TZz9^f4`kBjHbXtO<Pn8?fd5P?I<SA9C2%=#x5YU&T_#; zr#UE0D@Jmt#IP^a@3#r_AR@LzN2(bSwpQi)W>qC7lQ1&g8H3?4N_rB8B%QIa4~g_4 zqKsK^?&%QHw>g8QQ@vtuF5ubPHbE9;2G=<aRiQJ~`UPQic7a=4*+X(rqj>nE^>k2; z_Sj^!S`wm0CY<pC+irM{9Jf5hCtV>7Lz(6f5Aq!M^R4sP`r_SOn)>bEVZpaLZ7KKd zKf2z2*H+q<Uhz;=BB5pN&9?Ouw@n-p^A2SON(6sYkFG`X5_WjAC@Vuvi-S6}(LDg6 zyW?lWNxR~;3=hLV3@1)dMnfhonnQO39E)Yq7xla|XL2w4Hrq?BR_Jv(+%9~XR!F`4 zTnxG!!4O-(7$|V76}1EyI1msESQu1OLV&M%#TZc#6G9}idc^1<5T8Q=g5*XetdTV* z9hCGSvFdd==6s<UvB!!A#d3b<Ho!2Lw@l8zJZay!l!y!gfXAYpAeqsA{TC90x7=jN zL;w|1c&~p`Vd*!WAqfz+5SAbc79J(GgFR4*2T_J;3*rco2@AkMFJkKe3~Bm0-Vf$V zj!KYT^+WvC)_fTv2!xGTo&7r(^`49{obR98hTy;$Zw>YGt4h9TF(K42PrFUMcKi!r zu4h*RG)3<H!=Wj$NAM2Ass6YBFk)L)Gi%lBly`TSPdU`U+~-P+_5;1KUJ*`OOmq5r zyRYa;ix!$mpYDGD#Bfd!w%{w~(!518z-uZGs1JE15gmuv0C#T)`u2@<_3lW;AqUGq z4=JrQHW(1#Guo9zXNe&+?H@Ny#aGObyo5>``bl4@!l(q=Rgn5TCh$Hvpx-w!oa_)W zLPCLSUqE5=uU3UF{@SyJz>8XXI&g;K%4zu&_+WwA8|ba2&=vep4R|G*jS1FjH#mJ! z7u>yA+4cTo2gjCy=qvle;!@=#*P`z*jM#uRYK0DwZyZ?jUphiuLYp(a*bCrhj@(jm zrqCSns@Se*wzViYN^C9CCq)1L7+_Hh>X`$?kZQ`RpSBG}hlA8Zy-2xJA58=5YDb+O zfaY_qk_yaN&Ui1NBVZQQ4X4F+^@)Ge1*B43n<P+Uzw`|BQW{kM)J|)Eh?o-9IT;q# zIf;kN#QZuzMUHYN)fGOnpJ&)wfMOsLBrQGvn!4|8Y;MEu4Ppf#^+6cXKg8D8eV^UJ zqdECX06mAT9M+M&$y{%E7#<u5{cV#sX780Impdk;J@rS8w+Y`<E+B$mO$-ZG8(PjL z^XH%&X07R%PWrgLn{Z&z{%Y2~R<e|)!s#>Y24Es<y>>iXhYNqxiB_A>x!w6kbrxe9 z=v{J16E?mjV%~ivgeF3UHV$}k2|!USWbGY&3z)-B4-yr{Hy;GZ2s&SMF%wqJT-`uT z@98D_S7TpZer{j>b@p^mVwR^58Ek|;O7uM)lc}5^V>vUA^8pC~eqTO9FcVW3Di!|S zEcxozy_`1nmxx_rmY)SGBmj=#!^BDy75)7$0%9BJp@u8ioNvPc9GQDMy6(gV+twB` z0Fl}OC8lL~m9VZ=9KJMTE@Bf4jquaC$zEGGh(BO)FkjcjR;RfkdwNqUFw!5g!|+V1 zqT840x&y|Uo8Q;u;#oj=5tzBpXDWb&xAIj8#9HP{KZ>*b_!l8II^WL&W`7wnH|m;< z*25VvfNtnBgdxgJ8(^t@U~&$6@%wQ-@gH6=fN6e<1-te1`p1P!qFq6om+NL7bOIgm zf<V~vUy?T@0Gr_fL^6o}9WBn(gg#S%V{|1}ajaFn%q0)?IcO2z(5rhB`Y#k1L+ZQA zKeB^*90+H69Kkr@eAtMuF{ApN0OkHwZ5&}W%rktM6$1Bw-pm1C;7F~lETglH0#;R| z*tk@+b<VC1@`t}!+%cZ!zd9P~J;eB3O+jz>D;4^xw5!t2*(<!+src>NBcS&e*}#Us z-E(I4O9AAFXL@V$XqtRh3~zzCetiEF+NAIslz{+rWa#tpgAEjxdPT@RNDyoDeu;9T z17=hQ7;6G8<!{u`EnFjS<UkC@U=bkQnAiq?nhfw^ff`^GQy|WKKhXg6lM3i(uR|{g zm<^VL5Ltt<yatbYlo%jEcs27|T+2ctVn7GLT6B`%oBxfa!1Nb&(2ml^(E{d+XfMOm z-4Ic}vM|tdB`OfI6@TePx<fve8lk~)9IEIrMc31DDj=hy3rJD{xJrxO{C?bzSH%OE z_t!MEfcRyA_@=bGNPmZ!n0DN(m-SGVd=XpUo&v~JkHAN)q;mLTeYN+V)aw$Fqc6hM zFdK9Bzbt<(iHvC9@wJy=MtV!m*pgYxo%iw-gxwYH9`C}I^D?^FA>vopPi_Y<I)9O> zy%KP^7v{hur`s!EH2f;kcR-^-x~h-k>($+9<S%fbSB_;Z)RP_&up{jTrAOy4t^M`5 zrVFNMllfBYFx&}09SBr_>aaln76*6)G#3w{PY&Q~4AtF0sA*5W`5-^wVVL>i;IHf^ z(D<IjUfj=7TqfmpM8NFaQmzg!9e_!!9mxITF;viYvt!_2V}T)(Lj#+odr+U=`xvXC z7nk0}ME4=>Mk9RLMBM>WWQj6bbY?DHz~V2>(iO%1UYL{e(AS=;ypx|fCDtQfG(z9k zp@>;RW&il1`6|F5(IGljvu;m_fX+1(y-TAQkute6U&svfX0pX2#v8nWV5kc?IhCcC z{O@Dbmlk08xYBvm;}WJ<jy|Lo0jWJ1z>Hov=H~d#O}o<bzo7D;`bBU#Qh)IR`o9D= z=X$(3?KXywz*5@9RDTxRF`=gch&lnHl@QE?rl{h-T4J%)+uz(e2oivP*oJz=I3eEc zz-|&3?oE1zEzac1-SgTJ^*)!jL@T`Mohl%dH|d{-HaUma5bVu*hK+hnVn2uW$^o#* z#>*jMt7tBmGr&VA7l`MNfHNyw8kW5b2*f-|m7dz};Y{_v8R03@{k0YP?)VbZ7QFP& zeZaPOISK`O)xf<(jWfOa{M3Pp#XJBRAohSQ*j9glKDeO*a1bRu%P46GQ003vRMhdZ zOW8bm5m40NPj`WknefZ#0f37r1UOa@ymSfliuJzFlo`}R0#+y-7TezriS_p~cTFt( z@yZI=S67M){@Tg=t4qv1tIA%85K4WNe(v+xassXZ6ExFVJg-`zyR!#4k)h&`M^mq6 zQNNd9-k%L<85R?BUw$R^b*!+?-Pz_$NWP~Yn*0v+PA23K$ng|k0EH6-gx87!j%Id1 zdn^cFJG++N72l2m`bW$Ic<3F-o(jLZuyQ9mA+T<GfXJp9_}69^{&MU{zCf!eK;d9| zQK6}xouy0(;6_RjUZfTep3W~k!%E()B(3@UeUv#Zg>aY$T;qig$-+;Vs`J2CDbk~T zow!<F{MY~p#5XIPnLEBO0u3ub(--xRUMd4hH30U78+-TQaoz%@m!{|wq5utotqI_+ z!N6`l-43j;{_5uYF{E*e&X;M2U+CGH(GmKvGMsulugDd=^jo&2x_CJy0^M8_9@GHE zX}<S5y?i+m0LY2T^K+>e189OCP1#)j!CIjl2!iWBS0^d~gZ376W_@~P_RAJHUjyqS zyz_lG85Q=Fg0~O>&O0wIhk)yr4M|0Q(0hLls(_AT9HAlP{of$GACl{q5qCgdnweLG zuK{s~^PiQW)6P!MfV;UN3vN_zloOcu#3?TE&i(h_{fU0~d8}3rExKUJ&4E&R2fEz5 zh{0(8`5J;kUi<%W4S~_j(EdTCh5TaLb)mt5^YfGa^$KD1sYXb0UZu?{u1)LomkNvi z9nFW{k@clDTm7{IL6_6Ep#@w7j4dB6-iIjy+;MhFGlmc2AnF}|xNS$uAvEftGx&Y| zUw@{61}62;ANcRH84CZDp2oHJPHG~8W<KNi<Va9J;PA?nOMQ^siw$(7z1cp2u-5Kk z8&S9{sgVGOE1W--UPE6_eHOsWeI;^q63Yqxd{BkvD!;e1JtNUv*P7yOR!{$&=QGE@ zWzg@Hz5!c#*1voYDf~yMAFczmuz+*-Ac^ttoxfkc3HYFUNDr1i0rGO^AuY#(zb#tm zfUD;d$bCK?iE&1fm`g~NFX2dLq87Ml>BO7rvh5q@1JZM+_v!(aE-jn)3Ne3x!m_>3 z+|g><&o#9=+I5#HctN!`?!g^lmsU}Qgy<!LVmjhd^niT<zDjUrNU&PD)3ENjHjSbr zvTRNv^Dk)TEh%8~nZ&@K1VcqCSu-01tTdyIC>q<a`+Cs))XW4ds|`3cIre~pf(>~w zTz_S4P<Txam|}7rJ3FIv*OEg-__V?fUl;bkoFC=%&Tp?XX0F2ZIX(Z$+#ADZ8EH!z zY|LpL(0>~7S(*BDDO`u9{FcJ<zTvK8Q7kOK_~Jx=-x@3#c^dni|7z(uG`5g$8MKU8 zqTsxxut9^HV;cWjuRP5AwO+ZwrtSGcHS66_1L`UAA0jj@^;y^Fn^bJl6NMR2|97bs zwXdIA{Thj^et!ASR4bp_nO&ywfvB)abGgorat|@ME~6PHl2vm5)y@s3+BzL(pLB=! zMt%ZMpr>>4etlqdBn!g(xT2<p+ZAWT=;MM%^`U}?7h?ETQbtYv&))ljSYFm4utS5C zm6z-7^s9suzXz;*n&&$G9ErJ|e`1M=f`ne2Z*jBA_f+yYc2VK_+~ZfKob*Ixsa3J! zOO_PbDJ@e$TITa^T7^YFxF|Goa@CW_t`q-57DO8Lv)kjw*8a^^(}9XY?59o-M{nl{ zI(`q2liAJo_V^`?%d4vm0*hAk?+t&x8u_E;MqgdM<Y90b*mS&9Dlb;}+0X9c;=+9P z{9SN`myd6ewaA0K{EE=!1cXtGXz_+#wJ!R;_ukfMOJDml)U(NZ9Cf7p`^b4sDq(|G zvzbb#E>EXp<lYLB^TtnSe~K{N*tuW|qlAx_f?44&Ypa~^qf|)w2J%S8{NGtn5oqe( zWh`axW{vSLHynPl+Fq1$0df5LQsH>eT^)Vqv{*_+a$d3LbXEkHX8vDD((ukS48Sd$ z{6~@ow)g*gk_Hc-CfmmUE=dE%UVXhPuUT~<%0jht7n+pfj??Mr8d+ZDexS>;Bzfj^ zerwau!UgI4H>6~@I|#XvxHY-t8pF%#$HL|PNgBA}E-aK!u2+3a(^r)30Y0o%AWP#+ z9pRb>S3o~}`P~TQPQ>Er+iXvfttP+OtVmJw;6y=Zq4&ftk+I-!hE6nz@x82E)4@^T z(oApY<>0w~{Fom%cQKYb{&f#|F-z}jgwm8g1$$w{IaS9y5O=hO-5RxQYwM0-P(*#Q zlF~aCfGmL6o`Y&xcr*6YcRHB}hc@@^knulRqx^9HwMIE80W>M58CZS>y2oEiiF#TP z8GrlK8X%eY2R<dfOB0HAa_X%u^e3ySyonXnOX$U><=>EOBFsHsaYM<@Y8pfM3g1rP z*uLSNKEuM8VMt^kL%1l)M08@qk35~vNe`%UURusP5p4Z`$kC854+-RE|Iup0;&{r1 z^;e1VaD{&^QQnPR6Ujw)QrJ~kH|(_iUrf@#X#0@z?uW_Fy^8)Xo1LSD=%(fHyzz<I z&zLTCXcm&+=*1$`2Q`wKxHWbR_@Nkj0!N-6jCvJ9#-Tb;joutbF%xZ7am8g@IIs*O z+VNW5^!{FCXJE_up>N+3w6G9G^GqCbAiv-RCF5lXIvo}Tt#K>tOyKJ`Gn3KbFADc? zPp;sh)CjdV6uJhxE#fZO9RKJBYLqW&{x@or&t3n|)F>bSWodb56ZU-WJRU}2ecvh| zQDLnvXeep|1{OT<#*Dya>Wiu1V8a=ht@P5bXkTfwr~O05EzK^ydhSLd=c0I5>{DN$ zZlbF5J$X3jGyZ5ilFTEx3Mh-WZx`|7<aSM$O6}9z#R#pr4!7?qidy-|22eIEtVENs z)(6qrwNRnGBk<9pO15C_<{uFHzlZXmSu4c2LTldG5V7gu+UY(M@%VdZ>0c%vv5<+a z8oXVCYqkO*Az=tU;O;>_Koz^pH%TTgSL*-S!AqbZSi3N|gin8iz67B&b`4q?ENp+{ zX;Xg-@lo*oRfx8S>5p}k=bis^j)qx6b$sgnUnv@#v#|gFNzuS&1X45#wmoM4k)i>m zD`kYZIG8o@Coj8#`8JV1bG>_nYhDSFk5^=>g<N)J>x@g@$1|cNKNR&2T^ky*z*e5P zhNSiX>l_Vg`?Zw6ax_AsPJJ1oR!l7~FjFwnj0YR|=ROGtUK`$5wtqHU@*C<iZm@kO zvRP0yzvE9=lw|S!`GeY@nR@L$B%fFGS`w_ir<C)l(E$!sH*J%DD{EE;4z;ZBw3Hy2 zIzJGLN0-FA+1bQg{#Qnh5SLW+eUy;BGeIv`2yCc;4@vM(?+HBQ2kNL>W+T11LOm8^ zIoi;{vawrSKU?rXhqu^reQ|OOim`t_3>;*1IbfH6SwV^w@@8={PG&7Jt(P`cqUwaP z8n5GwLE*|(m*E^h@!=(l-$%1;G8omzCvQXW;qoWsP3P!c|8*5mp)kyRMi?@LWqJIj zIJd6@wv6C>13k^==+>oq-UDNaO51^lWV?)O;7v5FV+i!UsKRaRL^h^bmNfa=2|tjR z;Z+PG`gYv28b8fU=Amkfjb|9%<Wn6LC*J~#WgAS?jttunAPc(PI=MxKS-rNl<Z!<T z<>t^0;cxCNhK%Mp%Uxa3>Tas0gcn54*)a;B8nSc^rk-amJgbK0BUl`F8Q$)e>|^~# z1`8XpvLjY|dxfO1-%JiS7bT+j_1bxw+B=fi&=387?e^~QIf(KH_O5Gy=1gD5&Ip25 zOhw9@ZRm2Q=i4_3?tYyCM;52@OCH;iBjFvK-5JrtPQ9gd{#1$K4WR#Hm2#b@f4xdM zD$2aCm=PNogdbN{O7~>)QO4xntf|LGt|##=FLdtAkF2$q-NG3a`@fPcL++&&xNYKF zLPPBFdAB;Ft>K?P1NlX)tN6j%cRck=%FX&PHdTG@Y|kJ)E=3URir%8saiVk-B1_#_ zpmP^VbUQyBlA^i9B)Oracbfcz=$GQ#NFSml%^G+2O$DE!?IR;Oe+`u4+>t0banSlH zK{UJp)WiJ_OvSsdepEeEg4%J_H*tAlun{pw-#Pu1KHI_=eW^~7dQb(DG&YO=u2PQs zq#gX6xZY`UV~hLL>YbbEbyJnZ8aEUq{ZgbHp6zpSVA_%gBpN$1N{qah_=gzarpg9+ zNXIp_Zj@P~gC}RV4MWE<oGvuB2*}2$vwf~P;+DtF-*;$#kf8FASVQ?z@fri}r_GMr zP0~(rmI8w1!CJA7=X|Z%24H|n<)<6}$x7uqG_hF~n{>c6A5TZyheb_I?_=|~qsWHi z6rX9%9G$$Q-U|~ty<FjU`}>lD{WFC+mgG2BSM$JD-q{a(zs*L!5POybBN)h5De_b2 z<lunvb<e|Q!d`Qhj*uFZL8tOJ5ujv4`l%FyJfWMP>(0a|j7Ya#Vo|?k!I6YB-x@(A zvNjHQ8n<NZaBO7g0ku1I?vf6+Zh#x&dyF&Xw;?;DpYFw7BE4d==A!Zshveb3)bZ{c z@Z6zuBFzulSwWIFx6zW(p6U|M?F10q17;Zhe7SKUXmCU)<R9bI8ah0$$=?m;p&PtC z7K)f&;{9GRJRQX-UqC3`5E<KdP>K4b$?p#j0mQ~^2m;b&MpQR!7@p8+!sFTO*wJ1U z_VmK<qdf&~sU|axBvDj>O*NM}LOG-MfS)SF>zG@;t+b}_%TWDkp5-^PCKC>y7`o*` z_N@3^lBpcfjJ}4}Pz;2Jze|16ClGUwr`nRGnh+=jlW$`hx2FhnCuL*x9OM1I`&*r0 zy~M_7ZgYWXqm3m+C6(Gyml(PwoyBQBTa4KjKAkJB>>^f!gIM61+Aj${N5lZ(^TRUa zT>ZxGNbIklb^V3~=E-qs<3|gWByEH_IgK$es&A2H)bUt4fzLNTC@bm_Lw{CBU}@qn zl7_%LAQu^x5y=RhN?War=F6ZU94mLyKj0G^qH~(bEdg~ORy4uYYMcyhRS;QGuvPCk zM-{DAfAkwu7@Y0ag+|<1U+J2QjtWf`%Pu@VdKUJ0?pWc9Iz;TfIJr{GUbbvHA|!sl zZ`HKC3HmTt=B1T59g;DemqWQ|DuvETfjQ`veDB7*;n5-MZo4i4E`Z?+7OOms>t3-3 zLWfrc4-!+$Ii)xAXOw-v-k<ek8T>UO63p}fD|P%=NdR~4<l<NUUMv}XX^D&&Io_Lb zq}JY*;oXmu&`&`t58%CYf6(!?rjq&`;Q7yp<%#dQmb#5DJBks1IpkJwShVhroK<AY zXT=?l4czduxu3RVTU3w0DY0Dc>amZK<F~_a3i;+iJJ~;~)bFw3(HOCtad>=L=3kO( zpWpf9<mPp^y@9m8dKv<!-aosyK<oa+D}2T2Y)7N`epKz`&&TUFdh^pZSVkw0rg@E3 zy$AoJ^Z0{N*+u1(KlX13^>XT--5j4b&!AVV{Dq*$GBP)@+?#VQ%4|+<N?oGn_hzqm zw+=UQ!*!Q+^>XzpSAXS(UnDgRHg!%iF-?rSlYR8^3Lfs^_?2nUQ+;CRKF2TA*#*@h z&>Ii7W#p|O9c?yP<ew<RT8(qGGL0#PLSoX2-B6TASSxmN1aEwNEFzM`m#yyJ5Ry63 zi-eB_YM@#P@`Xrk4-&4CJ2mGz`ecbMU)|v_)jM<r8?9YEDb=j>o#ZUH`>EX=-VkBf z;RZ@}eeU}pq8kJXiB2eK$+BByvz2{lV~V8lmjZ62dG}E1<W>U?417tb<*Avu=ruW% zo9Urrsww60g*c+7{L#i4(?;VyM%+pCm4S^K^V&f%>x)B*yGPnX>{Oy(!k1t1S<fIZ zT<R#^rRL-8aBUGs{g{fsJq*f!7POq(w062toa}kPlUwPE__0XNw1kzS`R4OuZTUr2 z_oq;~(+bYuk1oVl)wd_gcSH3)W`dyjU+xe&(PNF!8Ym1SGb8XKZkLh#M<i28m2=pR z)|B_t&|bKoy;wE$YMIIBHM|ijhGQ7T?8HW%s8|nvGpeo6Fk2S>f!r%hplW2WW>UzE zWBAq}5&D}p=TCQ)frZzD-n{`?RYCFx3|IS_pA<#sA9c6p*X9a!Braj&6fMuupFYus zX(H^&dYg@p>tgkm$t{^n+1)ufKZf0ZlJ-*fHO_+NSCbS=h*dP9P{oD!iHr!Gz>bLs zCxwK81TRl@>=H|ZllMPOQ_q0Sfu?%jxoSobEMcw%k&1`TG5fL00xc}rbnCsWUlHSb zO%?xY82q&e?=lm=u0p<qnyOZ_@c7v{lCojw)Uq$Np_X4Q^0|&T@*9HmxFO9Oc@^x; zdxIZ(0y+0LY#Leue^1^*^pJI0S=8@2T8!pgo0$Jhl1yVw;xa<99@wbo-0=~7hEJS+ z&tp!o-+{E%VPYVyM&pZ#p#P_i=V{eR;2<pkmi1KclpXfDYNeQy?Z)SiR)yO7bH&Ea zMgMa+MLEP%neQ{*S|`Fy)xQGn7X5pwQjUANu@0HKs_DZrj{8@(qY%#)8LyBw&P`Qg z-h52u3I3gT9udTIyTg%npm1lOO;4@g^XH5?8Q<r61*8XTW2kY7hdkW!%OI9mq1%r> zb3O#X>8biLZi3`4f-N}<9rGPLU9fD?hOU3uy+pAhG#Apg2Fer*R`A5zk<*(kk1%`^ zAo)@GMl4ZhhBNH8$X~lzrdf<?jE`u)u52?2n>SxrNOheK4PgN?(!!|s?ra@CDQH{6 z3|xgnOB`KHA0dpWo&mMI7Hr*FLVh~yLIYII<L0%(&%f8+BG@59jXh5-oP9!@z2wH- zXUxA06WB<PK*8O)AQ8LmdSnl_12>{`a&vWGrwhl`G$pYElr;a~e-EdMvaCapm7a5; z;S|%llVr<8lgvnUc4(+QnJCT;Iu9TxU&YgR>lGRX<99rn3Xnb&vHB{8!wco~_6ugc znL_ZDufDjU5hjvcxt3CXX$>Xf*avXdSRSsz5RR|vC9YE2T>XySj7Y3%ki^<UeMpr1 ziZhzhvib$1WtP!q<ev|ezVTX>NjZvRgeLa0RnwW@A$?GTge7ZUfg=jGjLdjLN<nm` zymm#t@*tX6-&Ua+&FO<S^Bo2w3C=bMgtf1YfgpzAvbf<?S{RweAP(fB>+}912+QdW zY8)m7QAtO%x);4=(voB1Tc7+xP5dsR6{6bVtTOsZ)6OyY{z9r0sBEY54K*TIeI%wa zMNz)lTC=uShzK;f$N)C;7T0GN-%&u2#w(WNQhC51S-arphl~_r=D0Ylbfmk4EvR7< z{jsd9Ho*a3M}~JO&B>7_dthN>LjimAfnzxKv38w!78<6a;30%mSf@eRdr<G<AP9e3 z)0f@=`39@+({3H;B~AaR?OM&&*nhFoA;i}h9C!sElElqq$vwrZt=hWm5k@U9z1Ldv zPA%IL0)B+$OpvKIvSerZtBs&fp`zx@H?MB7jPGCK?~9e9PQH!wN6-Dp0!7QiPK&N} zIDub*BNz4u)9k@$h%IIOK>ouUWbYkhqCiYecTBz=JKY^e-5q-(R~Nc=7pN@64)p4% zBQIzOywo+vZVg%pJRoD7T5V4c+mq=gj2leT%gm#SWi<JQ8&mTmw0dKH7TJwnz<TzH z!C<D?!C6k%_L>jpA1(TT=UFR`ON3`jOyMl<Ck^!;I+|((gmQm~vTUHN(0?5a_x=x5 zBYTw&-^<dE>55tb@c(8@kB#s{B)Frh;VM!R4tmZb-J1O2_H%X@$D=Sb0z(EtGuUau zbp-UZUqs)qz(ZMI-YE2D521kzl%*+doIiEahpEfqAg@pUxog9ey@ktyL*v>TQ@^J< zx&#fj%~Tv&u;mzU4`oDVr|Bh#^cb6ZutKyjz_Yy^*4TR|=b~*UI)qzp{MPJ$qr!*A zwKY^TfUix$i~KI{?;V1czMok$56;2p=%U(_hnU0hTpu2*iw{w_pQ*NJkc_N$bjF;B z(@$k0a10%%($Cpx8Qm;r3~&9;;qs+td9Nju-^x0fqnmX?#REm*x4{6Xrat-@Ou=)i z&H^v$q>nt@SLdrZ(n8SHI|~{|e;9bJUp@MEw>5@&UEp)t*zMojJMw+)z|jegHqH;r zsm;;rP{n=$$Aeafe;f~5o1bX?Q0I>_2(u8h=zM~OQV38d!fo<>X-pLaR-xYDd8QUg zA>JEN@$m{Soc-`X?DmEm%&Z$;LnAxztNltyAqk5%M_B7pv79Y$7rh&nZ<CT>6~VUv zW*QQF^(g2q<Q#`XsCe3#!yb&0+;xGXy#yT+<mv@!wQPj$3DIqa%rJ35!r+@VxB^0o zr`YZG;qXEmem9ug?EQv(Y0#T|+dj^_N5;ZX5}ODf!G=6{y~%GV_M$$LfZK*)S74u^ zSs>6$Gqoeca5ZYm4>uy7)3e(7b^@LfT6V})CVHzR<n8wvzX#MLL7Z{@AE!xvU@;`Y zipm3t%-M&sT235L5g!;IQe=abIhcOOza?kDU2hzQMHFXyuOyG9tcszn?_5t$#G=_6 zg{5lV(zn@_oN+EG#v{ng$`}t1WyxY7UV)UJqyL8~fC1+EmK5DE+vHPt$!7Nk^R_6e zRSigc?OlF>P!@0!`Q&K-Mg>MNNMSR(C}*r{<bYa>MPAUtjH#JPf42XCOhoY~_i;TW z%sacqF``+hGH6s1@+>m2Jo<!^egP<mF)TMoY4K*$fQl+r@bzRafg4m-$kLmy0u@Ox z9<AN^&p$hewXRVYj)+}a&6?VuzMz|67i2vb3F1bWzC-l7s7l!+c73Wo@x=KPVn_Jn z+?jZ9P|mmof12QF%;E6~>gUmwS^PD|&R1Nh4*0;kgE1f7XV)p8FTeOZeDkfupZdOa zv<NIo{=lY12FXPbD(-tI$NM@V$6jTr^c60F(5E;Kd=B4`K*{&8xw`CYixF{2=#ka) z<FrRZu&Ab4TTi$J1^V5^pJJ90Zg>2tO5r6-nmE;V4LWet^TprrI@p{kSWf>0KWK8` zTc@W(^oX&v9~&GaLQyXAAO!1N8W>Hto+ootj4t$q2Tz<O97>E)C>6Q`yF>cf)tm4R zxq%$LST_YDpP&sVgbVx!ak|CiwZZ$gonexPLbV+g3HgMLK=`%iH79>5hj@jB1vUFp zEwa*mol>YeS9jg?(yL!mskk#D-6{fnRfs>oW;C|E-Botl?mAArMD!ra!8}|R02LvC zSBZ6%c_*H#AUtKEKH4%p>9wnsnVdZ%^%kE#tDjw9cR!a+KGR)xB0N@!{m+1<#-|fu z8FaX9GB8zf-JLVWr~ixhQ^3?S-+SA`dH$Q{pSwO83E9uH_(xYh1b3^3AfLDX@-VYZ z-VP0#*5RZLUQ;~Q4Pc3Dvb`2%&?Hds-?*?0NA#cy7)6+F#FQ4r0s|2WD#R?x6c_2f zHNJxP4%nZ9rqy%m$4JY>EH-nBDq!-gXW^{fgC^BU>($__ky3?(0~-;tjvRRc%A&T) z$Zz-GcksnkMQzc0a-j*OQSfO;cI736jZ+1W#)+Gjp(V4c8FLXar3pxr$#FqM4m?QO zmKoL#t5Eb9<yD*iuywdGxHtuE(Ha`o43pGg_87z$Mn`s21$3z+`BaGM#e*%ERL^(l zA2tBnPxgiiYG}I9%g%%UMG)9|%sJ^FsuCVNS8nvA;mamF=`O1f9?Qg@OaBFs{;uTT zQ_;;f%WzgIN0zB>0^mQfRlQ`+i@IFwFg?VNgnP6!ec5>ECOh%8+MlxgpD?Lmn1UZ? zfg&*+uqV>8=&1U8*xzEiX7<=uH?5U3zu%nw{<$5=V9D3_98bmkIB-szK7OkEz-)1j z9$n?zm=gx-SEz#WV$#((2RW8B+ys$L?71U)Fm>u={Bkx5Z4}T#Ao?mbp91Q0ZV4j2 zrSAgJX>3V-vuV8qu-YdV0eL?U@JyJ#g1ACjz&hj!qbmgt8~G6La|&R~DY}-7HCrn6 zf6?JI9U?tS`2HfZC=9hkd!LpafaHlk#e2$RPlK*#O)Jv)Y2%%W6Fci&k{LuJ&Xr3^ zNZ1+;|7lfbI-K+vWRkg+LiNdp5+BJZ62J3!JI==+eZBT((N@Ii?7Q8WH#xB8tJFNX zA1#U4KJkR*FeM2NtcJ1dp0Yq)YJfgJuY*3<bkByK<_4~P?v5%7)P4(zGJCobgnjW0 z1!wuAU3M@xUhAx=N|zA<np&0-pJ*7aSUx5#Z?3B>O3FG2VNfysYmF8r2LU!OqrnLi zB7PL2Y?2d2SU@kWQ~c2`3I*jMJ-EJU{^2hOP0KU9Fz~JV0C5G*{><d>(3cwd+KQ#r zSo3qnII<;R!#2k;6__(@KhWqTI_VUtgma-!?+szCR=#CJ#ps6DF_%E>v1ZWbB{&r# zkn9ySg)uZ|;7C=WhUs2?u?q_|xnUokWR)V{fya;J-<=}3-QpN>%ce?8SumAK9!6vD zK%uc=u<GCd%jzKPIWd4~g5LEA+SP_ivc(E177}~ona_uYrw0*c%MRiRq;Z2M=onK( z4A%=4z1cQ8r3Aa-+Ur@A@jZkmxjNUbMcqb2)C?Ndpd3;C%BGepmq4RJIr2blaQ-_x zGBDKBj*lYTv*>5e9UliGdbRP_fO>+@cmX8fZ?h_!Fi9cejSEB}FiX(0&$^Z5Hqxm1 z@u=D@Lg8d`R%xeyqI)lotc}=buBkY;vzQ=%y5YRq*;bUy)K`Hy9@+@R-LQ#l2|S~Y zzmX;wp(7ANyHN}3xrWX=_4=OGbK~PU^}N0D%!$`P@T5oRqYC^NZ*7O!_gN$7S#8$` z^G+}5>3;^49?QbyS5tOOn9-r+^eK@Z`?tJ@_oD)yns}~O^VlI&8@_VDY3~R9ChK#X z$b=Bnx!ap1?JL>r^;+Mx10T@5mct{gyw~Us{@JN-#j{*oy-sJ<jDXL?{|=K&CkT1a zt+XeYzC8&6zp^K|>=g-D&*(&+7=fI0yj|B7{8J+sxkv+K_u5;-pclArlV`FAlk3-x zU7u!wlB&g9H9Uy~NhJUT*<Dnx=f|$s2gR&+(G2w%pRKp%E3IETD6MbNpp*9ONv7sX zdEj-&G%S5iwsV--I$hh&>Bj8xkI$uvhU9(&ZDWtb!|U&GV|y{VilE=AHe~ClA5HhG z3E|rMt8n;6XY3JDt0(1J=U${ceaN$^Ip)(6owtzQLsNaA+KnydlXlRv`g-TT0%AR? z{(A-q%{r7!8ed1%HXH!(m96wc6T)4Ezc)S9W1%Qn&D^5xF6reG{rt+nY8BL7#meV~ zXN>ICI9xv+b52+DwP=Ua&)qwZAD?4&yPPU~&emuBi<Hjy*nC&FRk+(c6mywxAEv?A z;@C-G!IDzynBl>g*puYt=B&8~d;+q5rM3o@+*#SL))E-h##P(OMG4tfHz7$?P^IK8 z)jjieZfsmmQLxn(!*Rs^W;H#;$ZO~1BPE}=bq8P5j*7JugG|G~f(b{unR|Vw#eePk zMyk4UodAp<DOww?IRU4Kwr_U?!hY&3w=pciDjY9E-$X>6xY05u`wP1`OqaK07q`UG z-InhM%)Vtku#ICb7_#`_CG@7^Ji?8PjAId~cy}G#weOQFKFKLex;9v`&Y~x%-h-ol zOyc4SGh!Jq2heYhDr1VzB~@t7ny`S&OQK;aouMp7#LXtBG+B35BhU)R`r)+S;=<Y{ zJIgRa<{}#**g!sB4aZgrVUDPo(~OBW-?`9!>SNEUt;5PNX5=5{RV-;KR-(Mt0rRA_ zKqjPEOX%v-q-#@7jSkAG(3Gu&C@dLwDZR+p_XN@@0q{x1*8=DI?4pa~HZ*~OOFqOK zoJ-AjEf(RhfJGuX-`K$7Jco+Y7%#vZBVd|lIv%=U7&Z*5Z(Cn~W1Vzh#*m@`N{@?= z^$_9OLr5CLp%q#fOm$bw8d4~kSV;BQgN31%(|sz6O2?6mfLu6}C3eGnmPmSNgz_X? zrZ&%h!~92bwD?pHI6S3ncA~<URqN3mCL!Dx^mv#23n1m)f1sk{ZXQ9dbO<Q>wFZFy zhVAWvL8pKM3|g&>Z?7UfSOeP^IPoqDD_5pDnf;*Iu5JOkxSM7Ngm++gZg@X!%VFwa zT=Ky*<Bg5cs#7N*V+)r_=B*~G(33fM<?RG~hsd&F6uTk>W~5PUsLw8VyJnp5)~z>g ztvUugxJCj3?F@9!y97peK0!}P&dc=geiU;2f_ZCgly%0?ua((!4EF5BMXHo45BDRZ zW8Zps?GHoNd@w@Q+sU2Z%-n;^HeGD^f^?BY4%Y(xdf_~_vW~h$rb_W&4mu@7aVuA$ znvr0C>_w#D!-U7jQ4V~J^<#3l$TRamBT<52585-5H)HCu5y$%S3%Ai9(o%3gkixLX zMOq|xsxBMx0kJ&+dcb3!lA}JCnUx;HSHy6Q0IdeofE?|!Ie+Ue!B2CeCNe64WwWq! zDDV<S|Jh+Eq7**;!%chE+wbZTN-A*YMg9hN5BkYMn5Wb2f__$K=W9vk10+v<Y~2Yf z6g;LF<@dk9;w-}T+1yBv@pPS|=getz*r`}Qh~t<HW^&{IOedc`TaQHHObOKz!7!_R zR|0FBQ5v^3(l3jPkDF!OU#p*gp6WTQ%ukFhW$j}{c{P|7m+TE`<|!o?Ff3Nhvx6I$ z0ZJEHWki}+m32omC%)$@;JA$B%_Jg$52WUDRTH$hv_(s=Fpo>U(+On$I%QsW8VrWP z?u6Fhy{0*4Th?n;hTBvy+EifqV?fK^2*&zQ-<pvh0$0B#x7pB2v*h<?m~>^<#;##4 zp$T709nqom2Nw>^^+6Cs@eUNr0P#;0^mfi!ccbv)Obn$Dwu}ucJYwZLfjqOHy!l?< z9IL?3a87M8*fK#dG*cpZxs}RhiqGECDNu%)2^L&ye`#FNfUYq5UbXg~`q%t~qb<{y zYu}tP6b~%vDmhC_DZ3wT|LetYweQP|;eCaKJC%Yb)e>Q!$eFB8Fa~{yg*W&}V!v9Q zVM0!(G9{(tIl&JJ8%5$YZS1^LVUuL1Sz%MFe`*pOeu#|W?FzK(l3Y!XGV^jgMMay6 z3!q3>=q8})an?Si1mBfa%27Fc{O(aGDPf6%N!XqAr8`iOT_ZBF5iZFA{D(~SLIMp5 z`oN>uC7~?YhNd4n8kA|=tpt`U>ph_*4tl1Zv?>R*D#wmuPLiaAtcZloSJPq^997lb zcq_O@1h?~W@k|}0XS74ei?d4M(>u+lyL?L_^nBE3v^31%*nS@?F0C<yXM0TH(Qcmy zkt6{PWjgUegsB=ar*c$6`hNk8@cs`BWDY8)zn9e?(^a$rAS&T=YG~9k57g~D&|R(9 zo0RKhS1{`{nSR$9OGM|ypwSXV;-s*<W+*>RXq5cQleOph&+ly^Q1Vw0>`6SL>t0?T zI|#)LCWb;TOr=K7IoOdXT`yczu<|vmp)=lfU%BXbl}`8t>aePAQ7g@yzDv9yTq`5> z5I{Ecbj9_2LoId%HtTW<t7%5u=?zc*`3tE)7}!t|toFD}h_sTF7l&VtFRvL(GTO3# zR(Yon{DQ2eBkx;9*yPr<^%KwYB3^>;iGv!HD>HZ^ScnT=Ye7ka%XLkhUV0v|@L#t< zJ$J@_&{^i&(9=Tu)o^-4$Ww?5jJ{6~ejmmau0LX=ddt<Q@oK4QOK^)E6!4}jr$HW) z!s6dY?%l;N+@L()E=+zOed4(WB`xjO8m}d=J3dM|Nq$2+k(&H$YMsFluU_u`Yn?zD z)7+Xley%cz@zkwv<foP%RYetv_^0t^NnwdU2M`|d67`Ic8wTVHZs(Je-_r#3duMRb z%GvgLmw%2?s!zv!IFTEIv38UfZF6zSz+e&Sj-xD`aNdP0SR^#Vq+9v!c^-}rGWo?6 zhe9BpnbTrb<k=2R?yJVvcw8}sstLU50F_Tn*RSV13-Jr`cR#mJHJFODJx7Fq3rFs4 zImcL;n8CGt$NJ3#Vu};UeY0)e80zM|p<ji1|Hk-jo7Wd!yWK6b9dC0F$enj<N#g#s zk;`9)CQ|vFlfQZmy2Q&LW(aOL8ybYKL6#`;*i3u#3JJC&YdQB)D@5DQz)~@;t*v5w zrR;-U5%T@q8gm?6K!Wq^Dj<@*7Dn6znM%4N%6Hbw)H9IC+f#cvb>27>s+p4<;3rAI zu1~pdf&aACTc578n)&GZXFCoQu#(4)kDMcYs0RW;BWo90Vuz!RnNcPA3*j~k9E2&O zyb=74PFy~Y`bO}=P56#-K@|o~@B(F|v(8w~32TtmhJ~0ggIzg%Grx-T@{cLXYKb_H zG*-5;acOU@#Gr+liA8-Mi5eP@0ahX1xtEw$?wGSsxeCPW-Zqbz&cG+|cyL{{F_7zw zf7Vfh0@tCmf^k|i=`P)hrVV@A4gys^tuX0W*Ud*KF*^-cej(v85(nbkxq(kS>~78< z`CT(36a95Cjq@ZxzTwO}8n#g$JM$WTp|drCLHb9$To4!CEpKpSKGd8mcx(51<O!w( z8Jy+({vA?GE-dceT@cdxowws?z2fxug0;YM$orx)yR>1b8qz(U-^I3;^lAFL2q&96 z%XX&ZyqF(Ya)c}&z1ajI{kWITuco$TLv3Kfh&D)li3QG@)Qb!kBNO&KclQrVUGBrN zv<l>oh~ufM9yc1ANG!%;>hXDmTK1>BX`886VhmFk%kV3mMdF!wb<XMXPwl>lO>ZM< zn0>*5c9Jk>+X^l-Dz|FRrk7c4TQ9A$Y2F8y=Nw*-o5u(Wp`XFv9I!*nke`g_#^|%x zFex=gAjbZbX=s{29)&nJbxP7XKG(Ir1aGIws*lyTXE*P>hs1V(Z;#uj^5?sUb50k@ z7|Voxv84{aSYp4vG=t_q=qT9=o^Hqi`5<|G{~@i)4{{AcnTt>)UZRxQh-Lx9vfDqS z+)c|w;nGymF6>E42{QA|S`AL3CUYzs4xyZV2cw%dCmVMZodhWaY}mP#vtbGb@-_%* zGDwEVXLs9Isk{MJFh!NUsiMb3s^23TRdHrG6*+gFujMjDn^$}FV_M^f*=b757XgCb zr{7&XJZBSh1BJSXme~o|K5Z_J7g+v`LXX!JZhP9hY<6K7qi7)v3of)}18qrSjjY~q zQVLmi?Hsv9(^h&4;WAWCHY%~%II-B+)Bx!a9O0EFC|S4+SdBLMUrNUr7Q|>mQQ)?1 z-%zBoG*aiUDcCL6;}U9Y(J$}u%{RwZSW&kV{J|>|_g#VVYg5y5Wzpt8{8HqIjQi1h zE2wsSJ48nBTGE>zZnTpXw64YYyY8ocol#);-km&isVI*Rt0;VrF^}cPsdAmxx47`Z zT^NGupUY+DjlN`Mh8f@HHx-k&D5sXYW4L2f%}TMX-{-5*aK~n6kLBpsG>kB880~7} zw^X0{D@)U7fM{e|F5OIOC?!6|&^Q=I!PKFBEj>Y8!Qir3K8a5~-l>}49NVQ}Cyibw ztF?{&NitLL)h|G`@)+XsUg_Z)cS;TsZBIPTY4g)t(!wTM7c_W$SR1NzEdj$Y#tErE z#JVsaW7j!#d3XkagkpFf|2E9U{mK}IPpeYN<t($F_{&7kt8Sm#W>>*W;Z5DJ_d+<0 zcE+y)Sd;JRbmg7K1Hf8B`IL;P4Kn3<vO`#S)`^)XO;0WDEF13cAPmAqbJ@4ik)b(w z)$%{3R>jQQ{&Cd~!lxxi*Xo6&E}2Z8;+Uq1wASl%pA|fiPJ&a0+X!*^t}@_eH>s(7 zG#6=tB!`nYD~pR`+U>siHm5H3M8>j0@R|@`Kq+e}5DwnZ{gqq>JU<=_oEvqj%3- z^~pGlw=2o;<a@?#mJvLjbOWm(J_?+!RarHgrT6n|`a$2m$J1)l`cT}=<^(^<ef{Wx zyNOW}x3SqfX-^sX<^YLBSSZ6JLIKpE<mri1TA6-kKy>$epeTpYz%yH^R5L94&AZJ6 z4j_1S=zFRkocXeQp$yktZ+B^BurHa*G{;RF1aXbVQ+UOY*b}FvUn#nG8cGhYp|S}a zB7UY|#)6<O2uH86IYlyFG8&-iq*9vZ!AV&?k*Q+-K><0Q(|ZBj<(#Cq(e#6NS;qXE zDcKASHQyl%T%VS*K}=JhQ(Q&PNO`9mid$Z<>M7Y*>o}5?wQ_iNHR=Y%V)=>SMMcfG z+@ewya(p1Pj}F<G62>{SDfS77xRg94NyG5`Sh61~do&qx(<e)Zq{WP9KE*82h)RdF zaAn6+4-#I9+VzfAwY^{CVk5!5Qiu}tvgl}<v=W;{JT0ts1c%iif+iCkUxr;uaoa-g z3qtmVX2-5a>D{$)HV!Ub_=ZpS&gc#1ao}{RKE+V^=Y5AE5VSHM1Uv0dEc(@T%Q!nU zYOXd;3Vb6hDXJFaJp%uR!<Khs#+{RAPxBO=Bz%L^!?kQsbswfXsibbFVp}*al=&NV zRLb+#tA-bxJYnRlmkr+y3#2{%UjU>)Tfdr|4#$VEt2Zp;fb|KzE4B29daOfQG6xm1 z<7?<l6#{(>>|w2MO;Wc84yCLcNev!s35PU2{ne|Jt>pIBl2Mh?Y#MAfJA_SRrEIoS zY$g)yOJK9cBnkS!Z0ajzvz}&CFO$tWn@ydtsjZYv05VMS$uln(;<Lf#6ZbK-hpkoe zd756FZJzD95SvXln{~owbERx%7H6YOHktN4=>xObSSg#C#aU*&!eINh&<AF-zEU=| zG@HB}gN4{+lLUQCSG;kaW!7ey_Cby9Vn83$6>pqpnYCG_eNbbYLD0u^#T(~YdTn;f zv=3@*GYI;au6W}-%WRw-UVLaFKG}8<^g&Y{&B4I(ypZ2z@_BNS;j_%0v&J@rpbwIW zl9|yZ@~LTQUE3*h=d7{qAn1dzsjraD%<3$2=d7{qAn1dzX{?aVw65)xxpUUob`bPI z*sQIP&Ftco3eUA9?;^1cA?U*%dQ|abVk4XQ|9)>vgf->d_CNm&4qw_Ek1ut!h5le< z3Y?$T&_23##%XXsgtDZ`5hmqmCkfLUT*8bp36pY-lZ25HOl-pRG6|D%W>X_}$|X!= z5ta+U$x<feex@kn4B5^3X$vUJ;MXonmz2LKNmsK(x}+RDNxB*((k11QMRfg+ey{%y zhdh7&xo`>q2dslaMEnU%FD#T&epiASiOoau=135;k4C2$BXXXV5+hQu8UYuDGCWd{ zGH;vv^@5Ws=YAC*i!|M`M=+9DD_~o+iw=a8gBA_M!WZ!w)Dx>_D@XVi`$SZjbwkdd z(XC|;(W&X1yXe%qH)o?DI~uaulTZYrrU>R7-0c~9At?OWWQeZsgVUF>>Ap|E_6i7% zj68CnUf#JmTj#@NlIYMr$oXO#d%qhhx^})vNv9EHF!jR}$eJM|kxH&~B$<f`)|m?; zzvodHLo(0tRgEaE-xF+ta*Rm&$`K3Y<iG}#AI?XCiI8-Hi_@RVQ7w;tN$<8e{iz(% z^5~azTMN^l&hZTCmsQM#PMbML&xtN>j{a%i53PH+TGc=9V*9k$zrNz#mAP38L99;j zo@2QL)m8LV>7?Wt{$~h{`^~>*j(_0!!@l!j)TfBH-tHs)ID9=fg_Ie3r^pHbSZRJE zAezD@FamnXu7z>~Oq*mR`V%Z?F83jT!2IX+j~D${$Gzi=ZSpIf&28o@l<LT{q$rjl zv~B%|kf;=M=@z!Qw9NXZhY60rJ^Od2XZ1;oy!ssh51YG#(fdtE%iP*VyKsLD^Sud{ zE&T0Up5M1VPTkEv2#7QZ{cJ&8OCYSD|InweXf16Lf<3X+X-Jd)MNNplnhDXDFd_OE zIU&YsCd636gcx7sgw$3uA+;q;NR2-s$+j<#{%K8y(M5o@3_dpgE(MW|zu%)3->M>^ zRguuDNGPA7sz_*6B(y3L8WagFT;m$bz0a$0ovL=9c?c?&<0f3Q4<%*LA$q&^cD=j4 z&8cb;p^CNfBhgcANLBu~wN3+nn_TD92K@#$@qWM0DHQQ;TaLKSJmMOuZ^_l6p#_NV z;Uf_9<xto?yC_T{T%MUjVv|0=Bmu0K5@^up(a;jmKyK@lK!h=mh_--;@Rm;rbkye2 z(Gk!A7lBHkp+1KOT@%m%7l=xrp)rpJT|fi5cvJ!r&3Qx^0wTzjr4oo}%_E{FA_A^6 zl|aNiJ*(FRM3CFFB@hvazT}fBQtI@Z=;*yTHDnRYd8S#DB9}bI9=UR4h%A<QMAYSI zt@CHOds70bh6D|U9I1v-Ag|w)peyy31Ra_jxf+Mu=uUA7<hJKN14r2s<kH7d<aX#c z<cPXBsg0)OGm?*`NY!=vRRS2HIK@o~ikos2H}e$N=vPtZX$kapB%hIdEKRRLzoK`> zixb<LFS+y?$;VQ}2DAoQt|bsFF|sYWk!|HhHYV06h1j;lM%I>7VeOm>i;30CAy#51 zYwL2v>U?6ga)_--J|p>9ir6;&CP<nsf!Mm_Gm?*Sh;5cbY(w%H$;UXvHp(GZVqa*> z?F;SPz7P{zFNatOgQne*BeumSwj4d%me>^9a+^Xsw<*NLmZWDTHifp_rqIr93Nf+e z=-H0MrqGew6gs(0AttsQJ=>A+89H)4Lnr4m=rof0btW;f1ga$zZbwexc5(_g@OPsm z#1hDrP`MpBm75Q{Cdn;HfF+b}M^5Q>a!NNzZaK=KBcXOXa%#7eQ@crW%Tc@?30>Ba z(`B8UE^E?n?4c(hbnV^2+R*mkkKv(qutpN`j^GHLoKN829^qhvJbko=g5&O^Z|$Sr zqwOI$h1mag&!)#BYX}8jp179jTf_LP;PbBOqyL_bkYOObzTar>*E-11j9UC0oUE)t zH*2IV6f_*X{|b|yYjkaQckhGc+9T&-k2gx$4uZ3rBzw_;mZtsh^`iu*Khn0Lpe*ht zC=?H43+3L?q9;uBA2LVlM+nhBQERuR?drP}LUavnLU|hBnm|vuBii)Xv`4<PPv2<O zw7;i2SU);(Jm2&H2;CfiaNgVG7;kGgId0)$o(q}WnA^LfsQDsiV|T3cF*>@B7IbyE z-bsya?rA(Vch2w`{)N_$*8W!gtn%m48C!e9r+a;@8+%WV`+jfWV4458GuCz>`Ez7X zEHX2DSlIXOX7*?pF1qPmf9AP<pppcAz|DhZy^jBF;-3cjyB7Sb)s4DdZ~jfM*Bi}N zQ`3yr-!!A4<FApniUFA6wc{f6w_pso&)rW~LCwm4zWwU-`lR>E%^B5jH*a2EoS&fe zoxQ!EYA1Vpr@d43x_5bj>bn~1LD<iRLuqrgw|9264*C=p?YEDXIRw3G`*=y`)pH~% zc-50f*1pAZMapL!u6H3Nz<abTe;tu;57z_b=q0`xTSME#4-Z_+nuJO>(5VB@TPF&H zQXx8(N1|hSI88Sn{KJX)!G17($K9QImV5kwEr4C$xp1B=_XIDA&8-7Op0&L`ew18` z-^B0j+LNL6@%nyqW9<B9k4EOk)>nr+`WE`;o3-@!-qdmKEq7z<ko`SYzEjh;v3}gx zf(!D@hny+i{JBj$S$F=2;M)YfWAj(X#RN}H*R#$i{$|XpIi2F252|dX`K!;Sz+u4b zH{Xz7X*)-UsGe-ywn#=FemY6Z`q;Cr^)2)jVI=u9G##`JuL|47oi~J(G$0oc9v<3m z*CJ0oVG^9D-7uwO7Z2(q+&qhi=^y{t2nj>qA@~#Rqm8`{bPy+Cc$R-R^L=OH;T9*u zr!Dk5C5m?EjSk^c=;bXUzYU$i43Zvqq3(+JR(rQ=jV$<p{7y@=TElao0bzR#uu3;= zY>nC9dZXQuHF@wK59}8&pdb5w(;L0D-)&`Dw#QhqJ^aP4=MD}x=t9`J({~^2`;CJ& zYvj?XkbBJtUi%aGhc;!HaHb?*=q*}P=Xe)Z9}N1&&Ia(vCJ`YHZ|uik=$yy@q=O;d zjiJ^}Jam78G5ux&qBQ}d5nE9Ri;y!O)4#D1>6sra<W1qfJ80xM?~y$TEkTe16HQ&q z_pw!vKG3BY2y|eu>jz|lzKRxRD2n7F)8V~|ImIi6<^_#R&+mhp#gautA^w!6wN7TE z5q>(7bGQ(-?Qh5VM18VMB5|J#4z=yO$!v`OvA2Jvw1l*6g^5X&2)Z)thkm$n4$)h* zehN2l$Of{Gw$VDRZg%S0A0WSM8?CLsnZhugLp{R8=j*R9A*k!j+<`^@CW5s7j#3b7 zsXzXR)CyfYSTAo{yHAhy;PLR#*di+9EBwjW8QS-Fl)Z1xVBmJUug_og`j^MuAGWr( za5HO(*NAdOi18NOsK33wh~7gmL;%VhtUq@D;@+8^&!w35yAy~9`RfpA@M?B4$rq4D zwiZm6@6NEuL^6ZLr3b6?FroavLq1)y`v{c!#B$-0gXo1{sLqdH!2N!eP}%VQGdu(D z)7nfuXNp%C)y~-d@@F32+AVNp?a9OL?(RC}WHXjwvdiHK-i($ceC)lAo_P1wp^t}x zYSX0bN56lYel^sz(f6QnsZ8^_fYI~uM6capfA@Vn+FxY`)g!EIhNHPnMrk{0XB)q> zjd#LGH=*oUVE(f+x3hCVsf)jOn|<aTl<)$@+TF;_aWKPAc&38RumLgI+}POOpb5G+ z&h*U`|3%$mL({ec7tW|PHnu3UpfaEXhQ^Op9Cy?8W_O<N;tAf=wz&_%Ae{%hGu_Cs zfPZzkw)wO>our$9515aWO=Wj5a)_<Awm8e6)SobigPBK+zGw&zc|$;zY_NnjlGbrx zo^E867I}vZ1b$&+J)vXQHJ>+ifbe>PofAF=MZE_6qL`K3&?Ur)XnR7$3!oy1?U41# z=;zyigwdaWOfY%O_b?$+&tVb|5sb3sGAPWn@Moe82m+wHhk-M4+{5)Js0p~9?FYvB zDESH8z#+B;qz^x0dmo$jLFcj276|0lLAE{oHZ^081h-4S-3y)}?-J$mD^@DMe%m?t z6%+=mwe&m5C-x}0S;qEqoQ3yKtkDSD3j-|ihZ^~7Y7U3wuLMD4cP93uP&ot&4{Y$j zx(vH}q(ilt*s!sUh&SYo%v2tNk&Agqn{@BqZoIHxY`i<z*j@ws05_hT+_3dP!=snx z;Qf>74!!k64}r>rwj<jz*HK_QZf~F$Ya7~jNL-{>cl2#6&l}qj5j+xJIc`8fxdfoO z1e}0YTHjs|R&l7L*7L7ygdwu5u#k9J5H2I%B~%ena9gR5NX6?-ct8DltRE$9eJ!xu z@d^)jwpd5aY2*MU*N(2y#Y3H@U*DxvQbGs=iG?b?YXfKcj0M?u`uH7f^C@qqCTx9J z5twXmK4BquR4tR2f?mHRpktwSew+9vMeo5{;+q#Qi1{6Obcru^j05`!mgvq7EIjyz zIukIWhxAYSM`BIW!J^&U;qpz69JD}(FMJ691;aoZWX_k|Fs5vIME1dqEfIMQfn=ji zvM@~YArWTaGk6J9Ul;)n!_Mny1FxafMH2XcY>w2~+IT_M#0K%WcE_fVm*-x}@7mkS zxE+WrOxF8{|A<_+8oc@sxOI}C0B)$PsDC}ve{k`oq~7Tapch!RroUZ3f*!uL#}6pD zf1@EFY&}I6#p5Aydcq5w9gsNO;rhKZ@ptacu|0a;_sog6<5{kKe}Ks6PsDKAzjH>z z;1kdO&Dz)N(~r1k%qfV+i;egIAg}-;IZ6vAVo4?zsKkD!<NZ!zy9@U^x<a&fZ|xl& zR*cY$w#h0|ife##uJ(d<u$Ht^wo=0pY)52KJ!5wpT!ygPaOjc4?IAdk+kSG*DfuPs zamct>aXerPW&9qja>y4~fYlT~hg*HLv2C)cM-yVdIK=ef`Cxij;15#eM;?Q>IDTfH zfWYs}f06J*<$Tb56M;{f|03a|QZF>37Q272j}oVxc8i^%XX<d|Jh+UVPyUXlFnoUC zI-}9cXYA0>26u3K$p9V+;w7K$IpMS5l0=b)DDttEXNbOdju+RDw)M0LIvn1Oy=41f zEfE+J$iV@l^;k$YUZ3Mdoel)S-Vq8aiQY~IB(CvY>hjw@M7}-*e_;s=1Umgf>L%Pi z4P}LI5Z5f|<}27;{`doht-#-*dm27-NI#>q^3}kZ;Ks*fxnb40jwTLN&7Mt$yC^Uj z%?H!QOu5b&t-sg|srqi65VgL)4%Dn~y;vt_<ln7tZDqzG>Nundh9<JB!*6ll->qW` zEN@^=txYOz_``4D!%g&z#Ul^~c>0&1f_D4}EW_Lc0E-1Ugab0*(3%W^LEtSI%y4S# z!<{JTb;ypgto@0|_gUI_X2r-chyU_6w_;=NF!Cp<Zrmno5l`bb^2mRm4>kO&4gUqg zf@m0iGoaz{P>VLqFzl7tPvVDzZ39JZVE@uR_BU;ET!04~FK7=8^3-<+p#chS5%P$b zk>6&MU{bx@`o=%chgh*vz42!-W%2u|L4vP5P(|xIIuT)yGv;_s$Uwlqf3D?q2!t5Y z+l)u{nXQBDtmLyqh`a7gV3rOy!nr!wDC16SLJ@H9#3q)0;+fpJbdZ`%-p%t+K|NGZ z4;9oy1@%xtJ$!nmK6|JK(Pm-H+bkN|G6wSKTkx|{5HkO|t-=4TAL$TIp7`@7cR4h% zCYQG@3nr1flOGv#XNuxDWuQ1qLz_$@PUaz!XP)njZI7JxPj^Pv2Wy0^kLGwvs#B7@ zx=RfbOMS4y*f3udw;BBk7`zBF@(|<@*dC+<;D)jFb7wu8qkF(^?m8#v!o2gg5jNy4 z7ahA}bUZMJ*4Q54Um)oo;pwpOH16{H;?e-+G+p~{<~y#Z{PL;!!5*Slqvy$l6b(7K zIPP{2Ptqx^!`-LddwAsUp5tS~-W=@C-mf1qKMpEzEAudYCONO@|AE9~eaG021`Tb3 zlJFe-_?@@wxDVXsmm)o%NJe{)79!3dq^a2Eh~%kEU1#dr7G8?a2;yMw*bIVl6CXQ; zSnRN82n!$k-~{UXncF^g3Z4N`j?`zqdxo4A%k^+u=FER|T(UT*utLWHkT~`TEGX7& zxnszGW@6-#)=7hK3T%76J$VR(8-6n%T#H27s0Rxx;ljqP!IHu5o$n#@9useyzU8T} zg(OJv$rd`fzWL?${QK8EEL6I-ga4y1*kcw-`1s-ik(Rr;k`vOzl2m<|UDpCP9}iK4 z^XFQ4s)w@yRV3zU1aVi}@<5qH^UG}*W{mMX$U`*mW2}8r^N#&hZ#H<0{^aVCc=|ys zh7R(aKvF<NuyP-hZW;4$#k0?Cd}&hA{qYK&$7^P>Mou2B!Fx>9`6L#Fee_?an67=0 zvDOY2GhIV^W53bZueH$&EO#2(?9Hrggg?I?_~fxI^k0h+aBF?AVTVb|A|@dGcpueT zdd<)mZ+>K%c%Bjig_{qzMARj>#L%flsulcT`#Y{a)ps<_wMLq1k1NrmE9nFsL%NGO zfDm}sCRW7p%}ey=__p`?GtXt}#&sTCb3C@(4G)c-p*7+@s(r*leoH0j=Tllxfk@N9 zvOid~q=i`Uej@+vC0-_3>{tb$q3C7Ya~<81x4XUL9w|^Fr^DaY-eF?V-R%Azt1jn* z<+`&8z1d?S9aP%@uLYNDY-Cz9-eKxXYfVqmb`Pmco1``fXm8mzv?N8+ZQucI2LpQ2 zyS<?0hwyBCJfHYylWlYx{?hwM|2*TW(hNP#cjz@X@sKyQVC%5(P6twj&L-d*NH)?S z0~3xfDSzS4ras<A6&k6Nktx^+6`%9yWhFMKv@G~C6%M6Ws4UER1yB76y50L}02#8r z+oxw_d*@fZlZ&(CTcvTy4X)C_Ec!Mb8P&Jw;LLwZ`-pdNx-?!Mzd1cU|M9Kff#vbi zoDI>?#-5bGRZRSBj_rv(o{fXzKG;0do1zt2JJZk({QK$zq4QI09X?>Y%Hq3wN{T-& z=9qTpgA@Z3rJQ|0M;koa)9{9C`qTa_dc9BXJd!*HbL1bczkM^^N9m_g`ssJ;K@8;1 z@v(o-PJft9<Mf9NT6793ItBWDd3luPEv|6H3HpAW8w<(^kmozom^Q*2O>|GuX~$%u z&72QeURe#n{*A3R6sPj@?fE6p5=vAvDy*HJDjTj2L{&%T6-bpSD#fTr#}?RTqrQH0 zb8_(m(6vpQ&xIpK&ftBXna+xsVHMZoG1H*Td>?U>W9ECf^37qXrLBmingUBr%n~@& zy-C1Sj-^{bpU>;Bma!sk>I&T86>!r%yAVj*DR$nl?@zOi@>5hR#uNbX8#2Xr8wyO3 zT6m|Yw|uD!N-X5rsjp~`n)2*4@hZ4EyE=Y(amJH4;*EeI9R!8*d^J|YS4)8}9rHyn zQp0)5@%0mNP`FGr3(6j?#5JF(wgOWIFa^k=bC^o{jR_r5a7PLpqWLU!xGZIE<bMq$ zrK+ZG=Qui>JlGS<f-5yU^ajNaF+i{>7{r6_?6}@v%x#;a-<_GCV7G(`)RU!IuZ8T^ zYDB>cXOK9mDaSwJNH4~byhWiaaMU7O*74~tT#f=I?_$QD^GtCzuJV~O6qq7A*Ts)# zx3@g47%+wXCI>_js*ZXVLkc-MzkK#;((Kg@yfk`0_kTRTI6v(>gu?{I9BnSWZd#IB zLa$3xY}9l@F)W;Rp5lV4T7HZb>;y2#FvS!h+olvJNM4z9pkNDteR3O$g`FMbf*ydp zSSalBV0qkzVv(h|Q*Z;2ALF*PAOlUep;S0ospzas7j~p6ZnlXapI`O(25%IvKF<Y` zBGx#jeCezi^1E@fU4z*WvKGYgMVzfl!yEFuauc?l-p|)R-bT#vCoPOP3Ro<-CCIZV zzehLQWbF%C3@1;5L(UdbK8Nz#bQAV9I7kZ^+&W-HYn<_2ko=HgEgyHISQh7}fUJX= zh9K&8bXW%;`e-s6-&ufVgS3xrL(dOWun9os)QB{*Xia&Jdc^HRso;~#?&%MGOj{pl z>))K8*2(M~qV~q4RzHYUCKS3^DoLaRHcgELO<Ia3ec3eWJerzZnv7-BWbkNeacQb8 zo2D9%CM~AP&{H(kmrYZhN0T1Y^s=3zsj+OD8a$eem?r&Yil*kWX=?Ilss%LFu{@<n zYAu_j7L%ltlsIXpDVo~Lrm4-NDIrd;(&MybElxUM)07Y=t&yZjU$z?8*=qbG7AIJC zSQX?qPRF$$?1?!dIe;$wa(<nU?&^A=&C0M6lCJSja~LB=>}kLR6s2X@30=#DL`veU zF(|K>M|ne<@`g^r5QOpr#6BNLWx^n8jWOtNlt+J4fqoK{IJ=4&;L}g@D~1f(o8{5o zlBT^5yAYh@_s&m#p!DZxCrA6P>04;?qu$&?Jv%-{LuhS{!EX7-sf<pD6%VTr2FVjV zSUxrRbwzIz5okP!Z|*%M4;2_n!jM%GQWM^mo^S)y1W?$gl1Sz}p??io6(B`dM}aQf zF&Q)-VX|vm>L^xIAgqxlOw%~)gdVxjhln5$T@@y(FhoFh#Y=IdD{$1za8#G&s7unJ zL_D?1<jGLrsg>cWEz47|Mnz1u%Vesiz*IZK)IgT0IHAv*J7t|Rxsx|opuSv+J6(EV z#XC|q<1FH;rm?ufqmX2Z#-b&-N*Xh_Vy+<KM)d1=_OXBW>{~ppqVqj8o{fBaI<h`O zlBxs9cTf1Y_RgKnuyJa7nT$218LJsN#`>gJ4s%r8$Yw~noX?ptUl64aV{7s@Pz_`y z;ta~~1%{l$ls!E<ST<`0hclVYo}Q{paHg=?L-GQt#YD0!1@<Xcf>=>Z{2(1Oo~t@= z1Xrr2mOFi<-UD`(_|e6rC3KQetqeP;#@qz6;@p%RnvVQBh5Q|;xH<6MQNr_Jg;wY7 z4>jhdx8RbjYw|pR1pz$xctOtYW!w)Uyfh!4!3T4nTa*u7nvW*vG~mOX$43~*7qh|K z(9&!KS<4b^81mBrnV!JL9p0Y$cxMV35qMM{U}#Ss_{%ABay+`O1a6MRu2^R(qU_K# zB!{LZzj;8$QZzIJ$gH<HJI7s?3#^-FFbK#76d&Juuo72DV$LM|7J1I(t(Y35YEC}- zInENUOP%SuWY?9X`mf8|BDGd0JL>n!qkfMz?_G-2#~OASA4Zxr`It+srDuozJ~!+# zzKAq!3ibrZ;@F%{t@NNXmaJ>h=Mtk$Hekj;%jjlVE4|*9m!VElsrk4~E;EtM7Yh?S zk2dut{$)&W;zr-Ggh@hi%kwC23)CPBhwwL>4k1%K+JOY?gc?eZribn<3k5F*S9fn- z=9z0S9g+pztcHC2qSheREdXDII+thZh*U3afx#Bj9a)qu`5;D(ZnlK2`$gHh=d&f@ zi^#JjAIGS{4jtML*e@2?LV-UVa~E(G*%FL*X#9r3u1s)ER#i3>A{>xvHZxs6xM(L} zmn0{6Jo;t42<hpn%bR@l*m4b$OZdKHItOKLT&7WGD6OGz90R%7IevuZc*xVx@~uG% zSs>=7%>An&AGR>4`XfHloEgd`Ld~C|GDBw#c?+Wf2hcf&#GaqT95qYbq7=duwK_HC z=C2|EA0_i*1xGE(rN`i?)ZIzpG^5s_9L<+H21kC5Bi`v3M0gqMuqol@NweLQ4@fja zH_!hFx2L7#GLBU9*IAS4+%3wFLSUk$h3b7CKcOm=VyDcbtfsti(S&edAYAt&=cykt zHCJuyh3_!#h{t@Dd7#ylw<1XTw=2b0X2+6at4u$#DIZ#Bg8Lq!5LhDVBgRqo%!k2= z%t6*$$q0K>-lS-PIURA*_bi|5M0PD7^?u%CLKwt+$n3k)e8@)~$i3W{4+3Yx38<XF zcRWs5rnw)ixIDKlrZ2K!_^8>E)_!%+epp?krH`*FUUe_&M#f-4W<z>gmt;XcdQk`K zFW84;HWD$SR+;GzO?d;M4qIACR1kK^(N^X*(UOlC)N9QIZT5tB==>7T`E)k&So1A) zsv{|~E%^<*UZWxlaVLnq%{BEQmnrKwC)kkE{E}kZlHaK7kR23=ZHkqobd-5m(~=M6 z*V}<~Jlgku`nX0g&g5x<Nz{_k=(60%@3HlELP2CW5}E4w2dwqvTY0A1W%^Ss`R%q2 zvO&)T$?+zok+X{F%!ElW5=@opQnlpw-g;oXModBAjyF^A9a3RRW{Z_(N@0tIY`lOe zfG6YXtDn)!7{rW0f0+(nOMZWCXnN@I4TXB(V;cW1CcMo3x23TE!WB!p?uCRWmb`Nx zIOmLTal6c|x~;HP!;L&@J@ix4kYj{CB;cS-->oel$FIX_eYmXW+S5rSzaTpI^k_|J zK7j4fbq|%fV8yWa9G2~!N-OZ5Cj$Z>dvA}JdsVy4W2&}%enG>4#rAR72MYT-Pgk5e zGm<AavZp)PwVe`%+(&0(dn|4`GKq51+{oJz4Z}!qW0b{>RCb&piO3><5YT`eAmC;+ z73HrSf3RHh0nmUioF{aHKS!@PQr3|<fs*D#A^cx6!eDI7O~UT%l$p5RR=8yWCu457 zi`x^9p6HTAA00)XCoj4PmOg6&%JgB{@-{&eZcVuvx0_41$m{M%<)ulwFKq>@05oI} z&u4nwoiY=u+w!}9Q>(>8%kjE9GTL2s+B@>G^9G#0xLMbgKkq`%)zD;I580{j$ZzM3 zh8|5l$8$BbGChcnyjR@-)WgtN5|CHs(SJuiirs+lxtk5UC`4ThO)APJnM>P|-~5_& zBcYl@2bwRs)G!m@jxjVD&p?_Pg;+K0<e~CPF_e@MnT$SZ8IeEDZtC=GexAP=8nk(P znK961TK*k{Lu@#W2nIUEPjZ~g%z^00pGY@aM52K#kCepWSsS!ojvIo!Q$Ga!4_6{n z+0e?|2RrinV53D<4G`Cdn-Aj6CdmZ7&~f)n07J;Z#=SXU>7p_Ndb%d>5;u)TQmm*% zF|H{<rBLwc$52Uh;0*bg;N;c^IE1M?o!Nx!n05C3Z~R~ULVTWI=A}+@n>2H&6KV`g zWJ$^+G#W98EBXe@{Q=tiw?s@vzJo>*sgnhAgMYDHKXKm%WRS?CA3&2bCWE<+s85Fc z;v!dQvo~2kkICR;z~wrqr{G|>f=-q<-{R@kJs%C82O|=Nqsve*<;=}ldCC>;2$Rdn z`8&c=lV0?WbU6JI`AhOsQ%hhQ2jIzvhe+1|xCd(SCI*{nJ*W@1yo*8ZP3NyKf0=`= zrw5y94yg~eyw5}~bm#9?f0=`Aqz9X6oT(4Cyaz}wn&<C(f0=__OAj{FTvQ)y1-u-C zdHwv$9PIiQ%Lb<R>Yb_OnyKm-b1#r)z4k0xqB(jppS|$Qzi+0$ojRU1e29yb%pY#) zL4d@ts1!sN!qJU-OnpAF9@NO;*Kx?riIytku(g&Qs5?B6p?t4pPYixIvhPExWURXa zbj1tNhyXBU{Z54o%jBkX`oc2$X5=3nqTk!U>l=64pMM@OhQS(n%#t>#0a}GYx3#v? zL6L}k%TIYTSu%h)-Um5xv?jw)7!x8<<G{_QwX=j5FOTyL=jmyfZ#f65(B1iHQ1~~e zBFz_Fr{F^ykXsF9jGMwu3UY-bf0F_ap3GiT_})|Gif+m*$HIt3f0Sx{anN?;UEhTl zW9{A6))x9>`PaCTi<$%FtSp=gfsv-px)dWRoQ1D^Rl>QDa2oQ$S?mHE6G-s(XLOC9 zxs7h)t;po$*HX)wob9-jT4ixAU~$&<q{Uh4Hbw3Qv$iQ>UV*{6L~~O?SChNG85(6) zTnSM#scs5~FysyA(q^|*yNY*1RcJx8^LQ(D_GPQHhNh^_<P95giJoQHtVEs3@9yMY zykDuaGH16`OPqH-T~(d&pz*4B;FqJ$I%?`n0fLcWKPDj<!&qQz1+l=wM_}?gpoAe= zqJP(%8wr$w8bmuNz~-xTz!#?j;tJ-H!EgCHEd;DHi<uef0Yh7XA}D-fsBkDy&bTa5 z84y&|Ip+eo5Dg??MTdy_FgUN;wQ{6U!E6IOvka^l!UDC582I)|@T+8cDVSF*(?!9n zu74gR&zX3Ywp9g10U(<obEg5a<?<%Wt6#;Ad%m(=&qpKN<A`27#cOOl`?$u|c)7iG zS$PHg?Q_2JlSgqNK-6hG=mH%V)f<cVT*&ZSyjul`A%bm`h8QX`#Rd1bwcIAJ(tCko z^F`*kkg}O?{S}GWES@{mAh|;=NFCamXP#!hADFRSwnQT9`^LMZjT+Zi$3Hbp_`b~K z$zy5jsUi_kT@SojEFTMhEo4ex9aF#mUJs1#?NU`N3-R^Om_uWg9P08M7O5<eV6XO> zPGB)qx&(vu&uZBftlbbL1X11^+wk8e`EP4=d?s?^a_Sar#i4a?&PG195uKTzMv!M{ z=-`FmfqkhER+*f9#GK>|-j8VNI#cH%<wP=w$F68%hsp1d30HM#Cn1aPt4*@6HnAdj zRb*dXCY24cu?E?nP+4~y{;O}}{}}L}6{@hL<SR>kPQuPl<LteiOu-{BC2@rB)oHRa zz0Em=(;2s{w2!Y&HMEcLBdDGqhQ?oV80Hmg=Zr%=7>7oF9GZX8aS#`X=Zr%;7>8zl z99FmSl{*gNqV$|`I0?p~l^=)pUvwO5ym8=_Da7M&7U~BLkt??ZZFmazrzf-Vo#hfc zDL+J=zvvLvc|)X243Q3(+UxJouhvx8ntglNul2Rv05B#oW(drIHe=L||DvPT;EkFg zF=|FIYOeLc_OJoCjRy?IaA*y1H`Z`BK)Q-Ywb^HERxejJb`nPk1>#XeT4cP^LZu){ zFQM<I{v({wS}>uQt<<E#B#xb-eQytlr5~(@{8XO&MVCQ~xeQK(`t=oz+6m~_{5YKc zMaQAd8wY_BeN_*}K}R>PJ+@A)(TF~hAD6Sg=(w=$^An+(eAN!d#mJAttH0(r=xqD^ zBs>&jkHbkY4z<iUh~gV)b$db--N;kV4{ywkZwLb%(h-h)cwyynp8^pq!4U8>71$R_ za)qg@grzSnVTqI~S4vt`$kL_fGpsV`w71pWXD$d3rI5f_`tX#hdC1vcUh^m?HFm9m zGa2%eE@JtrX(eT<DCE@F3`UXqx2Uy`ut_a7qVlsy77%+D^P{?YruEJ~YQK}bH(CTh z<M1$q&p_X;;=BnnhWXk@zw1UqeSGTSuHm5|GL|HZxq_}|{&eQYTPyM%W-;7at)x^h zJ$d1O4x|9tF5)}ada!WHRkQ^BFRN&!ghyeXYAI7IoF|Z*T0B9C!ETi_*t+~+>tt^} zKK&(3sl#OH47>Q47+FMOo*81&E{SqOo^pb$ck$!d?QLMbl6zHL%ANOSM0h?oc1Pid zi$57B+do(nNV9b~N%p*_gHply@vYZNO3hWcO#!9gG6jyoE|6M?MY1qxIk>M5k%8wk zg<(hPwU;pr3N_+Mar#`1cqA8DtjpU*wN^6EF7D~ARD7|Fj`Vliq6p(^h%i>gZS7rd z%MRR5QkvwP-jNA!%?Oq;DQiLwTIk}X2(+7-K8ZPvl6C|Mv2F<(_Mu^d8xOm)zKw+1 zBu_^AeJ!t48YLOW3N`NaY>j&=TXF_svEu9$fFqXhO&N3%1w}!WQ(jSg^C0I&6*ftU zIOUj>=vclIOf9S$91FHhRYzlACkZpZ>y5y!0VtABL5CL5(TwQWVkhojrOP?zF3K_1 zOG&nHT_Nqe9>5u7IF(y5b@^Sm)@}zYhSpseN?+1eSpBu^>R+9=R>$6&Xm?wUfTA6w zHwiQ^z{N6z@(nHiWrAx)_8}~pLu=q#V@yT`LGh)9KbwZ)eNA~0)JYX4h6qN1&qzfs zG4iRPf%JN3Q4LhgsZqEVTH^4Rx=oO+iLp&6M^*#t_ue%J<j|kH7dA_B_!|mHA`Ly- zJ?@jX<BCj2DS0pMnH(NME^p3~Q})J+?90r(DPu52GBOS7b4XZFt&#vr>+;p;^m=Y- zP~kb`j;)L@A}_WzYLdiaD}gsy)Nlj43Q`UPHqUB=85=y1lg=^0D{JRJ%GzluBGf3z zpI&dU<u9^!>R*bnQ)I&|*`Lcra}-Re66dzm{etXKjQv8{l=_|q^|NKDAQl&tc)p7^ z%%D1C1v2=>>AbcoB2Q5r60M3QqYRc%z(YnG6&;UABk@n)f~T>mf|n*SLnhV}&1{2D z@@sR|Gg<0nkOD6G$yi*yk@B{IZUesndkK~ce~g?5dr)b#FHNI$R5hA>IcmM0jNU@o z-<7JgLg!dg%B<*6DA-r!jrwXs4Kh%s6Kg=uG(%OB3pCWVk`e_Bg+u|UF7WdB&1vua z<Ok_Ye1&-;D=#-sta4GMB(sEtq}F2Xlix(SRf{Wq|ApxLx?WS$_X<dJI^=L=kmk_p zEZE{zrt&M|4;1h-7+I#frD`;mH+ZG;Pb#(M`CsDX6defJYAd>Y+Ht!{N?nm;$?*6( zpKSQ^Io5=(WsZ?Kc)#lDr&F&<)(fi}B_#mqNkua!YqL%U@yFwf^HYU-VFlqU+2QB& zNf2r#KFkUA^YXTYQBv+@OW~NZ)rw%>VWWpQRG9W5v3QyaqXn8D_Yy%wk>e*_zFbjZ z0|w42eh;&T1P2xp11t~TR<BXEQ-k{g{r-DR9*V0l=YMi4DFqkb?8yU5Fe}UIOR=ot z%Solnw+<izS^18QgO!|ytj^gjZ(vH*;$-JwXmOINsqFX0yA?fy-?Tmstf`OAha+oK z+ag)VKbf{qf^eWWHubHo{BBm(g`Spz_1tR2draS+41z(2&1{qGGfUU)TYs!(+g#<o zDO0zw$Tf`SyJY%FP9CWg+L2V^Zrx`zc*c$oWP?1~50A*3T9Altc|Kr?;HSMXJ6({; zegd8oy32(YXo@?zt%lnnfqHx5JIH&q@BLh5n}o!7%8~fC!pUp98QGx35ll$@3gl3e z7sG;vbt=g_^Rp6GU#^T*`F`XzBhkiL&B#fX2_HjabMR<SEWrxWu%N-Vu#I#;bx7?B z+k*ED{B+lcbgnzw-$ph3=c$Hv@ek6W&C{0PWnCw4J5zXB+E^`9LiCoAmJq&Gx^z#x zK+i=t*2TLn0)s!-;7)(32tHm!3aA|kFynmk;@u)$6;KAmU%}38#Rg$4q*7NoR;9cO zSqyLi={wZG8<39n$-mkGGm-Qu+QuQM=;Li2@8fjG7Xk)lAa(R@-rmE&j3ByG$6xKo z3ULA{t)LZ?y&BuK?fQ0OySd%kZf|$Cb)o2RyE1m*#e_P=s&pr%LRTkX%iV|}a8iT2 zx|&4Pr0DJ#!{CWULl;gOW6tQtg|^XygN1PtBs<f|gTz$WP(Q`o$tZ`S76)Fnn=7!O zsBSR!8?;;nz-M5wktttPL_8%WCjDgwdR-oftArV+(a?`9OpzSum`s?zS<+#6Q@(Dg z4#guPF{4>c^1=Rs;*9?I(%h`oZQp;CY>nkc4(>zOH-<XzPCzpH?GTijDyQI!i+r~f zBj1Ibz~E<fk?#`pq<rkVyrC&|ZzFzGc5hoO@O|N!!#x`0>-{W<^OaSVu%&Rh4B(T( zI3HG{eTYg@8V=<ECjLU3kSNwyMf?ic-${_8daI<XwXKGN9BXMgCd(}_*p@!6)FgN` z6ehNW5NkZ(?UK@9S_)Sp;4WOK9$~Db@|jWwvb=_>x0)OcC5619$(xf#Gb(=@@ItCh z1YVvl6B>}eUSAImSW;t$`_tdaBvt&(IWwvZ%rC{jY&R8CD-@6&KtJb}Em|d>a5<V? z=Eg!9wva*|M`^QAs<I{<1WQ>fo3f`6^`>5J-hS;EC2d0r?nk>GayFC?Hx@SG3<x;F zDq*Mlt<2nz*#Zl1N@NQppsGomqthrUDM8`1unje{iHq5%8@0lmD+m=4<fKr2CAA*q zeanWXho;6*aA00VlD_<no`{0dMz0W_Z`V>{7U`$xc8b2lJ-+zDR@iq-8<eFgk^*;> ze@4y+%XMcHg#YWfnagSkaViOcyP<Gz5aRH7*9Zt))s=`4(@J8YDkQ#<WV>wQo4j9N z#+9T+?~FF|lEMInf_1Kw>oBKb$Z)CKWFp4Y&`Sd1G8Fs+n6Tc@XIIh^Uhpt$X*XkU zt$}5Ku#opW89chq#Qx24N&5B3c|ueC7`nGdiLe6G6L4mCBd|<fjqK^p{m7X@b6D58 zapH2&x>p4#z7)HKm4?91Lu(j{hD}=@Bv)_4V);1ig8=vS#LYo!(<F#c#3yYlIPe`U zL4=Vbf;ChNR#a2F6<i<(@~!@kaV4||ojCXRX?<Zs*&?b(Y!6KwKc5*tM^;uU84_E8 zLi8K#kz2a$9mw-hhH#ZSTx5}^hf6|ml}y-UY!#Xhuu*U}mw2((N{Sz~73`PLLWe;Z zO~VrmiOLx5y8I|ZS)4=%q&|pD(wKt45KWgB7+!gZIH-V~hzE*=%9)#LyZ&o$*NL^& zQrrmT#ar*_De(>zRSoZg`Z5CyE4-gsd^AecT0~Q3w3c#gAy&);DUK0KD63{uTfxWb zKy)UIK-*z3K_=W(L?6GCcJPaIL(&s@87N-{i^eBpQCk^{%XZ8~ezzRvN25_ve54~E zIx{pa)JltBezfJoflaMLwFEnnOe!QOuak%#%;==8@Sae+5a35gK0T$;Bp$)12mDCL zSV?L59eG3o144q~Nx^@Fn3W96$i?8BcmC)<l1k=PzR{QIA2byc+7&dH-s$8u7g}XL zdpQb5-d!kda7x{uiA})RpG!e=$y*@JlCs%5HHFDDVuhW-X_16!DYzrA5<Z0)-r)n9 zCT6Cj{OpeW8L^=?BiTzG;!4T5d{OL1i%0-;;o*s(Rk7vngmvVL);IMwnfV2eqdW2$ z1P#c3#y!Dp^FEZW4zul9bKfN#XHtVH=*(#gg7kDqhCKIU4<RZ7C^>?3`Gl*Am_VIS z%#&*kt^i~utyNM)S3v>{t;LW4DLK=d(mN?sMYs)7AnnBbf3<W$<JoHF5bU(@NG3oZ z+U#0Blqb?XKSnk>zIlm!07t$T)&;(^2IkDONX2wCo_z!f3Z=>#;;-)=*9sET@;F_M zvTidetQJFS=T{4otj=4PH={`5_OlDcWiKyXaHsC(?CSXC#aVJwkwJi$?*n@qH^--^ zw=_JY?;Gz94|R>y_Ehn3TJk+MaF0LTp7+i|B__&vx|Pj%D(kjUL*dk@L3E6A##1?4 z%nCNl74Bthbrx<c>R3?}uLNtk2)G0dE#DgWLdZ4(97VUgW#UH#&tXyx{J$FhUmgFi zv5)N0$a*kGd$S4FxI=1E%P0Gm8T8OQ&2-SC031`%!2jYs7j_!HL=37TQlNxE)o6DV zF{s)KXL+4g<VvJqW>yi8TMdqdE(b#up+H3{s|@TZKJZimcWP`8ht!z#Sbb*@s1}5u z!tX5#IaPu@EjN^`1UaRk%}CfKtIcE~r^Gm6b0Meh%)xueP+kaVstgUV{E-aTr53Eq z<CQ`)l`uvnLo+qCvT_6z?)-JyiHT8!W-2NG=nwRT?KJbxe!?-RPJO<xQy;P`DP@Hf z@gwNZ>P~%1P$>!-Vr7j?sk;C%#Iw7=V&^?orL-?!9q5XcF%{xjhStc(vtp@Va;3Dw z=La%b7)1ls3g^qEjeDtweB@w}amc5vYl28z`YdDqsa4!H;SldK38QKoxd~u{Kl6uG zO`{}416@<N1gdqCLl(`B)Nz%3=pD9!+n@WV$Gzh=J+30qMldmRjlW<L2;OxT;kr`% zUxwnZDc;qqE7U8h1<4B;2`>w6Xcg*Tp=xny15>V^A<8YSXVkT_xJ*s6Ruc3;NB$tr z(CV>$n*^<qyTVn>MVGn3)sas$Z`O@O7a{mglOn>+yU0bRrqa$|rgp9?USd`dIzy}F zg)X6;TPsM;EO=C>U^bUFE~P3lqBvPfOst`obqD1fE|j>lDGzLl?gbWBH_wPLoZkr= zC8d2e6;d)l%h(g&CBo77EI+*Vv~-}3n*6<^qKO#?jB9*oVm+m;^n9k@r=JLI1ruS& zPeegvmd_5rxhTR>vQT3FCnt2T%n`wUflV3?ffKb3=hsDiCN+~Ra#A?)>4$u)vQbhR zVN)R&rP)b}a5PBd-adpC3<=}1rm(F++%1I!MNe)2l%IZiGouMfcn}DPII6%0|6?u7 zrlMupRJhpEjBxf~DW&bFC5L-U*{)<lzGWyC?VUocjFQH`)FCA19%~3yA`F!l`Ri&C z<zhGrK{6=)MT2C2K`o-MPK)R&TBM}$UxgOY=V+0H6qa;+)08*d^dLhB?g8A7oTq*? zMlyrbPzXoV^(a=HymYV<cc=00AUVus+2itea`k2-0+?N3m=z97q2^Oi0%YaGT;~|# zQ9LHBA`khu<W3gkTjm|6R!P<3n+kAv%~oRVMyZCSt~Ij0S!+$I`lh_FHG_IHi}fR~ zS;?-M)~r%J$XkHKx=&kxoZ2!n=)%o*NkKh5xk#>s8~`|9@8|QYUbyc_?Ky=;D5>h& zCFQmnnmoq@S5lSZLQ<FFxFkduLzm~607zf|cpEY;#c>JiImLHLwu52F^WA`%R^__{ zeVO9Bq|Mx@$@7i7d~<x;i)4KHYg*0-FSLl{x+p<v<vJ=wOwtETH%I@p?}yesI=;Q^ zAK$#}A9uTFx4r)LRpP2g`US-kDc%VctKDPIvs~YHCf>4p&yK-lFq#c5^v%C!j(_0! zLp*Y$J{}i)VtKod^y5T~BTv+gYfVkpLa@Tj5jE$of4o40M{Drjo;<KS#w}rqLvQs4 z#Gs*1{Dt3-P(cP@ec1=Xml4VQ<-L4A=Vkg6y-Z#l+8_GXWPulHiuI8HCXEK6zi$SC z9|RE^sA3<4UQiH=*y6T8;w0Q}5#U#E{(EjKNTMagosaMsU2jI`=nFJ+pHG^XY9%p6 ziNqorHIZ*1m@1V9u!Ao69>O!r9dGGXAu;IEi$r4RrPhqZ49G2^c{7ptZf)Mmg;99) z`o4u}8aqR)@4NQH1K@yQznIlNaa@P?0B&;PmG;_xc<fFs%mg$6Eucr!!!wP?#~k?f z2h(Tc(hy>vY^*P1Vjx$7L(Clg*9!Gt;N(;2Ccw~y`?VZCUcr4=%k*aCEbPf1`j2qt z2}L+l$X86N<1T~xdx<Vph;@q*d%FO!t_rcWB(X$v!-{nRV&S<tbn#jbvw-H&)y(a9 z5O9g!V(!rNNE*Zo5lOYFAmfp>%)uk_?Xg9vhD?jWqdCDl(l+ue;y~*l?vGg9kr&B( z(2USl$rMk>Z-_L<T`d3TP@oMq!x{PNkU3Fsw>2D~x6OC^XfyE_wmZdoU~A5pQlMHy zBG!ONM23=%A|1kQJkA3bi!Mc#3m-}0p2?0*YMsH&U<uYY+i<UU3+;=Bkf}Qe3~QJv zx1FZ=&(CUH-0Zlx>~V1y9T#_zap4$$1#G|7TYvn8p=5UDBojqHv>5=PRcOX7+)P^1 zGtCgukZZ>+p`DZtN2wp$PHTQUE3r-IO2eXNjI^BibN5GSS((4pO3RC)9V9J3iYAM+ zJmu_#MMNrLW+TQ9&`rbv!WaPE4oK?NJy!qOgj|uep5Tl`z@(h><ZvwV($upAW5juf zT0F|Hkwm$<<|s+kOTGn}vGd*{D0s=Y%;C^gAHXPuQ}xwk>E(W`$FhMq^q1TrW06D) zgd*HUUj)%a3%y9?bAcCWdg}r&g1AMb{;q3k;T|gE(d#usG3FG@?E<u?MfWp|6s0AP zoGLCPdbbL1rezNxLl=_0019*=@uPs73ke`W2VF?^XoB(Lawnxi=ZjzRLz0fTyiNRU z;>}zu0b4o4UO&`Cyt5d1k0N)?a{d0q`oO;L9#Hf3=0C50yy&0Z-d^8slV9m!%(ejk z?dFJ{O++NxlMr>1x|^w^i}ag(^*<xWAMuqe+Mvi&+#QVGZ^Cx9wT*UN%d;@Mo8dOI zEt;ZTVkui6r)~z0`sLOi=?_k_ADnLeA!<4O@LBflS6f?iy!c@HedhFkHdF5}Ve0*r zPraX=X<ucg{p?Kp3(a))+<}H_kTV%QgW&`R+z=ehS47P<=#WaP(Tx~r6cGUAq5Z)g z3S=P=7<)V&L3Gk~L>pldv3WDW15W^S^V9{57ttN*k?hk3mn23ecBKZ^(3%9o#5+g& z>M--coOTD!#Iyc2%eR|62l|6^tRpn86~z&E^;Ylf*WaOU9vCNKXf_#Ho|k$a{R+y8 zoWx9B=fO3{V{sVzlS|#Oe5rF+D0G>=VWRT$rzmhqfhtp;f}_w%y_F+q_n(D&yU(h( z`_EjxC2qx%%gy0Qn|V(PoP@&F`#CCmL4236s)5EQA+owY`m*AM^lg1x+eUmb<Jevi z3*MtM8$kh$pnE(>JWh&PW?_$f)>6`@CT_a~`MFQh&hUU^=Qb)E5IC$MhAH(y!*3H) zgANZ-SaL$#S7iSMHeAS=NVw+6*7-d>e~t`54Q<-Pk+lUnOGleeA=WiSl=0~Fem+H* z+}%$vOF&61LprVSV-q}XH{8uhZ5HB_lS(gR+w-srEhJ9Ez|z<H`U(UpWtb1OjHN!* zRRjhP*x{kRai^ClE(Y3+u+Jm$&^|ly(5QIOE8H<!dnuA&tUwM@vu-Rf=>qMqKIyd` z9jl2`4V3h0E1Gt5^6Wptam{8X=Ct+M$%AK(Vc$Xbw(HUIC;;N0E*UnY*+XHCs8VF5 z*7u#huB}pYfMrdDCfs_WFGl1GU0sQA0*+;hn686jp~(85|F#q96-sa^qN1;2e@X8q z`iggx)0s<r8d!YEu$(~*Tb%|FAI%SVoSW=aA6BcIfqTzPKTGFZT61mUGCePrI5CHS znlh6h0g8+U{S}W%Aba7cz+iX<<c;J;_MQ7Egt;Q8Y<?~p)mC)iSPkQuHvLqNnDAs{ zli5w69Q7^I8|RroPevM)N6x+LjFWRFTER(**y%69wvC6H*B?{BA>JOfq2$l9)A|k% z+nDzTl;9RU(A?Te>rTePq)97el!)n|H_&D%!jf8qy?IQSL$RGXi3q|s_C3PeJ$9h+ z0&{6V=YH;dEoFO=R|v@shZeBpr`Lw@s4|s8-=6fLyFTeImewUHi^LX{vtz=g%3Ym_ zbY0owl*EY$`s$FKT2>^0lbqA!$zyVdYs=@du6kc`Q~Oe|6Qwqyc6s|ybc!lco~7<Y zbF+WNT7U6P$j!R)5?2J!6E<LiDOgOqyE*0E+WJHAZF>RD-a?ygCB3e)YN)Q(B&@^F zZ}BjVI&Eo2U9^}W2KJe)r={3+u7q9ZGW=gYEm@<kQ`V}(HkD}Eu`H^km{hE0gRALu ziIMP`eNVH+wrT1Yc;xifJ~AgmbQ}HQTF4xY5I@4Pq9s_Ig96OGQ;;p;x@KLrt5(^z zZLP9x+qP}5vTb{nZQHi(>e~DC?sHCebaeOMeevIYnKN?6jL5vm`904&#<^|CBpOy^ z&&tzKF`t{(;uz6~zR)M@3)|jJY*;sq!x}&gsBcS~!=9BTi8(wN&y7~=We)p3muJA_ zQYl&(hNPsWjuyps6fjA?qbDC3CsdRn<8f~qKLiGRbHi(Iqv#Dt4km>v|0uFvXxbe0 zpeh-J>~E_N@;MMf2`r>Z2kJa>f_yW7Jw>B5(V5;^GDI``yCC{U$TsL)l$-#-!af~h zkwwqOjh}v?eVnDI_=@ftvI<>1x4r=88tyM<cFsuN*a7<60aFrlfo2_%H9>{QNX?l! zivw#W3i~{THzI;ikr#6mELn-@IdiMG!NEbAjiMQEAT1B9S79jNNVX_FQiQ@94>B~< z;g;Lo!Nf~GL2^v?9IPd^CzB6k2t;E*C##4SGf;6Rb@FyNK{2J#@<ds)Bkxo!es)xM zFLf*XyFP<c3gYi<mrUtcvK%NhLryAOI<$mRxkywg(q|mFcLd+#>(NO&K*b#uGpLO_ zDZs=9c!+Z_5N8nHHj61n#V*7hA5J2CO7wU!rfXq`Kh(>R3MKiGwyT=oTMJDwOI<i> z_<*9@ZwEGz)<<U~PlX~|`mnpxyIj<WMDO|ztui?}CFn?@=S^$hI-*lX7Zco;C)~T> z02WY=FWmJm*VwLRZJ}7KI$>W!0uMV@V_p+!uf2{hy?~(gm>f#5cs2)q<;7sV<$cq@ z*aWz9;NyH+*Y8tKS)tayI_iC#f)7!4D!VrCL#d9hPS!oar@3+UhIzF9HNmib)q9*1 zQd|_<iU|LVE4F}($o652?_<DWHJK>X*;Ov@-bl0T1RgtmKScPs^EP{aV++~)4svm^ zA<2Zo-R|=+hO541IyR-2on_xMRm7C(-38-*2jdPX)Jt+VokXCUO~9vDYU**#r|NP= z1_f<~RGqtO#18<?M_26S+uq&s{P57|$>vzciL0N1fVHsS2@f{Y`D_+l{HfAijL6!B zd|SDKvXuA|*p?B@W@l|xzc++yaun#?`n7!o-XO`V^_)}k0*q&S>)E|0ds>j%Q{&>Y zbQO3jqE}{s_0_w!A=q62K$qC<i<8T@?<$_4^<{iF13nMhXtaE>p6CgVli%{QyYu&a z{eu#D{d~4%zqgXlt+9mR%{$8{OKWYO^%E&-+NV3m#`SZvxwSi{wL7G>`}z-;mH8fA z#_6@lbuVfR!hohVF2ll<3fVA1;ymijHqT$V>l)Il8ln?;#=S+ZN@y-CivT!tpDvFS zSo@UrTuBFT{?6gzR#Bk^A}vAHYX$_ETi`Od^M}ZW#PjtAyqYa7%IDRkR^3GlX+(wx z%cpkru+L`NlL`{jqCwrh;gassL<5L7i%9WZi^Qvc)S1sBtF(`Ol-ZaOX&%l|Xxe4E z&ptQ4Z(sI48m~*|=XZRJ7n~4z9xQQr8U;Uq9>SI><cEe!*bE&5o)y^AOI&0;kQ?R7 z>K4rruN#GJ8O=@)j>?3(+h9w~0Tl)^=x0kR^-pia^Oy={Bt#=0FuUzJ56<Sdcj*>Q z))B&qKGTVSj#YV_6)Xa}EDRJZHsaO8kh-SKn$Ha+&OQY4N><MnZdgPbHW3u(cVfo# z2be)SA=x@eg^cCKKhJkybkfkZk0W6|ZKjql@;J2p5V{7Kopx!3x{t&r2$OD#it?bJ z(cV}>6B;T&#dgOfw2^6v-kc+n_?7<A?!U~+DfLut?@jq7L`?;zs*;<kXopk>AmEk8 z;Iw-)Q!~$`T%b!5IZMl*(8E3(Tpr@XlR_-5=||p$j?pf6I8$?stgP!-nw+be*CgGY zEvw`Sn7<aF6YRqF>A@=>2pV<<;c*!jKBlfno&Nx8R6g{)4s#1<d*J#~$cR48`*fY{ zZHbr;0+&fp816$OfQ)TaK2LIY6y%BZsUfzUxDSVhFP=NDY4B%euWeJ&Q|;WBUbF%9 z!9+SYAJYKC_J%v;X2u=R0;GKuAvPu+D+`20KqZ5d$E#@p<!{Gi$9P8WuyzuzzlcS| zq7hRGDe{AGD&-i;;_IE3B~&N<TT@&<0J(S;d6&0>WtgF6bS8S!30oYYd=z<Cu!1F| zD(0uhmlzGcob%URO;Zq%Om{Y)LL|cAGEh^y8&}zJbE02q9Y>Z1sMsD=fQBeG+Qo#n z+W~C=r?{84oMoiA#jzNaR}yhp0_Sg70kJlMEgP(lOP7NkSXckYB?NR518sHW6A8gd zAfN?=R6^%Gc+u{oDb(M68h-fx{R>Fz@l_r0XmTK`3~!A_H$W(mQt|EVU0OK}t6;%@ z&~n3TA~0$45LoU^SqY?npCG=7?~#~5B&*fGJODA@{*o6$=1h99G}$PI5RmqdR6>q) ziEi-)!AOXlA6OO<k;cv`J={ZvOoxh2#J8ep-b@!<k5&=T_QMspY5xH&ys_v4Qj5jn zd(k{Crn~FX5Ut2>&V!C1fz)g6m3l;?DNm~yYWq=k6<bA2uA6LiSkKw+-CaS?5ZP^F z8tmE}1aV2q;vv;9itka46|c~kA8jUJiyveMbIQ|n=_{bowR`x?k_376np@sFD`<E0 zF5Y8lX~@z_#P6VYQSd^WIm9jyI+WIR0w{ZJDxsCwF5I7Dag<+-0`1Nv@5~5eTUQ(x zSVJb9C|`~OL01nhi#rRMInCFA_9^{5oCf8Q(*)-q3&AMNnLjsUP{}sZw3^3umPY0e zzEBx$bLYAHIv0c7fe%#>8HM$k)2(z{`wDd_^khI7?Ve$IB8l9=o?@TJR}#GtsuqHd zA7K}*t=S&1w)DXaphXTR0}#s=;u`RSWmgoPU=+WnYY-{+XZoere3j8HBGRdC9;j$H z>e!>fkhtcK2BrZdV=EF!YV5RI;QZq0>5Z~NKLQlu&+{Ey2f*W{yntXT!+MvN2<ag* z5BkuAPf#I`3@;<y*oR6cmg_sf&?3Tngvur1v|fv5*ZP}6EPX|Eu2mEO8mk#cz^a0# zusB{8RVq{*wMcBI!F-RKQ<_;nnEEn?ADm?nQbF2ADc=v!+zg0h0fA~T<Yb~4T^>k! z0l`E3!E!f7C5+x*#R95|<*iAs81*(0MjFATB9aK%`HNJcXO5LwU`M(mn)!ZROavJv zR|3HY`51)do<rc4oyKY!6I0ilZGcQ7`XgMyBNYmPgfkD}x}Bzr8IUKNBbzoZ!qm)* zW((n6G`hnVWg4sJ_k;LTH0SSto(zJRRLuMxF1F~OGFh(%#J*!GPUYogURD-Sj|l!U z=&fz6-E^D|x0X=v<^8_^9IYQM-<R3awiML_C|>RXtIt*XAIdlG%MY`@(;_4EaXQ<! z%+HoMLBDiqeBfv~tN$IqG3GqfCbI0}`pm0V&EtUkR3DgV&E6`QW<=GlT5kUB`AOcp z8`~(&Y)6Vmt`>HUkFMbK9L1r1lTY>;DmD3rDEJ_|uvTUM_ss^@#w(wt5pvYEi^V_N zPPFl~&OFx3G^cB}+}u~zwbsXJPtW)|582TuhL8Q)+9AW`2g9MWo29Yr;}$fY>nbXL z|GT<$tFF3iZq~aox1#@1#=^-_cE7C6F=YCNuF<FQspagL>C@e5Xld)_R0r>TXe)8Q zYa5H*&E0DQ!;<TV*b{vb{+rYuz9zEsZyFEg(UNg0X3x1$Ki{MW&iDENAMBkg&5P=M zt*n`u@BI0rNW<a+bHO9qq!}~wr>&UgAixAb+s~d8s9}%yl}|gAh053crE1ACS*Nbe zR4HSJ`~BVC2T0ZR#pS0G*XlagWmf)j=Qy;|_M#j-{gqYg56<Z6X{vfj;IZ%R>j}{| zE;qm$ug1q8jLZ{;u{IlDw%R*#@SMk?YIfHH?dr}mHu<pTXA5W7BUh$#fX&d-EaPR@ z-%>qMP8wXpZO8pw_)yM3c=<H`gqKIl^?%@S=t+P4i^EY9_1`!gD0xDSZQ<MNO=Lpn z`;VVTLo2V7xX}~QRW)#~4?kOXY?i0jon`wQnpZ<h1n-`e)g{HYhSBW;_&jOw9Ew&X zb{TH<S0C`bEs!3=M>R2#klE?grdhHdlc}nXoP(YuNi!1nsyWjB{eG*qT?E~8E-`TO zJge>2h&`kqP6^46b*7r<ngCrf;o`io(%{zp6@AV2$FKw>u%(vJ@bK0xmhogc^pI2Y zHesN3k>HQ^1~FA`sb9CT(Q)(-I01aKylX4#{NN0N0j966Oxv!cxHk5TUTcEgiuq=) zTPFy-!8%)nck4446GQmS&oNw2TNc+YRq>#BaocqkBt_`c{$N2gY~(%7=7xVKzm~8r zV=6}jzuok@-2gvVo-?*isy=O~=IeQWyy3faE<CPwtpaF$Q(0Z_dfT?xl>*A{5tk&7 z>4+UqWLNOkWAwXHkTb)Yjm1;9w3WQ*57Ak$JMq$lg4kOtvE4HqvESn0LhV>WRT*w4 zUmy+dlOV#Dd*CCEYC)N|sb8>hI{<)J|Ke}}GIGng;DeH;w<lj4-s5@aN-`jv^fWHR zkAQgpqM49Gf&5teuN)2_SA-p2xdyfRF!F&M1@3alg>2Ef%<u8_#^%pU^qT_NWO3rF z#NNDSZ??4PTfV2Knxy2o<|+h^AS40Rkk1)-)hPmx$R*h-Pfx+8c<09GCAyn->^#Ng zFMuBUbu;VF$s~MGncOXfkJmRUDTp&pCRe@q?r{97?gEqnjk8!j!Y09GxZI$BfjAH> z#Pt5${`xYyfVuSK9@}CwrMLULxqMvX@j1SK1+S^8*_zfgU@6>2IN)}E@K)~X>5bSK zsuz|Ur(FBB@)_xA>cX31uy!qj|Kkl8D(tOT9VONUm>Soh*Y4u#Cqy2FK~2G}oazs{ z`-XdU8oeTtJ3}517KO`4^U34n^8tK*R~9txf1kdA>A2q5HuPR|EOrZ)zm&RXyIr#J zpSG06b#ZlFrXb6xgGtnelf5x%B;GZ=wtg7+TLb6KjiQu6YRvccx&Ba*R~+319xZ8{ zz6=_b9ZTkM3FkR<y+8X!$@G)$8#7@~S~E(l%X6*2WLbA0i?l-;4+GujWVK~w0ZV9q z46a`=T?2Swpjf&Ru?_da@a?8SarT1^7&#gmdIl0&ZnDp_DSn_i7s5)f!)5XoEUm2M zPXvtl!J%XFY1nT_FK2AJ^D1qw|KFiFL>&GDiUUwjB366ZYiof8AKOLd1s&xP^Cr?j z#X%?qfh_+L5|Pd?0v}3viK-yS_8W01{EMOYfML5oNQ@^`oLiWFEO|Vae!ed!oE6{7 z?+O<PTfFki%aUguKI&fn4jDj&q#1O_C*I=c@8f?D;c$83+r9#wj&lunc>P87N4NVD z`vVFpa8cte>j2p^X@lC(lVeJ7N6eDB@2L+!@*_{=3#d@%PBj3(o(uV1z7!iM7@XBj zPdj9jXD)9|%4%grWB}_<#Do&QcVv*eP`cgKmQ81cj&%#i%BsfS+Yd`t#%9GMcXw9O z@#bsPDRj#tKa}5Ca6R5S?VP+8r-f}MvI*9ji<ow<g6oYn`8fJA3<4Lj2AeH6R|{xH z3rL2as>-$oyn<ax;rvyVwJ%pgMNKS-2BP+-MN%F{-deRFdH{Aq+L80cy%d|!+=Z%k z<rW;urCC{U#O&agU@5wMvX>__UvsTMNkI_gKVakU&uCXX9Y=NXaLcuJq_`y`z4BH| zNWZ$})hBpWszFKz+G#*3UzxQ{+9f{gS)2TFGze|r1CFb`7m2gq!y7=--T({@-<3B7 z5_LlGnfMj2Ht$qx_UzK?l}H3mGqVeg*XP?y9|x9pPr?>Op@|#sO>ZbEtRsZKYL-Y1 zljtQDgz*BS1GI=X6xGlF$hp>INgfOUi5E8+$;H<G@BkGo7;)>Pk?a`*SCnb8KE z5IXVkYnP8Or3$R(3wVbR83my6AmVMA=@UHc56Pp9DL`jWIm_qe8{)%F6^{=;pj~l@ zU+p7`_PHpc7RfHC43-%7l^qU1*8drPW90uDzX7(4nO0bBox2P0guGY2E?dn((p|^{ zhk-^F18$WUwH>Go_qqp_VH0}m*t#f+wN9Gh!A$TJDI|rL4PP7z`h~CTbHLyhxo>&| z3Gp%Yfd<K^GzC7%hWJwWN{7Tt`6x(aQTGo1PHsPD-m-$>Q4A+K6*&G6Yq%R!|1o$q z6K1E^5FD(v9e7I$%uYPM&fRJ@GA}f(IEr}~A)cjs$Vud}oBgMmrff!T|L*3BWHbB{ zl0ShzHa5LRY_QS(BZtnyDL=vmPF`-p$)yqh9_DtDoaHmY-6O#Zw4@sT%qg0JT+=&w zsg}Stezp3{q-yQok6UmkP5*A8XJ)UXI3E$y!wP?<-ssp-hyHTMtfa9vSChnDS|}-z z``$*fM)dW!$jP|!>m^9}^Y?T9$+x9QXNS4l&9@~L6YTsLp>jPl!oQp!sL!99oW(tN zU`GpUg>xZ%A7H#2!qaNpK>B_qzHOul5qqL~7)PW4YScXhWjB5tjif?)2yW0|OqrPP zc4SZ<IQ0e8mcE2{-pQ=l>VV>>|Gy(}+>8Gw0tfH}XC8#G-V*U6VX#rw40t{Hiu+J` zAFT3jNV%Pma%&#t#?5Kk+XXb+hjN8<eAFBlI9IkIIWeqfu>=nB(D}J2o7?)Ak#cEa zn~HIwQvF+pge6AVoCfx2;o?lP9Q-3|WhiFS>^t{(_EvTAMcF&#ST?D|MX<7LV7W(2 zWHMVPO-;wCb=jfxV>iG1{JQcVHfKI<cSSbkt%oN`8r$-k#t!r9z=6(8IcNPt$$ZaU zSbAaEHuJb*;LWpuxwDGdCYXB1B6V|66^O)C;2cy#`0-lQ`EL2;in%TlRVHaTom+<^ zC53!2;|2N+J0Om}Z4pgQo1Lvas<iHaJ=j%=1|_lgp_C()AIbA~)`>e+1ythp=(*vj zF;UT<pEI-Ln$T1xR-@z<+h*B(32#%8bXm105SM^d^wF4Y{&mW}t|O|AzQLgKHhIp+ zfmEii$!#cKS*g(+H6|p_+q(~_a@8#ckmgEA2@A<NYJ!+!NDi7>KVAvpezPCsu9Fem zNZ6B4@#66*t*{Lkaa_y{ayE!JR*}Da9a>O#pFs%tfntW+*KpiJ96P8GT|x!Ob8iiP zA(7nfGz3_F7=_p{G8<;hwgpU|(`d4utkjfst0bv^coNJ&qg;{=(H@0cBOdN(WmpFc zW%iXRMYav%EzD<}9!N5N`c(;{bP7SRxK`kZFU4kwIJ5)>VMZ+KpgiIJCV{SJ0;nl0 zC}R*8I|CU4u~$%}IzGNWQL*d?;WYMsm-R;um!;lRtt4Cy?skVT@J7r}=2egv_}%0+ zQWel5b@x)DgGSgSJrqnE;lS#XjSE-1B3%4h|8R?+WTHWa20gZy&KA~`Of;~$Wq&Q* zg0$P646*GEgjnrcO*k>r-y{}>VIoLA@Xt64?P6e8v99f-3{=KQG7N;)fVx+uOr+nm zP}K68kZX6Fnn`FXS8bz^oO<N=*<3RAK+piJIsP(G(8zpFg5Es<^7E<ccEMLi9wGY| zl5ymSg>V!OZ67JCRS{e?V(_5j&76Z)B|UiT(hiRoUuOL2T@H3on^&!wm?QT)`H2@n zc;L4xk4UULz*{`@6oE+}E|4Ig5&$)^I6$??5a`<Fyvuvwd+47qM4Pi>PZvar`iy@n zcung}{=S|*b)bEFJ9>F|ysIofN4x6xv{&Qn&TP_{P51Y2`z}Xs3v_&aIC7C-`J#r) zXrP!Igz>DV(5tqzwGr}G#nx8econi8gHn;XWeS&IJLpR^>j~gk3cIH0>+uWU{Z9Jx z9kxB20V)`$-s|wbf>ehqH5)G)uV%!&nh}l-d#NqK7eAf8+7Vp{(Xq(&?)=en?ZJeA z$e!>ewBWc$Hrs&vIPn#KqD&m^7^`?=mRrXZM1vA3k05_%CU!czbz6)YES$!EwSrCX z=31-x=mRF-6dKTa3uW}c5;O?JNo14n#$ikjL8Tu+5CUxiET_$HVcy_9N0WL*mAG1q z3cg`eY74^>P11T}?Dd51+eJb}Ia>2WaSdPm<BfNjKT7Az-nShsPU`gWi92b5Y^;rW zUr&p*hL-Bvid`IWfTyxdUT%r9$P#ge`P(^qZ=UIKRkwiL%b4Chk-h6Rr3)vuotxUR zCT{2K_Xq%hUdvOn;97NDb2mca<=|~jUAMqSlwKXg8^S){a(a^nRpfUio8_;sdrBV_ z|8Hzb3D6HMKt9!;>0dXF|6i7~vj2Y7y)XSu1I&<P@Zkr?A(paum@<;VpCC07g0H3o z1jn#8GEq;@fS1}g`16<naRBR(urx66k!B7;vJu7QwD#s;(H#u`(6gAy9_{Rx6|II4 zyC9f*zbeCyztPf1my&b5ZCk#k1gXX&aZIxd4m=yl9QSxQJ*h#!=lWq%!o2{uI<U(J zH;IN~Rfd9Z3I8DZ@EUsZYM~A&+Db#1)V}U$41gCmAJ%b+pt0J(x`tNKtv|=P$A-5^ zZ{NANUpl@^>zHt^3X(0eb#G+*ez%9hNH4qG_*y_erFK#}d)fPojcbFnSlIY-b19Q1 z8~OHKv;&l1>=Q)j4QN5jKH9MYVBRK0i69`>(ZJhii~J|7kE2=95sqfU77W(cZVqnC ztJ#0x`rPzk{7<+(J0Y~BXI@B=eY|fqic-tGBWjl5k!jTgopsx07t3oWpvhdY)=wr& ztbIAU@LqQ-sBblc5UowTD{)W>Nx!A|Uv7EJn;z2h=(e~wK$;SMs6Kpo;<+iM?-#F2 z=vf-LTO2d+&E5NFir%90T9yMK?Z}U<NIdNcGBXU=!WqC2GR}b0@Yv9%H`!-aBPc=2 zQuVmAz}QFv5u0QMFLx(7etQ9%(<it%b7WiDypKyuH(yYp4ZD*K^y*9yAQ|K<wx54_ zz4f?LgLl41r{5ZUssY1TGKf0t|4FGCEs=lvq15=>3qnKH3N194W9Lt8AWLZ@NogN+ zbZ|?!{(_Sm&{)#@PVa|^3#EzsC!$6~;va|_o1uM6rxVdr&tg7o{X}!ld-cL^yN4jx zh!ZSit8!!uMNnS411kZ+8P?JdYj5Zb?T5uH=bnw_$G5lH(Lwl`dlxAwX-!rJu*B4% zx7kJO7Ey%@c%(j6rj>`*r9iKgCgGG8{gkGqH$?S}Pv3Yr@~%&T{BsRR?d3G>mgU%h ziq^EL<@&7n4tB?+R;euaohgr6vRTlzuqPEUuC`;XWmH@eA|8qDs1M+Xm1OKPmvXk1 zV^o|p4Hu&XoTIiD(teN$kvA^K2P0n3U8PU&hD^j24d_;8%)^+|?(z8jgFSzesRX?b z-O2{2Gk7^o|F51sP1IY4%V-BP({ijChrIT9LLD0nyov6jE>c(d&Imr0+hNKtwL!d! z7sFq^9|tM9ZO>W%h?CAIG;{QDZo2wZMxWYW)(^(upz3|1t)qST&Lz9nQ5F23-EH__ z34TRW|Dt&VRf9b<LjS1IAY>eAsqBTYB6XIq_^4SElvq=j;QUcn0~pNQ8S8|~>S$I~ z_kWveg?`7rtABibch+D%K8beP!j&`=2?8Q)eJ`_gs<72Re`~huY<}wwPE%feo01d> z0+z}FP@?!jf#&`{S=QU}p}CY(Z%{(FjP-pgG}!SGK=tSyy-uZS4Yly5ADEcCVW<+d zeCZ!IYYAJFB&3%e<UfzNyY<lGe0Nd4E%y4b1fbA?49_-$lZ`(#zh*s}#a!(|xh``E zpdDqk;A~unSgsBOJDNpb0)80-ICBJMY@Vgm%ldg>{cVpK@3ffmeqH#`gP*OKy*CQj ziC7*Tv~TfrOPI32zT&;y>L>Dj#=elfP7mB%eSai68h%Jf;e?+zO?V3X!W-dWX)YiT z%D@lK4#exacfon~Uw=^mIYkb)+{1mSz%6tq@cwa6%+5SWQ_DSb1&Vq`z{+)0UrqW4 zvsT?&fq;tWK1QYAV!~Fzt7Wzd{k|icm>qGyLy#uT5V$n45->EJCj6y-T?y%;nM-{> z64(JK*384(De&Zkm2n7>XqskN-9clV<d9N6k6{O`RaFctTmwy~LYAZ5+jPckTc1+l zNL2sw>)fsO@g(GNIm4W{xHvMWN08h%PcE_sXbU@36)+E+TB~M?ZmK$lZo1DiIJ~P} zU{h~m`AUyl>KCBQMmKBz`|@gJtZ_UP&xf1K`&~O$LRoLt(m}A(RF<V@LMsq$D{!@2 z!Gjk5Rg;V3=LxQ$?DacqTRHCQ0LPyhp0*c#n&bvQv?-D+BzJ~FcXLD?Zd=Bj6q|3# zY3O6lnu|@ZLCN-6Yjy2qh}{)dyEmDLJM7(*<IQvK-ORroPXiQ|NC{|8(?nzI1iNso z7H=*AE?~RMH-xOhW_gyJrHy{BauK{+3PeL1pr%1{j46M?95r@O?<=-W-SMEi09|z1 zIo!{K^x~`p1zbUXU$@*TF6h9LNwbnQ`6ZrXF%Y#Z{lLuh&XZOU-BihrY-%r$(<s9# z3C5KoCzW{g&bUWWgdW7cy!;A?_aYZ0bT!9WYEv2d%)kVUK(ns3p|dH>o{4h_>)U%; zaQ7yqJucQqgSVl<y8)qn!=BY0XmUy_@u|!VqHF8K`~71ik*sem7u*Rmgk{PtvJ%u! zYSnx8nA|4v2|<nozy?hr${L{1sUiD!m;>~BrE|2q2{SKG#8Dsv`)z?BekUty8v;@+ ztP4y3#nYw&2bSM=%GNAF$|9?Z$;o$KqYv1c?0vVoetfO$w!XByHu7Lot7I$n4y@|? zYr37kDddAI)at-buOLSMh{8I)r)X4ILJmMP3%7(GS+UObMhB3bKcWvPp23x6U0CGo zVTMu=p28Xyud^*NAta<|6j1ex|5aFHmtORa=Rh=gA^8}>s)5}_@xz886jAogo7@=b zLF`5fxud@ey+LHIH=jUn=F|5}c4yjmq0W-eUTgv)(>|a6d;0rzSU7@#eb?Z++ls<w zK@q67RR#1=x{1=o>kjEg?-ke`GH%6l4{(Hnek4&Yb*t^e>re8@e1R`%=9S=kZ}J8< z3zH*pk#(vD;)9I_71*1}u6=~7;y-P6xlcp;pWY5G63fvHCdw4|(+*7CV9%_l3VlG} z#B~Rl!+gHeAw1aAn7q^wYeSdZJn6yjMz+h38Xhe=M<^D8A*3rS?=a|Z8;@E*`PTl| zkWIuGZRBlb(e!n6G{g8v_pK`Ql+&>q1+?KhTIq44!-JqYGtrSs-WBn#LP-J?mX(V$ ztO|%3Q9N4~$uqWDm!QlEI9#hSnj}sedM;Lt2{_+FJ@G_c<=1Om%#187p}YQg_NwLw zl*h%*7}fclUbtM<Cd_yCEW}M*<M2QS54%+tY*r@8+-0kIETKXH?K}(wDD;FgD`5kq z1W1O`-0TVgg@nS^n(QdS{gpkgz3_^Ot<U!q^*jgh^Q#2yz~93WWHzZegoa-z-c@uR z$r{lc8iHeHp?i83NY?e*ruK;sN#_aK4v9r}>o^wHJ#urUGB$*dDz(CT>N}8x94ANQ zd{>T(%j+S;Wi-V|1;Fs;8HjBPz;weL9{!jsL%?xK*n38B4~@C7LyhlI4{1`Y?Pv8o ze@QU1+()#2m8jRpsyT6n-?#lfZpcoPwcy<0Slm6vA4Z(kBo*Xy$fP)Mi!~+M-tvE` zgIM9YV0$RZ?}mp2#6$o{Px*);fw9~!B*q)4JDGc>b8M<}k?U-Wy)wZK2$4oh$e1Nv zM7G7cen|tvoFyeoDPg%HYPvxTd>13~5<cdggTdR~J1$T`$%#qZ;oTsGPXq>?AnKl# zIpg5<Zcp}zo35xX!99r0uqni?yvM67!nL}=t1Q8_y2G<7#I>@YH<oj{39oJKg~fs2 z{PGfk2MbkkGbd{qjuV>SdqTVCUn(`7GCZ`R<IoO8`&Kmuy{mhQtAjjr>Esvkk61IP zx~6{xufeY!y_%ebfQsqK2Bxt3vCkZ>;3AQX>fUgj1aj1e`s0L}ZesHi-EXyME!^Rm zduBY$ujk~Ei(i{kiq4CYry>^T?X!Lye+X1mPqQQ%!K18I>d!k{NQ9c|N<8^{h!xbs z&piQR_<#cYGlf1LV1vCIW~_NFTqrUkc8I=jfive7I?M6;XE1sQSyXjbbwc+wGD=Hm zg0`y5*><NiHgB=s3U1Oe%-xn`)=;%vAv2nvkO<RpS`BN%dtM?}QCy#iMcbWk3M9Z6 zcUP+U2pit(3wyQV3DHUz2?=B#3fDZK{z=YMiu719FyAjN8q8A(V6Sxq0)mC#+fm(< zt7lJIA`Am&QjpNrNN*Os@G3W+HL<EC@<7A7V8bM-_l2IX*#WJ+?rX3PK{QQ52xEn5 zK4D3G5AeDWyYjk$rzjBB5FL{(-RRPVH!c*GG@vSCO7-_ru8G1Kv^fl1aXTUg6V*Xd zyOSAYL)xqpM}i#vh3~+D^`S{PKbk4n2<d!cr4WW(EpvhJ97b@;0?FpGi=vVWD*{%r zQ7Zn58)etc*6=CDkg4S&>nj#3wMR|zUe5FS>t<kLpeH7i%)`~&s{MMl;PYk#UFb-p zh|+0dL49O>(sW8<2)OW>x1Chd@o;<Txff{|t`ksSq;^!YF~1J+bMbh>n=%ei3=2{~ ze+WzoG&n5=rfnw5%7{ZiegmGjD)(nRdQ@Vp#DI^8A|Q47a{40`O$d*Ht=9;moCYm` zG4=Q6Lpy2^U<JZL3538R%O3<3Q#i}2pZklLEn_EK<RVoxR+Dd#tloDAe$b0V`kln= zX2gOBb8_)ULehHM4huA;r^IjIuMs9=3}h^*TmOFHq7DTV8~b%7TWl@~l)_WK0c7bM zV^hI2{e(7aJ&_*kVK=Z#m%m=V|Gd{;RaLmo?zkd0rQgboud7O$PURlEKV3v2wi+ZX zF6!ZYB%P(dIXHwP#HY}?@}5Qs^t-8){W*PLL-I68E$g?!dYsq;C6(_B6Wb{~d;v3Z zLJ*bn&er>@@>35^&l|5AZ9DjY^UVQI2EG^o0D9;4D1-@fYDVB4H+>?kW|^7viS{24 zT6hIYXJKNplC~R)3O#$}s+R`6l0NYYyE)3tM#A%dEX#k{GTEo(rw@Wv`HchT?|RLT zDN|R^+X|A=lt*O%vW+dA9U>?rBQEYC{i(plM*eLTVIaJC%nh&_8*b5;AIdJQfLx3I zCz=DkktECt+8iceba2FK600PALT||}$OLXBVs<TCQbamrDLriIguDX1EUQgv!C36D z>gqvXf<VFYUZjbD006c+x36f};9(MLEM!28)h&1vJT^G20_z^vY?`b(o5~iq#sNv5 zLd3v2JBbAbQl1#zK78QHmx1v3^g%??AR)sGDR4!NfKby>xqX1Y8FU}L{J}tp^;pJO z$fOI)@szsQRm0I0x1LR$hq(B@MbN4FINanf5yLr-|8J1lG6UkNUsB;1w$P;95=OMb zq{KGUo`NQ0&b>)!o7VUxYDbV|p&-l&I}QR8$Co_q1O+Rw{(?|~b)cu2e*rNVO)`3! z*7qD&1DvLmGeZVQh4GN63IoPVSydgEjIEy9e<pvpPaoYW#Z&5{oZ`)i({Gww!7b&j zEiV1!J@yd=L781@QB(XHVm)G;MWGHaeE*ux^8;fS&C<zgL0`=c>w@OEO5=S#TLr-Y zpFVzyICd`q;$QukK?W$7ax+-wP@yp4wtNpc_q7Tv|NiyFqh7}4fcLx*7-Pj75KNf( z4M-^czI`qV$?IE41DpzcMO+F5Clq;=Jqo!jh=}zji_jye5BQS}O0O@vS{|qwz*+;% zq}WR5me}^^djhI)dKW0qe`R0keVz>Ta>a$pOvH11?R2z=t6BXt5-jxXqV)0%e^h|! zKEDm5T`S#?aW-?wuRX|E+S^K&1LjgK1*|8Ux|R6?azst7E@5-79_wr_1nm7f0jpT) zmt3x-rZHEMBVi73lPCXKL%163IXJRAc?w<X!O-x>)qez3T}^-F{AvmJ#XPvyxS{*1 zlwXeSK7I5kShcW7pMA?PVLli6uZ0ABEb#wXAwjVHe_lu+_o<S;?zp<l(yj`8yDwN< zQiEON)$!-hPESPXP#I0M+!idNJkTiUf20K&>J%NnTSk0k4e}@nCJVG3TAE?K?FU}2 zC(SE|VczYzUmC4183;3&7emPmNv(g~!IPPQe+oS1y1JTZ*w_cR+`E383cfdLBO|3q zmpu2HKlAZxt^a2UAyDD~%kY@ZlbMmNxr^|}z5HrI^V!Mfoas5fxx#7W8>@V@@L*lJ z$SP(-eNSK-;c8`rEW4ETP4L1haJ}-eXwT5AIR99AAKr~|Zy{6S)*Y~hZ~m2=xu>r- z7MH{uwZ_3I{AhH}N@Ed{BQsae`($OAg(4HUHy>V-cbaSMro7@JHyST14m=0Dqptyh zn|+X+78~+Eh(F>g2QgGm2WVtMWcB4aGyYBap`eQrzl~Dvm+uO$-=;^ta~aKOEP44Z zZTqm~)AGlhqZG+<ey{#?tsZOi%|W3Cqt~+WCKCo(KKg>iImTRBmiq*Y3#-tHG*LK+ zy`v5<)L?94xFCNfVH4eAb3jPcV!AWU&rG^!0eC`*T5!ru>U*d-$JD1bjxG563ZQb_ zv$Y<Foa@KjQNI1k%Q`p<-i`w74;OWjTfD0pUZ1aoB5pFGuT_J=i}m}Ehb1Bw$5$ee zi?reA&B8I%0S<$7owwnN7V&v>M~<i{>%X-Gq-YG<8TS;n?D#{MWB~`$FNn^~u&Q)- zoH+zbsy_i`)LUlP-ZCg)<~ed5$ar;qgCPa9O^@KH@7QRd?`Rbbe_8m57|R6`MrC9y zUCkHpxN&ncn{6)D+>jZXnr-jPKXq{g`mbMh@%k>F>*Bil`VnvOeW^)yh$K-7MzzRP z*Lbunqs@9kgg`K1F7_Ec(OqS&zG_97cXRo>#dX8QgVmUjCGG(W>5uER_erGsPt-!+ zzv&a`@Tt48dFlJyYV%PypOZzNPu0kDV2snR9^Qc1nn2Bn$acJ+%?t|(G?^<r6>P5_ zhVNes>?@=lISWt%?1$_8?dLsvcWdk(gRziPVbYFq=duV@a$G_NJx46?vU53dae*Uu z?i*WN<H}e2i`B*fXYvABJ~%%G0OR@3c)z%8<G;rHCjW@{vFU!|eF(`5LE0@<Ia}V6 z+k#)in@*h=Nl|^s9c9*zcmV>O@Ghq9@rHokXLi>2=B}LLY=C(5cqNZrkj3O>q#g9* zz6q2&&#B6>=-#sk*mog*l&gA>*2K{VJ=~ikzd}M*L;ZW`q$<grF4~Xoi{Td4bM%gb zVg=-D0K3UeNZ6bp?FrYi5pID>A@&%IIvL+ypYZetLQsrNyFwx3J>U`{SnO#kiP2F^ zG+vly!OF-zpXu#HVmw=87ISQ?OyB+Oc_n;+UIzx6{fN^=t*#B{c~7|2HL_q<{#~^( zQ~JM7`TMA){zJ;2Zr~g7@drN)l^*OLF@Ju0k7k}ThRd^9s0CA<z9AN(?Fd#{SrFlb zEd#uH;??GQG}=>Sv@1B)x}_f8&QM|^Rx_@d+ru1ohcDx1UQ6}~fn3^*i;?CLCzRFj zCG3NNwa_|Tc;v%b44iT_TQd=%8*zujhF^dKB)=mhJ785~S0`@eo}75qvF<7au%@~` zfqXUo$nP%6Qo{V5W(7RNf$#r))5azcu<?#mP96Tc3|@DEHSgi&LQoan0?hLfGOB~< zBBMLS$uGrlgUb)rTj_|cz@`B<Ivs?2uA37i-3xT^Cq(MmEF3kr8(3#ju|KwNWS>NJ z#ix3+SC@9Lq@r*dx&3IoLEi!T_^^z}k~Zjy&D@0VdPB)!9U=Vn=AC!e|1spZ?$^8h zXUP9Y=D!R1uT~yz?=~b~wLLX4T7ct&M?Jo8@Z!f+0#&~O+fpE*@MRr;f1am(1wKqC zc$6^t>F6z{f4O`@c;FwRrVZj#X!kxshE*f$;P1)q*@d1zPYrChu?;_+xNkF&;R0@V z^6?+slNqpIZgUjq--Mffn_Qkho{DZT9ia^D4~k$n!&Cc-#mohSt0jbkQr2ysx^*Y6 zT=jLvR3lt&YI=%>{vu*7u&$3t+QZz*tmw?esU)dNs#>rESw~b?nqJuiOX+Rec^e0( zdKe)v1dy3+&e3v|@DcaKW~5cYhF=lH=mxLAz8n0dRTZAoUnQsy-T41fumND4rORs= z8p7HdxGo8HBZfftYBdd+1DZk{!7Lmf+r%yOFzDFP>eE<NB0aNvV{<{W4sIFV6UPq+ zhh8--SbzJ5O?T#m5AhTuCnx^k!iaAleXT&T^N#TPhVT(gLXB|p0A1#F<Bd56^}iMF z<IL>Up=SIq{Tjz>|7U&;OTsd8ACP2y8z8?yAnXLq^Hd>AMi4On7Crj@vO0Lz{M=;% zuCe+jGSJ!4xgjPhkr<ATl$N$%SdO9beB#dgtm=_&m@V<5U+-R}ySmmdbO@-z{Wlqa zu%V$Ejrt+_H4{EaeArf4<QBm1DOYvf=85}2+$(MZo_cX5U0e{ba&D1vq61MMtQjDQ zXNOB{U+%mE5r592`2r~-w`F0Gybk>-HMVENAv`k~h8+dLfWAH*X=;S<2jaF&xa+5_ zaEweza#jU4oK1lmoLUj57JEf7*o2TG{5+Y6>mC}W56r7*Syj-mDk7s72ZzoK_Df99 z8oF1+uKP?8pcl%`#ZlMCRKtB55g6uo05AaXc0t^KuzJhQHxS%JH*$cV@$KWtco~*U z2r+T`xMto{b*~fFIeLhj>JTOvb3oF}O<M3R##0}n!%e$}R`43Mrz@^@4XCZ7shDmF zOEpK$GMGX480+_dgW$5AECg=6M}d}zc&Tg2!&m6qE3GUWIL%#F+d@Y?q+6`s<Lie_ zbd>H$Usl;xd5Py4x;E3@zMDcJ#mlG{FvdPHbhHTOjgdCf?WQe!*;$nH;to3c2HKGe z`ItMS>F&HzVV1k<xKm*KMA^}^5CH_uhky_Y%uO31`t|73f#kx9ysV)r7GpmOu*up< zysuOHj93#~VuKU>X~-auLg1P-hSOw*M-}edjiD4$giXAf8TSq?RLg^dS<H@M;VfAL z`4!P`=ZMoa@LNWg#w8hP(e|zywkIs>2O!F`+{vwpHIWy`gsLdKb;0i@bikG+aIAX% zg!(W@2)-fV6B=08M!S0=XebS0Feo-(!Fhf<`RCR9DhAFb0B+FF|KOY0Fkm`FA=Z)$ zt}aA&f-#*r0@zb9l2_#ZrAAJCB8m!T#G{cNYPLtDor>z={gW|E2IGEH6nM+nY{h|o z0f0-_;+k=7HbC137Q(2L>I@3pJ7s_fUYrv7coCQE#)!H}i!PXwlRbia((#>!HSl(G zzmd5pi#Fr|ZK)$6Qq&UeaWCdMUxSuSoC{D~T%_plTHccbehy4H!RDW&=3BAhsw?eo zMqV6$T2UcXv5BrcxWAjU$JWOdEbLz|0l!~O-Fj0SOpt$DVT!&o&G1ub<)SDoA08qm zPNMsS@4tCXV&ROTAw){Irz-SOPF_jKTMr8t)UYkzu^XJcXWU!T5WGP+tO)5(?Ft9G zO{#x1m!0li-&Qxr$qoMA%lrKCn=z?f|48N`%tiYaSPb{;s?9y{=5|`#FHU~k2+0#N zMEx;SCZc2)^skT)Xddp~o9DV(csbddPqsa&?PrKvVW&b}9o&B}gmgS$z=oTPZoV_r zBn6Up5PoOo<IpSMx1M^{YN4&qt!#l7fV|VKl!Enh8Y2h`t*GB2>^)(0%+tanny%0S zO;aq~^d@_GdWU=Lv|Sv%lwyq^0<Xmi=e<j!CC+jE_!&@h^Zdy>`V{zyJz=e@@}w0I zIEK}GMj1r&&%+h&G4Owj!5JG;7oT}9Iq?G)@kQ?1-6gqHZ0hiN1T~5AD<FFcK>c+< zELZ&bWbC$V2<b$_&~Xj#<%%3Xi-Rp?X{GndBqdX&9#vB4_*UHibpbtVnyf)Y=Wmmb z(=ofx_iO`@ivdvdB9fXZ;)?&6_)CK*Y48tDXQXl}*HW#{Q;mU`+$eHDx&s+<AmFcA zN<VUgPC)g38(WF1+FRQGmIh6<RH={laKkr!6Hc@^p=u;`=m2ZR02qn9X~K#+O*jr~ zxEt*)b0DW7;_8Bmq!CmTn}BhKTzFPjTgAmcJiA1A?nL*2e>8O_e^o@GU|LVWCxw9f znmy>#@~zmTltvy!EdGXC<~RaxM5SkZx`v?phGuZj^D6Y(4U7MEj*``ecb2>6hgdm% zh%V4FoZ@bxQt9n<v{e>}1Y*+RX<%%PGjUwZ)dYsX3jbs8t9sNkL>`HrkSvb&{&+Jc zJt4T^Zj2QOmVxEMe27(j0lzFT`2-hs@oWt3q4u}>bDMZNWiBn-Tr65uq~Vu~7k;p` z9k>j9>#1KLk|0b(T9=V(dldP25c&8rxSx?i+$9M!=1y}miuzCs$~_X^in0bmH@A+| z{75N2S)o_zA035^GD%zTXRr5XCJ0-2L}V(E2Z38V69m=p`1yon1mZwP%}yZsr9+W= z&~B!F)Q*MV(^!+=)JT#@V;{i$Z$L>+9oHG}YIbk{7W$KSV+0(q`d64Qe!i?<r{S{D z45f+CHYwn=k|w4#RY}DfO>=`~vV0&`W@eHv;F~Cvhc*iUKT@LLjct8mzBC5A3u-w# z`&7IwluYfGm#bST8yl`ZO3Oj-R$A)e&Q7tn*v8Wk4*&bN0AxyEyectv<eUnUb$3Au z)8#}KxYlsva`S;X+7)A21jOoa0u+ATn`^%`BAs|b7KG=#q?+cc#*<_^9iiE~RU*B( zqcEL{iL1FW>My_>0oNIC7T!XmW*DMc39us71g(bvYGN8q;ESV^oF|^<=GoCl>+6f- zuOb)6+v0Ifgz#+cAIe5ze(2|YMPejdiK+M0>m)2LZo82j3UDqb965pOP@1iO0n)~s zOd1M?1^%FX)w(p}Mp+)%xv`{}gw@r}N$OAidJ0h?Uq>=OHFOBFq~Z+CWC9e3uPiR| zp*f$tC_HaJBdo*Tp8*+TQnIc>O`!OfhI$>qNhW@KIRHJd(vGdkp5i#7p9e93pdp{G z@bBZyn6momC~yCibU5!n)ZbtxzOc7G5<D}|Z_wcC2M7!zZNxPFFx&p`nK4_RBqC|< zQ{)_DoSsRN4v49UVi18Qz;Q*f^?9@Ni>kc<b}PPvCf(X_?-whi!fH%;lihwv;W6}v z>c}9(D!6uHgTZ{GF=k1Hl`9O!VOFMsWB8)q$q2-wiEPv~zDceUU{$w+^^L&N#Ntg4 z9BKmP#?$r0qsct3l$<Mfp`r>#<9PQdg_>6#K-@!rKzS37IVu)}Bp<@&QMQAuvWHG% ztBv8FAtJyS!GXu`scomm1^iy-GNEv_h-G|{ZG=S_8Et~%?HT!MZ2BuP{nD}QrttQX zAB-gRJF**tM#b2ISu-A=H8ah_JKp%&ztQINz%2;WMj&B8_`c7538u#1`sfsW-DHFb z+4=V8x&p2`J=r$B%|H^uV=_jnp~BrH>6r+M1ad`f#1?e>qQp8I`GZKOm?_L+=#{OQ zs`MSD28@ypv})m<GEVQc05Oa)UZo7nf4HQR@dOdrMW<yJu_~%g6;$nuzc$YzY@C0$ z^!(bK?tCKu%L^pjg4Cq8Vt#nwuSAgy_L-m-C{L1n;hiqkhK>t(=?>ylza8L;y$Dfx zI7Z`q!e%eRF93!0)<L#bMGfB(sum1Ua3Uek8SO6X<OIpdwehAbe^E}J*W@nCZ)eF{ z^iYOU#;M(?E9zgDU&wlll!wJwc($scd9W``TuGi+^-8=@2r>C<9SYSGTbfG3!jl(K zVZP?Lm`|Ww2dXUVRd}S*TV%IgOaXbeD`0`O>DB3=k>;5Kw@IJ6j=b*vj~@XEsE7=d z#Y2YhMHhM1eG@-XORPhV!Bycx9i7Cn7s*T<(z1w*7P3=-1&!FT7wpgRf{2V9vXjR% z(E7nRHS#dIwbEjE#o558vrojL?~f))QDC=aM^x>*YOB<;$)os7Q>aGyaa-lCq5REO zNuj*YR(ZFj{6<sxrHb-H<(E$dRJU^Q_W!}@-+cf13y@KL#<L{BA#;=kTBj-MI@+xj zHd)JTGL%}RzcgB;g)@Q~Y#YqX#?eO#Y<s6g1V%p2o58+Yd<uow-S?(5HV$V7(zUjl zsZ)ioWPFUgri$Ldn5JEa3IlLWOiYcuYeh!h%wkH^O!@*eFe%o+RZ<V{A|>;5aj;}* zjSdJ#2x@u6z;hqvuPQ|yo!|%g+ovGg>90F3bJ4LD<hXEuUg)jhZ|M9K5R&y3YjRzH zjMjc;XYAXYcU$Rl((x_`JXk!kr63Sy{#9k5#`$km20W~%BR$tApU<#Y25}l~ztB@A zah~7b*WcgY;E+9UF?BewSZg3GyBsgUT(-;hc&#|pzkSMq5_QG=#jQ)q8P%23v~2#2 z{+pUi&Y-?dS5h@?;NPlCcjZz`KL)bWa;a(+b+QU6PDNp9D1}=FOO=b?-JSey@2**| zCPNZxdRHzv`kNxP%&(wWyX#*I*dbY7lP~3bk#u~J5v(H91q1g~<!cb$!zXd~<1Ao- z3NBe9#(4$+5dzW+J2%->27d2Naei45AQzUM^XhzK{oz?!A2*-&*HKe4T|wF&eG=sZ z!yS=A_{_i-3M63g@m*Cw=)aZ~CmKa8e@Wzu3^(OB%F@HloQ^jT>&S;`6j~FZQg?m= zsPsat<Eu3R5fztSY*KRcW_5k+7N;B@A}pq5YE2Hgx~<hV2|Fm43}g^LOK342&Kz1E zRwYnvoSu$fJ0gQPjP0E*v}f?h9Utl+)l0guCTlMI>4&&_IGa3tz5Fh8_g6?=uRL{k zgmetdnV#4EMtRtm`I}-(d$%{JL!QzQZ!0Tmr>H+=McqP56N<HA{+vQ<SXVm;g_Xmz zQIngnOBp_#_2uM4*h%WcxUIRu*z?6fI+7**DeMKwB{5II3VokxkAI##t{!RUV(;U; zByBjdcvks)u4urp1fm<1!wOZ%+MfS9dHm-$m2}V!MWH(;-JZ(w)S>FweCoq-wJNSG zd4BFkMt)tcK5w?-GAKgNkB!Ow=*kg6*i`jts)?Q{SS3%Gm4!J4yJV5<JszfmD6|sH z%}yxh=|w@;FT|8OF%)Oi*eOk;j!IV6bLZF3$1n7%jhLfd9GDxF=)sYp`oh6)6|KZ) zPA_juFobLCkpkVQnZkxI1hitP&_H~7<JrL16}Hm2I=N-I9I9mVMsw$3elH-5MDKFI zmc#))%X@p)A8O%Vqq9iUe-LX(f#X#;PWzX8LNws~MPQ1((3k>YRCml!Iaf3zIYDVy z;&b=*a&~r^9Y%E)Kx1Q=(t2k76e<4By?&Kpz#8SI3#6O&q>