diff --git a/GLIB/mem/verilog/SROM_Ax32.v b/GLIB/mem/verilog/SROM_Ax32.v index 680e7294fe3c2028424415caf1fabfeb8cb5f92f..cece5adc648e4ff0796cffedf2ad5b53ef92a2f0 100644 --- a/GLIB/mem/verilog/SROM_Ax32.v +++ b/GLIB/mem/verilog/SROM_Ax32.v @@ -24,7 +24,7 @@ module SROM_Ax32 output wire [31:0] RDATA); //Read Data localparam MEMDEPTH = (1 << (ADDRWIDTH)-1)-1; - localparam romgenfile = "bootmem.v"; + localparam romgenfile = "bootrom.v"; // Reg declarations reg [7:0] rombyte0 [0:MEMDEPTH]; @@ -40,11 +40,11 @@ module SROM_Ax32 function [31:0] NoX32; input [31:0] n; NoX32 = (((^n) === 1'bx) ? 32'h0 : n); endfunction integer fd; // file descriptor for file output integer i; - reg [23:0] today [0:1]; + reg [39:0] today [0:1]; initial begin - $system("date +%y%m%d >date_file"); //format yymmdd + $system("date +%y%m%d%H%M >date_file"); //format yymmdd $readmemh("date_file", today); $display("data_file: %x", today[0]);