diff --git a/fpga/de1-soc/CanCore.sdc b/fpga/de1-soc/CanCore.sdc
index 4a51fe5e82f837da91fa62ec850172a77cfb6e9d..163ad00c2bd63b9792a56fbd504ea88895598bdc 100644
--- a/fpga/de1-soc/CanCore.sdc
+++ b/fpga/de1-soc/CanCore.sdc
@@ -3,4 +3,6 @@
 # SPDX-License-Identifier: CC0-1.0
 
 create_clock -name CLK_50MHz -period 20 [get_ports {clock}]
-set_false_path -from [get_ports {io_*}] -to [get_ports {io_*}]
+
+# IO ports are currently set to virtual pins and cannot be constrained
+# set_false_path -from [get_ports {io_*}] -to [get_ports {io_*}]