diff --git a/src/main/scala/uk/ac/soton/ecs/can/core/ChaChaBlockFunction.scala b/src/main/scala/uk/ac/soton/ecs/can/core/ChaChaBlock.scala
similarity index 82%
rename from src/main/scala/uk/ac/soton/ecs/can/core/ChaChaBlockFunction.scala
rename to src/main/scala/uk/ac/soton/ecs/can/core/ChaChaBlock.scala
index e8f2afc2266d48ca1532778284d881c49f7dcdc5..4ee15ff90ec76b42d3fd76bb0afd6680153dc4fc 100644
--- a/src/main/scala/uk/ac/soton/ecs/can/core/ChaChaBlockFunction.scala
+++ b/src/main/scala/uk/ac/soton/ecs/can/core/ChaChaBlock.scala
@@ -2,7 +2,7 @@ package uk.ac.soton.ecs.can.core
 
 import chisel3._
 
-class ChaChaBlockFunction extends Module {
+class ChaChaBlock extends Module {
   val io = IO(new Bundle {
     val muxIn = Input(Bool())
     val in = Input(Vec(16, UInt(32.W)))
@@ -10,7 +10,7 @@ class ChaChaBlockFunction extends Module {
   })
 
   val initialState = Reg(Vec(16, UInt(32.W)))
-  val doubleRound = Module(new DoubleRound(regBetweenRounds = true))
+  val doubleRound = Module(new ChaChaInnerBlock(regBetweenRounds = true))
   val doubleRoundState = Reg(Vec(16, UInt(32.W)))
 
   initialState := io.in
diff --git a/src/main/scala/uk/ac/soton/ecs/can/core/DoubleRound.scala b/src/main/scala/uk/ac/soton/ecs/can/core/ChaChaInnerBlock.scala
similarity index 88%
rename from src/main/scala/uk/ac/soton/ecs/can/core/DoubleRound.scala
rename to src/main/scala/uk/ac/soton/ecs/can/core/ChaChaInnerBlock.scala
index 16391390cda0134450a06971360b5d908777107d..ad5ef01f78c972c6043d41c39d7e206b3e395317 100644
--- a/src/main/scala/uk/ac/soton/ecs/can/core/DoubleRound.scala
+++ b/src/main/scala/uk/ac/soton/ecs/can/core/ChaChaInnerBlock.scala
@@ -2,7 +2,7 @@ package uk.ac.soton.ecs.can.core
 
 import chisel3._
 
-class DoubleRound(regBetweenRounds: Boolean) extends Module {
+class ChaChaInnerBlock(regBetweenRounds: Boolean) extends Module {
   val io = IO(new Bundle {
     val in = Input(Vec(16, UInt(32.W)))
     val out = Output(Vec(16, UInt(32.W)))
diff --git a/src/test/scala/uk/ac/soton/ecs/can/core/ChaChaBlockFunctionTest.scala b/src/test/scala/uk/ac/soton/ecs/can/core/ChaChaBlockTest.scala
similarity index 90%
rename from src/test/scala/uk/ac/soton/ecs/can/core/ChaChaBlockFunctionTest.scala
rename to src/test/scala/uk/ac/soton/ecs/can/core/ChaChaBlockTest.scala
index d3ce397d1d7d6af9f4f569ad2a29562520cf7ce0..0db33b6330b44296c60dba636dba71d497ae74ed 100644
--- a/src/test/scala/uk/ac/soton/ecs/can/core/ChaChaBlockFunctionTest.scala
+++ b/src/test/scala/uk/ac/soton/ecs/can/core/ChaChaBlockTest.scala
@@ -4,7 +4,7 @@ import org.scalatest._
 import chiseltest._
 import chisel3._
 
-class ChaChaBlockFunctionTest extends FlatSpec with ChiselScalatestTester {
+class ChaChaBlockTest extends FlatSpec with ChiselScalatestTester {
 
   private val rfc8439232TestVector = Seq(
     "h61707865".U(32.W) -> "he4e7f110".U(32.W),
@@ -63,7 +63,7 @@ class ChaChaBlockFunctionTest extends FlatSpec with ChiselScalatestTester {
     "h00000000".U(32.W) -> "hedc49139".U(32.W)
   )
 
-  private def doTest(c: ChaChaBlockFunction, testVector: Seq[(UInt, UInt)]) {
+  private def doTest(c: ChaChaBlock, testVector: Seq[(UInt, UInt)]) {
     c.io.in.zip(testVector).foreach { t =>
       t._1.poke(t._2._1)
     }
@@ -91,11 +91,11 @@ class ChaChaBlockFunctionTest extends FlatSpec with ChiselScalatestTester {
   }
 
   it should "compute RFC8439 2.3.2 test vector correctly" in
-    test(new ChaChaBlockFunction)(doTest(_, rfc8439232TestVector))
+    test(new ChaChaBlock)(doTest(_, rfc8439232TestVector))
 
   it should "compute RFC8439 2.4.2 test vector (first block) correctly" in
-    test(new ChaChaBlockFunction)(doTest(_, rfc8439242B1TestVector))
+    test(new ChaChaBlock)(doTest(_, rfc8439242B1TestVector))
 
   it should "compute RFC8439 2.4.2 test vector (second block) correctly" in
-    test(new ChaChaBlockFunction)(doTest(_, rfc8439242B2TestVector))
+    test(new ChaChaBlock)(doTest(_, rfc8439242B2TestVector))
 }